content
stringlengths 1
1.04M
⌀ |
---|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
-- Copyright (C) 2015, Cobham Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: toutpad_tm, toutpad_tmvv
-- File: toutpad_tm.vhd
-- Author: Magnus Hjorth - Aeroflex Gaisler
-- Description: Tech map for IO pad with built-in test mux
------------------------------------------------------------------------------
-- This is implemented recursively by passing in the test signals via the cfgi
-- input for technologies that support it, and muxing manually for others.
library ieee;
use ieee.std_logic_1164.all;
library techmap;
use techmap.gencomp.all;
use techmap.allpads.all;
entity toutpad_tm is
generic (tech : integer := 0; level : integer := 0; slew : integer := 0;
voltage : integer := x33v; strength : integer := 12;
oepol : integer := 0);
port (pad : out std_ulogic; i, en : in std_ulogic;
test: in std_ulogic; ti,ten : in std_ulogic;
cfgi: in std_logic_vector(19 downto 0) := "00000000000000000000");
end;
architecture rtl of toutpad_tm is
signal mi,men: std_ulogic;
signal mcfgi: std_logic_vector(19 downto 0);
begin
notm: if has_tm_pads(tech)=0 generate
mi <= ti when test='1' else i;
men <= ten when test='1' else en;
mcfgi <= cfgi;
end generate;
hastm: if has_tm_pads(tech)/=0 generate
mi <= i;
men <= en;
mcfgi <= cfgi(19 downto 3) & ti & ten & test;
end generate;
p: toutpad
generic map (tech => tech, level => level, slew => slew,
voltage => voltage, strength => strength,
oepol => oepol)
port map (pad => pad, i => mi, en => men, cfgi => mcfgi);
end;
library techmap;
library ieee;
use ieee.std_logic_1164.all;
use techmap.gencomp.all;
entity toutpad_tmvv is
generic (tech : integer := 0; level : integer := 0; slew : integer := 0;
voltage : integer := x33v; strength : integer := 12; width : integer := 1;
oepol : integer := 0);
port (
pad : out std_logic_vector(width-1 downto 0);
i : in std_logic_vector(width-1 downto 0);
en : in std_logic_vector(width-1 downto 0);
test: in std_ulogic;
ti : in std_logic_vector(width-1 downto 0);
ten : in std_logic_vector(width-1 downto 0);
cfgi: in std_logic_vector(19 downto 0) := "00000000000000000000");
end;
architecture rtl of toutpad_tmvv is
begin
v : for j in width-1 downto 0 generate
x0 : toutpad_tm generic map (tech, level, slew, voltage, strength, oepol)
port map (pad(j), i(j), en(j), test, ti(j), ten(j), cfgi);
end generate;
end;
|
-- -------------------------------------------------------------
--
-- Entity Declaration for inst_t_e
--
-- Generated
-- by: wig
-- on: Tue Mar 30 18:39:52 2004
-- cmd: H:\work\mix_new\MIX\mix_0.pl -strip -nodelta ../../autoopen.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: inst_t_e-e.vhd,v 1.1 2004/04/06 11:19:56 wig Exp $
-- $Date: 2004/04/06 11:19:56 $
-- $Log: inst_t_e-e.vhd,v $
-- Revision 1.1 2004/04/06 11:19:56 wig
-- Adding result/autoopen
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.39 2004/03/30 11:05:58 wig Exp
--
-- Generator: mix_0.pl Version: Revision: 1.28 , wilfried.gaensheimer@micronas.com
-- (C) 2003 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/enty
--
--
-- Start of Generated Entity inst_t_e
--
entity inst_t_e is
-- Generics:
-- No Generated Generics for Entity inst_t_e
-- Generated Port Declaration:
port(
-- Generated Port for Entity inst_t_e
s_ai14 : in std_ulogic_vector(7 downto 0);
s_ai16 : in std_ulogic_vector(7 downto 0);
s_ai6 : in std_ulogic;
s_ai8 : in std_ulogic;
s_aio17 : inout std_ulogic;
s_aio18 : inout std_ulogic;
s_aio19 : inout std_ulogic;
s_ao11 : out std_ulogic_vector(7 downto 0);
s_ao13 : out std_ulogic_vector(7 downto 0);
s_ao3 : out std_ulogic;
s_ao5 : out std_ulogic;
s_eo3 : out std_ulogic;
s_eo5 : out std_ulogic
-- End of Generated Port for Entity inst_t_e
);
end inst_t_e;
--
-- End of Generated Entity inst_t_e
--
--
--!End of Entity/ies
-- --------------------------------------------------------------
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:module_ref:FlagReg:1.0
-- IP Revision: 1
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY RAT_FlagReg_0_0 IS
PORT (
IN_FLAG : IN STD_LOGIC;
LD : IN STD_LOGIC;
SET : IN STD_LOGIC;
CLR : IN STD_LOGIC;
CLK : IN STD_LOGIC;
OUT_FLAG : OUT STD_LOGIC
);
END RAT_FlagReg_0_0;
ARCHITECTURE RAT_FlagReg_0_0_arch OF RAT_FlagReg_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF RAT_FlagReg_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT FlagReg IS
PORT (
IN_FLAG : IN STD_LOGIC;
LD : IN STD_LOGIC;
SET : IN STD_LOGIC;
CLR : IN STD_LOGIC;
CLK : IN STD_LOGIC;
OUT_FLAG : OUT STD_LOGIC
);
END COMPONENT FlagReg;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF RAT_FlagReg_0_0_arch: ARCHITECTURE IS "FlagReg,Vivado 2016.4";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF RAT_FlagReg_0_0_arch : ARCHITECTURE IS "RAT_FlagReg_0_0,FlagReg,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF RAT_FlagReg_0_0_arch: ARCHITECTURE IS "RAT_FlagReg_0_0,FlagReg,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=module_ref,x_ipName=FlagReg,x_ipVersion=1.0,x_ipCoreRevision=1,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF CLK: SIGNAL IS "xilinx.com:signal:clock:1.0 CLK CLK";
BEGIN
U0 : FlagReg
PORT MAP (
IN_FLAG => IN_FLAG,
LD => LD,
SET => SET,
CLR => CLR,
CLK => CLK,
OUT_FLAG => OUT_FLAG
);
END RAT_FlagReg_0_0_arch;
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:module_ref:FlagReg:1.0
-- IP Revision: 1
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY RAT_FlagReg_0_0 IS
PORT (
IN_FLAG : IN STD_LOGIC;
LD : IN STD_LOGIC;
SET : IN STD_LOGIC;
CLR : IN STD_LOGIC;
CLK : IN STD_LOGIC;
OUT_FLAG : OUT STD_LOGIC
);
END RAT_FlagReg_0_0;
ARCHITECTURE RAT_FlagReg_0_0_arch OF RAT_FlagReg_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF RAT_FlagReg_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT FlagReg IS
PORT (
IN_FLAG : IN STD_LOGIC;
LD : IN STD_LOGIC;
SET : IN STD_LOGIC;
CLR : IN STD_LOGIC;
CLK : IN STD_LOGIC;
OUT_FLAG : OUT STD_LOGIC
);
END COMPONENT FlagReg;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF RAT_FlagReg_0_0_arch: ARCHITECTURE IS "FlagReg,Vivado 2016.4";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF RAT_FlagReg_0_0_arch : ARCHITECTURE IS "RAT_FlagReg_0_0,FlagReg,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF RAT_FlagReg_0_0_arch: ARCHITECTURE IS "RAT_FlagReg_0_0,FlagReg,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=module_ref,x_ipName=FlagReg,x_ipVersion=1.0,x_ipCoreRevision=1,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF CLK: SIGNAL IS "xilinx.com:signal:clock:1.0 CLK CLK";
BEGIN
U0 : FlagReg
PORT MAP (
IN_FLAG => IN_FLAG,
LD => LD,
SET => SET,
CLR => CLR,
CLK => CLK,
OUT_FLAG => OUT_FLAG
);
END RAT_FlagReg_0_0_arch;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 18:40:23 07/17/2011
-- Design Name:
-- Module Name: memory_64k - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE ieee.std_logic_unsigned.ALL;
USE ieee.std_logic_arith.ALL;
ENTITY memory_64k IS
PORT (
clk : IN STD_LOGIC;
addr_in : IN STD_LOGIC_VECTOR (31 DOWNTO 2);
data_in : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
enable : IN STD_LOGIC;
we_select : IN STD_LOGIC_VECTOR (3 DOWNTO 0);
data_out : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)
);
END memory_64k;
ARCHITECTURE Behavioral OF memory_64k IS
CONSTANT ADDRESS_WIDTH : NATURAL := 7; -- 2**X = NOMBRE D'OCTETS DE LA MEMOIRE
-- 14 => 16ko of memory
-- 15 => 32ko of memory
-- 16 => 64ko of memory
-- 17 => 128ko of memory
TYPE ptorage_array IS ARRAY(NATURAL RANGE 0 TO (2 ** ADDRESS_WIDTH) / 4 - 1) OF STD_LOGIC_VECTOR(7 DOWNTO 0);
SIGNAL memBank1 : ptorage_array;
SIGNAL memBank2 : ptorage_array;
SIGNAL memBank3 : ptorage_array;
SIGNAL memBank4 : ptorage_array;
attribute RAM_STYLE : string;
attribute RAM_STYLE of memBank1: signal is "DISTRIBUTED";
attribute RAM_STYLE of memBank2: signal is "DISTRIBUTED";
attribute RAM_STYLE of memBank3: signal is "DISTRIBUTED";
attribute RAM_STYLE of memBank4: signal is "DISTRIBUTED";
BEGIN
process (CLK)
VARIABLE index : INTEGER RANGE 0 TO (2**(ADDRESS_WIDTH-2)-1) := 0;
begin
if CLK'event and CLK = '1' then
if enable = '1' then
index := conv_integer(addr_in(ADDRESS_WIDTH-1 DOWNTO 2));
if We_select(0) = '1' then
memBank1(index) <= data_in(7 DOWNTO 0);
end if;
data_out(7 DOWNTO 0) <= memBank1(index);
end if;
end if;
end process;
process (CLK)
VARIABLE index : INTEGER RANGE 0 TO (2**(ADDRESS_WIDTH-2)-1) := 0;
begin
if CLK'event and CLK = '1' then
if enable = '1' then
index := conv_integer(addr_in(ADDRESS_WIDTH-1 DOWNTO 2));
if We_select(1) = '1' then
memBank2(index) <= data_in(15 DOWNTO 8);
end if;
data_out(15 DOWNTO 8) <= memBank2(index);
end if;
end if;
end process;
process (CLK)
VARIABLE index : INTEGER RANGE 0 TO (2**(ADDRESS_WIDTH-2)-1) := 0;
begin
if CLK'event and CLK = '1' then
if enable = '1' then
index := conv_integer(addr_in(ADDRESS_WIDTH-1 DOWNTO 2));
if We_select(2) = '1' then
memBank3(index) <= data_in(23 DOWNTO 16);
end if;
data_out(23 DOWNTO 16) <= memBank3(index);
end if;
end if;
end process;
process (CLK)
VARIABLE index : INTEGER RANGE 0 TO (2**(ADDRESS_WIDTH-2)-1) := 0;
begin
if CLK'event and CLK = '1' then
if enable = '1' then
index := conv_integer(addr_in(ADDRESS_WIDTH-1 DOWNTO 2));
if We_select(3) = '1' then
memBank4(index) <= data_in(31 DOWNTO 24);
end if;
data_out(31 DOWNTO 24) <= memBank4(index);
end if;
end if;
end process;
END Behavioral;
|
library verilog;
use verilog.vl_types.all;
entity EightBitAdder_vlg_vec_tst is
end EightBitAdder_vlg_vec_tst;
|
------------------------------------------------------------------------------
--! Copyright (C) 2009 , Olivier Girard
--
--! Redistribution and use in source and binary forms, with or without
--! modification, are permitted provided that the following conditions
--! are met:
--! * Redistributions of source code must retain the above copyright
--! notice, this list of conditions and the following disclaimer.
--! * Redistributions in binary form must reproduce the above copyright
--! notice, this list of conditions and the following disclaimer in the
--! documentation and/or other materials provided with the distribution.
--! * Neither the name of the authors nor the names of its contributors
--! may be used to endorse or promote products derived from this software
--! without specific prior written permission.
--
--! THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
--! AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
--! IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
--! ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE
--! LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY,
--! OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
--! SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
--! INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
--! CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
--! ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF
--! THE POSSIBILITY OF SUCH DAMAGE
--
------------------------------------------------------------------------------
--
--! @file fmsp_clock_gate.vhd
--!
--! @brief fpgaMSP430 Generic clock gate cell
--
--! @author Olivier Girard, olgirard@gmail.com
--! @author Emmanuel Amadio, emmanuel.amadio@gmail.com (VHDL Rewrite)
--
------------------------------------------------------------------------------
--! @version 1
--! @date: 2017-04-21
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all; --! standard unresolved logic UX01ZWLH-
entity fmsp_clock_gate is
port (
--! INPUTs
clk : in std_logic; --! Clock
enable : in std_logic; --! Clock enable
scan_enable : in std_logic; --! Scan enable (active during scan shifting)
--! OUTPUTs
gclk : out std_logic --! Gated clock
);
end entity fmsp_clock_gate;
architecture RTL of fmsp_clock_gate is
signal enable_in : std_logic;
signal enable_latch : std_logic;
begin
--=============================================================================
--! CLOCK GATE: LATCH + AND
--=============================================================================
--! Enable clock gate during scan shift
--! (the gate itself is checked with the scan capture cycle)
enable_in <= enable or scan_enable;
--! LATCH the enable signal
LATCH_REG : process(clk,enable_in)
begin
if (not(clk) = '1') then
enable_latch <= enable_in;
end if;
end process LATCH_REG;
--! AND gate
gclk <= clk and enable_latch;
end RTL; --! fmsp_clock_gate
|
--
-- Copyright 2016 Ognjen Glamocanin
--
-- Licensed under the Apache License, Version 2.0 (the "License");
-- you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at
--
-- http://www.apache.org/licenses/LICENSE-2.0
--
-- Unless required by applicable law or agreed to in writing, software
-- distributed under the License is distributed on an "AS IS" BASIS,
-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and
-- limitations under the License.
--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity ALU is
port(
in0: in std_logic_vector (31 downto 0);
in1: in std_logic_vector (31 downto 0);
control: in std_logic_vector (2 downto 0);
alu_out: out std_logic_vector (31 downto 0);
carry: out std_logic;
zero: out std_logic
);
end entity ALU;
architecture behavioral of ALU is
signal alu_out_s: std_logic_vector (32 downto 0);
begin
process (control, in0, in1) is
begin
case control is
when "000" =>
alu_out_s <= conv_std_logic_vector(conv_integer(in0), 33) + conv_std_logic_vector(conv_integer(in1), 33);
--carry <= conv_std_logic_vector(conv_integer(in0+in1), 33)(0);
when "001" =>
alu_out_s <= conv_std_logic_vector(conv_integer(in0), 33) - conv_std_logic_vector(conv_integer(in1), 33);
--carry <= conv_std_logic_vector(conv_integer(in0-in1), 33)(0);
when "010" =>
alu_out_s <= '0'&(in0 or in1);
--carry <= '0';
when "011" =>
alu_out_s <= '0'&(in0 and in1);
--carry <= '0';
when "100" =>
alu_out_s <= '0'&(in0 xor in1);
--carry <= '0';
when "101" =>
alu_out_s <= '0'&(not in0);
--carry <= '0';
when "110" =>
alu_out_s <= in0(0)&'0'&in0(31 downto 1); --SHIFT LOGICAL RIGHT, in0(0) goes to 33 bit because of carry
--carry <= in0(0);
when others =>
alu_out_s <= in0(31 downto 0)&'0'; --SHIFT LOGICAL LEFT
--carry <= in0(31);
end case;
end process;
process (alu_out_s) is
begin
if (alu_out_s(31 downto 0) = X"00000000") then
zero <= '1';
else
zero <= '0';
end if;
end process;
alu_out <= alu_out_s(31 downto 0);
carry <= alu_out_s(32);
end architecture behavioral; |
-- NEED RESULT: ARCH00124.P1: Multi transport transactions occurred on signal asg with slice name prefixed by a selected name on LHS failed
-- NEED RESULT: ARCH00124.P2: Multi transport transactions occurred on signal asg with slice name prefixed by a selected name on LHS failed
-- NEED RESULT: ARCH00124.P3: Multi transport transactions occurred on signal asg with slice name prefixed by a selected name on LHS failed
-- NEED RESULT: ARCH00124.P4: Multi transport transactions occurred on signal asg with slice name prefixed by a selected name on LHS failed
-- NEED RESULT: ARCH00124.P5: Multi transport transactions occurred on signal asg with slice name prefixed by a selected name on LHS failed
-- NEED RESULT: ARCH00124.P6: Multi transport transactions occurred on signal asg with slice name prefixed by a selected name on LHS failed
-- NEED RESULT: ARCH00124: One transport transaction occurred on signal asg with slice name prefixed by a selected name on LHS failed
-- NEED RESULT: ARCH00124: Old transactions were removed on signal asg with slice name prefixed by a selected name on LHS failed
-- NEED RESULT: ARCH00124: One transport transaction occurred on signal asg with slice name prefixed by a selected name on LHS failed
-- NEED RESULT: ARCH00124: Old transactions were removed on signal asg with slice name prefixed by a selected name on LHS failed
-- NEED RESULT: ARCH00124: One transport transaction occurred on signal asg with slice name prefixed by a selected name on LHS failed
-- NEED RESULT: ARCH00124: Old transactions were removed on signal asg with slice name prefixed by a selected name on LHS failed
-- NEED RESULT: ARCH00124: One transport transaction occurred on signal asg with slice name prefixed by a selected name on LHS failed
-- NEED RESULT: ARCH00124: Old transactions were removed on signal asg with slice name prefixed by a selected name on LHS failed
-- NEED RESULT: ARCH00124: One transport transaction occurred on signal asg with slice name prefixed by a selected name on LHS failed
-- NEED RESULT: ARCH00124: Old transactions were removed on signal asg with slice name prefixed by a selected name on LHS failed
-- NEED RESULT: ARCH00124: One transport transaction occurred on signal asg with slice name prefixed by a selected name on LHS failed
-- NEED RESULT: ARCH00124: Old transactions were removed on signal asg with slice name prefixed by a selected name on LHS failed
-- NEED RESULT: P6: Transport transactions entirely completed passed
-- NEED RESULT: P5: Transport transactions entirely completed passed
-- NEED RESULT: P4: Transport transactions entirely completed passed
-- NEED RESULT: P3: Transport transactions entirely completed passed
-- NEED RESULT: P2: Transport transactions entirely completed passed
-- NEED RESULT: P1: Transport transactions entirely completed passed
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00124
--
-- AUTHOR:
--
-- G. Tominovich
--
-- TEST OBJECTIVES:
--
-- 8.3 (2)
-- 8.3 (3)
-- 8.3 (5)
-- 8.3.1 (3)
--
-- DESIGN UNIT ORDERING:
--
-- PKG00124
-- PKG00124/BODY
-- ENT00124(ARCH00124)
-- ENT00124_Test_Bench(ARCH00124_Test_Bench)
--
-- REVISION HISTORY:
--
-- 07-JUL-1987 - initial revision
--
-- NOTES:
--
-- self-checking
-- automatically generated
--
use WORK.STANDARD_TYPES.all ;
package PKG00124 is
type r_st_arr1_vector is record
f1 : integer ;
f2 : st_arr1_vector ;
end record ;
function c_r_st_arr1_vector_1 return r_st_arr1_vector ;
-- (c_integer_1, c_st_arr1_vector_1) ;
function c_r_st_arr1_vector_2 return r_st_arr1_vector ;
-- (c_integer_2, c_st_arr1_vector_2) ;
--
type r_st_arr2_vector is record
f1 : integer ;
f2 : st_arr2_vector ;
end record ;
function c_r_st_arr2_vector_1 return r_st_arr2_vector ;
-- (c_integer_1, c_st_arr2_vector_1) ;
function c_r_st_arr2_vector_2 return r_st_arr2_vector ;
-- (c_integer_2, c_st_arr2_vector_2) ;
--
type r_st_arr3_vector is record
f1 : integer ;
f2 : st_arr3_vector ;
end record ;
function c_r_st_arr3_vector_1 return r_st_arr3_vector ;
-- (c_integer_1, c_st_arr3_vector_1) ;
function c_r_st_arr3_vector_2 return r_st_arr3_vector ;
-- (c_integer_2, c_st_arr3_vector_2) ;
--
type r_st_rec1_vector is record
f1 : integer ;
f2 : st_rec1_vector ;
end record ;
function c_r_st_rec1_vector_1 return r_st_rec1_vector ;
-- (c_integer_1, c_st_rec1_vector_1) ;
function c_r_st_rec1_vector_2 return r_st_rec1_vector ;
-- (c_integer_2, c_st_rec1_vector_2) ;
--
type r_st_rec2_vector is record
f1 : integer ;
f2 : st_rec2_vector ;
end record ;
function c_r_st_rec2_vector_1 return r_st_rec2_vector ;
-- (c_integer_1, c_st_rec2_vector_1) ;
function c_r_st_rec2_vector_2 return r_st_rec2_vector ;
-- (c_integer_2, c_st_rec2_vector_2) ;
--
type r_st_rec3_vector is record
f1 : integer ;
f2 : st_rec3_vector ;
end record ;
function c_r_st_rec3_vector_1 return r_st_rec3_vector ;
-- (c_integer_1, c_st_rec3_vector_1) ;
function c_r_st_rec3_vector_2 return r_st_rec3_vector ;
-- (c_integer_2, c_st_rec3_vector_2) ;
--
--
end PKG00124 ;
--
package body PKG00124 is
function c_r_st_arr1_vector_1 return r_st_arr1_vector
is begin
return (c_integer_1, c_st_arr1_vector_1) ;
end c_r_st_arr1_vector_1 ;
--
function c_r_st_arr1_vector_2 return r_st_arr1_vector
is begin
return (c_integer_2, c_st_arr1_vector_2) ;
end c_r_st_arr1_vector_2 ;
--
--
function c_r_st_arr2_vector_1 return r_st_arr2_vector
is begin
return (c_integer_1, c_st_arr2_vector_1) ;
end c_r_st_arr2_vector_1 ;
--
function c_r_st_arr2_vector_2 return r_st_arr2_vector
is begin
return (c_integer_2, c_st_arr2_vector_2) ;
end c_r_st_arr2_vector_2 ;
--
--
function c_r_st_arr3_vector_1 return r_st_arr3_vector
is begin
return (c_integer_1, c_st_arr3_vector_1) ;
end c_r_st_arr3_vector_1 ;
--
function c_r_st_arr3_vector_2 return r_st_arr3_vector
is begin
return (c_integer_2, c_st_arr3_vector_2) ;
end c_r_st_arr3_vector_2 ;
--
--
function c_r_st_rec1_vector_1 return r_st_rec1_vector
is begin
return (c_integer_1, c_st_rec1_vector_1) ;
end c_r_st_rec1_vector_1 ;
--
function c_r_st_rec1_vector_2 return r_st_rec1_vector
is begin
return (c_integer_2, c_st_rec1_vector_2) ;
end c_r_st_rec1_vector_2 ;
--
--
function c_r_st_rec2_vector_1 return r_st_rec2_vector
is begin
return (c_integer_1, c_st_rec2_vector_1) ;
end c_r_st_rec2_vector_1 ;
--
function c_r_st_rec2_vector_2 return r_st_rec2_vector
is begin
return (c_integer_2, c_st_rec2_vector_2) ;
end c_r_st_rec2_vector_2 ;
--
--
function c_r_st_rec3_vector_1 return r_st_rec3_vector
is begin
return (c_integer_1, c_st_rec3_vector_1) ;
end c_r_st_rec3_vector_1 ;
--
function c_r_st_rec3_vector_2 return r_st_rec3_vector
is begin
return (c_integer_2, c_st_rec3_vector_2) ;
end c_r_st_rec3_vector_2 ;
--
--
--
end PKG00124 ;
--
use WORK.STANDARD_TYPES.all ;
use WORK.PKG00124.all ;
entity ENT00124 is
port (
s_r_st_arr1_vector : inout r_st_arr1_vector
; s_r_st_arr2_vector : inout r_st_arr2_vector
; s_r_st_arr3_vector : inout r_st_arr3_vector
; s_r_st_rec1_vector : inout r_st_rec1_vector
; s_r_st_rec2_vector : inout r_st_rec2_vector
; s_r_st_rec3_vector : inout r_st_rec3_vector
) ;
subtype chk_sig_type is integer range -1 to 100 ;
signal chk_r_st_arr1_vector : chk_sig_type := -1 ;
signal chk_r_st_arr2_vector : chk_sig_type := -1 ;
signal chk_r_st_arr3_vector : chk_sig_type := -1 ;
signal chk_r_st_rec1_vector : chk_sig_type := -1 ;
signal chk_r_st_rec2_vector : chk_sig_type := -1 ;
signal chk_r_st_rec3_vector : chk_sig_type := -1 ;
--
--
procedure Proc1 (
signal s_r_st_arr1_vector : inout r_st_arr1_vector ;
variable counter : inout integer ;
variable correct : inout boolean ;
variable savtime : inout time ;
signal chk_r_st_arr1_vector : out chk_sig_type
)
is
begin
case counter is
when 0
=> s_r_st_arr1_vector.f2 (lowb+1 to highb-1) <= transport
c_r_st_arr1_vector_2.f2
(lowb+1 to highb-1) after 10 ns,
c_r_st_arr1_vector_1.f2
(lowb+1 to highb-1) after 20 ns ;
--
when 1
=> correct :=
s_r_st_arr1_vector.f2 (lowb+1 to highb-1) =
c_r_st_arr1_vector_2.f2 (lowb+1 to highb-1) and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_r_st_arr1_vector.f2 (lowb+1 to highb-1) =
c_r_st_arr1_vector_1.f2 (lowb+1 to highb-1) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00124.P1" ,
"Multi transport transactions occurred on signal " &
"asg with slice name prefixed by a selected name on LHS",
correct ) ;
s_r_st_arr1_vector.f2 (lowb+1 to highb-1) <= transport
c_r_st_arr1_vector_2.f2
(lowb+1 to highb-1) after 10 ns ,
c_r_st_arr1_vector_1.f2
(lowb+1 to highb-1) after 20 ns ,
c_r_st_arr1_vector_2.f2
(lowb+1 to highb-1) after 30 ns ,
c_r_st_arr1_vector_1.f2
(lowb+1 to highb-1) after 40 ns ;
--
when 3
=> correct :=
s_r_st_arr1_vector.f2 (lowb+1 to highb-1) =
c_r_st_arr1_vector_2.f2 (lowb+1 to highb-1) and
(savtime + 10 ns) = Std.Standard.Now ;
s_r_st_arr1_vector.f2 (lowb+1 to highb-1) <= transport
c_r_st_arr1_vector_1.f2
(lowb+1 to highb-1) after 5 ns ;
--
when 4
=> correct :=
correct and
s_r_st_arr1_vector.f2 (lowb+1 to highb-1) =
c_r_st_arr1_vector_1.f2 (lowb+1 to highb-1) and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00124" ,
"One transport transaction occurred on signal " &
"asg with slice name prefixed by a selected name on LHS",
correct ) ;
test_report ( "ARCH00124" ,
"Old transactions were removed on signal " &
"asg with slice name prefixed by a selected name on LHS",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00124" ,
"Old transactions were removed on signal " &
"asg with slice name prefixed by a selected name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_r_st_arr1_vector <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc1 ;
--
procedure Proc2 (
signal s_r_st_arr2_vector : inout r_st_arr2_vector ;
variable counter : inout integer ;
variable correct : inout boolean ;
variable savtime : inout time ;
signal chk_r_st_arr2_vector : out chk_sig_type
)
is
begin
case counter is
when 0
=> s_r_st_arr2_vector.f2 (lowb+1 to highb-1) <= transport
c_r_st_arr2_vector_2.f2
(lowb+1 to highb-1) after 10 ns,
c_r_st_arr2_vector_1.f2
(lowb+1 to highb-1) after 20 ns ;
--
when 1
=> correct :=
s_r_st_arr2_vector.f2 (lowb+1 to highb-1) =
c_r_st_arr2_vector_2.f2 (lowb+1 to highb-1) and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_r_st_arr2_vector.f2 (lowb+1 to highb-1) =
c_r_st_arr2_vector_1.f2 (lowb+1 to highb-1) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00124.P2" ,
"Multi transport transactions occurred on signal " &
"asg with slice name prefixed by a selected name on LHS",
correct ) ;
s_r_st_arr2_vector.f2 (lowb+1 to highb-1) <= transport
c_r_st_arr2_vector_2.f2
(lowb+1 to highb-1) after 10 ns ,
c_r_st_arr2_vector_1.f2
(lowb+1 to highb-1) after 20 ns ,
c_r_st_arr2_vector_2.f2
(lowb+1 to highb-1) after 30 ns ,
c_r_st_arr2_vector_1.f2
(lowb+1 to highb-1) after 40 ns ;
--
when 3
=> correct :=
s_r_st_arr2_vector.f2 (lowb+1 to highb-1) =
c_r_st_arr2_vector_2.f2 (lowb+1 to highb-1) and
(savtime + 10 ns) = Std.Standard.Now ;
s_r_st_arr2_vector.f2 (lowb+1 to highb-1) <= transport
c_r_st_arr2_vector_1.f2
(lowb+1 to highb-1) after 5 ns ;
--
when 4
=> correct :=
correct and
s_r_st_arr2_vector.f2 (lowb+1 to highb-1) =
c_r_st_arr2_vector_1.f2 (lowb+1 to highb-1) and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00124" ,
"One transport transaction occurred on signal " &
"asg with slice name prefixed by a selected name on LHS",
correct ) ;
test_report ( "ARCH00124" ,
"Old transactions were removed on signal " &
"asg with slice name prefixed by a selected name on LHS",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00124" ,
"Old transactions were removed on signal " &
"asg with slice name prefixed by a selected name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_r_st_arr2_vector <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc2 ;
--
procedure Proc3 (
signal s_r_st_arr3_vector : inout r_st_arr3_vector ;
variable counter : inout integer ;
variable correct : inout boolean ;
variable savtime : inout time ;
signal chk_r_st_arr3_vector : out chk_sig_type
)
is
begin
case counter is
when 0
=> s_r_st_arr3_vector.f2 (lowb+1 to highb-1) <= transport
c_r_st_arr3_vector_2.f2
(lowb+1 to highb-1) after 10 ns,
c_r_st_arr3_vector_1.f2
(lowb+1 to highb-1) after 20 ns ;
--
when 1
=> correct :=
s_r_st_arr3_vector.f2 (lowb+1 to highb-1) =
c_r_st_arr3_vector_2.f2 (lowb+1 to highb-1) and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_r_st_arr3_vector.f2 (lowb+1 to highb-1) =
c_r_st_arr3_vector_1.f2 (lowb+1 to highb-1) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00124.P3" ,
"Multi transport transactions occurred on signal " &
"asg with slice name prefixed by a selected name on LHS",
correct ) ;
s_r_st_arr3_vector.f2 (lowb+1 to highb-1) <= transport
c_r_st_arr3_vector_2.f2
(lowb+1 to highb-1) after 10 ns ,
c_r_st_arr3_vector_1.f2
(lowb+1 to highb-1) after 20 ns ,
c_r_st_arr3_vector_2.f2
(lowb+1 to highb-1) after 30 ns ,
c_r_st_arr3_vector_1.f2
(lowb+1 to highb-1) after 40 ns ;
--
when 3
=> correct :=
s_r_st_arr3_vector.f2 (lowb+1 to highb-1) =
c_r_st_arr3_vector_2.f2 (lowb+1 to highb-1) and
(savtime + 10 ns) = Std.Standard.Now ;
s_r_st_arr3_vector.f2 (lowb+1 to highb-1) <= transport
c_r_st_arr3_vector_1.f2
(lowb+1 to highb-1) after 5 ns ;
--
when 4
=> correct :=
correct and
s_r_st_arr3_vector.f2 (lowb+1 to highb-1) =
c_r_st_arr3_vector_1.f2 (lowb+1 to highb-1) and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00124" ,
"One transport transaction occurred on signal " &
"asg with slice name prefixed by a selected name on LHS",
correct ) ;
test_report ( "ARCH00124" ,
"Old transactions were removed on signal " &
"asg with slice name prefixed by a selected name on LHS",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00124" ,
"Old transactions were removed on signal " &
"asg with slice name prefixed by a selected name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_r_st_arr3_vector <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc3 ;
--
procedure Proc4 (
signal s_r_st_rec1_vector : inout r_st_rec1_vector ;
variable counter : inout integer ;
variable correct : inout boolean ;
variable savtime : inout time ;
signal chk_r_st_rec1_vector : out chk_sig_type
)
is
begin
case counter is
when 0
=> s_r_st_rec1_vector.f2 (lowb+1 to highb-1) <= transport
c_r_st_rec1_vector_2.f2
(lowb+1 to highb-1) after 10 ns,
c_r_st_rec1_vector_1.f2
(lowb+1 to highb-1) after 20 ns ;
--
when 1
=> correct :=
s_r_st_rec1_vector.f2 (lowb+1 to highb-1) =
c_r_st_rec1_vector_2.f2 (lowb+1 to highb-1) and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_r_st_rec1_vector.f2 (lowb+1 to highb-1) =
c_r_st_rec1_vector_1.f2 (lowb+1 to highb-1) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00124.P4" ,
"Multi transport transactions occurred on signal " &
"asg with slice name prefixed by a selected name on LHS",
correct ) ;
s_r_st_rec1_vector.f2 (lowb+1 to highb-1) <= transport
c_r_st_rec1_vector_2.f2
(lowb+1 to highb-1) after 10 ns ,
c_r_st_rec1_vector_1.f2
(lowb+1 to highb-1) after 20 ns ,
c_r_st_rec1_vector_2.f2
(lowb+1 to highb-1) after 30 ns ,
c_r_st_rec1_vector_1.f2
(lowb+1 to highb-1) after 40 ns ;
--
when 3
=> correct :=
s_r_st_rec1_vector.f2 (lowb+1 to highb-1) =
c_r_st_rec1_vector_2.f2 (lowb+1 to highb-1) and
(savtime + 10 ns) = Std.Standard.Now ;
s_r_st_rec1_vector.f2 (lowb+1 to highb-1) <= transport
c_r_st_rec1_vector_1.f2
(lowb+1 to highb-1) after 5 ns ;
--
when 4
=> correct :=
correct and
s_r_st_rec1_vector.f2 (lowb+1 to highb-1) =
c_r_st_rec1_vector_1.f2 (lowb+1 to highb-1) and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00124" ,
"One transport transaction occurred on signal " &
"asg with slice name prefixed by a selected name on LHS",
correct ) ;
test_report ( "ARCH00124" ,
"Old transactions were removed on signal " &
"asg with slice name prefixed by a selected name on LHS",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00124" ,
"Old transactions were removed on signal " &
"asg with slice name prefixed by a selected name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_r_st_rec1_vector <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc4 ;
--
procedure Proc5 (
signal s_r_st_rec2_vector : inout r_st_rec2_vector ;
variable counter : inout integer ;
variable correct : inout boolean ;
variable savtime : inout time ;
signal chk_r_st_rec2_vector : out chk_sig_type
)
is
begin
case counter is
when 0
=> s_r_st_rec2_vector.f2 (lowb+1 to highb-1) <= transport
c_r_st_rec2_vector_2.f2
(lowb+1 to highb-1) after 10 ns,
c_r_st_rec2_vector_1.f2
(lowb+1 to highb-1) after 20 ns ;
--
when 1
=> correct :=
s_r_st_rec2_vector.f2 (lowb+1 to highb-1) =
c_r_st_rec2_vector_2.f2 (lowb+1 to highb-1) and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_r_st_rec2_vector.f2 (lowb+1 to highb-1) =
c_r_st_rec2_vector_1.f2 (lowb+1 to highb-1) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00124.P5" ,
"Multi transport transactions occurred on signal " &
"asg with slice name prefixed by a selected name on LHS",
correct ) ;
s_r_st_rec2_vector.f2 (lowb+1 to highb-1) <= transport
c_r_st_rec2_vector_2.f2
(lowb+1 to highb-1) after 10 ns ,
c_r_st_rec2_vector_1.f2
(lowb+1 to highb-1) after 20 ns ,
c_r_st_rec2_vector_2.f2
(lowb+1 to highb-1) after 30 ns ,
c_r_st_rec2_vector_1.f2
(lowb+1 to highb-1) after 40 ns ;
--
when 3
=> correct :=
s_r_st_rec2_vector.f2 (lowb+1 to highb-1) =
c_r_st_rec2_vector_2.f2 (lowb+1 to highb-1) and
(savtime + 10 ns) = Std.Standard.Now ;
s_r_st_rec2_vector.f2 (lowb+1 to highb-1) <= transport
c_r_st_rec2_vector_1.f2
(lowb+1 to highb-1) after 5 ns ;
--
when 4
=> correct :=
correct and
s_r_st_rec2_vector.f2 (lowb+1 to highb-1) =
c_r_st_rec2_vector_1.f2 (lowb+1 to highb-1) and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00124" ,
"One transport transaction occurred on signal " &
"asg with slice name prefixed by a selected name on LHS",
correct ) ;
test_report ( "ARCH00124" ,
"Old transactions were removed on signal " &
"asg with slice name prefixed by a selected name on LHS",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00124" ,
"Old transactions were removed on signal " &
"asg with slice name prefixed by a selected name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_r_st_rec2_vector <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc5 ;
--
procedure Proc6 (
signal s_r_st_rec3_vector : inout r_st_rec3_vector ;
variable counter : inout integer ;
variable correct : inout boolean ;
variable savtime : inout time ;
signal chk_r_st_rec3_vector : out chk_sig_type
)
is
begin
case counter is
when 0
=> s_r_st_rec3_vector.f2 (lowb+1 to highb-1) <= transport
c_r_st_rec3_vector_2.f2
(lowb+1 to highb-1) after 10 ns,
c_r_st_rec3_vector_1.f2
(lowb+1 to highb-1) after 20 ns ;
--
when 1
=> correct :=
s_r_st_rec3_vector.f2 (lowb+1 to highb-1) =
c_r_st_rec3_vector_2.f2 (lowb+1 to highb-1) and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_r_st_rec3_vector.f2 (lowb+1 to highb-1) =
c_r_st_rec3_vector_1.f2 (lowb+1 to highb-1) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00124.P6" ,
"Multi transport transactions occurred on signal " &
"asg with slice name prefixed by a selected name on LHS",
correct ) ;
s_r_st_rec3_vector.f2 (lowb+1 to highb-1) <= transport
c_r_st_rec3_vector_2.f2
(lowb+1 to highb-1) after 10 ns ,
c_r_st_rec3_vector_1.f2
(lowb+1 to highb-1) after 20 ns ,
c_r_st_rec3_vector_2.f2
(lowb+1 to highb-1) after 30 ns ,
c_r_st_rec3_vector_1.f2
(lowb+1 to highb-1) after 40 ns ;
--
when 3
=> correct :=
s_r_st_rec3_vector.f2 (lowb+1 to highb-1) =
c_r_st_rec3_vector_2.f2 (lowb+1 to highb-1) and
(savtime + 10 ns) = Std.Standard.Now ;
s_r_st_rec3_vector.f2 (lowb+1 to highb-1) <= transport
c_r_st_rec3_vector_1.f2
(lowb+1 to highb-1) after 5 ns ;
--
when 4
=> correct :=
correct and
s_r_st_rec3_vector.f2 (lowb+1 to highb-1) =
c_r_st_rec3_vector_1.f2 (lowb+1 to highb-1) and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00124" ,
"One transport transaction occurred on signal " &
"asg with slice name prefixed by a selected name on LHS",
correct ) ;
test_report ( "ARCH00124" ,
"Old transactions were removed on signal " &
"asg with slice name prefixed by a selected name on LHS",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00124" ,
"Old transactions were removed on signal " &
"asg with slice name prefixed by a selected name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_r_st_rec3_vector <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc6 ;
--
--
end ENT00124 ;
--
architecture ARCH00124 of ENT00124 is
begin
PGEN_CHKP_1 :
process ( chk_r_st_arr1_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P1" ,
"Transport transactions entirely completed",
chk_r_st_arr1_vector = 4 ) ;
end if ;
end process PGEN_CHKP_1 ;
--
P1 :
process ( s_r_st_arr1_vector )
variable counter : integer := 0 ;
variable correct : boolean ;
variable savtime : time ;
begin
Proc1 (
s_r_st_arr1_vector,
counter,
correct,
savtime,
chk_r_st_arr1_vector
) ;
end process P1 ;
--
PGEN_CHKP_2 :
process ( chk_r_st_arr2_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P2" ,
"Transport transactions entirely completed",
chk_r_st_arr2_vector = 4 ) ;
end if ;
end process PGEN_CHKP_2 ;
--
P2 :
process ( s_r_st_arr2_vector )
variable counter : integer := 0 ;
variable correct : boolean ;
variable savtime : time ;
begin
Proc2 (
s_r_st_arr2_vector,
counter,
correct,
savtime,
chk_r_st_arr2_vector
) ;
end process P2 ;
--
PGEN_CHKP_3 :
process ( chk_r_st_arr3_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P3" ,
"Transport transactions entirely completed",
chk_r_st_arr3_vector = 4 ) ;
end if ;
end process PGEN_CHKP_3 ;
--
P3 :
process ( s_r_st_arr3_vector )
variable counter : integer := 0 ;
variable correct : boolean ;
variable savtime : time ;
begin
Proc3 (
s_r_st_arr3_vector,
counter,
correct,
savtime,
chk_r_st_arr3_vector
) ;
end process P3 ;
--
PGEN_CHKP_4 :
process ( chk_r_st_rec1_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P4" ,
"Transport transactions entirely completed",
chk_r_st_rec1_vector = 4 ) ;
end if ;
end process PGEN_CHKP_4 ;
--
P4 :
process ( s_r_st_rec1_vector )
variable counter : integer := 0 ;
variable correct : boolean ;
variable savtime : time ;
begin
Proc4 (
s_r_st_rec1_vector,
counter,
correct,
savtime,
chk_r_st_rec1_vector
) ;
end process P4 ;
--
PGEN_CHKP_5 :
process ( chk_r_st_rec2_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P5" ,
"Transport transactions entirely completed",
chk_r_st_rec2_vector = 4 ) ;
end if ;
end process PGEN_CHKP_5 ;
--
P5 :
process ( s_r_st_rec2_vector )
variable counter : integer := 0 ;
variable correct : boolean ;
variable savtime : time ;
begin
Proc5 (
s_r_st_rec2_vector,
counter,
correct,
savtime,
chk_r_st_rec2_vector
) ;
end process P5 ;
--
PGEN_CHKP_6 :
process ( chk_r_st_rec3_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P6" ,
"Transport transactions entirely completed",
chk_r_st_rec3_vector = 4 ) ;
end if ;
end process PGEN_CHKP_6 ;
--
P6 :
process ( s_r_st_rec3_vector )
variable counter : integer := 0 ;
variable correct : boolean ;
variable savtime : time ;
begin
Proc6 (
s_r_st_rec3_vector,
counter,
correct,
savtime,
chk_r_st_rec3_vector
) ;
end process P6 ;
--
--
end ARCH00124 ;
--
use WORK.STANDARD_TYPES.all ;
use WORK.PKG00124.all ;
entity ENT00124_Test_Bench is
signal s_r_st_arr1_vector : r_st_arr1_vector
:= c_r_st_arr1_vector_1 ;
signal s_r_st_arr2_vector : r_st_arr2_vector
:= c_r_st_arr2_vector_1 ;
signal s_r_st_arr3_vector : r_st_arr3_vector
:= c_r_st_arr3_vector_1 ;
signal s_r_st_rec1_vector : r_st_rec1_vector
:= c_r_st_rec1_vector_1 ;
signal s_r_st_rec2_vector : r_st_rec2_vector
:= c_r_st_rec2_vector_1 ;
signal s_r_st_rec3_vector : r_st_rec3_vector
:= c_r_st_rec3_vector_1 ;
--
end ENT00124_Test_Bench ;
--
architecture ARCH00124_Test_Bench of ENT00124_Test_Bench is
begin
L1:
block
component UUT
port (
s_r_st_arr1_vector : inout r_st_arr1_vector
; s_r_st_arr2_vector : inout r_st_arr2_vector
; s_r_st_arr3_vector : inout r_st_arr3_vector
; s_r_st_rec1_vector : inout r_st_rec1_vector
; s_r_st_rec2_vector : inout r_st_rec2_vector
; s_r_st_rec3_vector : inout r_st_rec3_vector
) ;
end component ;
--
for CIS1 : UUT use entity WORK.ENT00124 ( ARCH00124 ) ;
begin
CIS1 : UUT
port map (
s_r_st_arr1_vector
, s_r_st_arr2_vector
, s_r_st_arr3_vector
, s_r_st_rec1_vector
, s_r_st_rec2_vector
, s_r_st_rec3_vector
) ;
end block L1 ;
end ARCH00124_Test_Bench ;
|
-- (C) 2001-2013 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
-- $File: //acds/main/ip/sopc/app/sopc_scripts/tbgen.tcl $
-- $Author: wkleong $
-- $Revision: #24 $
-- $Date: 2010/10/31 $
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity altera_avalon_clock_source is
-- default clock rate 10 MHz (100ns)
generic (CLOCK_RATE : integer :=10; -- clock rate
CLOCK_UNIT : integer :=1000000); -- clock rate unit MHz / kHz / Hz
port (clk : out std_logic);
end altera_avalon_clock_source;
architecture behavioral of altera_avalon_clock_source is
signal clk_temp : std_logic := '0';
constant CLOCK_PERIOD : time := 1 sec / CLOCK_RATE / CLOCK_UNIT;
constant HALF_PERIOD : time := CLOCK_PERIOD / 2;
begin
clk <= clk_temp;
internal_clock: process (clk_temp)
begin
clk_temp <= not clk_temp after HALF_PERIOD;
end process internal_clock;
end behavioral; |
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
T6Z81atZH3WOqOveobw9RUtN4ULZSy0HAGM8SJ0+HLGbeAMolR7H7nRLtF/3AsEdpScViF0V0tAL
a/lQ5Q56yw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
YWTHOmTXluqu+6gq57xCRFQDCdYESIz7jwy8Rr1ndOiPI1ZZCLSqhJEOkdVQMzpSGqyyeP7Ardpn
um6Nq/iDuj1MXS1d8QK+zEFgtoGC/ZYamA7BEChVc46GBvUviBbbrev/QBThtAa4I65uhE1TbGcN
J18kgBNFWj008rxiQFo=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
CK5VKpuO3UF908NAO4YuG1XlcRGp0Pa//RY/LK5JCtHnKK88GVVE92ltsJpMlxA5Zm7C6BifCuPC
559cApHV+/gIVq7yapyuLcQsKHAiMMzDkwJ02iJ5u3+vhGbOWsuJ4BK0Rwq+eHgDgy08Iqf+WHRQ
3Ba91wTWiQd2Nj+OT2XplSZmxQPhq4h1hMJpKPrG3wjf7TQnW6r3Ga7Mw+FbJaUEcpPH5o5P/w9i
tG4tIw3IpIh9l/Nh/Cfqv0JcM3i1onMs6IOfi2zYl+LWjYokNsdANBBaoMtWzwsbS+vBQNxcPX8s
K6Qsh4r+I6HyJxI7nyq52SxNxvGgwX8Hxr73aw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
XuQ/4dETtH+4rsRzF0yRD9HvKSU6bqQQ1D/Nfl1bQaSXm2GUdN2pxdPVE8uyvBKo16EfRfJsVsGl
t8NcsxgQostXUXkTH+9ETsNBiKDoYXrc4X4Qk+NYKlqO/m8W2X7K8bQI/D6dS86/0T54mwkvDrmX
Im1A7ZObJrD9osHZBGc=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
KZqse6mPp9o8OtxodqoeMvTtl5sNhSMWkvHVxNDd0UgM8HhLnn7+k9Y5Ye4axL9mO5Mio/DeXEST
uVdIP9v1ee0De8cfU0ET9cl1hom4MQP6cgSimpcz8aevwdgHRBHjTgitDOZISjymnHHuiF5j6fuE
6Rd1hBQjpNF1ealdItY6yh4XFWW1pXQm1ltPOhXNtlXzlHHarJ0xep9Z7abRy9bvjJO16zB9ubRo
xsjHrKxk24RXy/XooERkFM/w7pyJ5zlQohxIYb7dMlFu6Y2Bu1QY3PkvTG3Dc7Cm+Qisw1HH+Y60
iaNA5972vmkEu867xpWzwwAR78CTjg+fVEFgtQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9952)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
T6Z81atZH3WOqOveobw9RUtN4ULZSy0HAGM8SJ0+HLGbeAMolR7H7nRLtF/3AsEdpScViF0V0tAL
a/lQ5Q56yw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
YWTHOmTXluqu+6gq57xCRFQDCdYESIz7jwy8Rr1ndOiPI1ZZCLSqhJEOkdVQMzpSGqyyeP7Ardpn
um6Nq/iDuj1MXS1d8QK+zEFgtoGC/ZYamA7BEChVc46GBvUviBbbrev/QBThtAa4I65uhE1TbGcN
J18kgBNFWj008rxiQFo=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
CK5VKpuO3UF908NAO4YuG1XlcRGp0Pa//RY/LK5JCtHnKK88GVVE92ltsJpMlxA5Zm7C6BifCuPC
559cApHV+/gIVq7yapyuLcQsKHAiMMzDkwJ02iJ5u3+vhGbOWsuJ4BK0Rwq+eHgDgy08Iqf+WHRQ
3Ba91wTWiQd2Nj+OT2XplSZmxQPhq4h1hMJpKPrG3wjf7TQnW6r3Ga7Mw+FbJaUEcpPH5o5P/w9i
tG4tIw3IpIh9l/Nh/Cfqv0JcM3i1onMs6IOfi2zYl+LWjYokNsdANBBaoMtWzwsbS+vBQNxcPX8s
K6Qsh4r+I6HyJxI7nyq52SxNxvGgwX8Hxr73aw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
XuQ/4dETtH+4rsRzF0yRD9HvKSU6bqQQ1D/Nfl1bQaSXm2GUdN2pxdPVE8uyvBKo16EfRfJsVsGl
t8NcsxgQostXUXkTH+9ETsNBiKDoYXrc4X4Qk+NYKlqO/m8W2X7K8bQI/D6dS86/0T54mwkvDrmX
Im1A7ZObJrD9osHZBGc=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
KZqse6mPp9o8OtxodqoeMvTtl5sNhSMWkvHVxNDd0UgM8HhLnn7+k9Y5Ye4axL9mO5Mio/DeXEST
uVdIP9v1ee0De8cfU0ET9cl1hom4MQP6cgSimpcz8aevwdgHRBHjTgitDOZISjymnHHuiF5j6fuE
6Rd1hBQjpNF1ealdItY6yh4XFWW1pXQm1ltPOhXNtlXzlHHarJ0xep9Z7abRy9bvjJO16zB9ubRo
xsjHrKxk24RXy/XooERkFM/w7pyJ5zlQohxIYb7dMlFu6Y2Bu1QY3PkvTG3Dc7Cm+Qisw1HH+Y60
iaNA5972vmkEu867xpWzwwAR78CTjg+fVEFgtQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9952)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
T6Z81atZH3WOqOveobw9RUtN4ULZSy0HAGM8SJ0+HLGbeAMolR7H7nRLtF/3AsEdpScViF0V0tAL
a/lQ5Q56yw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
YWTHOmTXluqu+6gq57xCRFQDCdYESIz7jwy8Rr1ndOiPI1ZZCLSqhJEOkdVQMzpSGqyyeP7Ardpn
um6Nq/iDuj1MXS1d8QK+zEFgtoGC/ZYamA7BEChVc46GBvUviBbbrev/QBThtAa4I65uhE1TbGcN
J18kgBNFWj008rxiQFo=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
CK5VKpuO3UF908NAO4YuG1XlcRGp0Pa//RY/LK5JCtHnKK88GVVE92ltsJpMlxA5Zm7C6BifCuPC
559cApHV+/gIVq7yapyuLcQsKHAiMMzDkwJ02iJ5u3+vhGbOWsuJ4BK0Rwq+eHgDgy08Iqf+WHRQ
3Ba91wTWiQd2Nj+OT2XplSZmxQPhq4h1hMJpKPrG3wjf7TQnW6r3Ga7Mw+FbJaUEcpPH5o5P/w9i
tG4tIw3IpIh9l/Nh/Cfqv0JcM3i1onMs6IOfi2zYl+LWjYokNsdANBBaoMtWzwsbS+vBQNxcPX8s
K6Qsh4r+I6HyJxI7nyq52SxNxvGgwX8Hxr73aw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
XuQ/4dETtH+4rsRzF0yRD9HvKSU6bqQQ1D/Nfl1bQaSXm2GUdN2pxdPVE8uyvBKo16EfRfJsVsGl
t8NcsxgQostXUXkTH+9ETsNBiKDoYXrc4X4Qk+NYKlqO/m8W2X7K8bQI/D6dS86/0T54mwkvDrmX
Im1A7ZObJrD9osHZBGc=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
KZqse6mPp9o8OtxodqoeMvTtl5sNhSMWkvHVxNDd0UgM8HhLnn7+k9Y5Ye4axL9mO5Mio/DeXEST
uVdIP9v1ee0De8cfU0ET9cl1hom4MQP6cgSimpcz8aevwdgHRBHjTgitDOZISjymnHHuiF5j6fuE
6Rd1hBQjpNF1ealdItY6yh4XFWW1pXQm1ltPOhXNtlXzlHHarJ0xep9Z7abRy9bvjJO16zB9ubRo
xsjHrKxk24RXy/XooERkFM/w7pyJ5zlQohxIYb7dMlFu6Y2Bu1QY3PkvTG3Dc7Cm+Qisw1HH+Y60
iaNA5972vmkEu867xpWzwwAR78CTjg+fVEFgtQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9952)
`protect data_block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`protect end_protected
|
library ieee;
use ieee.std_logic_1164.all;
entity MWE is
end MWE;
architecture test of MWE is
constant P : integer := 1;
signal my_sig : std_logic_vector(P downto 0);
begin
block2: if P = 2 generate
my_sig(2) <= '1';
end generate;
block1: if P = 1 generate
my_sig(1) <= '1';
end generate;
-- even this block alone breaks during analysis
blockf: if false generate
my_sig(2) <= '1';
end generate;
end architecture;
|
LIBRARY ieee ;
USE ieee.std_logic_1164.all ;
ENTITY slt IS
GENERIC
(
size : integer
);
PORT
(
input0 : IN std_logic_vector(size-1 downto 0);
input1 : IN std_logic_vector(size-1 downto 0);
output : OUT std_logic_vector(size-1 downto 0)
);
END slt;
ARCHITECTURE behavior OF slt IS
COMPONENT subtract IS
GENERIC(
value_len : integer
);
PORT(
minuend : IN std_logic_vector(value_len-1 downto 0);
subtrahend : IN std_logic_vector(value_len-1 downto 0);
output : OUT std_logic_vector(value_len-1 downto 0);
overflow : OUT std_logic
);
END COMPONENT;
SIGNAL subres : std_logic_vector(size-1 downto 0);
SIGNAL dontcare : std_logic;
BEGIN
sub : subtract GENERIC MAP (size) PORT MAP (input0, input1, subres, dontcare);
output(size-1 downto 1) <= (OTHERS => '0');
output(0) <= subres(size-1);
END behavior; |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc435.vhd,v 1.2 2001-10-26 16:29:54 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY model IS
PORT
(
F1: OUT integer := 3;
F2: INOUT integer := 3;
F3: IN integer
);
END model;
architecture model of model is
begin
process
begin
wait for 1 ns;
assert F3= 3
report"wrong initialization of F3 through type conversion" severity failure;
assert F2 = 3
report"wrong initialization of F2 through type conversion" severity failure;
wait;
end process;
end;
ENTITY c03s02b01x01p19n01i00435ent IS
END c03s02b01x01p19n01i00435ent;
ARCHITECTURE c03s02b01x01p19n01i00435arch OF c03s02b01x01p19n01i00435ent IS
type column is range 1 to 2;
type row is range 1 to 8;
type s2time_cons_vector is array (row,column) of time;
constant C1 : s2time_cons_vector := (others => (others => 3 ns));
function complex_scalar(s : s2time_cons_vector) return integer is
begin
return 3;
end complex_scalar;
function scalar_complex(s : integer) return s2time_cons_vector is
begin
return C1;
end scalar_complex;
component model1
PORT
(
F1: OUT integer;
F2: INOUT integer;
F3: IN integer
);
end component;
for T1 : model1 use entity work.model(model);
signal S1 : s2time_cons_vector;
signal S2 : s2time_cons_vector;
signal S3 : s2time_cons_vector := C1;
BEGIN
T1: model1
port map (
scalar_complex(F1) => S1,
scalar_complex(F2) => complex_scalar(S2),
F3 => complex_scalar(S3)
);
TESTING: PROCESS
BEGIN
wait for 1 ns;
assert NOT((S1 = C1) and (S2 = C1))
report "***PASSED TEST: c03s02b01x01p19n01i00435"
severity NOTE;
assert ((S1 = C1) and (S2 = C1))
report "***FAILED TEST: c03s02b01x01p19n01i00435 - For an interface object of mode out, buffer, inout, or linkage, if the formal part includes a type conversion function, then the parameter subtype of that function must be a constrained array subtype."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s02b01x01p19n01i00435arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc435.vhd,v 1.2 2001-10-26 16:29:54 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY model IS
PORT
(
F1: OUT integer := 3;
F2: INOUT integer := 3;
F3: IN integer
);
END model;
architecture model of model is
begin
process
begin
wait for 1 ns;
assert F3= 3
report"wrong initialization of F3 through type conversion" severity failure;
assert F2 = 3
report"wrong initialization of F2 through type conversion" severity failure;
wait;
end process;
end;
ENTITY c03s02b01x01p19n01i00435ent IS
END c03s02b01x01p19n01i00435ent;
ARCHITECTURE c03s02b01x01p19n01i00435arch OF c03s02b01x01p19n01i00435ent IS
type column is range 1 to 2;
type row is range 1 to 8;
type s2time_cons_vector is array (row,column) of time;
constant C1 : s2time_cons_vector := (others => (others => 3 ns));
function complex_scalar(s : s2time_cons_vector) return integer is
begin
return 3;
end complex_scalar;
function scalar_complex(s : integer) return s2time_cons_vector is
begin
return C1;
end scalar_complex;
component model1
PORT
(
F1: OUT integer;
F2: INOUT integer;
F3: IN integer
);
end component;
for T1 : model1 use entity work.model(model);
signal S1 : s2time_cons_vector;
signal S2 : s2time_cons_vector;
signal S3 : s2time_cons_vector := C1;
BEGIN
T1: model1
port map (
scalar_complex(F1) => S1,
scalar_complex(F2) => complex_scalar(S2),
F3 => complex_scalar(S3)
);
TESTING: PROCESS
BEGIN
wait for 1 ns;
assert NOT((S1 = C1) and (S2 = C1))
report "***PASSED TEST: c03s02b01x01p19n01i00435"
severity NOTE;
assert ((S1 = C1) and (S2 = C1))
report "***FAILED TEST: c03s02b01x01p19n01i00435 - For an interface object of mode out, buffer, inout, or linkage, if the formal part includes a type conversion function, then the parameter subtype of that function must be a constrained array subtype."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s02b01x01p19n01i00435arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc435.vhd,v 1.2 2001-10-26 16:29:54 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY model IS
PORT
(
F1: OUT integer := 3;
F2: INOUT integer := 3;
F3: IN integer
);
END model;
architecture model of model is
begin
process
begin
wait for 1 ns;
assert F3= 3
report"wrong initialization of F3 through type conversion" severity failure;
assert F2 = 3
report"wrong initialization of F2 through type conversion" severity failure;
wait;
end process;
end;
ENTITY c03s02b01x01p19n01i00435ent IS
END c03s02b01x01p19n01i00435ent;
ARCHITECTURE c03s02b01x01p19n01i00435arch OF c03s02b01x01p19n01i00435ent IS
type column is range 1 to 2;
type row is range 1 to 8;
type s2time_cons_vector is array (row,column) of time;
constant C1 : s2time_cons_vector := (others => (others => 3 ns));
function complex_scalar(s : s2time_cons_vector) return integer is
begin
return 3;
end complex_scalar;
function scalar_complex(s : integer) return s2time_cons_vector is
begin
return C1;
end scalar_complex;
component model1
PORT
(
F1: OUT integer;
F2: INOUT integer;
F3: IN integer
);
end component;
for T1 : model1 use entity work.model(model);
signal S1 : s2time_cons_vector;
signal S2 : s2time_cons_vector;
signal S3 : s2time_cons_vector := C1;
BEGIN
T1: model1
port map (
scalar_complex(F1) => S1,
scalar_complex(F2) => complex_scalar(S2),
F3 => complex_scalar(S3)
);
TESTING: PROCESS
BEGIN
wait for 1 ns;
assert NOT((S1 = C1) and (S2 = C1))
report "***PASSED TEST: c03s02b01x01p19n01i00435"
severity NOTE;
assert ((S1 = C1) and (S2 = C1))
report "***FAILED TEST: c03s02b01x01p19n01i00435 - For an interface object of mode out, buffer, inout, or linkage, if the formal part includes a type conversion function, then the parameter subtype of that function must be a constrained array subtype."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s02b01x01p19n01i00435arch;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.slot_bus_pkg.all;
entity all_carts_v4 is
generic (
g_kernal_base : std_logic_vector(27 downto 0) := X"0EC8000"; -- multiple of 32K
g_rom_base : std_logic_vector(27 downto 0) := X"0F00000"; -- multiple of 1M
g_georam_base : std_logic_vector(27 downto 0) := X"1000000"; -- Shared with reu
g_ram_base : std_logic_vector(27 downto 0) := X"0EF0000" ); -- multiple of 64K
port (
clock : in std_logic;
reset : in std_logic;
RST_in : in std_logic;
c64_reset : in std_logic;
kernal_enable : in std_logic;
kernal_16k : in std_logic;
kernal_area : in std_logic;
freeze_trig : in std_logic; -- goes '1' when the button has been pressed and we're waiting to enter the freezer
freeze_act : in std_logic; -- goes '1' when we need to switch in the cartridge for freeze mode
unfreeze : out std_logic; -- indicates the freeze logic to switch back to non-freeze mode.
cart_active : out std_logic; -- indicates that the cartridge is active
cart_kill : in std_logic;
cart_logic : in std_logic_vector(4 downto 0); -- 1 out of 32 logic emulations
cart_force : in std_logic;
slot_req : in t_slot_req;
slot_resp : out t_slot_resp := c_slot_resp_init;
epyx_timeout : in std_logic;
serve_enable : out std_logic; -- enables fetching bus address PHI2=1
serve_vic : out std_logic; -- enables doing so for PHI2=0
serve_rom : out std_logic; -- ROML or ROMH
serve_io1 : out std_logic; -- IO1n
serve_io2 : out std_logic; -- IO2n
allow_write : out std_logic;
mem_addr : out unsigned(25 downto 0);
irq_n : out std_logic;
nmi_n : out std_logic;
exrom_n : out std_logic;
game_n : out std_logic;
sense : in std_logic;
CART_LEDn : out std_logic;
size_ctrl : in std_logic_vector(2 downto 0) := "001" );
end all_carts_v4;
architecture gideon of all_carts_v4 is
signal reset_in : std_logic;
signal ext_bank : std_logic_vector(18 downto 16);
signal bank_bits : std_logic_vector(15 downto 13);
signal mode_bits : std_logic_vector(2 downto 0);
signal ef_write : std_logic_vector(2 downto 0);
signal ef_write_addr : std_logic_vector(21 downto 0);
signal georam_bank : std_logic_vector(15 downto 0);
-- signal rom_enable : std_logic;
signal freeze_act_d : std_logic;
signal cart_en : std_logic;
signal do_io2 : std_logic;
signal allow_bank : std_logic;
signal hold_nmi : std_logic;
signal cart_logic_d : std_logic_vector(cart_logic'range) := (others => '0');
signal mem_addr_i : std_logic_vector(27 downto 0);
constant c_none : std_logic_vector(4 downto 0) := "00000";
constant c_8k : std_logic_vector(4 downto 0) := "00001";
constant c_16k : std_logic_vector(4 downto 0) := "00010";
constant c_16k_umax : std_logic_vector(4 downto 0) := "00011";
constant c_fc3 : std_logic_vector(4 downto 0) := "00100";
constant c_ss5 : std_logic_vector(4 downto 0) := "00101";
constant c_retro : std_logic_vector(4 downto 0) := "00110";
constant c_action : std_logic_vector(4 downto 0) := "00111";
constant c_system3 : std_logic_vector(4 downto 0) := "01000";
constant c_domark : std_logic_vector(4 downto 0) := "01001";
constant c_ocean128 : std_logic_vector(4 downto 0) := "01010";
constant c_ocean256 : std_logic_vector(4 downto 0) := "01011";
constant c_easy_flash : std_logic_vector(4 downto 0) := "01100";
constant c_epyx : std_logic_vector(4 downto 0) := "01110";
constant c_kcs : std_logic_vector(4 downto 0) := "10000";
constant c_fc : std_logic_vector(4 downto 0) := "10001";
constant c_comal80 : std_logic_vector(4 downto 0) := "10010";
constant c_sbasic : std_logic_vector(4 downto 0) := "10011";
constant c_westermann : std_logic_vector(4 downto 0) := "10100";
constant c_georam : std_logic_vector(4 downto 0) := "10101";
constant c_bbasic : std_logic_vector(4 downto 0) := "10110";
constant c_pagefox : std_logic_vector(4 downto 0) := "10111";
constant c_128 : std_logic_vector(4 downto 0) := "11000";
constant c_fc3plus : std_logic_vector(4 downto 0) := "11001";
constant c_comal80pakma : std_logic_vector(4 downto 0) := "11010";
constant c_supergames : std_logic_vector(4 downto 0) := "11011";
constant c_nordic : std_logic_vector(4 downto 0) := "11100";
constant c_serve_rom_rr : std_logic_vector(0 to 7) := "11011111";
constant c_serve_io_rr : std_logic_vector(0 to 7) := "10101111";
-- alias
signal slot_addr : std_logic_vector(15 downto 0);
signal slot_rwn : std_logic;
signal io_read : std_logic;
signal io_write : std_logic;
signal io_addr : std_logic_vector(8 downto 0);
signal io_wdata : std_logic_vector(7 downto 0);
signal georam_mask : std_logic_vector(15 downto 0);
begin
with size_ctrl select georam_mask <=
"0000000111111111" when "000",
"0000001111111111" when "001",
"0000011111111111" when "010",
"0000111111111111" when "011",
"0001111111111111" when "100",
"0011111111111111" when "101",
"0111111111111111" when "110",
"1111111111111111" when others;
serve_enable <= cart_en or kernal_enable;
cart_active <= cart_en;
slot_addr <= std_logic_vector(slot_req.bus_address);
slot_rwn <= slot_req.bus_rwn;
io_write <= slot_req.io_write;
io_read <= slot_req.io_read;
io_addr <= std_logic_vector(slot_req.io_address(8 downto 0));
io_wdata <= slot_req.data;
process(clock)
begin
if rising_edge(clock) then
reset_in <= reset or RST_in or c64_reset;
freeze_act_d <= freeze_act;
unfreeze <= '0';
-- control register
if reset_in='1' then
cart_logic_d <= cart_logic; -- activate change of mode!
mode_bits <= (others => '0');
bank_bits <= (others => '0');
ext_bank <= (others => '0');
georam_bank <= (others => '0');
ef_write <= (others => '0');
ef_write_addr <= (others => '0');
allow_bank <= '0';
do_io2 <= '1';
cart_en <= '1';
-- unfreeze <= '0';
hold_nmi <= '0';
elsif freeze_act='1' and freeze_act_d='0' then
bank_bits <= (others => '0');
mode_bits <= (others => '0');
--allow_bank <= '0';
cart_en <= '1';
-- unfreeze <= '0';
hold_nmi <= '1';
elsif cart_en = '0' then
cart_logic_d <= cart_logic; -- activate change of mode!
end if;
if cart_force = '1' then
cart_logic_d <= cart_logic; -- activate change of mode!
end if;
serve_vic <= '0';
case cart_logic_d is
when c_fc3 =>
-- unfreeze <= '0';
if io_write='1' and io_addr(8 downto 0) = "111111111" and cart_en='1' then -- DFFF
bank_bits <= io_wdata(1 downto 0) & '0';
mode_bits <= '0' & io_wdata(4) & io_wdata(5);
unfreeze <= '1';
cart_en <= not io_wdata(7);
hold_nmi <= not io_wdata(6);
end if;
if freeze_act='1' then
game_n <= '0';
exrom_n <= '1';
else
game_n <= mode_bits(0);
exrom_n <= mode_bits(1);
end if;
if mode_bits(1 downto 0)="10" then
serve_vic <= '1';
end if;
serve_rom <= '1';
serve_io1 <= '1';
serve_io2 <= '1';
irq_n <= '1';
nmi_n <= not(freeze_trig or freeze_act or hold_nmi);
when c_fc3plus =>
if io_write='1' and io_addr(8 downto 0) = "111111111" and cart_en='1' then -- DFFF
bank_bits <= io_wdata(1 downto 0) & '0';
ext_bank <= '0' & io_wdata(3 downto 2);
mode_bits <= '0' & io_wdata(4) & io_wdata(5);
unfreeze <= '1';
cart_en <= not io_wdata(7);
hold_nmi <= not io_wdata(6);
end if;
if freeze_act='1' then
game_n <= '0';
exrom_n <= '1';
else
game_n <= mode_bits(0);
exrom_n <= mode_bits(1);
end if;
if mode_bits(1 downto 0)="10" then
serve_vic <= '1';
end if;
serve_rom <= '1';
serve_io1 <= '1';
serve_io2 <= '1';
irq_n <= '1';
nmi_n <= not(freeze_trig or freeze_act or hold_nmi);
when c_action =>
if io_write='1' and io_addr(8) = '0' and cart_en='1' then
bank_bits <= io_wdata(7) & io_wdata(4 downto 3);
mode_bits <= io_wdata(5) & io_wdata(1 downto 0);
unfreeze <= io_wdata(6);
cart_en <= not io_wdata(2);
end if;
if freeze_act='1' then
game_n <= '0';
exrom_n <= '1';
serve_rom <= '1';
serve_io1 <= '0';
serve_io2 <= '0';
else
game_n <= not mode_bits(0);
exrom_n <= mode_bits(1);
serve_io1 <= c_serve_io_rr(to_integer(unsigned(mode_bits)));
serve_io2 <= c_serve_io_rr(to_integer(unsigned(mode_bits))) and do_io2;
serve_rom <= c_serve_rom_rr(to_integer(unsigned(mode_bits)));
end if;
irq_n <= not(freeze_trig or freeze_act);
nmi_n <= not(freeze_trig or freeze_act);
when c_retro =>
if io_write='1' and io_addr(8 downto 1) = X"00" and cart_en='1' then -- DE00/DE01
if io_addr(0)='0' then
bank_bits <= io_wdata(7) & io_wdata(4 downto 3);
mode_bits <= io_wdata(5) & io_wdata(1 downto 0);
unfreeze <= io_wdata(6);
cart_en <= not io_wdata(2);
else
if io_wdata(6)='1' then
do_io2 <= '0';
end if;
if io_wdata(1)='1' then
allow_bank <= '1';
end if;
end if;
end if;
if freeze_act='1' then
game_n <= '0';
exrom_n <= '1';
serve_rom <= '1';
serve_io1 <= '0';
serve_io2 <= '0';
else
game_n <= not mode_bits(0);
exrom_n <= mode_bits(1);
serve_io1 <= c_serve_io_rr(to_integer(unsigned(mode_bits)));
serve_io2 <= c_serve_io_rr(to_integer(unsigned(mode_bits))) and do_io2;
serve_rom <= c_serve_rom_rr(to_integer(unsigned(mode_bits)));
end if;
irq_n <= not(freeze_trig or freeze_act);
nmi_n <= not(freeze_trig or freeze_act);
when c_nordic =>
if io_write='1' and io_addr(8) = '0' and cart_en='1' then
bank_bits <= io_wdata(7) & io_wdata(4 downto 3);
mode_bits <= io_wdata(5) & io_wdata(1 downto 0);
unfreeze <= io_wdata(6);
cart_en <= not io_wdata(2);
end if;
if freeze_act='1' then
game_n <= '0';
exrom_n <= '1';
serve_rom <= '1';
serve_io1 <= '0';
serve_io2 <= '0';
else
if mode_bits(2 downto 0)="110" then
game_n <= '0';
-- Switch to Ultimax mode for writes to address A000-BFFF (disable C64 RAM write)
exrom_n <= slot_addr(15) and not slot_addr(14) and slot_addr(13) and not slot_rwn;
else
game_n <= not mode_bits(0);
exrom_n <= mode_bits(1);
end if;
serve_io1 <= c_serve_io_rr(to_integer(unsigned(mode_bits)));
serve_io2 <= c_serve_io_rr(to_integer(unsigned(mode_bits))) and do_io2;
serve_rom <= c_serve_rom_rr(to_integer(unsigned(mode_bits)));
end if;
irq_n <= not(freeze_trig or freeze_act);
nmi_n <= not(freeze_trig or freeze_act);
when c_easy_flash =>
if io_write='1' and io_addr(8)='0' and cart_en='1' then -- DExx
if io_addr(3 downto 0)="0000" then -- DE00
ext_bank <= io_wdata(5 downto 3);
bank_bits <= io_wdata(2 downto 0);
end if;
if io_addr(3 downto 0)="0010" then -- DE02
mode_bits <= io_wdata(2 downto 0); -- LED not implemented
end if;
if io_addr(3 downto 0)="1001" then -- DE09
ef_write <= "000";
end if;
if io_addr(3 downto 0)="1000" then -- DE08
case ef_write is
when "000" =>
if io_wdata(7 downto 0) = X"65" then
ef_write <= "001";
end if;
when "001" =>
if io_wdata(7 downto 0) = X"66" then
ef_write <= "010";
else
ef_write <= "000";
end if;
when "010" =>
if io_wdata(7 downto 0) = X"77" then
ef_write <= "011";
else
ef_write <= "000";
end if;
when "011" =>
ef_write_addr(7 downto 0) <= io_wdata(7 downto 0);
ef_write <= "100";
when "100" =>
ef_write_addr(12 downto 8) <= io_wdata(4 downto 0);
ef_write_addr(19) <= io_wdata(5);
ef_write <= "101";
when "101" =>
ef_write_addr(18 downto 13) <= io_wdata(5 downto 0);
ef_write <= "110";
when others =>
ef_write <= "000";
end case;
end if;
end if;
game_n <= not (mode_bits(0) or not mode_bits(2));
exrom_n <= not mode_bits(1);
serve_rom <= '1';
serve_io1 <= '1'; -- write registers only, no reads
serve_io2 <= '1'; -- RAM
irq_n <= '1';
nmi_n <= '1';
when c_ss5 =>
if io_write='1' and io_addr(8) = '0' and cart_en='1' then -- DE00-DEFF
bank_bits <= io_wdata(4) & io_wdata(2) & '0';
mode_bits <= io_wdata(3) & io_wdata(1) & io_wdata(0);
unfreeze <= not io_wdata(0);
cart_en <= not io_wdata(3);
end if;
game_n <= mode_bits(0);
exrom_n <= not mode_bits(1);
serve_io1 <= cart_en;
serve_io2 <= '0';
serve_rom <= cart_en;
irq_n <= not(freeze_trig or freeze_act);
nmi_n <= not(freeze_trig or freeze_act);
when c_8k =>
if io_write='1' and io_addr(8 downto 0) = "111111111" then -- DFFF
if cart_en='1' and io_wdata(7 downto 6) = "01" then
cart_en <= '0'; -- permanent off
end if;
end if;
game_n <= '1';
exrom_n <= '0';
serve_rom <= '1';
serve_io1 <= '0';
serve_io2 <= '1'; -- for EPYX test
irq_n <= '1';
nmi_n <= '1';
when c_16k =>
if io_write='1' and io_addr(8 downto 0) = "111111111" then -- DFFF
if cart_en='1' and io_wdata(7 downto 6) = "01" then
cart_en <= '0'; -- permanent off
end if;
end if;
game_n <= '0';
exrom_n <= '0';
serve_rom <= '1';
serve_io1 <= '0';
serve_io2 <= '0';
irq_n <= '1';
nmi_n <= '1';
when c_16k_umax =>
if io_write='1' and io_addr(8 downto 0) = "111111111" and cart_en='1' and io_wdata(7 downto 6) = "01" then -- DFFF
cart_en <= '0'; -- permanent off
end if;
game_n <= '0';
exrom_n <= '1';
serve_rom <= '1';
serve_vic <= '1';
serve_io1 <= '0';
serve_io2 <= '0';
irq_n <= '1';
nmi_n <= '1';
when c_128 =>
game_n <= '1';
exrom_n <= '1';
serve_rom <= '1';
serve_io1 <= '1';
serve_io2 <= '1';
irq_n <= '1';
nmi_n <= '1';
serve_vic <= '1';
when c_ocean128 =>
if io_write='1' and io_addr(8)='0' then -- DE00 range
bank_bits <= io_wdata(2 downto 0);
ext_bank <= io_wdata(5 downto 3);
end if;
game_n <= '1';
exrom_n <= '0';
serve_rom <= '1';
serve_io1 <= '0';
serve_io2 <= '0';
irq_n <= '1';
nmi_n <= '1';
when c_domark =>
if io_write='1' and io_addr(8)='0' then -- DE00 range
bank_bits <= io_wdata(2 downto 0);
ext_bank <= '0' & io_wdata(4 downto 3);
mode_bits(0) <= io_wdata(7);
-- if io_wdata(7 downto 5) /= "000" then -- permanent off
-- cart_en <= '0';
-- end if;
cart_en <= not (io_wdata(7) or io_wdata(6) or io_wdata(5));
end if;
game_n <= '1';
exrom_n <= mode_bits(0);
serve_rom <= '1';
serve_io1 <= '0';
serve_io2 <= '0';
irq_n <= '1';
nmi_n <= '1';
when c_ocean256 =>
if io_write='1' and io_addr(8)='0' then -- DE00 range
bank_bits <= io_wdata(2 downto 0);
ext_bank <= "00" & io_wdata(3);
end if;
game_n <= '0';
exrom_n <= '0';
serve_rom <= '1';
serve_io1 <= '0';
serve_io2 <= '0';
irq_n <= '1';
nmi_n <= '1';
when c_system3 => -- 16K, only 8K used?
if (io_write='1' or io_read='1') and io_addr(8)='0' then -- DE00 range
bank_bits <= io_addr(2 downto 0);
ext_bank <= io_addr(5 downto 3);
end if;
game_n <= '1';
exrom_n <= '0';
serve_rom <= '1';
serve_io1 <= '0';
serve_io2 <= '0';
irq_n <= '1';
nmi_n <= '1';
when c_comal80 => -- 64K, 4x16K banks
if io_write='1' and io_addr(8)='0' then -- DE00-DEFF
bank_bits <= io_wdata(1 downto 0) & '0';
end if;
game_n <= '0';
exrom_n <= '0';
serve_rom <= '1';
serve_io1 <= '0';
serve_io2 <= '0';
irq_n <= '1';
nmi_n <= '1';
when c_comal80pakma =>
if io_write='1' and io_addr(8)='0' then -- DE00-DEFF
bank_bits <= io_wdata(1 downto 0) & '0';
ext_bank <= "00" & io_wdata(2);
end if;
game_n <= '0';
exrom_n <= '0';
serve_rom <= '1';
serve_io1 <= '0';
serve_io2 <= '0';
irq_n <= '1';
nmi_n <= '1';
when c_supergames =>
if io_write='1' and io_addr(8)='1' and mode_bits(1) = '0' then -- DF00-DFFF
bank_bits <= io_wdata(1 downto 0) & '0';
mode_bits(1 downto 0) <= io_wdata(3 downto 2);
end if;
if mode_bits(1 downto 0) = "11" then -- Mostly to visualize
cart_en <= '0';
end if;
game_n <= mode_bits(0);
exrom_n <= mode_bits(0);
serve_rom <= '1';
serve_io1 <= '0';
serve_io2 <= '0';
irq_n <= '1';
nmi_n <= '1';
when c_sbasic => -- 16K, upper 8k enabled by writing to DExx
-- and disabled by reading
if io_write='1' and io_addr(8)='0' then
mode_bits(0) <= '1';
elsif io_read='1' and io_addr(8)='0' then
mode_bits(0) <= '0';
end if;
game_n <= not mode_bits(0);
exrom_n <= '0';
serve_rom <= '1';
serve_io1 <= '0';
serve_io2 <= '0';
irq_n <= '1';
nmi_n <= '1';
when c_westermann => -- 16K, upper 8k disabled by reading to DFxx
-- and disabled by reading
if io_read='1' and io_addr(8)='1' then
mode_bits(0) <= '1';
end if;
game_n <= mode_bits(0);
exrom_n <= '0';
serve_rom <= '1';
serve_io1 <= '0';
serve_io2 <= '0';
irq_n <= '1';
nmi_n <= '1';
when c_pagefox => -- 16K, upper 8k disabled by reading to DFxx
-- and disabled by reading
if io_write='1' and io_addr(8 downto 7) = "01" then
mode_bits(0) <= io_wdata(4);
bank_bits <= io_wdata(3 downto 1);
end if;
game_n <= mode_bits(0);
exrom_n <= mode_bits(0);
serve_rom <= '1';
serve_io1 <= '0';
serve_io2 <= '0';
irq_n <= '1';
nmi_n <= '1';
when c_georam =>
if io_write='1' and io_addr(8 downto 7) = "11" then
if io_addr(0) = '0' then
georam_bank(5 downto 0) <= io_wdata(5 downto 0) and georam_mask(5 downto 0);
georam_bank(15 downto 14) <= io_wdata(7 downto 6) and georam_mask(15 downto 14);
else
georam_bank(13 downto 6) <= io_wdata(7 downto 0) and georam_mask(13 downto 6);
end if;
end if;
game_n <= '1';
exrom_n <= '1';
serve_rom <= '1';
serve_io1 <= '1';
serve_io2 <= '1';
irq_n <= '1';
nmi_n <= '1';
when c_bbasic =>
if io_write='1' and io_addr(8)='0' then
mode_bits(0) <= '0';
elsif io_read='1' and io_addr(8)='0' then
mode_bits(0) <= '1';
end if;
if mode_bits(0)='1' then
game_n <= '0';
exrom_n <= '0';
elsif slot_addr(15)='1' and not(slot_addr(14 downto 13) = "10") then
game_n <= '0';
exrom_n <= '1';
else
game_n <= '1';
exrom_n <= '1';
end if;
serve_rom <= '1';
serve_io1 <= '1';
serve_io2 <= '0';
irq_n <= '1';
nmi_n <= '1';
when c_epyx =>
game_n <= '1';
exrom_n <= epyx_timeout;
serve_rom <= '1';
serve_io1 <= '0';
serve_io2 <= '1'; -- rom visible df00-dfff
irq_n <= '1';
nmi_n <= '1';
when c_kcs =>
-- M1 M0 Ga Act | M1 M0 Ex Ga | M2 M1 M0 Recoded
---------------------+--------------------------+-----------------
-- x x x Reset | 0 0 0 0 (reset:16K) | 0 0 0 16K
-- x x x Freeze | 1 1 1 0 (freeze) | 0 1 0 UmaxF
-- x x x R:DE00 | 1 0 0 1 (8K mode) | 0 0 1 8K
-- x x x R:DE02 | 1 0 1 1 (off1) | 0 1 1 Off1
-- x x x W:DE80 | 0 0 0 0 (reset:16K) | 0 0 0 16K
-- 0 x 0 W:DE0x | 0 1 1 0 (Ultimax) | 1 1 0 UmaxS
-- 0 x 1 W:DE0x | 0 1 1 1 (Off2) | 1 1 1 Off2
-- 1 1 x W:DE00 | 0 0 0 0 (reset:16K) | 0 0 0 16K
-- 1 1 x W:DE02 | 1 0 0 1 (8K mode) | 0 0 1 8K
--
-- 0 0 0 0 16K
-- 0 1 0 0 ?
-- 0 0 1 0 ?
-- 0 1 1 0 Ultimax
--
-- 0 0 0 1 ?
-- 0 1 0 1 ?
-- 0 0 1 1 ?
-- 0 1 1 1 Off2
-- mode_bit(0) -> ULTIMAX
-- mode_bit(1) -> 16K Mode
-- io1 read
if io_read='1' and io_addr(8) = '0' then -- DE00-DEFF
mode_bits(0) <= '1'; -- When read and addr bit 1=0 : 8k GAME mode
mode_bits(1) <= io_addr(1); -- When read and addr bit 1=1 : Cartridge disabled mode
mode_bits(2) <= '0';
end if;
-- io1 write
if io_write='1' and io_addr(8 downto 7) = "01" then -- DE80-DEFF
mode_bits <= "000"; -- 16K mode
end if;
if io_write='1' and io_addr(8 downto 7) = "00" then -- DE00-DE7F
-- if in 16K 000 / UmaxS 110 / Off2 111
if mode_bits = "000" then -- 16K
mode_bits <= "110";
elsif mode_bits = "010" or mode_bits = "111" then -- Freeze of Off2
mode_bits <= "000"; -- When addr bit 1=0 : 16k GAME mode
mode_bits(0) <= io_addr(1); -- When addr bit 1=1 : 8k GAME mode
end if;
end if;
-- io2 read
if io_read='1' and io_addr(8 downto 7) = "11" then -- DF80-DFFF
unfreeze <= '1'; -- When read : release freeze
end if;
-- on freeze
if freeze_act='1' then
mode_bits <= "010";
end if;
game_n <= mode_bits(0);
exrom_n <= mode_bits(1);
serve_io1 <= '1';
serve_io2 <= '1';
serve_rom <= '1';
serve_vic <= mode_bits(1);
nmi_n <= not(freeze_trig or freeze_act);
when c_fc =>
-- io1 access
if io_read='1' and io_addr(8) = '0' then -- DE00-DEFF
game_n <= '1'; -- Cartridge disabled mode
exrom_n <= '1';
unfreeze <= '1';
end if;
if io_write='1' and io_addr(8) = '0' then -- DE00-DEFF
game_n <= '1'; -- Cartridge disabled mode
exrom_n <= '1';
unfreeze <= '1';
end if;
-- io2 access
if io_read='1' and io_addr(8) = '1' then -- DF00-DFFF
game_n <= '0'; -- 16K GAME mode
exrom_n <= '0';
unfreeze <= '1';
end if;
if io_write='1' and io_addr(8) = '1' then -- DF00-DFFF
game_n <= '0'; -- 16K GAME mode
exrom_n <= '0';
unfreeze <= '1';
end if;
-- on freeze
if freeze_trig='1' then
game_n <= '0'; -- ULTIMAX mode
exrom_n <= '1';
end if;
-- on reset/init
if reset_in='1' then
game_n <= '0'; -- 16K GAME mode
exrom_n <= '0';
unfreeze <= '1';
end if;
serve_io1 <= '1';
serve_io2 <= '1';
serve_rom <= '1';
nmi_n <= not(freeze_trig or freeze_act);
when others =>
game_n <= '1';
exrom_n <= '1';
serve_rom <= '0';
serve_io1 <= '0';
serve_io2 <= '0';
irq_n <= '1';
nmi_n <= '1';
end case;
if cart_kill='1' then
cart_en <= '0';
hold_nmi <= '0';
end if;
end if;
end process;
CART_LEDn <= not cart_en;
-- determine address
process(cart_logic_d, slot_addr, mode_bits, bank_bits, ext_bank, do_io2, allow_bank,
kernal_area, kernal_16k, georam_bank, sense, ef_write, ef_write_addr)
begin
mem_addr_i <= g_rom_base;
-- defaults
-- 64K, 8K banks, no writes
mem_addr_i(15 downto 0) <= bank_bits(15 downto 13) & slot_addr(12 downto 0);
allow_write <= '0';
case cart_logic_d is
when c_retro =>
-- 64K RAM
if mode_bits(2)='1' then
if slot_addr(13)='0' then
mem_addr_i <= g_ram_base(27 downto 16) & bank_bits(15 downto 13) & slot_addr(12 downto 0);
if allow_bank='0' and slot_addr(15 downto 13)="110" then -- io range exceptions
mem_addr_i <= g_ram_base(27 downto 16) & "000" & slot_addr(12 downto 0);
end if;
end if;
if slot_addr(15 downto 13)="100" then--and mode_bits(1 downto 0)/="10" then
allow_write <= '1';
end if;
if slot_addr(15 downto 8)=X"DE" and slot_addr(7 downto 1)/="0000000" then
allow_write <= '1';
end if;
if slot_addr(15 downto 8)=X"DF" and do_io2='1' then
allow_write <= '1';
end if;
end if;
when c_action =>
-- 8K RAM
if mode_bits(2)='1' then
if slot_addr(13)='0' then
mem_addr_i <= g_ram_base(27 downto 15) & "00" & slot_addr(12 downto 0);
end if;
if slot_addr(15 downto 13)="100" then -- and mode_bits(1 downto 0)="11" then
allow_write <= '1';
end if;
if slot_addr(15 downto 8)=X"DF" and do_io2='1' then
allow_write <= '1';
end if;
end if;
when c_nordic =>
-- 8K RAM
if mode_bits(2)='1' then
if slot_addr(13)='0' then
mem_addr_i <= g_ram_base(27 downto 15) & "00" & slot_addr(12 downto 0);
end if;
if slot_addr(15 downto 13)="100" then -- and mode_bits(1 downto 0)="11" then
allow_write <= '1';
end if;
if slot_addr(15 downto 8)=X"DF" and do_io2='1' then
allow_write <= '1';
end if;
end if;
if mode_bits(2 downto 0) ="110" then
if slot_addr(15 downto 13)="100" then
mem_addr_i <= g_rom_base(27 downto 15) & bank_bits(14 downto 13) & slot_addr(12 downto 0);
allow_write <= '0';
end if;
if slot_addr(15 downto 13)="101" then
mem_addr_i <= g_ram_base(27 downto 15) & "00" & slot_addr(12 downto 0);
allow_write <= '1';
end if;
if slot_addr(15 downto 8)=X"DF" and do_io2='1' then
mem_addr_i <= g_ram_base(27 downto 15) & "00" & slot_addr(12 downto 0);
end if;
end if;
when c_easy_flash =>
-- Little RAM
if slot_addr(15 downto 8)=X"DF" then
mem_addr_i <= g_ram_base(27 downto 8) & slot_addr(7 downto 0);
allow_write <= '1';
else
if slot_addr(15 downto 0)=X"DE07" and ef_write = "110" then
mem_addr_i <= g_rom_base(27 downto 20) & ef_write_addr(19 downto 0);
allow_write <= '1';
else
mem_addr_i <= g_rom_base(27 downto 20) & slot_addr(13) & ext_bank & bank_bits & slot_addr(12 downto 0);
end if;
end if;
when c_fc3 | c_comal80 | c_fc3plus | c_comal80pakma | c_supergames =>
mem_addr_i(17 downto 0) <= ext_bank(17 downto 16) & bank_bits(15 downto 14) & slot_addr(13 downto 0); -- 16K banks
when c_ss5 =>
if mode_bits(1 downto 0)="00" then
if slot_addr(15 downto 13)="100" then
allow_write <= '1';
mem_addr_i <= g_ram_base(27 downto 15) & bank_bits(15 downto 14) & slot_addr(12 downto 0);
else
mem_addr_i <= g_rom_base(27 downto 16) & bank_bits(15 downto 14) & slot_addr(13 downto 0);
end if;
else
mem_addr_i <= g_rom_base(27 downto 16) & bank_bits(15 downto 14) & slot_addr(13 downto 0);
end if;
when c_8k | c_epyx =>
mem_addr_i(27 downto 13) <= g_rom_base(27 downto 13);
mem_addr_i(12 downto 0) <= slot_addr(12 downto 0);
when c_16k | c_16k_umax =>
mem_addr_i(27 downto 14) <= g_rom_base(27 downto 14);
mem_addr_i(13 downto 0) <= slot_addr(13 downto 0);
when c_128 =>
mem_addr_i(27 downto 15) <= g_rom_base(27 downto 15);
mem_addr_i(14 downto 0) <= slot_addr(14 downto 0);
when c_ocean128 | c_system3 | c_domark | c_ocean256 =>
mem_addr_i <= g_rom_base(27 downto 20) & slot_addr(13) & ext_bank & bank_bits & slot_addr(12 downto 0);
-- when c_ocean256 =>
-- mem_addr_i(18 downto 0) <= ext_bank & bank_bits & slot_addr(12 downto 0);
-- mem_addr_i(19) <= slot_addr(13); -- map banks 16-31 to $A000. (second 128K)
when c_kcs =>
-- io2 ram access
if slot_addr(15 downto 8) = X"DF" then
mem_addr_i <= g_ram_base(27 downto 7) & slot_addr(6 downto 0);
allow_write <= '1';
else
-- rom access
mem_addr_i <= g_rom_base(27 downto 14) & slot_addr(13 downto 0);
end if;
when c_fc | c_westermann =>
-- rom access
mem_addr_i <= g_rom_base(27 downto 14) & slot_addr(13 downto 0);
when c_sbasic =>
-- rom access
mem_addr_i <= g_rom_base(27 downto 13) & slot_addr(12 downto 0);
mem_addr_i(19) <= slot_addr(13);
when c_bbasic =>
-- rom access
if slot_addr(15 downto 13)="100" then
mem_addr_i <= g_rom_base(27 downto 15) & "00" & slot_addr(12 downto 0);
elsif slot_addr(15 downto 13)="101" then
mem_addr_i <= g_rom_base(27 downto 15) & "01" & slot_addr(12 downto 0);
elsif slot_addr(15 downto 13)="111" then
mem_addr_i <= g_rom_base(27 downto 15) & "10" & slot_addr(12 downto 0);
end if;
when c_georam =>
if slot_addr(15 downto 8)=X"DE" then
mem_addr_i <= g_georam_base(27 downto 24) & georam_bank(15 downto 0) & slot_addr(7 downto 0);
allow_write <= '1';
end if;
when c_pagefox =>
if bank_bits(15) = '0' then
mem_addr_i <= g_rom_base(27 downto 16) & bank_bits(14) & bank_bits(13) & slot_addr(13 downto 0);
elsif bank_bits(14) = '0' then
mem_addr_i <= g_ram_base(27 downto 15) & bank_bits(13) & slot_addr(13 downto 0);
if slot_addr(15 downto 14)="10" then
allow_write <= '1';
end if;
end if;
when others =>
null;
end case;
if kernal_area='1' then
if kernal_16k='0' then
mem_addr_i <= g_kernal_base(27 downto 14) & slot_addr(12 downto 0) & '0';
else
mem_addr_i <= g_rom_base(27 downto 15) & (not sense) & slot_addr(12 downto 0) & '0';
end if;
end if;
end process;
mem_addr <= unsigned(mem_addr_i(mem_addr'range));
slot_resp.data(7) <= bank_bits(15);
slot_resp.data(6) <= '1';
slot_resp.data(5) <= '0';
slot_resp.data(4) <= bank_bits(14);
slot_resp.data(3) <= bank_bits(13);
slot_resp.data(2) <= '0'; -- freeze button pressed
slot_resp.data(1) <= allow_bank; -- '1'; -- allow bank bit stuck at '1' for 1541U
slot_resp.data(0) <= '0';
slot_resp.reg_output <= '1' when (slot_addr(8 downto 1)="00000000") and (cart_logic_d = c_retro) else '0';
end gideon;
|
-------------------------------------------------------------------------------
-- Copyright (c) 2012 Xilinx, Inc.
-- All Rights Reserved
-------------------------------------------------------------------------------
-- ____ ____
-- / /\/ /
-- /___/ \ / Vendor : Xilinx
-- \ \ \/ Version : 13.4
-- \ \ Application: XILINX CORE Generator
-- / / Filename : chipscope_icon_1_port.vhd
-- /___/ /\ Timestamp : Wed Dec 12 14:30:28 BRST 2012
-- \ \ / \
-- \___\/\___\
--
-- Design Name: VHDL Synthesis Wrapper
-------------------------------------------------------------------------------
-- This wrapper is used to integrate with Project Navigator and PlanAhead
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY chipscope_icon_1_port IS
port (
CONTROL0: inout std_logic_vector(35 downto 0));
END chipscope_icon_1_port;
ARCHITECTURE chipscope_icon_1_port_a OF chipscope_icon_1_port IS
BEGIN
END chipscope_icon_1_port_a;
|
-------------------------------------------------------------------------------
-- Copyright (c) 2012 Xilinx, Inc.
-- All Rights Reserved
-------------------------------------------------------------------------------
-- ____ ____
-- / /\/ /
-- /___/ \ / Vendor : Xilinx
-- \ \ \/ Version : 13.4
-- \ \ Application: XILINX CORE Generator
-- / / Filename : chipscope_icon_1_port.vhd
-- /___/ /\ Timestamp : Wed Dec 12 14:30:28 BRST 2012
-- \ \ / \
-- \___\/\___\
--
-- Design Name: VHDL Synthesis Wrapper
-------------------------------------------------------------------------------
-- This wrapper is used to integrate with Project Navigator and PlanAhead
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY chipscope_icon_1_port IS
port (
CONTROL0: inout std_logic_vector(35 downto 0));
END chipscope_icon_1_port;
ARCHITECTURE chipscope_icon_1_port_a OF chipscope_icon_1_port IS
BEGIN
END chipscope_icon_1_port_a;
|
library ieee;
use ieee.std_logic_1164.all;
entity simple01 is
port (a : in std_logic;
z : out std_logic);
end simple01;
--use work.pkg.all;
architecture behav of simple01 is
begin
process(A)
begin
Z <= not a;
end process;
end behav;
|
architecture ARCH of ENTITY1 is
begin
U_INST1 : INST1
generic map (
G_GEN_1 => 3,
G_GEN_2 => 4,
G_GEN_3 => 5
)
port map (
PORT_1 => w_port_1,
PORT_2 => w_port_2,
PORT_3 => w_port_3
);
-- Violations below
U_INST1 : INST1
generic map (
G_GEN_1 => 1,
G_GEN_2 => 2,
G_GEN_3 => 3
);
U_INST1 : INST1
generic map (
G_GEN_1 => 1,
G_GEN_2 => 2,
G_GEN_3 => 3
);
U_INST1 : INST1
generic map (
G_GEN_1 => 1,
G_GEN_2 => 2,
G_GEN_3 => 3
);
end architecture ARCH;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use IEEE.numeric_std.all;
entity processor_core is
port (
clk : in std_logic; --clock signal
rst : in std_logic; --reset signal
run : in std_logic; --trigger the miniSPIM to run
instaddr: out std_logic_vector(31 downto 0); --Instruction memory read address
inst : in std_logic_vector(31 downto 0); --Instruction memory data
memwen : out std_logic; --Memory write enable
memaddr : out std_logic_vector(31 downto 0); --Memory address
memdw : out std_logic_vector(31 downto 0); --Memory write data
memdr : in std_logic_vector(31 downto 0); --Memory read data
fin : out std_logic; --Indicate execution finish
PCout : out std_logic_vector(31 downto 0); --PC value when finish
regaddr : in std_logic_vector(4 downto 0); --Register read address (debug use only)
regdout : out std_logic_vector(31 downto 0) --register read data (debug user only)
);
end processor_core;
architecture arch_processor_core of processor_core is
-- Add the register table here
component regtable IS
PORT (
clk : in std_logic; --clock signal
rst : in std_logic; --reset signal
raddrA : in std_logic_vector(4 downto 0); --register read address 1
raddrB : in std_logic_vector(4 downto 0); --register read address 2
wen : in std_logic; --write enable
waddr : in std_logic_vector(4 downto 0); --register write address
din : in std_logic_vector(31 downto 0); --register write data
doutA : out std_logic_vector(31 downto 0); --register read data 1
doutB : out std_logic_vector(31 downto 0); --register read data 2
extaddr : in std_logic_vector(4 downto 0); --External register read address (debug use only)
extdout : out std_logic_vector(31 downto 0) --External register read datat (debug use only)
);
end component;
-- Add signals here
signal signExtended : std_logic_vector(31 downto 0);
signal controlCode : std_logic_vector(5 downto 0);
signal writeRegAddr : std_logic_vector(4 downto 0);
signal shiftLeft2 : std_logic_vector(31 downto 0);
signal WBdata : std_logic_vector(31 downto 0);
--PC related
signal PCtemp : std_logic_vector(31 downto 0);
signal run_temp : std_logic;
signal branchResult : std_logic;
--Connected to ALU
signal registerA : std_logic_vector(31 downto 0);
signal registerB : std_logic_vector(31 downto 0);
signal aluMultiplexed : std_logic_vector(31 downto 0);
signal ALUresult : std_logic_vector(31 downto 0);
signal funct : std_logic_vector(5 downto 0);
signal ALUcontrol : std_logic_vector(2 downto 0);
signal ALUzero : std_logic;
-- control signal
signal RegDst : std_logic;
signal Jump : std_logic;
signal Branch : std_logic;
signal MemRead : std_logic;
signal MemtoReg : std_logic;
signal ALUOp : std_logic_vector(3 downto 0);
signal MemWrite : std_logic;
signal ALUSrc : std_logic;
signal RegWrite : std_logic;
signal finSignal : std_logic;
begin
-- Processor Core Behaviour
--control unit
controlCode <= inst(31 downto 26);
RegDst <= '1' when controlCode = "000000" else -- 000000 = 0
'0';
Jump <= '1' when controlCode = "000010" else -- 000010 = 2
'0';
Branch <= '1' when controlCode = "000100" else -- 000100 = 8
'0';
MemRead <= '1' when controlCode = "100011" else -- 100011 = 35
'0';
MemToReg <= '1' when controlCode = "100011" else -- 100011 = 35
'0';
ALUOp <= "0111" when controlCode = "000000" else --0111 = 7, 000000 = 0, R-type
"0010" when controlCode = "001010" else --0010 = 2, 001010 = 10, slti
"0011" when controlCode = "001011" else --0011 = 3, 001011 = 11, sltiu
"0001" when controlCode = "000100" else --0001 = 1, 000100 = 4, beq
"0110" when controlCode = "000110" else --0110 = 6, 000110 = 6, lui
"0000" ;
MemWrite <= '1' when controlCode = "101011" else -- 101011 = 43
'0';
ALUSrc <= '0' when controlCode = "000000" --000000 = 0
or controlCode = "000100" --000100 = 4
or controlCode = "000010" else --000010 = 2
'1';
RegWrite <= '0' when controlCode = "000100" --000100 = 4
or controlCode = "000010" --000010 = 2
or controlCode = "101011" else --101011 = 43
'1';
--write register multiplexer
writeRegAddr <= inst(20 downto 16) when RegDst = '0' else
inst(15 downto 11);
--sign-extend
signExtended <= X"0000" & inst(15 downto 0) when inst(15) = '0' else
X"FFFF" & inst(15 downto 0);
--shift-left 2 (before address adder)
shiftLeft2 <= signExtended(29 downto 0) & "00";
--ALU multiplexer
aluMultiplexed <= registerB when ALUSrc = '0' else
signExtended;
--ALU control
funct <= inst(5 downto 0);
ALUcontrol <= "000" when ALUOp = "0111" and funct = "100000" else --add
"001" when ALUOp = "0111" and funct = "100010" else --sub
"010" when ALUOp = "0111" and funct = "101010" else --set less signed
"011" when ALUOp = "0111" and funct = "101011" else --set less unsigned
"100" when ALUOp = "0111" and funct = "100100" else --and
"101" when ALUOp = "0111" and funct = "100101" else --or
"110" when ALUOp = "0111" and funct = "000110" else --shift left extended value 16
"111" when ALUOp = "0111" and funct = "100111" else --nor
"000" when ALUOp = "0000" else --addi
"010" when ALUOp = "0010" else --slti
"011" when ALUOp = "0011" else --sltiu
"001" when ALUOp = "0001" else --beq
"000" when ALUOp = "0000" else --lw
"000" when ALUOp = "0110" else --lui
"000" when ALUOp = "0000"; --sw
--Register
registerTable: regtable
port map (
clk => clk,
rst => rst,
raddrA => inst(25 downto 21),
raddrB => inst(20 downto 16),
wen => RegWrite,
waddr => writeRegAddr,
din => WBdata,
doutA => registerA,
doutB => registerB,
extaddr => regaddr,
extdout => regdout
);
--PC_update
branchResult <= ALUzero and Branch;
process(clk, run, rst)
begin
if run = '1' then
run_temp <= '1';
end if;
if rst = '1' then
PCtemp <= X"00004000";
elsif run_temp = '1' and clk = '1' and clk'event then
if Jump = '1' then
PCtemp <= PCtemp(31 downto 28) & inst(25 downto 0) & "00";
elsif branchResult = '1' then
PCtemp <= std_logic_vector( unsigned(PCtemp) + 4 + unsigned(shiftLeft2) );
else
PCtemp <= std_logic_vector( unsigned(PCtemp) + 4 );
end if;
end if;
end process;
--write_register
process(memdr, ALUresult)
begin
if MemToReg = '1' then
WBdata <= memdr;
else
WBdata <= ALUresult;
end if;
end process;
--Processor core output
memwen <= MemWrite;
memdw <= registerB;
--get instruction from instruction memory
instaddr <= PCtemp;
--ALU
--detect not only ALUControl as it may got cases that input changes but do the same operation
memaddr <= ALUresult;
ALUresult <= std_logic_vector(signed(registerA) + signed(aluMultiplexed))
when ALUControl = "000" else
std_logic_vector(signed(registerA) - signed(aluMultiplexed))
when ALUControl = "001" else
X"00000001"
when ALUControl = "010" and signed(registerA) < signed(aluMultiplexed) else
X"00000001"
when ALUControl = "011" and unsigned(registerA) < unsigned(aluMultiplexed) else
registerA and aluMultiplexed
when ALUControl = "100" else
registerA or aluMultiplexed
when ALUControl = "101" else
aluMultiplexed(15 downto 0) & X"0000"
when ALUControl = "110" else
not registerA
when ALUControl = "111" else
X"00000000";
ALUzero <= '1' when ALUControl = "001" and registerA = aluMultiplexed else
'0';
fin <= finSignal;
finSignal <= '1' and run_temp
when (controlCode /= "000000" and
controlCode /= "001000" and
controlCode /= "100011" and
controlCode /= "101011" and
controlCode /= "001111" and
controlCode /= "000100" and
controlCode /= "001010" and
controlCode /= "001011" and
controlCode /= "000010") or
(PCtemp(1 downto 0) /= "00") or
(ALUresult (1 downto 0) /= "00" and (MemWrite = '1' or MemtoReg = '1')) or
inst(31 downto 0) = X"00000000"
else
'0';
process (finSignal)
begin
if finSignal = '1' then
PCout <= PCtemp;
end if;
end process;
end arch_processor_core; |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc502.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c03s02b02x00p02n01i00502ent IS
END c03s02b02x00p02n01i00502ent;
ARCHITECTURE c03s02b02x00p02n01i00502arch OF c03s02b02x00p02n01i00502ent IS
type R1 is record
end record; -- Failure_here
-- ERROR - SYNTAX ERROR: RECORD TYPE DECLARATION MUST
-- CONTAIN AT LEAST ONE ELEMENT
BEGIN
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c03s02b02x00p02n01i00502 - At least one element should be present in the record type definition."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s02b02x00p02n01i00502arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc502.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c03s02b02x00p02n01i00502ent IS
END c03s02b02x00p02n01i00502ent;
ARCHITECTURE c03s02b02x00p02n01i00502arch OF c03s02b02x00p02n01i00502ent IS
type R1 is record
end record; -- Failure_here
-- ERROR - SYNTAX ERROR: RECORD TYPE DECLARATION MUST
-- CONTAIN AT LEAST ONE ELEMENT
BEGIN
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c03s02b02x00p02n01i00502 - At least one element should be present in the record type definition."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s02b02x00p02n01i00502arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc502.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c03s02b02x00p02n01i00502ent IS
END c03s02b02x00p02n01i00502ent;
ARCHITECTURE c03s02b02x00p02n01i00502arch OF c03s02b02x00p02n01i00502ent IS
type R1 is record
end record; -- Failure_here
-- ERROR - SYNTAX ERROR: RECORD TYPE DECLARATION MUST
-- CONTAIN AT LEAST ONE ELEMENT
BEGIN
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c03s02b02x00p02n01i00502 - At least one element should be present in the record type definition."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s02b02x00p02n01i00502arch;
|
-- ZPU
--
-- Copyright 2004-2008 oharboe - Øyvind Harboe - oyvind.harboe@zylin.com
--
-- The FreeBSD license
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above
-- copyright notice, this list of conditions and the following
-- disclaimer in the documentation and/or other materials
-- provided with the distribution.
--
-- THIS SOFTWARE IS PROVIDED BY THE ZPU PROJECT ``AS IS'' AND ANY
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
-- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT,
-- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
-- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
-- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-- The views and conclusions contained in the software and documentation
-- are those of the authors and should not be interpreted as representing
-- official policies, either expressed or implied, of the ZPU Project.
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use ieee.numeric_std.all;
library work;
use work.zpu_config.all;
package zpupkg is
-- This bit is set for read/writes to IO
-- FIX!!! eventually this should be set to wordSize-1 so as to
-- to make the address of IO independent of amount of memory
-- reserved for CPU. Requires trivial tweaks in toolchain/runtime
-- libraries.
constant byteBits : integer := wordPower-3; -- # of bits in a word that addresses bytes
constant maxAddrBit : integer := maxAddrBitBRAM;
constant ioBit : integer := maxAddrBitIncIO;
constant wordSize : integer := 2**wordPower;
constant wordBytes : integer := wordSize/8;
constant minAddrBit : integer := byteBits;
-- configurable internal stack size. Probably going to be 16 after toolchain is done
constant stack_bits : integer := 5;
constant stack_size : integer := 2**stack_bits;
type zpu_dbg_out_type is record
pc: std_logic_vector(maxAddrBit downto 0);
opcode: std_logic_vector(7 downto 0);
sp: std_logic_vector(10 downto 2);
brk: std_logic;
ready: std_logic;
idim: std_logic;
stacka: std_logic_vector(wordSize-1 downto 0);
stackb: std_logic_vector(wordSize-1 downto 0);
valid: std_logic;
end record;
type zpu_dbg_in_type is record
step: std_logic;
freeze: std_logic;
inject: std_logic;
injectmode: std_logic;
flush: std_logic;
opcode: std_logic_vector(7 downto 0);
end record;
component trace is
port(
clk : in std_logic;
begin_inst : in std_logic;
pc : in std_logic_vector(maxAddrBitIncIO downto 0);
opcode : in std_logic_vector(7 downto 0);
sp : in std_logic_vector(maxAddrBitIncIO downto minAddrBit);
memA : in std_logic_vector(wordSize-1 downto 0);
memB : in std_logic_vector(wordSize-1 downto 0);
busy : in std_logic;
intSp : in std_logic_vector(stack_bits-1 downto 0)
);
end component;
component zpu_core_extreme_icache is
port (
wb_clk_i: in std_logic;
wb_rst_i: in std_logic;
-- Master wishbone interface
wb_ack_i: in std_logic;
wb_dat_i: in std_logic_vector(wordSize-1 downto 0);
wb_dat_o: out std_logic_vector(wordSize-1 downto 0);
wb_adr_o: out std_logic_vector(maxAddrBitIncIO downto 0);
wb_cyc_o: out std_logic;
wb_stb_o: out std_logic;
wb_sel_o: out std_logic_vector(3 downto 0);
wb_we_o: out std_logic;
wb_inta_i: in std_logic;
poppc_inst: out std_logic;
cache_flush: in std_logic;
break: out std_logic;
stack_a_read: in std_logic_vector(wordSize-1 downto 0);
stack_b_read: in std_logic_vector(wordSize-1 downto 0);
stack_a_write: out std_logic_vector(wordSize-1 downto 0);
stack_b_write: out std_logic_vector(wordSize-1 downto 0);
stack_a_writeenable: out std_logic_vector(3 downto 0);
stack_b_writeenable: out std_logic_vector(3 downto 0);
stack_a_enable: out std_logic;
stack_b_enable: out std_logic;
stack_a_addr: out std_logic_vector(stackSize_bits-1 downto 2);
stack_b_addr: out std_logic_vector(stackSize_bits-1 downto 2);
stack_clk: out std_logic;
-- ROM wb interface
rom_wb_ack_i: in std_logic;
rom_wb_dat_i: in std_logic_vector(wordSize-1 downto 0);
rom_wb_adr_o: out std_logic_vector(maxAddrBit downto 0);
rom_wb_cyc_o: out std_logic;
rom_wb_stb_o: out std_logic;
rom_wb_cti_o: out std_logic_vector(2 downto 0);
rom_wb_stall_i: in std_logic;
-- Debug interface
dbg_out: out zpu_dbg_out_type;
dbg_in: in zpu_dbg_in_type
);
end component;
component zpu_core_extreme is
port (
wb_clk_i: in std_logic;
wb_rst_i: in std_logic;
-- Master wishbone interface
wb_ack_i: in std_logic;
wb_dat_i: in std_logic_vector(wordSize-1 downto 0);
wb_dat_o: out std_logic_vector(wordSize-1 downto 0);
wb_adr_o: out std_logic_vector(maxAddrBitIncIO downto 0);
wb_cyc_o: out std_logic;
wb_stb_o: out std_logic;
wb_we_o: out std_logic;
wb_inta_i: in std_logic;
poppc_inst: out std_logic;
--cache_flush: in std_logic;
break: out std_logic;
stack_a_read: in std_logic_vector(wordSize-1 downto 0);
stack_b_read: in std_logic_vector(wordSize-1 downto 0);
stack_a_write: out std_logic_vector(wordSize-1 downto 0);
stack_b_write: out std_logic_vector(wordSize-1 downto 0);
stack_a_writeenable: out std_logic;
stack_b_writeenable: out std_logic;
stack_a_enable: out std_logic;
stack_b_enable: out std_logic;
stack_a_addr: out std_logic_vector(stackSize_bits+1 downto 2);
stack_b_addr: out std_logic_vector(stackSize_bits+1 downto 2);
stack_clk: out std_logic;
-- ROM wb interface
rom_wb_ack_i: in std_logic;
rom_wb_dat_i: in std_logic_vector(wordSize-1 downto 0);
rom_wb_adr_o: out std_logic_vector(maxAddrBit downto 0);
rom_wb_cyc_o: out std_logic;
rom_wb_stb_o: out std_logic;
rom_wb_cti_o: out std_logic_vector(2 downto 0);
rom_wb_stall_i: in std_logic;
-- Debug interface
dbg_out: out zpu_dbg_out_type;
dbg_in: in zpu_dbg_in_type
);
end component;
-- opcode decode constants
constant OpCode_Im : std_logic_vector(7 downto 7) := "1";
constant OpCode_StoreSP : std_logic_vector(7 downto 5) := "010";
constant OpCode_LoadSP : std_logic_vector(7 downto 5) := "011";
constant OpCode_Emulate : std_logic_vector(7 downto 5) := "001";
constant OpCode_AddSP : std_logic_vector(7 downto 4) := "0001";
constant OpCode_Short : std_logic_vector(7 downto 4) := "0000";
constant OpCode_Break : std_logic_vector(3 downto 0) := "0000";
constant OpCode_NA4 : std_logic_vector(3 downto 0) := "0001";
constant OpCode_PushSP : std_logic_vector(3 downto 0) := "0010";
constant OpCode_NA3 : std_logic_vector(3 downto 0) := "0011";
constant OpCode_PopPC : std_logic_vector(3 downto 0) := "0100";
constant OpCode_Add : std_logic_vector(3 downto 0) := "0101";
constant OpCode_And : std_logic_vector(3 downto 0) := "0110";
constant OpCode_Or : std_logic_vector(3 downto 0) := "0111";
constant OpCode_Load : std_logic_vector(3 downto 0) := "1000";
constant OpCode_Not : std_logic_vector(3 downto 0) := "1001";
constant OpCode_Flip : std_logic_vector(3 downto 0) := "1010";
constant OpCode_Nop : std_logic_vector(3 downto 0) := "1011";
constant OpCode_Store : std_logic_vector(3 downto 0) := "1100";
constant OpCode_PopSP : std_logic_vector(3 downto 0) := "1101";
constant OpCode_NA2 : std_logic_vector(3 downto 0) := "1110";
constant OpCode_NA : std_logic_vector(3 downto 0) := "1111";
constant OpCode_Loadh : std_logic_vector(5 downto 0) := std_logic_vector(to_unsigned(34, 6));
constant OpCode_Storeh : std_logic_vector(5 downto 0) := std_logic_vector(to_unsigned(35, 6));
constant OpCode_Lessthan : std_logic_vector(5 downto 0) := std_logic_vector(to_unsigned(36, 6));
constant OpCode_Lessthanorequal : std_logic_vector(5 downto 0) := std_logic_vector(to_unsigned(37, 6));
constant OpCode_Ulessthan : std_logic_vector(5 downto 0) := std_logic_vector(to_unsigned(38, 6));
constant OpCode_Ulessthanorequal : std_logic_vector(5 downto 0) := std_logic_vector(to_unsigned(39, 6));
constant OpCode_Swap : std_logic_vector(5 downto 0) := std_logic_vector(to_unsigned(40, 6));
constant OpCode_Mult : std_logic_vector(5 downto 0) := std_logic_vector(to_unsigned(41, 6));
constant OpCode_Lshiftright : std_logic_vector(5 downto 0) := std_logic_vector(to_unsigned(42, 6));
constant OpCode_Ashiftleft : std_logic_vector(5 downto 0) := std_logic_vector(to_unsigned(43, 6));
constant OpCode_Ashiftright : std_logic_vector(5 downto 0) := std_logic_vector(to_unsigned(44, 6));
constant OpCode_Call : std_logic_vector(5 downto 0) := std_logic_vector(to_unsigned(45, 6));
constant OpCode_Eq : std_logic_vector(5 downto 0) := std_logic_vector(to_unsigned(46, 6));
constant OpCode_Neq : std_logic_vector(5 downto 0) := std_logic_vector(to_unsigned(47, 6));
constant OpCode_Neg : std_logic_vector(5 downto 0) := std_logic_vector(to_unsigned(48, 6));
constant OpCode_Sub : std_logic_vector(5 downto 0) := std_logic_vector(to_unsigned(49, 6));
constant OpCode_Xor : std_logic_vector(5 downto 0) := std_logic_vector(to_unsigned(50, 6));
constant OpCode_Loadb : std_logic_vector(5 downto 0) := std_logic_vector(to_unsigned(51, 6));
constant OpCode_Storeb : std_logic_vector(5 downto 0) := std_logic_vector(to_unsigned(52, 6));
constant OpCode_Eqbranch : std_logic_vector(5 downto 0) := std_logic_vector(to_unsigned(55, 6));
constant OpCode_Neqbranch : std_logic_vector(5 downto 0) := std_logic_vector(to_unsigned(56, 6));
constant OpCode_Poppcrel : std_logic_vector(5 downto 0) := std_logic_vector(to_unsigned(57, 6));
constant OpCode_Pushspadd : std_logic_vector(5 downto 0) := std_logic_vector(to_unsigned(61, 6));
constant OpCode_Mult16x16 : std_logic_vector(5 downto 0) := std_logic_vector(to_unsigned(62, 6));
constant OpCode_Callpcrel : std_logic_vector(5 downto 0) := std_logic_vector(to_unsigned(63, 6));
constant OpCode_Size : integer := 8;
end zpupkg;
|
--
-- FIFO (using Altera scfifo for Cyclone II)
--
-- Author: Sebastian Witt
-- Date: 07.03.2008
-- Version: 1.0
--
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY altera_mf;
USE altera_mf.all;
entity slib_fifo is
generic (
WIDTH : integer := 8; -- FIFO width
SIZE_E : integer := 6 -- FIFO size (2^SIZE_E)
);
port (
CLK : in std_logic; -- Clock
RST : in std_logic; -- Reset
CLEAR : in std_logic; -- Clear FIFO
WRITE : in std_logic; -- Write to FIFO
READ : in std_logic; -- Read from FIFO
D : in std_logic_vector(WIDTH-1 downto 0); -- FIFO input
Q : out std_logic_vector(WIDTH-1 downto 0); -- FIFO output
EMPTY : out std_logic; -- FIFO is empty
FULL : out std_logic; -- FIFO is full
USAGE : out std_logic_vector(SIZE_E-1 downto 0) -- FIFO usage
);
end slib_fifo;
architecture altera of slib_fifo is
COMPONENT scfifo
GENERIC (
add_ram_output_register : STRING;
intended_device_family : STRING;
lpm_numwords : NATURAL;
lpm_showahead : STRING;
lpm_type : STRING;
lpm_width : NATURAL;
lpm_widthu : NATURAL;
overflow_checking : STRING;
underflow_checking : STRING;
use_eab : STRING
);
PORT (
usedw : OUT STD_LOGIC_VECTOR (SIZE_E-1 DOWNTO 0);
rdreq : IN STD_LOGIC ;
sclr : IN STD_LOGIC ;
empty : OUT STD_LOGIC ;
clock : IN STD_LOGIC ;
q : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0);
wrreq : IN STD_LOGIC ;
data : IN STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0);
full : OUT STD_LOGIC
);
END COMPONENT;
begin
scfifo_component : scfifo
GENERIC MAP (
add_ram_output_register => "OFF",
intended_device_family => "Cyclone II",
lpm_numwords => 2**SIZE_E,
lpm_showahead => "ON",
lpm_type => "scfifo",
lpm_width => WIDTH,
lpm_widthu => SIZE_E,
overflow_checking => "ON",
underflow_checking => "ON",
use_eab => "ON"
)
PORT MAP (
rdreq => READ,
sclr => CLEAR,
clock => CLK,
wrreq => WRITE,
data => D,
usedw => USAGE,
empty => EMPTY,
q => Q,
full => FULL
);
end altera;
|
--
-- FIFO (using Altera scfifo for Cyclone II)
--
-- Author: Sebastian Witt
-- Date: 07.03.2008
-- Version: 1.0
--
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY altera_mf;
USE altera_mf.all;
entity slib_fifo is
generic (
WIDTH : integer := 8; -- FIFO width
SIZE_E : integer := 6 -- FIFO size (2^SIZE_E)
);
port (
CLK : in std_logic; -- Clock
RST : in std_logic; -- Reset
CLEAR : in std_logic; -- Clear FIFO
WRITE : in std_logic; -- Write to FIFO
READ : in std_logic; -- Read from FIFO
D : in std_logic_vector(WIDTH-1 downto 0); -- FIFO input
Q : out std_logic_vector(WIDTH-1 downto 0); -- FIFO output
EMPTY : out std_logic; -- FIFO is empty
FULL : out std_logic; -- FIFO is full
USAGE : out std_logic_vector(SIZE_E-1 downto 0) -- FIFO usage
);
end slib_fifo;
architecture altera of slib_fifo is
COMPONENT scfifo
GENERIC (
add_ram_output_register : STRING;
intended_device_family : STRING;
lpm_numwords : NATURAL;
lpm_showahead : STRING;
lpm_type : STRING;
lpm_width : NATURAL;
lpm_widthu : NATURAL;
overflow_checking : STRING;
underflow_checking : STRING;
use_eab : STRING
);
PORT (
usedw : OUT STD_LOGIC_VECTOR (SIZE_E-1 DOWNTO 0);
rdreq : IN STD_LOGIC ;
sclr : IN STD_LOGIC ;
empty : OUT STD_LOGIC ;
clock : IN STD_LOGIC ;
q : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0);
wrreq : IN STD_LOGIC ;
data : IN STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0);
full : OUT STD_LOGIC
);
END COMPONENT;
begin
scfifo_component : scfifo
GENERIC MAP (
add_ram_output_register => "OFF",
intended_device_family => "Cyclone II",
lpm_numwords => 2**SIZE_E,
lpm_showahead => "ON",
lpm_type => "scfifo",
lpm_width => WIDTH,
lpm_widthu => SIZE_E,
overflow_checking => "ON",
underflow_checking => "ON",
use_eab => "ON"
)
PORT MAP (
rdreq => READ,
sclr => CLEAR,
clock => CLK,
wrreq => WRITE,
data => D,
usedw => USAGE,
empty => EMPTY,
q => Q,
full => FULL
);
end altera;
|
--
-- FIFO (using Altera scfifo for Cyclone II)
--
-- Author: Sebastian Witt
-- Date: 07.03.2008
-- Version: 1.0
--
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY altera_mf;
USE altera_mf.all;
entity slib_fifo is
generic (
WIDTH : integer := 8; -- FIFO width
SIZE_E : integer := 6 -- FIFO size (2^SIZE_E)
);
port (
CLK : in std_logic; -- Clock
RST : in std_logic; -- Reset
CLEAR : in std_logic; -- Clear FIFO
WRITE : in std_logic; -- Write to FIFO
READ : in std_logic; -- Read from FIFO
D : in std_logic_vector(WIDTH-1 downto 0); -- FIFO input
Q : out std_logic_vector(WIDTH-1 downto 0); -- FIFO output
EMPTY : out std_logic; -- FIFO is empty
FULL : out std_logic; -- FIFO is full
USAGE : out std_logic_vector(SIZE_E-1 downto 0) -- FIFO usage
);
end slib_fifo;
architecture altera of slib_fifo is
COMPONENT scfifo
GENERIC (
add_ram_output_register : STRING;
intended_device_family : STRING;
lpm_numwords : NATURAL;
lpm_showahead : STRING;
lpm_type : STRING;
lpm_width : NATURAL;
lpm_widthu : NATURAL;
overflow_checking : STRING;
underflow_checking : STRING;
use_eab : STRING
);
PORT (
usedw : OUT STD_LOGIC_VECTOR (SIZE_E-1 DOWNTO 0);
rdreq : IN STD_LOGIC ;
sclr : IN STD_LOGIC ;
empty : OUT STD_LOGIC ;
clock : IN STD_LOGIC ;
q : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0);
wrreq : IN STD_LOGIC ;
data : IN STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0);
full : OUT STD_LOGIC
);
END COMPONENT;
begin
scfifo_component : scfifo
GENERIC MAP (
add_ram_output_register => "OFF",
intended_device_family => "Cyclone II",
lpm_numwords => 2**SIZE_E,
lpm_showahead => "ON",
lpm_type => "scfifo",
lpm_width => WIDTH,
lpm_widthu => SIZE_E,
overflow_checking => "ON",
underflow_checking => "ON",
use_eab => "ON"
)
PORT MAP (
rdreq => READ,
sclr => CLEAR,
clock => CLK,
wrreq => WRITE,
data => D,
usedw => USAGE,
empty => EMPTY,
q => Q,
full => FULL
);
end altera;
|
LIBRARY IEEE; -- These lines informs the compiler that the library IEEE is used
USE IEEE.std_logic_1164.all; -- contains the definition for the std_logic type plus some useful conversion functions
ENTITY tb_register_generic IS END tb_register_generic;
ARCHITECTURE test OF tb_register_generic IS
CONSTANT size: INTEGER:= 8;
COMPONENT register_generic IS
GENERIC(size: INTEGER);
PORT(d: IN STD_LOGIC_VECTOR(size-1 DOWNTO 0);
clk, rst: IN STD_LOGIC;
q: OUT STD_LOGIC_VECTOR(size-1 DOWNTO 0));
END COMPONENT;
SIGNAL d, q: STD_LOGIC_VECTOR(size-1 DOWNTO 0);
SIGNAL clk, rst: STD_LOGIC;
BEGIN
T1: register_generic GENERIC MAP(size) PORT MAP(d, clk, rst, q);
d<="11111111",
"00001111" AFTER 5 ns,
"10101010" AFTER 15 ns,
"11110000" AFTER 25 ns,
"01010101" AFTER 35 ns,
"11001100" AFTER 40 ns;
clk<='0',
'1' AFTER 10 ns,
'0' AFTER 20 ns,
'1' AFTER 30 ns,
'0' AFTER 40 ns,
'1' AFTER 50 ns;
rst<='0',
'1' AFTER 45 ns;
end test; |
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 18:47:55 03/25/2015
-- Design Name:
-- Module Name: Stopwatch - RTL
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
entity Stopwatch is
port(
clk : in std_logic;
stamp_and_reset : in std_logic;
time_stamp : out std_logic_vector(7 downto 0)
);
end Stopwatch;
architecture RTL of Stopwatch is
signal counter : std_logic_vector(11 downto 0) := (others => '0');
type sw_state is (idle, should_stamp, should_reset, counting);
signal state : sw_state := idle;
begin
process(clk, state, counter, stamp_and_reset) begin
if (stamp_and_reset = '1') then
state <= should_stamp; -- pXª§¿ºªèAÌNbNÅ^CX^vAXÉÌNbNÅZbg
else
if (rising_edge(clk)) then
if (state = should_stamp) then
time_stamp <= counter(11 downto 4);
state <= should_reset;
elsif (state = should_reset) then
counter <= (others => '0');
state <= counting;
elsif (counter = "111111111111") then
counter <= (others => '0');
time_stamp <= (others => '0');
state <= idle;
elsif (state = counting) then
counter <= counter + 1;
else
counter <= counter;
end if;
end if;
end if;
end process;
end RTL;
|
--------------------------------------------------------------------------------
-- Wishbone Interface --
--------------------------------------------------------------------------------
-- The WB interface specification types and some convinience functions. --
-- This definition lacks the CYC and the tag signals. --
-- --
--------------------------------------------------------------------------------
-- Copyright (C)2011 Mathias Hörtnagl <mathias.hoertnagl@gmail.comt> --
-- --
-- This program is free software: you can redistribute it and/or modify --
-- it under the terms of the GNU General Public License as published by --
-- the Free Software Foundation, either version 3 of the License, or --
-- (at your option) any later version. --
-- --
-- This program is distributed in the hope that it will be useful, --
-- but WITHOUT ANY WARRANTY; without even the implied warranty of --
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the --
-- GNU General Public License for more details. --
-- --
-- You should have received a copy of the GNU General Public License --
-- along with this program. If not, see <http://www.gnu.org/licenses/>. --
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
package iwb is
-- WB MASTER
type master_out_t is record
dat : std_logic_vector(31 downto 0); -- DAT_O
sel : std_logic_vector(3 downto 0); -- SEL_O
adr : std_logic_vector(31 downto 0); -- ADR_O
stb : std_logic; -- STB_O
we : std_logic; -- WE_O
end record;
type master_in_t is record
clk : std_logic; -- CLK_I
rst : std_logic; -- RST_I
dat : std_logic_vector(31 downto 0); -- DAT_I
ack : std_logic; -- ACK_I
end record;
-- WB SLAVE
type slave_out_t is record
dat : std_logic_vector(31 downto 0); -- DAT_O
ack : std_logic; -- ACK_O
end record;
type slave_in_t is record
clk : std_logic; -- CLK_I
rst : std_logic; -- RST_I
dat : std_logic_vector(31 downto 0); -- DAT_I
sel : std_logic_vector(3 downto 0); -- SEL_I
adr : std_logic_vector(31 downto 0); -- ADR_I
stb : std_logic; -- STB_I
we : std_logic; -- WE_I
end record;
-- Indicates a Wb read or Wb write respectivly.
function wb_read(si : slave_in_t) return boolean;
function wb_write(si : slave_in_t) return boolean;
end iwb;
package body iwb is
function wb_read(si : slave_in_t) return boolean is
begin
return (si.stb = '1') and (si.we = '0');
end wb_read;
function wb_write(si : slave_in_t) return boolean is
begin
return (si.stb = '1') and (si.we = '1');
end wb_write;
end iwb;
|
----------------------------------------------------------------------------------
-- Module Name: tb_transceiver_test - Behavioral
--
-- Description: A testbench for the transceiver_test
--
----------------------------------------------------------------------------------
-- FPGA_DisplayPort from https://github.com/hamsternz/FPGA_DisplayPort
------------------------------------------------------------------------------------
-- The MIT License (MIT)
--
-- Copyright (c) 2015 Michael Alan Field <hamster@snap.net.nz>
--
-- Permission is hereby granted, free of charge, to any person obtaining a copy
-- of this software and associated documentation files (the "Software"), to deal
-- in the Software without restriction, including without limitation the rights
-- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
-- copies of the Software, and to permit persons to whom the Software is
-- furnished to do so, subject to the following conditions:
--
-- The above copyright notice and this permission notice shall be included in
-- all copies or substantial portions of the Software.
--
-- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
-- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
-- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
-- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
-- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
-- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
-- THE SOFTWARE.
------------------------------------------------------------------------------------
----- Want to say thanks? ----------------------------------------------------------
------------------------------------------------------------------------------------
--
-- This design has taken many hours - 3 months of work. I'm more than happy
-- to share it if you can make use of it. It is released under the MIT license,
-- so you are not under any onus to say thanks, but....
--
-- If you what to say thanks for this design either drop me an email, or how about
-- trying PayPal to my email (hamster@snap.net.nz)?
--
-- Educational use - Enough for a beer
-- Hobbyist use - Enough for a pizza
-- Research use - Enough to take the family out to dinner
-- Commercial use - A weeks pay for an engineer (I wish!)
--------------------------------------------------------------------------------------
-- Ver | Date | Change
--------+------------+---------------------------------------------------------------
-- 0.1 | 2015-09-17 | Initial Version
------------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity tb_transceiver is
end entity;
architecture arch of tb_transceiver is
component Transceiver is
generic( use_hw_8b10b_support : std_logic := '0');
Port ( mgmt_clk : in STD_LOGIC;
powerup_channel : in STD_LOGIC_VECTOR;
gclk27 : in STD_LOGIC;
preemp_0p0 : in STD_LOGIC;
preemp_3p5 : in STD_LOGIC;
preemp_6p0 : in STD_LOGIC;
swing_0p4 : in STD_LOGIC;
swing_0p6 : in STD_LOGIC;
swing_0p8 : in STD_LOGIC;
tx_running : out STD_LOGIC_VECTOR := (others => '0');
symbolclk : out STD_LOGIC;
in_symbols : in std_logic_vector(79 downto 0);
gtptxp : out std_logic_vector(3 downto 0);
gtptxn : out std_logic_vector(3 downto 0));
end component;
signal symbols : std_logic_vector(79 downto 0 ) := (others => '0');
signal clk : std_logic := '0';
signal symbolclk : std_logic := '0';
signal tx_running : std_logic_vector(3 downto 0);
signal powerup_channel : std_logic_vector(3 downto 0) := "0000";
signal gtptxp : std_logic_vector(3 downto 0);
signal gtptxn : std_logic_vector(3 downto 0);
signal gclk27 : STD_LOGIC := '1';
begin
uut: transceiver generic map (
use_hw_8b10b_support => '1'
) PORT MAP (
mgmt_clk => clk,
powerup_channel => powerup_channel,
gclk27 => gclk27,
preemp_0p0 => '1',
preemp_3p5 => '0',
preemp_6p0 => '0',
swing_0p4 => '1',
swing_0p6 => '0',
swing_0p8 => '0',
tx_running => tx_running,
symbolclk => symbolclk,
in_symbols => symbols,
gtptxp => gtptxp,
gtptxn => gtptxn
);
process(symbolclk)
begin
if rising_edge(symbolclk) then
if symbols(3 downto 0) = x"A" then
symbols <= x"00000" & x"00000" & "0110111100" & "0110111100" & "0110111100" & "0000000000";
else
symbols <= x"00000" & x"00000" & "0110111100" & "0110111100" & "0001001010" & "0001001010";
end if;
end if;
end process;
process
begin
wait for 5 ns;
clk <= '1';
wait for 5 ns;
clk <= '0';
end process;
process
begin
gclk27 <='0';
wait for 18.5 ns;
gclk27 <='1';
wait for 18.5 ns;
end process;
process
begin
wait for 25 ns;
powerup_channel <= "0011";
wait;
end process;
end architecture; |
-- -------------------------------------------------------------
--
-- File Name: hdlsrc/fft_16_bit/RADIX22FFT_SDNF1_1_block3.vhd
-- Created: 2017-03-27 23:13:58
--
-- Generated by MATLAB 9.1 and HDL Coder 3.9
--
-- -------------------------------------------------------------
-- -------------------------------------------------------------
--
-- Module: RADIX22FFT_SDNF1_1_block3
-- Source Path: fft_16_bit/FFT HDL Optimized/RADIX22FFT_SDNF1_1
-- Hierarchy Level: 2
--
-- -------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
USE IEEE.numeric_std.ALL;
ENTITY RADIX22FFT_SDNF1_1_block3 IS
PORT( clk : IN std_logic;
reset : IN std_logic;
enb : IN std_logic;
twdlXdin_5_re : IN std_logic_vector(17 DOWNTO 0); -- sfix18
twdlXdin_5_im : IN std_logic_vector(17 DOWNTO 0); -- sfix18
twdlXdin_13_re : IN std_logic_vector(17 DOWNTO 0); -- sfix18
twdlXdin_13_im : IN std_logic_vector(17 DOWNTO 0); -- sfix18
twdlXdin_1_vld : IN std_logic;
softReset : IN std_logic;
dout_9_re : OUT std_logic_vector(17 DOWNTO 0); -- sfix18
dout_9_im : OUT std_logic_vector(17 DOWNTO 0); -- sfix18
dout_10_re : OUT std_logic_vector(17 DOWNTO 0); -- sfix18
dout_10_im : OUT std_logic_vector(17 DOWNTO 0); -- sfix18
dout_9_vld : OUT std_logic
);
END RADIX22FFT_SDNF1_1_block3;
ARCHITECTURE rtl OF RADIX22FFT_SDNF1_1_block3 IS
-- Signals
SIGNAL twdlXdin_5_re_signed : signed(17 DOWNTO 0); -- sfix18
SIGNAL twdlXdin_5_im_signed : signed(17 DOWNTO 0); -- sfix18
SIGNAL twdlXdin_13_re_signed : signed(17 DOWNTO 0); -- sfix18
SIGNAL twdlXdin_13_im_signed : signed(17 DOWNTO 0); -- sfix18
SIGNAL Radix22ButterflyG1_NF_btf1_re_reg : signed(18 DOWNTO 0); -- sfix19
SIGNAL Radix22ButterflyG1_NF_btf1_im_reg : signed(18 DOWNTO 0); -- sfix19
SIGNAL Radix22ButterflyG1_NF_btf2_re_reg : signed(18 DOWNTO 0); -- sfix19
SIGNAL Radix22ButterflyG1_NF_btf2_im_reg : signed(18 DOWNTO 0); -- sfix19
SIGNAL Radix22ButterflyG1_NF_dinXtwdl_vld_dly1 : std_logic;
SIGNAL Radix22ButterflyG1_NF_btf1_re_reg_next : signed(18 DOWNTO 0); -- sfix19
SIGNAL Radix22ButterflyG1_NF_btf1_im_reg_next : signed(18 DOWNTO 0); -- sfix19
SIGNAL Radix22ButterflyG1_NF_btf2_re_reg_next : signed(18 DOWNTO 0); -- sfix19
SIGNAL Radix22ButterflyG1_NF_btf2_im_reg_next : signed(18 DOWNTO 0); -- sfix19
SIGNAL Radix22ButterflyG1_NF_dinXtwdl_vld_dly1_next : std_logic;
SIGNAL dout_9_re_tmp : signed(17 DOWNTO 0); -- sfix18
SIGNAL dout_9_im_tmp : signed(17 DOWNTO 0); -- sfix18
SIGNAL dout_10_re_tmp : signed(17 DOWNTO 0); -- sfix18
SIGNAL dout_10_im_tmp : signed(17 DOWNTO 0); -- sfix18
BEGIN
twdlXdin_5_re_signed <= signed(twdlXdin_5_re);
twdlXdin_5_im_signed <= signed(twdlXdin_5_im);
twdlXdin_13_re_signed <= signed(twdlXdin_13_re);
twdlXdin_13_im_signed <= signed(twdlXdin_13_im);
-- Radix22ButterflyG1_NF
Radix22ButterflyG1_NF_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
Radix22ButterflyG1_NF_btf1_re_reg <= to_signed(16#00000#, 19);
Radix22ButterflyG1_NF_btf1_im_reg <= to_signed(16#00000#, 19);
Radix22ButterflyG1_NF_btf2_re_reg <= to_signed(16#00000#, 19);
Radix22ButterflyG1_NF_btf2_im_reg <= to_signed(16#00000#, 19);
Radix22ButterflyG1_NF_dinXtwdl_vld_dly1 <= '0';
ELSIF clk'EVENT AND clk = '1' THEN
IF enb = '1' THEN
Radix22ButterflyG1_NF_btf1_re_reg <= Radix22ButterflyG1_NF_btf1_re_reg_next;
Radix22ButterflyG1_NF_btf1_im_reg <= Radix22ButterflyG1_NF_btf1_im_reg_next;
Radix22ButterflyG1_NF_btf2_re_reg <= Radix22ButterflyG1_NF_btf2_re_reg_next;
Radix22ButterflyG1_NF_btf2_im_reg <= Radix22ButterflyG1_NF_btf2_im_reg_next;
Radix22ButterflyG1_NF_dinXtwdl_vld_dly1 <= Radix22ButterflyG1_NF_dinXtwdl_vld_dly1_next;
END IF;
END IF;
END PROCESS Radix22ButterflyG1_NF_process;
Radix22ButterflyG1_NF_output : PROCESS (Radix22ButterflyG1_NF_btf1_re_reg, Radix22ButterflyG1_NF_btf1_im_reg,
Radix22ButterflyG1_NF_btf2_re_reg, Radix22ButterflyG1_NF_btf2_im_reg,
Radix22ButterflyG1_NF_dinXtwdl_vld_dly1, twdlXdin_5_re_signed,
twdlXdin_5_im_signed, twdlXdin_13_re_signed, twdlXdin_13_im_signed,
twdlXdin_1_vld)
BEGIN
Radix22ButterflyG1_NF_btf1_re_reg_next <= Radix22ButterflyG1_NF_btf1_re_reg;
Radix22ButterflyG1_NF_btf1_im_reg_next <= Radix22ButterflyG1_NF_btf1_im_reg;
Radix22ButterflyG1_NF_btf2_re_reg_next <= Radix22ButterflyG1_NF_btf2_re_reg;
Radix22ButterflyG1_NF_btf2_im_reg_next <= Radix22ButterflyG1_NF_btf2_im_reg;
Radix22ButterflyG1_NF_dinXtwdl_vld_dly1_next <= twdlXdin_1_vld;
IF twdlXdin_1_vld = '1' THEN
Radix22ButterflyG1_NF_btf1_re_reg_next <= resize(twdlXdin_5_re_signed, 19) + resize(twdlXdin_13_re_signed, 19);
Radix22ButterflyG1_NF_btf2_re_reg_next <= resize(twdlXdin_5_re_signed, 19) - resize(twdlXdin_13_re_signed, 19);
Radix22ButterflyG1_NF_btf1_im_reg_next <= resize(twdlXdin_5_im_signed, 19) + resize(twdlXdin_13_im_signed, 19);
Radix22ButterflyG1_NF_btf2_im_reg_next <= resize(twdlXdin_5_im_signed, 19) - resize(twdlXdin_13_im_signed, 19);
END IF;
dout_9_re_tmp <= Radix22ButterflyG1_NF_btf1_re_reg(17 DOWNTO 0);
dout_9_im_tmp <= Radix22ButterflyG1_NF_btf1_im_reg(17 DOWNTO 0);
dout_10_re_tmp <= Radix22ButterflyG1_NF_btf2_re_reg(17 DOWNTO 0);
dout_10_im_tmp <= Radix22ButterflyG1_NF_btf2_im_reg(17 DOWNTO 0);
dout_9_vld <= Radix22ButterflyG1_NF_dinXtwdl_vld_dly1;
END PROCESS Radix22ButterflyG1_NF_output;
dout_9_re <= std_logic_vector(dout_9_re_tmp);
dout_9_im <= std_logic_vector(dout_9_im_tmp);
dout_10_re <= std_logic_vector(dout_10_re_tmp);
dout_10_im <= std_logic_vector(dout_10_im_tmp);
END rtl;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
HGlgvJLUWEDOo05+EFGqlWjEGDuDYIYz+u9CGQnsBofbIRBssZDeukGQ5HCHuiCMVwchfvmtTfUS
L3UzCH1eeQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ksE4W9+F/Qcws0UCmrTa3B91ZYPrUXBEtbmPninUNiodjiLGQA0RY2IKALY03PCCC7ukVUnn3JdF
R1uCoF1tRJizN9N8R8RcwkJL7xtxgclXDiIFGBs4sR9WjNKSB88esrCG8QfCSd0SArNZ+/bATfjg
Sj7NX2Tx0T3TkXpeZwM=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fk1mNJ/1PGzCTnq/4AQaHUa1OaIME67ViETCTkGQOQJpd5xDMxXYG73qmNiaciqr/9bYigwk7AW0
Amiy1ixkDqbXPMy+v9KK4Ui+/IzKQhxh5wzqc6FR8X2oqq/D1GJV7AE478qDHc++lvJQOtGcAvK1
dQKtllN7X3g8nvbYI8MiSvuJPpCPTHRrZlRApDPwVe71Dd59cYdpvuCDTfPTucVnIxMhni0UhTIU
3vmRWSesNLRH/TXLmd2tWnBFdqEaK9LC417f9mTotLR/sjKC5YpSzkuH4rflZhLHLpAi6U39g028
dlFy0w43yuBZdlwohHJEAb/epWlV/bRtd51dHA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
BNT0LVazqbA1oUqdLrDYmUEwQnzb8ut2LMyfZ+d+Qp2jVXJls3fDzQQA6qZTWiOtxAZDNwBNW+We
Foksy0Q2/fT8HrJXUx8Sr6yZ/pwqokNYEGVSbQnENaRI5TvxyZDEwNkf7F6XvEitG2CWRFPKpkci
w8YfQw5AO2JXtmyVIn0=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Zkj/SZQhxWgN5Qj+w/lq7cg/yh6osHlHQ4ZS0eZ4XjGva0BkY4xq72S6S2YEgbat3y+WuMaGAftc
3P4I7jwiocXAuVjoz/2gHM33I+iqgxv8u0uYfUsL4Bhw8NQvp3XqSOK/QlHa43qi6ALd83VYtCNo
WPqrkfvsetXhMGrx40M3FekpRAsrcfZX+Kl5KLg5w2l7/AWRezdDXWVmCr/aUH1UN6Rgn5TkCL8M
aOm8die5BbwX4weGynzXyUaB/xsmLwGWi+wSS/lctGGnyBmhYZPQ/NDqQExK8Mu/p/cgWlslrpk+
s181svDEO325cZclIZBRSDzmAoTUKoJ2XREXzg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4960)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
HGlgvJLUWEDOo05+EFGqlWjEGDuDYIYz+u9CGQnsBofbIRBssZDeukGQ5HCHuiCMVwchfvmtTfUS
L3UzCH1eeQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ksE4W9+F/Qcws0UCmrTa3B91ZYPrUXBEtbmPninUNiodjiLGQA0RY2IKALY03PCCC7ukVUnn3JdF
R1uCoF1tRJizN9N8R8RcwkJL7xtxgclXDiIFGBs4sR9WjNKSB88esrCG8QfCSd0SArNZ+/bATfjg
Sj7NX2Tx0T3TkXpeZwM=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fk1mNJ/1PGzCTnq/4AQaHUa1OaIME67ViETCTkGQOQJpd5xDMxXYG73qmNiaciqr/9bYigwk7AW0
Amiy1ixkDqbXPMy+v9KK4Ui+/IzKQhxh5wzqc6FR8X2oqq/D1GJV7AE478qDHc++lvJQOtGcAvK1
dQKtllN7X3g8nvbYI8MiSvuJPpCPTHRrZlRApDPwVe71Dd59cYdpvuCDTfPTucVnIxMhni0UhTIU
3vmRWSesNLRH/TXLmd2tWnBFdqEaK9LC417f9mTotLR/sjKC5YpSzkuH4rflZhLHLpAi6U39g028
dlFy0w43yuBZdlwohHJEAb/epWlV/bRtd51dHA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
BNT0LVazqbA1oUqdLrDYmUEwQnzb8ut2LMyfZ+d+Qp2jVXJls3fDzQQA6qZTWiOtxAZDNwBNW+We
Foksy0Q2/fT8HrJXUx8Sr6yZ/pwqokNYEGVSbQnENaRI5TvxyZDEwNkf7F6XvEitG2CWRFPKpkci
w8YfQw5AO2JXtmyVIn0=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Zkj/SZQhxWgN5Qj+w/lq7cg/yh6osHlHQ4ZS0eZ4XjGva0BkY4xq72S6S2YEgbat3y+WuMaGAftc
3P4I7jwiocXAuVjoz/2gHM33I+iqgxv8u0uYfUsL4Bhw8NQvp3XqSOK/QlHa43qi6ALd83VYtCNo
WPqrkfvsetXhMGrx40M3FekpRAsrcfZX+Kl5KLg5w2l7/AWRezdDXWVmCr/aUH1UN6Rgn5TkCL8M
aOm8die5BbwX4weGynzXyUaB/xsmLwGWi+wSS/lctGGnyBmhYZPQ/NDqQExK8Mu/p/cgWlslrpk+
s181svDEO325cZclIZBRSDzmAoTUKoJ2XREXzg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4960)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
HGlgvJLUWEDOo05+EFGqlWjEGDuDYIYz+u9CGQnsBofbIRBssZDeukGQ5HCHuiCMVwchfvmtTfUS
L3UzCH1eeQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ksE4W9+F/Qcws0UCmrTa3B91ZYPrUXBEtbmPninUNiodjiLGQA0RY2IKALY03PCCC7ukVUnn3JdF
R1uCoF1tRJizN9N8R8RcwkJL7xtxgclXDiIFGBs4sR9WjNKSB88esrCG8QfCSd0SArNZ+/bATfjg
Sj7NX2Tx0T3TkXpeZwM=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fk1mNJ/1PGzCTnq/4AQaHUa1OaIME67ViETCTkGQOQJpd5xDMxXYG73qmNiaciqr/9bYigwk7AW0
Amiy1ixkDqbXPMy+v9KK4Ui+/IzKQhxh5wzqc6FR8X2oqq/D1GJV7AE478qDHc++lvJQOtGcAvK1
dQKtllN7X3g8nvbYI8MiSvuJPpCPTHRrZlRApDPwVe71Dd59cYdpvuCDTfPTucVnIxMhni0UhTIU
3vmRWSesNLRH/TXLmd2tWnBFdqEaK9LC417f9mTotLR/sjKC5YpSzkuH4rflZhLHLpAi6U39g028
dlFy0w43yuBZdlwohHJEAb/epWlV/bRtd51dHA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
BNT0LVazqbA1oUqdLrDYmUEwQnzb8ut2LMyfZ+d+Qp2jVXJls3fDzQQA6qZTWiOtxAZDNwBNW+We
Foksy0Q2/fT8HrJXUx8Sr6yZ/pwqokNYEGVSbQnENaRI5TvxyZDEwNkf7F6XvEitG2CWRFPKpkci
w8YfQw5AO2JXtmyVIn0=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Zkj/SZQhxWgN5Qj+w/lq7cg/yh6osHlHQ4ZS0eZ4XjGva0BkY4xq72S6S2YEgbat3y+WuMaGAftc
3P4I7jwiocXAuVjoz/2gHM33I+iqgxv8u0uYfUsL4Bhw8NQvp3XqSOK/QlHa43qi6ALd83VYtCNo
WPqrkfvsetXhMGrx40M3FekpRAsrcfZX+Kl5KLg5w2l7/AWRezdDXWVmCr/aUH1UN6Rgn5TkCL8M
aOm8die5BbwX4weGynzXyUaB/xsmLwGWi+wSS/lctGGnyBmhYZPQ/NDqQExK8Mu/p/cgWlslrpk+
s181svDEO325cZclIZBRSDzmAoTUKoJ2XREXzg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4960)
`protect data_block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`protect end_protected
|
library verilog;
use verilog.vl_types.all;
entity scheduler1_commit_entry is
generic(
ENTRY_ID : vl_logic_vector(0 to 5) := (Hi0, Hi0, Hi0, Hi0, Hi0, Hi0)
);
port(
iCLOCK : in vl_logic;
inRESET : in vl_logic;
iLOCK : in vl_logic;
iRESTART_VALID : in vl_logic;
iREGIST_POINTER : in vl_logic_vector(5 downto 0);
iREGIST_0_VALID : in vl_logic;
iREGIST_0_MAKE_FLAGS: in vl_logic;
iREGIST_0_WRITEBACK: in vl_logic;
iREGIST_0_FLAGS_PREG_POINTER: in vl_logic_vector(3 downto 0);
iREGIST_0_DEST_PREG_POINTER: in vl_logic_vector(5 downto 0);
iREGIST_0_DEST_LREG_POINTER: in vl_logic_vector(4 downto 0);
iREGIST_0_DEST_SYSREG: in vl_logic;
iREGIST_0_EX_BRANCH: in vl_logic;
iREGIST_1_VALID : in vl_logic;
iREGIST_1_MAKE_FLAGS: in vl_logic;
iREGIST_1_WRITEBACK: in vl_logic;
iREGIST_1_FLAGS_PREG_POINTER: in vl_logic_vector(3 downto 0);
iREGIST_1_DEST_PREG_POINTER: in vl_logic_vector(5 downto 0);
iREGIST_1_DEST_LREG_POINTER: in vl_logic_vector(4 downto 0);
iREGIST_1_DEST_SYSREG: in vl_logic;
iREGIST_1_EX_BRANCH: in vl_logic;
iREGIST_PC : in vl_logic_vector(31 downto 0);
iCOMMIT_VALID : in vl_logic;
iEXEND_ALU0_VALID: in vl_logic;
iEXEND_ALU0_COMMIT_TAG: in vl_logic_vector(5 downto 0);
iEXEND_ALU1_VALID: in vl_logic;
iEXEND_ALU1_COMMIT_TAG: in vl_logic_vector(5 downto 0);
iEXEND_ALU2_VALID: in vl_logic;
iEXEND_ALU2_COMMIT_TAG: in vl_logic_vector(5 downto 0);
iEXEND_ALU3_VALID: in vl_logic;
iEXEND_ALU3_COMMIT_TAG: in vl_logic_vector(5 downto 0);
oINFO_VALID : out vl_logic;
oINFO_MAKE_FLAGS_VALID: out vl_logic;
oINFO_WRITEBACK_VALID: out vl_logic;
oINFO_PC : out vl_logic_vector(31 downto 0);
oINFO_FLAGS_PREG_POINTER: out vl_logic_vector(3 downto 0);
oINFO_DEST_PREG_POINTER: out vl_logic_vector(5 downto 0);
oINFO_DEST_LREG_POINTER: out vl_logic_vector(4 downto 0);
oINFO_DEST_SYSREG: out vl_logic;
oINFO_EX_BRANCH : out vl_logic;
oINFO_EX_END : out vl_logic;
oINFO_FREE : out vl_logic
);
attribute mti_svvh_generic_type : integer;
attribute mti_svvh_generic_type of ENTRY_ID : constant is 1;
end scheduler1_commit_entry;
|
---------------------------------------------------------------------------
-- --
-- Module : BRAM_S36_S72.vhd Last Update: --
-- --
-- Project : Parameterizable LocalLink FIFO --
-- --
-- Description : BRAM Macro with Dual Port, two data widths (32 and --
-- 72) made for LL_FIFO. --
-- --
-- Designer : Wen Ying Wei, Davy Huang --
-- --
-- Company : Xilinx, Inc. --
-- --
-- Disclaimer : THESE DESIGNS ARE PROVIDED "AS IS" WITH NO WARRANTY --
-- WHATSOEVER and XILinX SPECifICALLY DISCLAIMS ANY --
-- IMPLIED WARRANTIES OF MERCHANTABILITY, FITNESS For --
-- A PARTICULAR PURPOSE, or AGAinST inFRinGEMENT. --
-- THEY ARE ONLY inTENDED TO BE USED BY XILinX --
-- CUSTOMERS, and WITHin XILinX DEVICES. --
-- --
-- Copyright (c) 2003 Xilinx, Inc. --
-- All rights reserved --
-- --
---------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
library UNISIM;
use UNISIM.vcomponents.all;
entity BRAM_S36_S72 is
port (ADDRA : in std_logic_vector (9 downto 0);
ADDRB : in std_logic_vector (8 downto 0);
DIA : in std_logic_vector (31 downto 0);
DIPA : in std_logic_vector (3 downto 0);
DIB : in std_logic_vector (63 downto 0);
DIPB : in std_logic_vector (7 downto 0);
WEA : in std_logic;
WEB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
ENA : in std_logic;
ENB : in std_logic;
DOA : out std_logic_vector (31 downto 0);
DOPA : out std_logic_vector (3 downto 0);
DOB : out std_logic_vector (63 downto 0);
DOPB : out std_logic_vector(7 downto 0));
end entity BRAM_S36_S72;
architecture BRAM_S36_S72_arch of BRAM_S36_S72 is
component RAMB16_S18_S36
port (
ADDRA: in std_logic_vector(9 downto 0);
ADDRB: in std_logic_vector(8 downto 0);
DIA: in std_logic_vector(15 downto 0);
DIPA: in std_logic_vector(1 downto 0);
DIB: in std_logic_vector(31 downto 0);
DIPB: in std_logic_vector(3 downto 0);
WEA: in std_logic;
WEB: in std_logic;
CLKA: in std_logic;
CLKB: in std_logic;
SSRA: in std_logic;
SSRB: in std_logic;
ENA: in std_logic;
ENB: in std_logic;
DOA: OUT std_logic_vector(15 downto 0);
DOPA: OUT std_logic_vector(1 downto 0);
DOB: OUT std_logic_vector(31 downto 0);
DOPB: OUT std_logic_vector(3 downto 0));
END component;
signal doa1 : std_logic_vector (15 downto 0);
signal dob1 : std_logic_vector (31 downto 0);
signal doa2 : std_logic_vector (15 downto 0);
signal dob2 : std_logic_vector (31 downto 0);
signal dia1 : std_logic_vector (15 downto 0);
signal dib1 : std_logic_vector (31 downto 0);
signal dia2 : std_logic_vector (15 downto 0);
signal dib2 : std_logic_vector (31 downto 0);
signal dipa1: std_logic_vector (1 downto 0);
signal dipa2: std_logic_vector (1 downto 0);
signal dopa1: std_logic_vector (1 downto 0);
signal dopa2: std_logic_vector (1 downto 0);
signal dipb1: std_logic_vector (3 downto 0);
signal dipb2: std_logic_vector (3 downto 0);
signal dopb1: std_logic_vector (3 downto 0);
signal dopb2: std_logic_vector (3 downto 0);
begin
dia1(15 downto 0) <= DIA(15 downto 0);
dia2(15 downto 0) <= DIA(31 downto 16);
dib1(15 downto 0) <= DIB(15 downto 0);
dib2(15 downto 0) <= DIB(31 downto 16);
dib1(31 downto 16) <= DIB(47 downto 32);
dib2(31 downto 16) <= DIB(63 downto 48);
dipa1(1 downto 0) <= DIPA(1 downto 0);
dipa2(1 downto 0) <= DIPA(3 downto 2);
dipb1(1 downto 0) <= DIPB(1 downto 0);
dipb2(1 downto 0) <= DIPB(3 downto 2);
dipb1(3 downto 2) <= DIPB(5 downto 4);
dipb2(3 downto 2) <= DIPB(7 downto 6);
DOA(15 downto 0) <= doa1;
DOA(31 downto 16) <= doa2;
DOPA(1 downto 0) <= dopa1;
DOPA(3 downto 2) <= dopa2;
DOPB(1 downto 0) <= dopb1(1 downto 0);
DOPB(3 downto 2) <= dopb2(1 downto 0);
DOPB(5 downto 4) <= dopb1(3 downto 2);
DOPB(7 downto 6) <= dopb2(3 downto 2);
DOB(15 downto 0) <= dob1(15 downto 0);
DOB(31 downto 16) <= dob2(15 downto 0);
DOB(47 downto 32) <= dob1(31 downto 16);
DOB(63 downto 48) <= dob2(31 downto 16);
bram1: RAMB16_S18_S36
port map (
ADDRA => addra(9 downto 0),
ADDRB => addrb(8 downto 0),
DIA => dia1,
DIPA => dipa1,
DIB => dib1,
DIPB => dipb1,
WEA => wea,
WEB => web,
CLKA => clka,
CLKB => clkb,
SSRA => ssra,
SSRB => ssrb,
ENA => ena,
ENB => enb,
DOA => doa1,
DOPA => dopa1,
DOB => dob1,
DOPB => dopb1);
bram2: RAMB16_S18_S36
port map (
ADDRA => addra(9 downto 0),
ADDRB => addrb(8 downto 0),
DIA => dia2,
DIPA => dipa2,
DIB => dib2,
DIPB => dipb2,
WEA => wea,
WEB => web,
CLKA => clka,
CLKB => clkb,
SSRA => ssra,
SSRB => ssrb,
ENA => ena,
ENB => enb,
DOA => doa2,
DOPA => dopa2,
DOB => dob2,
DOPB => dopb2);
end BRAM_S36_S72_arch;
|
-------------------------------------------------------------------------------
-- $Id: pselect.vhd,v 1.1.2.2 2010/09/06 09:01:24 rolandp Exp $
-------------------------------------------------------------------------------
--
-- (c) Copyright [2003] - [2011] Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES
--
-------------------------------------------------------------------------------
-- Filename: pselect.vhd
--
-- Description: Parameterizeable peripheral select (address decode).
-- AValid qualifier comes in on Carry In at bottom
-- of carry chain. For version with AValid at top of
-- carry chain, see pselect_top.vhd.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- pselect.vhd
--
-------------------------------------------------------------------------------
-- Author: goran
-- Revision: $Revision: 1.1.2.2 $
-- Date: $Date: 2010/09/06 09:01:24 $
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library unisim;
use unisim.all;
-----------------------------------------------------------------------------
-- Entity section
-----------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Definition of Generics:
-- C_AB -- number of address bits to decode
-- C_AW -- width of address bus
-- C_BAR -- base address of peripheral (peripheral select
-- is asserted when the C_AB most significant
-- address bits match the C_AB most significant
-- C_BAR bits
-- Definition of Ports:
-- A -- address input
-- AValid -- address qualifier
-- CS -- peripheral select
-------------------------------------------------------------------------------
entity pselect is
generic (
C_AB : integer := 9;
C_AW : integer := 32;
C_BAR : std_logic_vector
);
port (
A : in std_logic_vector(0 to C_AW-1);
AValid : in std_logic;
CS : out std_logic
);
end entity pselect;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture imp of pselect is
component MUXCY is
port (
O : out std_logic;
CI : in std_logic;
DI : in std_logic;
S : in std_logic
);
end component MUXCY;
attribute INIT : string;
-----------------------------------------------------------------------------
-- Constant Declarations
-----------------------------------------------------------------------------
constant NUM_LUTS : integer := (C_AB+3)/4;
-- C_BAR may not be indexed from 0 and may not be ascending;
-- BAR recasts C_BAR to have these properties.
constant BAR : std_logic_vector(0 to C_BAR'length-1) := C_BAR;
-----------------------------------------------------------------------------
-- Signal Declarations
-----------------------------------------------------------------------------
--signal lut_out : std_logic_vector(0 to NUM_LUTS-1);
signal lut_out : std_logic_vector(0 to NUM_LUTS); -- XST workaround
signal carry_chain : std_logic_vector(0 to NUM_LUTS);
-------------------------------------------------------------------------------
-- Begin architecture section
-------------------------------------------------------------------------------
begin
--------------------------------------------------------------------------------
-- Check that the passed generics allow for correct implementation.
--------------------------------------------------------------------------------
-- synthesis translate_off
assert (C_AB <= C_BAR'length) and (C_AB <= C_AW)
report "pselect generic error: " &
"(C_AB <= C_BAR'length) and (C_AB <= C_AW)" &
" does not hold."
severity failure;
-- synthesis translate_on
--------------------------------------------------------------------------------
-- Build the decoder using the fast carry chain.
--------------------------------------------------------------------------------
carry_chain(0) <= AValid;
XST_WA: if NUM_LUTS > 0 generate -- workaround for XST; remove this
-- enclosing generate when fixed
GEN_DECODE: for i in 0 to NUM_LUTS-1 generate
signal lut_in : std_logic_vector(3 downto 0);
signal invert : std_logic_vector(3 downto 0);
begin
GEN_LUT_INPUTS: for j in 0 to 3 generate
-- Generate to assign address bits to LUT4 inputs
GEN_INPUT: if i < NUM_LUTS-1 or j <= ((C_AB-1) mod 4) generate
lut_in(j) <= A(i*4+j);
invert(j) <= not BAR(i*4+j);
end generate;
-- Generate to assign one to remaining LUT4, pad, inputs
GEN_ZEROS: if not(i < NUM_LUTS-1 or j <= ((C_AB-1) mod 4)) generate
lut_in(j) <= '1';
invert(j) <= '0';
end generate;
end generate;
---------------------------------------------------------------------------
-- RTL LUT instantiation
---------------------------------------------------------------------------
lut_out(i) <= (lut_in(0) xor invert(0)) and
(lut_in(1) xor invert(1)) and
(lut_in(2) xor invert(2)) and
(lut_in(3) xor invert(3));
MUXCY_I: MUXCY
port map (
O => carry_chain(i+1), --[out]
CI => carry_chain(i), --[in]
DI => '0', --[in]
S => lut_out(i) --[in]
);
end generate GEN_DECODE;
end generate XST_WA;
CS <= carry_chain(NUM_LUTS); -- assign end of carry chain to output;
-- if NUM_LUTS=0, then
-- CS <= carry_chain(0) <= AValid
end imp;
|
package poly is
generic (a, b : integer);
function apply (x : integer) return integer;
end package;
package body poly is
function add (x, y : integer) return integer is
begin
return x + y;
end function;
function mul (x, y : integer) return integer is
begin
return x * y;
end function;
function apply (x : integer) return integer is
begin
return add(mul(x, a), b);
end function;
end package body;
-------------------------------------------------------------------------------
package wrapper is
generic ( package p is new work.poly generic map ( <> ) );
function wrapped_apply (n : integer) return integer;
procedure check_params (xa, xb : integer);
end package;
package body wrapper is
use p.all;
function wrapped_apply (n : integer) return integer is
begin
return apply(n);
end function;
procedure check_params (xa, xb : integer) is
begin
report "a=" & to_string(a) & " b=" & to_string(b);
assert a = xa;
assert b = xb;
end procedure;
end package body;
-------------------------------------------------------------------------------
entity genpack4 is
end entity;
architecture test of genpack4 is
package my_poly1 is new work.poly generic map (a => 2, b => 3);
package my_wrap1 is new work.wrapper generic map (p => my_poly1);
package my_poly2 is new work.poly generic map (a => 5, b => 1);
package my_wrap2 is new work.wrapper generic map (p => my_poly2);
begin
main: process is
variable v : integer := 5;
begin
assert my_wrap1.wrapped_apply(2) = 7;
wait for 1 ns;
assert my_wrap1.wrapped_apply(v) = 13;
my_wrap1.check_params(2, 3);
assert my_wrap2.wrapped_apply(2) = 11;
assert my_wrap2.wrapped_apply(v) = 26;
my_wrap2.check_params(v, 1);
wait;
end process;
end architecture;
|
-- -------------------------------------------------------------
--
-- File Name: hdl_prj/hdlsrc/OFDM_transmitter/RADIX22FFT_SDNF1_3_block1.vhd
-- Created: 2017-03-27 15:50:06
--
-- Generated by MATLAB 9.1 and HDL Coder 3.9
--
-- -------------------------------------------------------------
-- -------------------------------------------------------------
--
-- Module: RADIX22FFT_SDNF1_3_block1
-- Source Path: OFDM_transmitter/IFFT HDL Optimized/RADIX22FFT_SDNF1_3
-- Hierarchy Level: 2
--
-- -------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
USE IEEE.numeric_std.ALL;
ENTITY RADIX22FFT_SDNF1_3_block1 IS
PORT( clk : IN std_logic;
reset : IN std_logic;
enb_1_16_0 : IN std_logic;
twdlXdin_5_re : IN std_logic_vector(15 DOWNTO 0); -- sfix16_En13
twdlXdin_5_im : IN std_logic_vector(15 DOWNTO 0); -- sfix16_En13
twdlXdin_7_re : IN std_logic_vector(15 DOWNTO 0); -- sfix16_En13
twdlXdin_7_im : IN std_logic_vector(15 DOWNTO 0); -- sfix16_En13
twdlXdin_1_vld : IN std_logic;
softReset : IN std_logic;
dout_5_re : OUT std_logic_vector(15 DOWNTO 0); -- sfix16_En13
dout_5_im : OUT std_logic_vector(15 DOWNTO 0); -- sfix16_En13
dout_6_re : OUT std_logic_vector(15 DOWNTO 0); -- sfix16_En13
dout_6_im : OUT std_logic_vector(15 DOWNTO 0); -- sfix16_En13
dout_5_vld : OUT std_logic
);
END RADIX22FFT_SDNF1_3_block1;
ARCHITECTURE rtl OF RADIX22FFT_SDNF1_3_block1 IS
-- Signals
SIGNAL twdlXdin_5_re_signed : signed(15 DOWNTO 0); -- sfix16_En13
SIGNAL twdlXdin_5_im_signed : signed(15 DOWNTO 0); -- sfix16_En13
SIGNAL twdlXdin_7_re_signed : signed(15 DOWNTO 0); -- sfix16_En13
SIGNAL twdlXdin_7_im_signed : signed(15 DOWNTO 0); -- sfix16_En13
SIGNAL Radix22ButterflyG1_NF_btf1_re_reg : signed(16 DOWNTO 0); -- sfix17
SIGNAL Radix22ButterflyG1_NF_btf1_im_reg : signed(16 DOWNTO 0); -- sfix17
SIGNAL Radix22ButterflyG1_NF_btf2_re_reg : signed(16 DOWNTO 0); -- sfix17
SIGNAL Radix22ButterflyG1_NF_btf2_im_reg : signed(16 DOWNTO 0); -- sfix17
SIGNAL Radix22ButterflyG1_NF_dinXtwdl_vld_dly1 : std_logic;
SIGNAL Radix22ButterflyG1_NF_btf1_re_reg_next : signed(16 DOWNTO 0); -- sfix17_En13
SIGNAL Radix22ButterflyG1_NF_btf1_im_reg_next : signed(16 DOWNTO 0); -- sfix17_En13
SIGNAL Radix22ButterflyG1_NF_btf2_re_reg_next : signed(16 DOWNTO 0); -- sfix17_En13
SIGNAL Radix22ButterflyG1_NF_btf2_im_reg_next : signed(16 DOWNTO 0); -- sfix17_En13
SIGNAL Radix22ButterflyG1_NF_dinXtwdl_vld_dly1_next : std_logic;
SIGNAL dout_5_re_tmp : signed(15 DOWNTO 0); -- sfix16_En13
SIGNAL dout_5_im_tmp : signed(15 DOWNTO 0); -- sfix16_En13
SIGNAL dout_6_re_tmp : signed(15 DOWNTO 0); -- sfix16_En13
SIGNAL dout_6_im_tmp : signed(15 DOWNTO 0); -- sfix16_En13
BEGIN
twdlXdin_5_re_signed <= signed(twdlXdin_5_re);
twdlXdin_5_im_signed <= signed(twdlXdin_5_im);
twdlXdin_7_re_signed <= signed(twdlXdin_7_re);
twdlXdin_7_im_signed <= signed(twdlXdin_7_im);
-- Radix22ButterflyG1_NF
Radix22ButterflyG1_NF_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
Radix22ButterflyG1_NF_btf1_re_reg <= to_signed(16#00000#, 17);
Radix22ButterflyG1_NF_btf1_im_reg <= to_signed(16#00000#, 17);
Radix22ButterflyG1_NF_btf2_re_reg <= to_signed(16#00000#, 17);
Radix22ButterflyG1_NF_btf2_im_reg <= to_signed(16#00000#, 17);
Radix22ButterflyG1_NF_dinXtwdl_vld_dly1 <= '0';
ELSIF clk'EVENT AND clk = '1' THEN
IF enb_1_16_0 = '1' THEN
Radix22ButterflyG1_NF_btf1_re_reg <= Radix22ButterflyG1_NF_btf1_re_reg_next;
Radix22ButterflyG1_NF_btf1_im_reg <= Radix22ButterflyG1_NF_btf1_im_reg_next;
Radix22ButterflyG1_NF_btf2_re_reg <= Radix22ButterflyG1_NF_btf2_re_reg_next;
Radix22ButterflyG1_NF_btf2_im_reg <= Radix22ButterflyG1_NF_btf2_im_reg_next;
Radix22ButterflyG1_NF_dinXtwdl_vld_dly1 <= Radix22ButterflyG1_NF_dinXtwdl_vld_dly1_next;
END IF;
END IF;
END PROCESS Radix22ButterflyG1_NF_process;
Radix22ButterflyG1_NF_output : PROCESS (Radix22ButterflyG1_NF_btf1_re_reg, Radix22ButterflyG1_NF_btf1_im_reg,
Radix22ButterflyG1_NF_btf2_re_reg, Radix22ButterflyG1_NF_btf2_im_reg,
Radix22ButterflyG1_NF_dinXtwdl_vld_dly1, twdlXdin_5_re_signed,
twdlXdin_5_im_signed, twdlXdin_7_re_signed, twdlXdin_7_im_signed,
twdlXdin_1_vld)
VARIABLE add_cast : signed(16 DOWNTO 0);
VARIABLE add_cast_0 : signed(16 DOWNTO 0);
VARIABLE sra_temp : signed(16 DOWNTO 0);
VARIABLE sub_cast : signed(16 DOWNTO 0);
VARIABLE sub_cast_0 : signed(16 DOWNTO 0);
VARIABLE sra_temp_0 : signed(16 DOWNTO 0);
VARIABLE add_cast_1 : signed(16 DOWNTO 0);
VARIABLE add_cast_2 : signed(16 DOWNTO 0);
VARIABLE sra_temp_1 : signed(16 DOWNTO 0);
VARIABLE sub_cast_1 : signed(16 DOWNTO 0);
VARIABLE sub_cast_2 : signed(16 DOWNTO 0);
VARIABLE sra_temp_2 : signed(16 DOWNTO 0);
BEGIN
Radix22ButterflyG1_NF_btf1_re_reg_next <= Radix22ButterflyG1_NF_btf1_re_reg;
Radix22ButterflyG1_NF_btf1_im_reg_next <= Radix22ButterflyG1_NF_btf1_im_reg;
Radix22ButterflyG1_NF_btf2_re_reg_next <= Radix22ButterflyG1_NF_btf2_re_reg;
Radix22ButterflyG1_NF_btf2_im_reg_next <= Radix22ButterflyG1_NF_btf2_im_reg;
Radix22ButterflyG1_NF_dinXtwdl_vld_dly1_next <= twdlXdin_1_vld;
IF twdlXdin_1_vld = '1' THEN
add_cast := resize(twdlXdin_5_re_signed, 17);
add_cast_0 := resize(twdlXdin_7_re_signed, 17);
Radix22ButterflyG1_NF_btf1_re_reg_next <= add_cast + add_cast_0;
sub_cast := resize(twdlXdin_5_re_signed, 17);
sub_cast_0 := resize(twdlXdin_7_re_signed, 17);
Radix22ButterflyG1_NF_btf2_re_reg_next <= sub_cast - sub_cast_0;
add_cast_1 := resize(twdlXdin_5_im_signed, 17);
add_cast_2 := resize(twdlXdin_7_im_signed, 17);
Radix22ButterflyG1_NF_btf1_im_reg_next <= add_cast_1 + add_cast_2;
sub_cast_1 := resize(twdlXdin_5_im_signed, 17);
sub_cast_2 := resize(twdlXdin_7_im_signed, 17);
Radix22ButterflyG1_NF_btf2_im_reg_next <= sub_cast_1 - sub_cast_2;
END IF;
sra_temp := SHIFT_RIGHT(Radix22ButterflyG1_NF_btf1_re_reg, 1);
dout_5_re_tmp <= sra_temp(15 DOWNTO 0);
sra_temp_0 := SHIFT_RIGHT(Radix22ButterflyG1_NF_btf1_im_reg, 1);
dout_5_im_tmp <= sra_temp_0(15 DOWNTO 0);
sra_temp_1 := SHIFT_RIGHT(Radix22ButterflyG1_NF_btf2_re_reg, 1);
dout_6_re_tmp <= sra_temp_1(15 DOWNTO 0);
sra_temp_2 := SHIFT_RIGHT(Radix22ButterflyG1_NF_btf2_im_reg, 1);
dout_6_im_tmp <= sra_temp_2(15 DOWNTO 0);
dout_5_vld <= Radix22ButterflyG1_NF_dinXtwdl_vld_dly1;
END PROCESS Radix22ButterflyG1_NF_output;
dout_5_re <= std_logic_vector(dout_5_re_tmp);
dout_5_im <= std_logic_vector(dout_5_im_tmp);
dout_6_re <= std_logic_vector(dout_6_re_tmp);
dout_6_im <= std_logic_vector(dout_6_im_tmp);
END rtl;
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:fifo_generator:13.1
-- IP Revision: 4
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY fifo_generator_v13_1_4;
USE fifo_generator_v13_1_4.fifo_generator_v13_1_4;
ENTITY fifo_EEPROM IS
PORT (
rst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC
);
END fifo_EEPROM;
ARCHITECTURE fifo_EEPROM_arch OF fifo_EEPROM IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF fifo_EEPROM_arch: ARCHITECTURE IS "yes";
COMPONENT fifo_generator_v13_1_4 IS
GENERIC (
C_COMMON_CLOCK : INTEGER;
C_SELECT_XPM : INTEGER;
C_COUNT_TYPE : INTEGER;
C_DATA_COUNT_WIDTH : INTEGER;
C_DEFAULT_VALUE : STRING;
C_DIN_WIDTH : INTEGER;
C_DOUT_RST_VAL : STRING;
C_DOUT_WIDTH : INTEGER;
C_ENABLE_RLOCS : INTEGER;
C_FAMILY : STRING;
C_FULL_FLAGS_RST_VAL : INTEGER;
C_HAS_ALMOST_EMPTY : INTEGER;
C_HAS_ALMOST_FULL : INTEGER;
C_HAS_BACKUP : INTEGER;
C_HAS_DATA_COUNT : INTEGER;
C_HAS_INT_CLK : INTEGER;
C_HAS_MEMINIT_FILE : INTEGER;
C_HAS_OVERFLOW : INTEGER;
C_HAS_RD_DATA_COUNT : INTEGER;
C_HAS_RD_RST : INTEGER;
C_HAS_RST : INTEGER;
C_HAS_SRST : INTEGER;
C_HAS_UNDERFLOW : INTEGER;
C_HAS_VALID : INTEGER;
C_HAS_WR_ACK : INTEGER;
C_HAS_WR_DATA_COUNT : INTEGER;
C_HAS_WR_RST : INTEGER;
C_IMPLEMENTATION_TYPE : INTEGER;
C_INIT_WR_PNTR_VAL : INTEGER;
C_MEMORY_TYPE : INTEGER;
C_MIF_FILE_NAME : STRING;
C_OPTIMIZATION_MODE : INTEGER;
C_OVERFLOW_LOW : INTEGER;
C_PRELOAD_LATENCY : INTEGER;
C_PRELOAD_REGS : INTEGER;
C_PRIM_FIFO_TYPE : STRING;
C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER;
C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER;
C_PROG_EMPTY_TYPE : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER;
C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER;
C_PROG_FULL_TYPE : INTEGER;
C_RD_DATA_COUNT_WIDTH : INTEGER;
C_RD_DEPTH : INTEGER;
C_RD_FREQ : INTEGER;
C_RD_PNTR_WIDTH : INTEGER;
C_UNDERFLOW_LOW : INTEGER;
C_USE_DOUT_RST : INTEGER;
C_USE_ECC : INTEGER;
C_USE_EMBEDDED_REG : INTEGER;
C_USE_PIPELINE_REG : INTEGER;
C_POWER_SAVING_MODE : INTEGER;
C_USE_FIFO16_FLAGS : INTEGER;
C_USE_FWFT_DATA_COUNT : INTEGER;
C_VALID_LOW : INTEGER;
C_WR_ACK_LOW : INTEGER;
C_WR_DATA_COUNT_WIDTH : INTEGER;
C_WR_DEPTH : INTEGER;
C_WR_FREQ : INTEGER;
C_WR_PNTR_WIDTH : INTEGER;
C_WR_RESPONSE_LATENCY : INTEGER;
C_MSGON_VAL : INTEGER;
C_ENABLE_RST_SYNC : INTEGER;
C_EN_SAFETY_CKT : INTEGER;
C_ERROR_INJECTION_TYPE : INTEGER;
C_SYNCHRONIZER_STAGE : INTEGER;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_HAS_AXI_WR_CHANNEL : INTEGER;
C_HAS_AXI_RD_CHANNEL : INTEGER;
C_HAS_SLAVE_CE : INTEGER;
C_HAS_MASTER_CE : INTEGER;
C_ADD_NGC_CONSTRAINT : INTEGER;
C_USE_COMMON_OVERFLOW : INTEGER;
C_USE_COMMON_UNDERFLOW : INTEGER;
C_USE_DEFAULT_SETTINGS : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_AXI_ADDR_WIDTH : INTEGER;
C_AXI_DATA_WIDTH : INTEGER;
C_AXI_LEN_WIDTH : INTEGER;
C_AXI_LOCK_WIDTH : INTEGER;
C_HAS_AXI_ID : INTEGER;
C_HAS_AXI_AWUSER : INTEGER;
C_HAS_AXI_WUSER : INTEGER;
C_HAS_AXI_BUSER : INTEGER;
C_HAS_AXI_ARUSER : INTEGER;
C_HAS_AXI_RUSER : INTEGER;
C_AXI_ARUSER_WIDTH : INTEGER;
C_AXI_AWUSER_WIDTH : INTEGER;
C_AXI_WUSER_WIDTH : INTEGER;
C_AXI_BUSER_WIDTH : INTEGER;
C_AXI_RUSER_WIDTH : INTEGER;
C_HAS_AXIS_TDATA : INTEGER;
C_HAS_AXIS_TID : INTEGER;
C_HAS_AXIS_TDEST : INTEGER;
C_HAS_AXIS_TUSER : INTEGER;
C_HAS_AXIS_TREADY : INTEGER;
C_HAS_AXIS_TLAST : INTEGER;
C_HAS_AXIS_TSTRB : INTEGER;
C_HAS_AXIS_TKEEP : INTEGER;
C_AXIS_TDATA_WIDTH : INTEGER;
C_AXIS_TID_WIDTH : INTEGER;
C_AXIS_TDEST_WIDTH : INTEGER;
C_AXIS_TUSER_WIDTH : INTEGER;
C_AXIS_TSTRB_WIDTH : INTEGER;
C_AXIS_TKEEP_WIDTH : INTEGER;
C_WACH_TYPE : INTEGER;
C_WDCH_TYPE : INTEGER;
C_WRCH_TYPE : INTEGER;
C_RACH_TYPE : INTEGER;
C_RDCH_TYPE : INTEGER;
C_AXIS_TYPE : INTEGER;
C_IMPLEMENTATION_TYPE_WACH : INTEGER;
C_IMPLEMENTATION_TYPE_WDCH : INTEGER;
C_IMPLEMENTATION_TYPE_WRCH : INTEGER;
C_IMPLEMENTATION_TYPE_RACH : INTEGER;
C_IMPLEMENTATION_TYPE_RDCH : INTEGER;
C_IMPLEMENTATION_TYPE_AXIS : INTEGER;
C_APPLICATION_TYPE_WACH : INTEGER;
C_APPLICATION_TYPE_WDCH : INTEGER;
C_APPLICATION_TYPE_WRCH : INTEGER;
C_APPLICATION_TYPE_RACH : INTEGER;
C_APPLICATION_TYPE_RDCH : INTEGER;
C_APPLICATION_TYPE_AXIS : INTEGER;
C_PRIM_FIFO_TYPE_WACH : STRING;
C_PRIM_FIFO_TYPE_WDCH : STRING;
C_PRIM_FIFO_TYPE_WRCH : STRING;
C_PRIM_FIFO_TYPE_RACH : STRING;
C_PRIM_FIFO_TYPE_RDCH : STRING;
C_PRIM_FIFO_TYPE_AXIS : STRING;
C_USE_ECC_WACH : INTEGER;
C_USE_ECC_WDCH : INTEGER;
C_USE_ECC_WRCH : INTEGER;
C_USE_ECC_RACH : INTEGER;
C_USE_ECC_RDCH : INTEGER;
C_USE_ECC_AXIS : INTEGER;
C_ERROR_INJECTION_TYPE_WACH : INTEGER;
C_ERROR_INJECTION_TYPE_WDCH : INTEGER;
C_ERROR_INJECTION_TYPE_WRCH : INTEGER;
C_ERROR_INJECTION_TYPE_RACH : INTEGER;
C_ERROR_INJECTION_TYPE_RDCH : INTEGER;
C_ERROR_INJECTION_TYPE_AXIS : INTEGER;
C_DIN_WIDTH_WACH : INTEGER;
C_DIN_WIDTH_WDCH : INTEGER;
C_DIN_WIDTH_WRCH : INTEGER;
C_DIN_WIDTH_RACH : INTEGER;
C_DIN_WIDTH_RDCH : INTEGER;
C_DIN_WIDTH_AXIS : INTEGER;
C_WR_DEPTH_WACH : INTEGER;
C_WR_DEPTH_WDCH : INTEGER;
C_WR_DEPTH_WRCH : INTEGER;
C_WR_DEPTH_RACH : INTEGER;
C_WR_DEPTH_RDCH : INTEGER;
C_WR_DEPTH_AXIS : INTEGER;
C_WR_PNTR_WIDTH_WACH : INTEGER;
C_WR_PNTR_WIDTH_WDCH : INTEGER;
C_WR_PNTR_WIDTH_WRCH : INTEGER;
C_WR_PNTR_WIDTH_RACH : INTEGER;
C_WR_PNTR_WIDTH_RDCH : INTEGER;
C_WR_PNTR_WIDTH_AXIS : INTEGER;
C_HAS_DATA_COUNTS_WACH : INTEGER;
C_HAS_DATA_COUNTS_WDCH : INTEGER;
C_HAS_DATA_COUNTS_WRCH : INTEGER;
C_HAS_DATA_COUNTS_RACH : INTEGER;
C_HAS_DATA_COUNTS_RDCH : INTEGER;
C_HAS_DATA_COUNTS_AXIS : INTEGER;
C_HAS_PROG_FLAGS_WACH : INTEGER;
C_HAS_PROG_FLAGS_WDCH : INTEGER;
C_HAS_PROG_FLAGS_WRCH : INTEGER;
C_HAS_PROG_FLAGS_RACH : INTEGER;
C_HAS_PROG_FLAGS_RDCH : INTEGER;
C_HAS_PROG_FLAGS_AXIS : INTEGER;
C_PROG_FULL_TYPE_WACH : INTEGER;
C_PROG_FULL_TYPE_WDCH : INTEGER;
C_PROG_FULL_TYPE_WRCH : INTEGER;
C_PROG_FULL_TYPE_RACH : INTEGER;
C_PROG_FULL_TYPE_RDCH : INTEGER;
C_PROG_FULL_TYPE_AXIS : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_PROG_EMPTY_TYPE_WACH : INTEGER;
C_PROG_EMPTY_TYPE_WDCH : INTEGER;
C_PROG_EMPTY_TYPE_WRCH : INTEGER;
C_PROG_EMPTY_TYPE_RACH : INTEGER;
C_PROG_EMPTY_TYPE_RDCH : INTEGER;
C_PROG_EMPTY_TYPE_AXIS : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_REG_SLICE_MODE_WACH : INTEGER;
C_REG_SLICE_MODE_WDCH : INTEGER;
C_REG_SLICE_MODE_WRCH : INTEGER;
C_REG_SLICE_MODE_RACH : INTEGER;
C_REG_SLICE_MODE_RDCH : INTEGER;
C_REG_SLICE_MODE_AXIS : INTEGER
);
PORT (
backup : IN STD_LOGIC;
backup_marker : IN STD_LOGIC;
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
srst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
wr_rst : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
rd_rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
prog_empty_thresh : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
prog_full_thresh : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
prog_full_thresh_assert : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
prog_full_thresh_negate : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
int_clk : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
injectsbiterr : IN STD_LOGIC;
sleep : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
full : OUT STD_LOGIC;
almost_full : OUT STD_LOGIC;
wr_ack : OUT STD_LOGIC;
overflow : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
almost_empty : OUT STD_LOGIC;
valid : OUT STD_LOGIC;
underflow : OUT STD_LOGIC;
data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0);
rd_data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0);
wr_data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0);
prog_full : OUT STD_LOGIC;
prog_empty : OUT STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
wr_rst_busy : OUT STD_LOGIC;
rd_rst_busy : OUT STD_LOGIC;
m_aclk : IN STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
m_aclk_en : IN STD_LOGIC;
s_aclk_en : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awvalid : OUT STD_LOGIC;
m_axi_awready : IN STD_LOGIC;
m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_wlast : OUT STD_LOGIC;
m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wvalid : OUT STD_LOGIC;
m_axi_wready : IN STD_LOGIC;
m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bvalid : IN STD_LOGIC;
m_axi_bready : OUT STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arvalid : OUT STD_LOGIC;
m_axi_arready : IN STD_LOGIC;
m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_rlast : IN STD_LOGIC;
m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rvalid : IN STD_LOGIC;
m_axi_rready : OUT STD_LOGIC;
s_axis_tvalid : IN STD_LOGIC;
s_axis_tready : OUT STD_LOGIC;
s_axis_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_tstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tkeep : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tlast : IN STD_LOGIC;
s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_tvalid : OUT STD_LOGIC;
m_axis_tready : IN STD_LOGIC;
m_axis_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_tstrb : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tkeep : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tlast : OUT STD_LOGIC;
m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_injectsbiterr : IN STD_LOGIC;
axi_aw_injectdbiterr : IN STD_LOGIC;
axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_sbiterr : OUT STD_LOGIC;
axi_aw_dbiterr : OUT STD_LOGIC;
axi_aw_overflow : OUT STD_LOGIC;
axi_aw_underflow : OUT STD_LOGIC;
axi_aw_prog_full : OUT STD_LOGIC;
axi_aw_prog_empty : OUT STD_LOGIC;
axi_w_injectsbiterr : IN STD_LOGIC;
axi_w_injectdbiterr : IN STD_LOGIC;
axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_sbiterr : OUT STD_LOGIC;
axi_w_dbiterr : OUT STD_LOGIC;
axi_w_overflow : OUT STD_LOGIC;
axi_w_underflow : OUT STD_LOGIC;
axi_w_prog_full : OUT STD_LOGIC;
axi_w_prog_empty : OUT STD_LOGIC;
axi_b_injectsbiterr : IN STD_LOGIC;
axi_b_injectdbiterr : IN STD_LOGIC;
axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_sbiterr : OUT STD_LOGIC;
axi_b_dbiterr : OUT STD_LOGIC;
axi_b_overflow : OUT STD_LOGIC;
axi_b_underflow : OUT STD_LOGIC;
axi_b_prog_full : OUT STD_LOGIC;
axi_b_prog_empty : OUT STD_LOGIC;
axi_ar_injectsbiterr : IN STD_LOGIC;
axi_ar_injectdbiterr : IN STD_LOGIC;
axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_sbiterr : OUT STD_LOGIC;
axi_ar_dbiterr : OUT STD_LOGIC;
axi_ar_overflow : OUT STD_LOGIC;
axi_ar_underflow : OUT STD_LOGIC;
axi_ar_prog_full : OUT STD_LOGIC;
axi_ar_prog_empty : OUT STD_LOGIC;
axi_r_injectsbiterr : IN STD_LOGIC;
axi_r_injectdbiterr : IN STD_LOGIC;
axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_sbiterr : OUT STD_LOGIC;
axi_r_dbiterr : OUT STD_LOGIC;
axi_r_overflow : OUT STD_LOGIC;
axi_r_underflow : OUT STD_LOGIC;
axi_r_prog_full : OUT STD_LOGIC;
axi_r_prog_empty : OUT STD_LOGIC;
axis_injectsbiterr : IN STD_LOGIC;
axis_injectdbiterr : IN STD_LOGIC;
axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_sbiterr : OUT STD_LOGIC;
axis_dbiterr : OUT STD_LOGIC;
axis_overflow : OUT STD_LOGIC;
axis_underflow : OUT STD_LOGIC;
axis_prog_full : OUT STD_LOGIC;
axis_prog_empty : OUT STD_LOGIC
);
END COMPONENT fifo_generator_v13_1_4;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF fifo_EEPROM_arch: ARCHITECTURE IS "fifo_generator_v13_1_4,Vivado 2017.1";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF fifo_EEPROM_arch : ARCHITECTURE IS "fifo_EEPROM,fifo_generator_v13_1_4,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF fifo_EEPROM_arch: ARCHITECTURE IS "fifo_EEPROM,fifo_generator_v13_1_4,{x_ipProduct=Vivado 2017.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=13.1,x_ipCoreRevision=4,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_COMMON_CLOCK=0,C_SELECT_XPM=0,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=6,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=8,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=8,C_ENABLE_RLOCS=0,C_FAMILY=artix7,C_FULL_FLAGS_RST_VAL=1,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEM" &
"INIT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=1,C_HAS_SRST=0,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=2,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=1,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=1,C_PRELOAD_REGS=0,C_PRIM_FIFO_TYPE=512x36,C_PROG_EMPTY_THRESH_ASSERT_VAL=2,C_PROG_EMPTY_THRESH_NEGATE_VAL=3,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=61,C_PROG_FULL_THRES" &
"H_NEGATE_VAL=60,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=6,C_RD_DEPTH=64,C_RD_FREQ=1,C_RD_PNTR_WIDTH=6,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=6,C_WR_DEPTH=64,C_WR_FREQ=1,C_WR_PNTR_WIDTH=6,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_EN_SAFETY_CKT=0,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE_TYPE=0,C" &
"_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_HAS_A" &
"XIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_RDCH=1,C_I" &
"MPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_INJECTI" &
"ON_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=1,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_PNTR_W" &
"IDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_PROG_FU" &
"LL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=" &
"1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF wr_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 write_clk CLK";
ATTRIBUTE X_INTERFACE_INFO OF rd_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 read_clk CLK";
ATTRIBUTE X_INTERFACE_INFO OF din: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA";
ATTRIBUTE X_INTERFACE_INFO OF wr_en: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN";
ATTRIBUTE X_INTERFACE_INFO OF rd_en: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN";
ATTRIBUTE X_INTERFACE_INFO OF dout: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA";
ATTRIBUTE X_INTERFACE_INFO OF full: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL";
ATTRIBUTE X_INTERFACE_INFO OF empty: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY";
BEGIN
U0 : fifo_generator_v13_1_4
GENERIC MAP (
C_COMMON_CLOCK => 0,
C_SELECT_XPM => 0,
C_COUNT_TYPE => 0,
C_DATA_COUNT_WIDTH => 6,
C_DEFAULT_VALUE => "BlankString",
C_DIN_WIDTH => 8,
C_DOUT_RST_VAL => "0",
C_DOUT_WIDTH => 8,
C_ENABLE_RLOCS => 0,
C_FAMILY => "artix7",
C_FULL_FLAGS_RST_VAL => 1,
C_HAS_ALMOST_EMPTY => 0,
C_HAS_ALMOST_FULL => 0,
C_HAS_BACKUP => 0,
C_HAS_DATA_COUNT => 0,
C_HAS_INT_CLK => 0,
C_HAS_MEMINIT_FILE => 0,
C_HAS_OVERFLOW => 0,
C_HAS_RD_DATA_COUNT => 0,
C_HAS_RD_RST => 0,
C_HAS_RST => 1,
C_HAS_SRST => 0,
C_HAS_UNDERFLOW => 0,
C_HAS_VALID => 0,
C_HAS_WR_ACK => 0,
C_HAS_WR_DATA_COUNT => 0,
C_HAS_WR_RST => 0,
C_IMPLEMENTATION_TYPE => 2,
C_INIT_WR_PNTR_VAL => 0,
C_MEMORY_TYPE => 1,
C_MIF_FILE_NAME => "BlankString",
C_OPTIMIZATION_MODE => 0,
C_OVERFLOW_LOW => 0,
C_PRELOAD_LATENCY => 1,
C_PRELOAD_REGS => 0,
C_PRIM_FIFO_TYPE => "512x36",
C_PROG_EMPTY_THRESH_ASSERT_VAL => 2,
C_PROG_EMPTY_THRESH_NEGATE_VAL => 3,
C_PROG_EMPTY_TYPE => 0,
C_PROG_FULL_THRESH_ASSERT_VAL => 61,
C_PROG_FULL_THRESH_NEGATE_VAL => 60,
C_PROG_FULL_TYPE => 0,
C_RD_DATA_COUNT_WIDTH => 6,
C_RD_DEPTH => 64,
C_RD_FREQ => 1,
C_RD_PNTR_WIDTH => 6,
C_UNDERFLOW_LOW => 0,
C_USE_DOUT_RST => 1,
C_USE_ECC => 0,
C_USE_EMBEDDED_REG => 0,
C_USE_PIPELINE_REG => 0,
C_POWER_SAVING_MODE => 0,
C_USE_FIFO16_FLAGS => 0,
C_USE_FWFT_DATA_COUNT => 0,
C_VALID_LOW => 0,
C_WR_ACK_LOW => 0,
C_WR_DATA_COUNT_WIDTH => 6,
C_WR_DEPTH => 64,
C_WR_FREQ => 1,
C_WR_PNTR_WIDTH => 6,
C_WR_RESPONSE_LATENCY => 1,
C_MSGON_VAL => 1,
C_ENABLE_RST_SYNC => 1,
C_EN_SAFETY_CKT => 0,
C_ERROR_INJECTION_TYPE => 0,
C_SYNCHRONIZER_STAGE => 2,
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_HAS_AXI_WR_CHANNEL => 1,
C_HAS_AXI_RD_CHANNEL => 1,
C_HAS_SLAVE_CE => 0,
C_HAS_MASTER_CE => 0,
C_ADD_NGC_CONSTRAINT => 0,
C_USE_COMMON_OVERFLOW => 0,
C_USE_COMMON_UNDERFLOW => 0,
C_USE_DEFAULT_SETTINGS => 0,
C_AXI_ID_WIDTH => 1,
C_AXI_ADDR_WIDTH => 32,
C_AXI_DATA_WIDTH => 64,
C_AXI_LEN_WIDTH => 8,
C_AXI_LOCK_WIDTH => 1,
C_HAS_AXI_ID => 0,
C_HAS_AXI_AWUSER => 0,
C_HAS_AXI_WUSER => 0,
C_HAS_AXI_BUSER => 0,
C_HAS_AXI_ARUSER => 0,
C_HAS_AXI_RUSER => 0,
C_AXI_ARUSER_WIDTH => 1,
C_AXI_AWUSER_WIDTH => 1,
C_AXI_WUSER_WIDTH => 1,
C_AXI_BUSER_WIDTH => 1,
C_AXI_RUSER_WIDTH => 1,
C_HAS_AXIS_TDATA => 1,
C_HAS_AXIS_TID => 0,
C_HAS_AXIS_TDEST => 0,
C_HAS_AXIS_TUSER => 1,
C_HAS_AXIS_TREADY => 1,
C_HAS_AXIS_TLAST => 0,
C_HAS_AXIS_TSTRB => 0,
C_HAS_AXIS_TKEEP => 0,
C_AXIS_TDATA_WIDTH => 8,
C_AXIS_TID_WIDTH => 1,
C_AXIS_TDEST_WIDTH => 1,
C_AXIS_TUSER_WIDTH => 4,
C_AXIS_TSTRB_WIDTH => 1,
C_AXIS_TKEEP_WIDTH => 1,
C_WACH_TYPE => 0,
C_WDCH_TYPE => 0,
C_WRCH_TYPE => 0,
C_RACH_TYPE => 0,
C_RDCH_TYPE => 0,
C_AXIS_TYPE => 0,
C_IMPLEMENTATION_TYPE_WACH => 1,
C_IMPLEMENTATION_TYPE_WDCH => 1,
C_IMPLEMENTATION_TYPE_WRCH => 1,
C_IMPLEMENTATION_TYPE_RACH => 1,
C_IMPLEMENTATION_TYPE_RDCH => 1,
C_IMPLEMENTATION_TYPE_AXIS => 1,
C_APPLICATION_TYPE_WACH => 0,
C_APPLICATION_TYPE_WDCH => 0,
C_APPLICATION_TYPE_WRCH => 0,
C_APPLICATION_TYPE_RACH => 0,
C_APPLICATION_TYPE_RDCH => 0,
C_APPLICATION_TYPE_AXIS => 0,
C_PRIM_FIFO_TYPE_WACH => "512x36",
C_PRIM_FIFO_TYPE_WDCH => "1kx36",
C_PRIM_FIFO_TYPE_WRCH => "512x36",
C_PRIM_FIFO_TYPE_RACH => "512x36",
C_PRIM_FIFO_TYPE_RDCH => "1kx36",
C_PRIM_FIFO_TYPE_AXIS => "1kx18",
C_USE_ECC_WACH => 0,
C_USE_ECC_WDCH => 0,
C_USE_ECC_WRCH => 0,
C_USE_ECC_RACH => 0,
C_USE_ECC_RDCH => 0,
C_USE_ECC_AXIS => 0,
C_ERROR_INJECTION_TYPE_WACH => 0,
C_ERROR_INJECTION_TYPE_WDCH => 0,
C_ERROR_INJECTION_TYPE_WRCH => 0,
C_ERROR_INJECTION_TYPE_RACH => 0,
C_ERROR_INJECTION_TYPE_RDCH => 0,
C_ERROR_INJECTION_TYPE_AXIS => 0,
C_DIN_WIDTH_WACH => 1,
C_DIN_WIDTH_WDCH => 64,
C_DIN_WIDTH_WRCH => 2,
C_DIN_WIDTH_RACH => 32,
C_DIN_WIDTH_RDCH => 64,
C_DIN_WIDTH_AXIS => 1,
C_WR_DEPTH_WACH => 16,
C_WR_DEPTH_WDCH => 1024,
C_WR_DEPTH_WRCH => 16,
C_WR_DEPTH_RACH => 16,
C_WR_DEPTH_RDCH => 1024,
C_WR_DEPTH_AXIS => 1024,
C_WR_PNTR_WIDTH_WACH => 4,
C_WR_PNTR_WIDTH_WDCH => 10,
C_WR_PNTR_WIDTH_WRCH => 4,
C_WR_PNTR_WIDTH_RACH => 4,
C_WR_PNTR_WIDTH_RDCH => 10,
C_WR_PNTR_WIDTH_AXIS => 10,
C_HAS_DATA_COUNTS_WACH => 0,
C_HAS_DATA_COUNTS_WDCH => 0,
C_HAS_DATA_COUNTS_WRCH => 0,
C_HAS_DATA_COUNTS_RACH => 0,
C_HAS_DATA_COUNTS_RDCH => 0,
C_HAS_DATA_COUNTS_AXIS => 0,
C_HAS_PROG_FLAGS_WACH => 0,
C_HAS_PROG_FLAGS_WDCH => 0,
C_HAS_PROG_FLAGS_WRCH => 0,
C_HAS_PROG_FLAGS_RACH => 0,
C_HAS_PROG_FLAGS_RDCH => 0,
C_HAS_PROG_FLAGS_AXIS => 0,
C_PROG_FULL_TYPE_WACH => 0,
C_PROG_FULL_TYPE_WDCH => 0,
C_PROG_FULL_TYPE_WRCH => 0,
C_PROG_FULL_TYPE_RACH => 0,
C_PROG_FULL_TYPE_RDCH => 0,
C_PROG_FULL_TYPE_AXIS => 0,
C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023,
C_PROG_EMPTY_TYPE_WACH => 0,
C_PROG_EMPTY_TYPE_WDCH => 0,
C_PROG_EMPTY_TYPE_WRCH => 0,
C_PROG_EMPTY_TYPE_RACH => 0,
C_PROG_EMPTY_TYPE_RDCH => 0,
C_PROG_EMPTY_TYPE_AXIS => 0,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022,
C_REG_SLICE_MODE_WACH => 0,
C_REG_SLICE_MODE_WDCH => 0,
C_REG_SLICE_MODE_WRCH => 0,
C_REG_SLICE_MODE_RACH => 0,
C_REG_SLICE_MODE_RDCH => 0,
C_REG_SLICE_MODE_AXIS => 0
)
PORT MAP (
backup => '0',
backup_marker => '0',
clk => '0',
rst => rst,
srst => '0',
wr_clk => wr_clk,
wr_rst => '0',
rd_clk => rd_clk,
rd_rst => '0',
din => din,
wr_en => wr_en,
rd_en => rd_en,
prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)),
prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)),
prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)),
prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)),
prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)),
prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)),
int_clk => '0',
injectdbiterr => '0',
injectsbiterr => '0',
sleep => '0',
dout => dout,
full => full,
empty => empty,
m_aclk => '0',
s_aclk => '0',
s_aresetn => '0',
m_aclk_en => '0',
s_aclk_en => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awvalid => '0',
s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_wlast => '0',
s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wvalid => '0',
s_axi_bready => '0',
m_axi_awready => '0',
m_axi_wready => '0',
m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bvalid => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arvalid => '0',
s_axi_rready => '0',
m_axi_arready => '0',
m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_rlast => '0',
m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rvalid => '0',
s_axis_tvalid => '0',
s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tlast => '0',
s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
m_axis_tready => '0',
axi_aw_injectsbiterr => '0',
axi_aw_injectdbiterr => '0',
axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_w_injectsbiterr => '0',
axi_w_injectdbiterr => '0',
axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_b_injectsbiterr => '0',
axi_b_injectdbiterr => '0',
axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_injectsbiterr => '0',
axi_ar_injectdbiterr => '0',
axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_r_injectsbiterr => '0',
axi_r_injectdbiterr => '0',
axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axis_injectsbiterr => '0',
axis_injectdbiterr => '0',
axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10))
);
END fifo_EEPROM_arch;
|
entity FIFO is
end entity;
entity FIFO is
end entity;
entity FIFO is
end entity FIFO;
entity FIFO is
end entity;
entity FIFO is
end entity
;
entity FIFO is
end entity--Comment
;
|
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*-
-- vim: tabstop=2:shiftwidth=2:noexpandtab
-- kate: tab-width 2; replace-tabs off; indent-width 2;
--
-- ============================================================================
-- Authors: Martin Zabel
-- Patrick Lehmann
--
-- Module: UART Receiver
--
-- Description:
-- ------------------------------------
-- TODO
--
-- old comments:
-- Serial configuration: 8 data bits, 1 stop bit, no parity
--
-- bclk_x8 = bit clock (defined by BAUD rate) times 8
-- dos = data out strobe, signals that dout is valid, active high for one
-- cycle
-- dout = data out = received byte
--
-- OUT_REGS:
-- If disabled, then dos is a combinatorial output. Further merging of logic is
-- possible but timing constraints might fail. If enabled, 9 more registers are
-- required. But now, dout toggles only after receiving of full byte.
--
--
-- License:
-- ============================================================================
-- Copyright 2008-2015 Technische Universitaet Dresden - Germany
-- Chair for VLSI-Design, Diagnostics and Architecture
--
-- Licensed under the Apache License, Version 2.0 (the "License");
-- you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at
--
-- http://www.apache.org/licenses/LICENSE-2.0
--
-- Unless required by applicable law or agreed to in writing, software
-- distributed under the License is distributed on an "AS IS" BASIS,
-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and
-- limitations under the License.
-- ============================================================================
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library PoC;
use PoC.components.all;
entity uart_rx is
generic (
OUT_REGS : boolean
);
port (
clk : in std_logic;
rst : in std_logic;
bclk_x8 : in std_logic;
rxd : in std_logic;
dos : out std_logic;
dout : out std_logic_vector(7 downto 0)
);
end entity;
architecture rtl of uart_rx is
type states is (IDLE, RDATA);
signal state : states := IDLE;
signal next_state : states;
-- registers
signal rxd_reg1 : std_logic := '1';
signal rxd_reg2 : std_logic := '1';
signal sr : std_logic_vector(7 downto 0) := (others => '0'); -- data only
signal bclk_cnt : unsigned(2 downto 0) := to_unsigned(4, 3);
signal shift_cnt : unsigned(3 downto 0) := (others => '0');
-- control signals
signal rxd_falling : std_logic;
signal bclk_rising : std_logic;
signal start_bclk : std_logic;
signal shift_sr : std_logic;
signal shift_done : std_logic;
signal put_data : std_logic;
begin
rxd_falling <= (not rxd_reg1) and rxd_reg2;
bclk_rising <= bclk_x8 when (comp_allone(bclk_cnt) = '1') else '0';
-- shift_cnt count from 0 to 9 (1 start bit + 8 data bits)
shift_cnt <= upcounter_next(cnt => shift_cnt, rst => start_bclk, en => shift_sr) when rising_edge(clk);
shift_done <= upcounter_equal(cnt => shift_cnt, value => 9);
bclk_cnt <= upcounter_next(cnt => bclk_cnt, rst => start_bclk, en => bclk_x8, init => 4) when rising_edge(clk);
process (state, rxd_falling, bclk_x8, bclk_rising, shift_done)
begin
next_state <= state;
start_bclk <= '0';
shift_sr <= '0';
put_data <= '0';
case state is
when IDLE =>
-- wait for start bit
if (rxd_falling and bclk_x8) = '1' then
next_state <= RDATA;
start_bclk <= '1'; -- = rst_shift_cnt
end if;
when RDATA =>
if bclk_rising = '1' then
-- bit clock keeps running
if shift_done = '1' then
-- stop bit reached
put_data <= '1';
next_state <= IDLE;
else
-- TODO: check start bit?
shift_sr <= '1';
end if;
end if;
when others => null;
end case;
end process;
process (clk)
begin
if rising_edge(clk) then
if rst = '1' then
state <= IDLE;
else
state <= next_state;
end if;
rxd_reg1 <= rxd;
if bclk_x8 = '1' then
-- align to bclk_x8, so when we can easily check for
-- the falling edge of the start bit
rxd_reg2 <= rxd_reg1;
end if;
if shift_sr = '1' then
-- shift into MSB
sr <= rxd_reg2 & sr(sr'left downto 1);
end if;
end if;
end process;
-- output
gOutRegs: if OUT_REGS = true generate
process (clk)
begin
if rising_edge(clk) then
dos <= put_data and rxd_reg2; -- check stop bit
dout <= sr;
end if;
end process;
end generate gOutRegs;
gNoOutRegs: if OUT_REGS = false generate
dos <= put_data and rxd_reg2; -- check stop bit
dout <= sr;
end generate gNoOutRegs;
end;
|
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*-
-- vim: tabstop=2:shiftwidth=2:noexpandtab
-- kate: tab-width 2; replace-tabs off; indent-width 2;
--
-- ============================================================================
-- Authors: Martin Zabel
-- Patrick Lehmann
--
-- Module: UART Receiver
--
-- Description:
-- ------------------------------------
-- TODO
--
-- old comments:
-- Serial configuration: 8 data bits, 1 stop bit, no parity
--
-- bclk_x8 = bit clock (defined by BAUD rate) times 8
-- dos = data out strobe, signals that dout is valid, active high for one
-- cycle
-- dout = data out = received byte
--
-- OUT_REGS:
-- If disabled, then dos is a combinatorial output. Further merging of logic is
-- possible but timing constraints might fail. If enabled, 9 more registers are
-- required. But now, dout toggles only after receiving of full byte.
--
--
-- License:
-- ============================================================================
-- Copyright 2008-2015 Technische Universitaet Dresden - Germany
-- Chair for VLSI-Design, Diagnostics and Architecture
--
-- Licensed under the Apache License, Version 2.0 (the "License");
-- you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at
--
-- http://www.apache.org/licenses/LICENSE-2.0
--
-- Unless required by applicable law or agreed to in writing, software
-- distributed under the License is distributed on an "AS IS" BASIS,
-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and
-- limitations under the License.
-- ============================================================================
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library PoC;
use PoC.components.all;
entity uart_rx is
generic (
OUT_REGS : boolean
);
port (
clk : in std_logic;
rst : in std_logic;
bclk_x8 : in std_logic;
rxd : in std_logic;
dos : out std_logic;
dout : out std_logic_vector(7 downto 0)
);
end entity;
architecture rtl of uart_rx is
type states is (IDLE, RDATA);
signal state : states := IDLE;
signal next_state : states;
-- registers
signal rxd_reg1 : std_logic := '1';
signal rxd_reg2 : std_logic := '1';
signal sr : std_logic_vector(7 downto 0) := (others => '0'); -- data only
signal bclk_cnt : unsigned(2 downto 0) := to_unsigned(4, 3);
signal shift_cnt : unsigned(3 downto 0) := (others => '0');
-- control signals
signal rxd_falling : std_logic;
signal bclk_rising : std_logic;
signal start_bclk : std_logic;
signal shift_sr : std_logic;
signal shift_done : std_logic;
signal put_data : std_logic;
begin
rxd_falling <= (not rxd_reg1) and rxd_reg2;
bclk_rising <= bclk_x8 when (comp_allone(bclk_cnt) = '1') else '0';
-- shift_cnt count from 0 to 9 (1 start bit + 8 data bits)
shift_cnt <= upcounter_next(cnt => shift_cnt, rst => start_bclk, en => shift_sr) when rising_edge(clk);
shift_done <= upcounter_equal(cnt => shift_cnt, value => 9);
bclk_cnt <= upcounter_next(cnt => bclk_cnt, rst => start_bclk, en => bclk_x8, init => 4) when rising_edge(clk);
process (state, rxd_falling, bclk_x8, bclk_rising, shift_done)
begin
next_state <= state;
start_bclk <= '0';
shift_sr <= '0';
put_data <= '0';
case state is
when IDLE =>
-- wait for start bit
if (rxd_falling and bclk_x8) = '1' then
next_state <= RDATA;
start_bclk <= '1'; -- = rst_shift_cnt
end if;
when RDATA =>
if bclk_rising = '1' then
-- bit clock keeps running
if shift_done = '1' then
-- stop bit reached
put_data <= '1';
next_state <= IDLE;
else
-- TODO: check start bit?
shift_sr <= '1';
end if;
end if;
when others => null;
end case;
end process;
process (clk)
begin
if rising_edge(clk) then
if rst = '1' then
state <= IDLE;
else
state <= next_state;
end if;
rxd_reg1 <= rxd;
if bclk_x8 = '1' then
-- align to bclk_x8, so when we can easily check for
-- the falling edge of the start bit
rxd_reg2 <= rxd_reg1;
end if;
if shift_sr = '1' then
-- shift into MSB
sr <= rxd_reg2 & sr(sr'left downto 1);
end if;
end if;
end process;
-- output
gOutRegs: if OUT_REGS = true generate
process (clk)
begin
if rising_edge(clk) then
dos <= put_data and rxd_reg2; -- check stop bit
dout <= sr;
end if;
end process;
end generate gOutRegs;
gNoOutRegs: if OUT_REGS = false generate
dos <= put_data and rxd_reg2; -- check stop bit
dout <= sr;
end generate gNoOutRegs;
end;
|
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*-
-- vim: tabstop=2:shiftwidth=2:noexpandtab
-- kate: tab-width 2; replace-tabs off; indent-width 2;
--
-- ============================================================================
-- Authors: Martin Zabel
-- Patrick Lehmann
--
-- Module: UART Receiver
--
-- Description:
-- ------------------------------------
-- TODO
--
-- old comments:
-- Serial configuration: 8 data bits, 1 stop bit, no parity
--
-- bclk_x8 = bit clock (defined by BAUD rate) times 8
-- dos = data out strobe, signals that dout is valid, active high for one
-- cycle
-- dout = data out = received byte
--
-- OUT_REGS:
-- If disabled, then dos is a combinatorial output. Further merging of logic is
-- possible but timing constraints might fail. If enabled, 9 more registers are
-- required. But now, dout toggles only after receiving of full byte.
--
--
-- License:
-- ============================================================================
-- Copyright 2008-2015 Technische Universitaet Dresden - Germany
-- Chair for VLSI-Design, Diagnostics and Architecture
--
-- Licensed under the Apache License, Version 2.0 (the "License");
-- you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at
--
-- http://www.apache.org/licenses/LICENSE-2.0
--
-- Unless required by applicable law or agreed to in writing, software
-- distributed under the License is distributed on an "AS IS" BASIS,
-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and
-- limitations under the License.
-- ============================================================================
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library PoC;
use PoC.components.all;
entity uart_rx is
generic (
OUT_REGS : boolean
);
port (
clk : in std_logic;
rst : in std_logic;
bclk_x8 : in std_logic;
rxd : in std_logic;
dos : out std_logic;
dout : out std_logic_vector(7 downto 0)
);
end entity;
architecture rtl of uart_rx is
type states is (IDLE, RDATA);
signal state : states := IDLE;
signal next_state : states;
-- registers
signal rxd_reg1 : std_logic := '1';
signal rxd_reg2 : std_logic := '1';
signal sr : std_logic_vector(7 downto 0) := (others => '0'); -- data only
signal bclk_cnt : unsigned(2 downto 0) := to_unsigned(4, 3);
signal shift_cnt : unsigned(3 downto 0) := (others => '0');
-- control signals
signal rxd_falling : std_logic;
signal bclk_rising : std_logic;
signal start_bclk : std_logic;
signal shift_sr : std_logic;
signal shift_done : std_logic;
signal put_data : std_logic;
begin
rxd_falling <= (not rxd_reg1) and rxd_reg2;
bclk_rising <= bclk_x8 when (comp_allone(bclk_cnt) = '1') else '0';
-- shift_cnt count from 0 to 9 (1 start bit + 8 data bits)
shift_cnt <= upcounter_next(cnt => shift_cnt, rst => start_bclk, en => shift_sr) when rising_edge(clk);
shift_done <= upcounter_equal(cnt => shift_cnt, value => 9);
bclk_cnt <= upcounter_next(cnt => bclk_cnt, rst => start_bclk, en => bclk_x8, init => 4) when rising_edge(clk);
process (state, rxd_falling, bclk_x8, bclk_rising, shift_done)
begin
next_state <= state;
start_bclk <= '0';
shift_sr <= '0';
put_data <= '0';
case state is
when IDLE =>
-- wait for start bit
if (rxd_falling and bclk_x8) = '1' then
next_state <= RDATA;
start_bclk <= '1'; -- = rst_shift_cnt
end if;
when RDATA =>
if bclk_rising = '1' then
-- bit clock keeps running
if shift_done = '1' then
-- stop bit reached
put_data <= '1';
next_state <= IDLE;
else
-- TODO: check start bit?
shift_sr <= '1';
end if;
end if;
when others => null;
end case;
end process;
process (clk)
begin
if rising_edge(clk) then
if rst = '1' then
state <= IDLE;
else
state <= next_state;
end if;
rxd_reg1 <= rxd;
if bclk_x8 = '1' then
-- align to bclk_x8, so when we can easily check for
-- the falling edge of the start bit
rxd_reg2 <= rxd_reg1;
end if;
if shift_sr = '1' then
-- shift into MSB
sr <= rxd_reg2 & sr(sr'left downto 1);
end if;
end if;
end process;
-- output
gOutRegs: if OUT_REGS = true generate
process (clk)
begin
if rising_edge(clk) then
dos <= put_data and rxd_reg2; -- check stop bit
dout <= sr;
end if;
end process;
end generate gOutRegs;
gNoOutRegs: if OUT_REGS = false generate
dos <= put_data and rxd_reg2; -- check stop bit
dout <= sr;
end generate gNoOutRegs;
end;
|
-- -------------------------------------------------------------
--
-- Generated Configuration for __COMMON__
--
-- Generated
-- by: wig
-- on: Wed Nov 30 06:48:17 2005
-- cmd: /cygdrive/h/work/eclipse/MIX/mix_0.pl -strip -nodelta ../generic.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: generic-c.vhd,v 1.3 2005/11/30 14:04:05 wig Exp $
-- $Date: 2005/11/30 14:04:05 $
-- $Log: generic-c.vhd,v $
-- Revision 1.3 2005/11/30 14:04:05 wig
-- Updated testcase references
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.71 2005/11/22 11:00:47 wig Exp
--
-- Generator: mix_0.pl Version: Revision: 1.42 , wilfried.gaensheimer@micronas.com
-- (C) 2003,2005 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/conf
--
-- Start of Generated Configuration inst_1_e_rtl_conf / inst_1_e
--
configuration inst_1_e_rtl_conf of inst_1_e is
for rtl
-- Generated Configuration
end for;
end inst_1_e_rtl_conf;
--
-- End of Generated Configuration inst_1_e_rtl_conf
--
--
-- Start of Generated Configuration inst_10_e_rtl_conf / inst_10_e
--
configuration inst_10_e_rtl_conf of inst_10_e is
for rtl
-- Generated Configuration
end for;
end inst_10_e_rtl_conf;
--
-- End of Generated Configuration inst_10_e_rtl_conf
--
--
-- Start of Generated Configuration inst_2_e_rtl_conf / inst_2_e
--
configuration inst_2_e_rtl_conf of inst_2_e is
for rtl
-- Generated Configuration
end for;
end inst_2_e_rtl_conf;
--
-- End of Generated Configuration inst_2_e_rtl_conf
--
--
-- Start of Generated Configuration inst_3_e_rtl_conf / inst_3_e
--
configuration inst_3_e_rtl_conf of inst_3_e is
for rtl
-- Generated Configuration
end for;
end inst_3_e_rtl_conf;
--
-- End of Generated Configuration inst_3_e_rtl_conf
--
--
-- Start of Generated Configuration inst_4_e_rtl_conf / inst_4_e
--
configuration inst_4_e_rtl_conf of inst_4_e is
for rtl
-- Generated Configuration
end for;
end inst_4_e_rtl_conf;
--
-- End of Generated Configuration inst_4_e_rtl_conf
--
--
-- Start of Generated Configuration inst_5_e_rtl_conf / inst_5_e
--
configuration inst_5_e_rtl_conf of inst_5_e is
for rtl
-- Generated Configuration
end for;
end inst_5_e_rtl_conf;
--
-- End of Generated Configuration inst_5_e_rtl_conf
--
--
-- Start of Generated Configuration inst_6_e_rtl_conf / inst_6_e
--
configuration inst_6_e_rtl_conf of inst_6_e is
for rtl
-- Generated Configuration
end for;
end inst_6_e_rtl_conf;
--
-- End of Generated Configuration inst_6_e_rtl_conf
--
--
-- Start of Generated Configuration inst_7_e_rtl_conf / inst_7_e
--
configuration inst_7_e_rtl_conf of inst_7_e is
for rtl
-- Generated Configuration
end for;
end inst_7_e_rtl_conf;
--
-- End of Generated Configuration inst_7_e_rtl_conf
--
--
-- Start of Generated Configuration inst_8_e_rtl_conf / inst_8_e
--
configuration inst_8_e_rtl_conf of inst_8_e is
for rtl
-- Generated Configuration
end for;
end inst_8_e_rtl_conf;
--
-- End of Generated Configuration inst_8_e_rtl_conf
--
--
-- Start of Generated Configuration inst_9_e_rtl_conf / inst_9_e
--
configuration inst_9_e_rtl_conf of inst_9_e is
for rtl
-- Generated Configuration
end for;
end inst_9_e_rtl_conf;
--
-- End of Generated Configuration inst_9_e_rtl_conf
--
--
-- Start of Generated Configuration inst_a_e_rtl_conf / inst_a_e
--
configuration inst_a_e_rtl_conf of inst_a_e is
for rtl
-- Generated Configuration
for inst_1 : inst_1_e
use configuration work.inst_1_e_rtl_conf;
end for;
for inst_10 : inst_10_e
use configuration work.inst_10_e_rtl_conf;
end for;
for inst_2 : inst_2_e
use configuration work.inst_2_e_rtl_conf;
end for;
for inst_3 : inst_3_e
use configuration work.inst_3_e_rtl_conf;
end for;
for inst_4 : inst_4_e
use configuration work.inst_4_e_rtl_conf;
end for;
for inst_5 : inst_5_e
use configuration work.inst_5_e_rtl_conf;
end for;
for inst_6 : inst_6_e
use configuration work.inst_6_e_rtl_conf;
end for;
for inst_7 : inst_7_e
use configuration work.inst_7_e_rtl_conf;
end for;
for inst_8 : inst_8_e
use configuration work.inst_8_e_rtl_conf;
end for;
for inst_9 : inst_9_e
use configuration work.inst_9_e_rtl_conf;
end for;
for inst_aa : inst_aa_e
use configuration work.inst_aa_e_rtl_conf;
end for;
for inst_ab : inst_ab_e
use configuration work.inst_ab_e_rtl_conf;
end for;
for inst_ac : inst_ac_e
use configuration work.inst_ac_e_rtl_conf;
end for;
for inst_ad : inst_ad_e
use configuration work.inst_ad_e_rtl_conf;
end for;
for inst_ae : inst_ae_e
use configuration work.inst_ae_e_rtl_conf;
end for;
for inst_m1 : inst_m_e
use configuration work.inst_m_e_rtl_conf;
end for;
for inst_m10 : inst_m_e
use configuration work.inst_m_e_rtl_conf;
end for;
for inst_m2 : inst_m_e
use configuration work.inst_m_e_rtl_conf;
end for;
for inst_m3 : inst_m_e
use configuration work.inst_m_e_rtl_conf;
end for;
for inst_m4 : inst_m_e
use configuration work.inst_m_e_rtl_conf;
end for;
for inst_m5 : inst_m_e
use configuration work.inst_m_e_rtl_conf;
end for;
for inst_m6 : inst_m_e
use configuration work.inst_m_e_rtl_conf;
end for;
for inst_m7 : inst_m_e
use configuration work.inst_m_e_rtl_conf;
end for;
for inst_m8 : inst_m_e
use configuration work.inst_m_e_rtl_conf;
end for;
for inst_m9 : inst_m_e
use configuration work.inst_m_e_rtl_conf;
end for;
end for;
end inst_a_e_rtl_conf;
--
-- End of Generated Configuration inst_a_e_rtl_conf
--
--
-- Start of Generated Configuration inst_aa_e_rtl_conf / inst_aa_e
--
configuration inst_aa_e_rtl_conf of inst_aa_e is
for rtl
-- Generated Configuration
end for;
end inst_aa_e_rtl_conf;
--
-- End of Generated Configuration inst_aa_e_rtl_conf
--
--
-- Start of Generated Configuration inst_ab_e_rtl_conf / inst_ab_e
--
configuration inst_ab_e_rtl_conf of inst_ab_e is
for rtl
-- Generated Configuration
end for;
end inst_ab_e_rtl_conf;
--
-- End of Generated Configuration inst_ab_e_rtl_conf
--
--
-- Start of Generated Configuration inst_ac_e_rtl_conf / inst_ac_e
--
configuration inst_ac_e_rtl_conf of inst_ac_e is
for rtl
-- Generated Configuration
end for;
end inst_ac_e_rtl_conf;
--
-- End of Generated Configuration inst_ac_e_rtl_conf
--
--
-- Start of Generated Configuration inst_ad_e_rtl_conf / inst_ad_e
--
configuration inst_ad_e_rtl_conf of inst_ad_e is
for rtl
-- Generated Configuration
end for;
end inst_ad_e_rtl_conf;
--
-- End of Generated Configuration inst_ad_e_rtl_conf
--
--
-- Start of Generated Configuration inst_ae_e_rtl_conf / inst_ae_e
--
configuration inst_ae_e_rtl_conf of inst_ae_e is
for rtl
-- Generated Configuration
end for;
end inst_ae_e_rtl_conf;
--
-- End of Generated Configuration inst_ae_e_rtl_conf
--
--
-- Start of Generated Configuration inst_e_e_rtl_conf / inst_e_e
--
configuration inst_e_e_rtl_conf of inst_e_e is
for rtl
-- Generated Configuration
for inst_ea : inst_ea_e
use configuration work.inst_ea_e_rtl_conf;
end for;
end for;
end inst_e_e_rtl_conf;
--
-- End of Generated Configuration inst_e_e_rtl_conf
--
--
-- Start of Generated Configuration inst_ea_e_rtl_conf / inst_ea_e
--
configuration inst_ea_e_rtl_conf of inst_ea_e is
for rtl
-- Generated Configuration
end for;
end inst_ea_e_rtl_conf;
--
-- End of Generated Configuration inst_ea_e_rtl_conf
--
--
-- Start of Generated Configuration inst_m_e_rtl_conf / inst_m_e
--
configuration inst_m_e_rtl_conf of inst_m_e is
for rtl
-- Generated Configuration
end for;
end inst_m_e_rtl_conf;
--
-- End of Generated Configuration inst_m_e_rtl_conf
--
--
-- Start of Generated Configuration inst_m_e_rtl_conf / inst_m_e
--
configuration inst_m_e_rtl_conf of inst_m_e is
for rtl
-- Generated Configuration
end for;
end inst_m_e_rtl_conf;
--
-- End of Generated Configuration inst_m_e_rtl_conf
--
--
-- Start of Generated Configuration inst_m_e_rtl_conf / inst_m_e
--
configuration inst_m_e_rtl_conf of inst_m_e is
for rtl
-- Generated Configuration
end for;
end inst_m_e_rtl_conf;
--
-- End of Generated Configuration inst_m_e_rtl_conf
--
--
-- Start of Generated Configuration inst_m_e_rtl_conf / inst_m_e
--
configuration inst_m_e_rtl_conf of inst_m_e is
for rtl
-- Generated Configuration
end for;
end inst_m_e_rtl_conf;
--
-- End of Generated Configuration inst_m_e_rtl_conf
--
--
-- Start of Generated Configuration inst_m_e_rtl_conf / inst_m_e
--
configuration inst_m_e_rtl_conf of inst_m_e is
for rtl
-- Generated Configuration
end for;
end inst_m_e_rtl_conf;
--
-- End of Generated Configuration inst_m_e_rtl_conf
--
--
-- Start of Generated Configuration inst_m_e_rtl_conf / inst_m_e
--
configuration inst_m_e_rtl_conf of inst_m_e is
for rtl
-- Generated Configuration
end for;
end inst_m_e_rtl_conf;
--
-- End of Generated Configuration inst_m_e_rtl_conf
--
--
-- Start of Generated Configuration inst_m_e_rtl_conf / inst_m_e
--
configuration inst_m_e_rtl_conf of inst_m_e is
for rtl
-- Generated Configuration
end for;
end inst_m_e_rtl_conf;
--
-- End of Generated Configuration inst_m_e_rtl_conf
--
--
-- Start of Generated Configuration inst_m_e_rtl_conf / inst_m_e
--
configuration inst_m_e_rtl_conf of inst_m_e is
for rtl
-- Generated Configuration
end for;
end inst_m_e_rtl_conf;
--
-- End of Generated Configuration inst_m_e_rtl_conf
--
--
-- Start of Generated Configuration inst_m_e_rtl_conf / inst_m_e
--
configuration inst_m_e_rtl_conf of inst_m_e is
for rtl
-- Generated Configuration
end for;
end inst_m_e_rtl_conf;
--
-- End of Generated Configuration inst_m_e_rtl_conf
--
--
-- Start of Generated Configuration inst_m_e_rtl_conf / inst_m_e
--
configuration inst_m_e_rtl_conf of inst_m_e is
for rtl
-- Generated Configuration
end for;
end inst_m_e_rtl_conf;
--
-- End of Generated Configuration inst_m_e_rtl_conf
--
--
-- Start of Generated Configuration inst_t_e_rtl_conf / inst_t_e
--
configuration inst_t_e_rtl_conf of inst_t_e is
for rtl
-- Generated Configuration
for inst_a : inst_a_e
use configuration work.inst_a_e_rtl_conf;
end for;
for inst_e : inst_e_e
use configuration work.inst_e_e_rtl_conf;
end for;
end for;
end inst_t_e_rtl_conf;
--
-- End of Generated Configuration inst_t_e_rtl_conf
--
--
--!End of Configuration/ies
-- --------------------------------------------------------------
|
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
-- Date : Mon Feb 13 12:46:39 2017
-- Host : WK117 running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode funcsim
-- C:/Users/aholzer/Documents/new/Arty-BSD/src/bd/system/ip/system_clk_wiz_1_0/system_clk_wiz_1_0_sim_netlist.vhdl
-- Design : system_clk_wiz_1_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7a35ticsg324-1L
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_clk_wiz_1_0_system_clk_wiz_1_0_clk_wiz is
port (
clk_out1 : out STD_LOGIC;
clk_out2 : out STD_LOGIC;
clk_out3 : out STD_LOGIC;
clk_out4 : out STD_LOGIC;
resetn : in STD_LOGIC;
locked : out STD_LOGIC;
clk_in1 : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_clk_wiz_1_0_system_clk_wiz_1_0_clk_wiz : entity is "system_clk_wiz_1_0_clk_wiz";
end system_clk_wiz_1_0_system_clk_wiz_1_0_clk_wiz;
architecture STRUCTURE of system_clk_wiz_1_0_system_clk_wiz_1_0_clk_wiz is
signal clk_in1_system_clk_wiz_1_0 : STD_LOGIC;
signal clk_out1_system_clk_wiz_1_0 : STD_LOGIC;
signal clk_out2_system_clk_wiz_1_0 : STD_LOGIC;
signal clk_out3_system_clk_wiz_1_0 : STD_LOGIC;
signal clk_out4_system_clk_wiz_1_0 : STD_LOGIC;
signal clkfbout_buf_system_clk_wiz_1_0 : STD_LOGIC;
signal clkfbout_system_clk_wiz_1_0 : STD_LOGIC;
signal reset_high : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_DRDY_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_PSDONE_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_DO_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 );
attribute BOX_TYPE : string;
attribute BOX_TYPE of clkf_buf : label is "PRIMITIVE";
attribute BOX_TYPE of clkin1_ibufg : label is "PRIMITIVE";
attribute CAPACITANCE : string;
attribute CAPACITANCE of clkin1_ibufg : label is "DONT_CARE";
attribute IBUF_DELAY_VALUE : string;
attribute IBUF_DELAY_VALUE of clkin1_ibufg : label is "0";
attribute IFD_DELAY_VALUE : string;
attribute IFD_DELAY_VALUE of clkin1_ibufg : label is "AUTO";
attribute BOX_TYPE of clkout1_buf : label is "PRIMITIVE";
attribute BOX_TYPE of clkout2_buf : label is "PRIMITIVE";
attribute BOX_TYPE of clkout3_buf : label is "PRIMITIVE";
attribute BOX_TYPE of clkout4_buf : label is "PRIMITIVE";
attribute BOX_TYPE of mmcm_adv_inst : label is "PRIMITIVE";
begin
clkf_buf: unisim.vcomponents.BUFG
port map (
I => clkfbout_system_clk_wiz_1_0,
O => clkfbout_buf_system_clk_wiz_1_0
);
clkin1_ibufg: unisim.vcomponents.IBUF
generic map(
IOSTANDARD => "DEFAULT"
)
port map (
I => clk_in1,
O => clk_in1_system_clk_wiz_1_0
);
clkout1_buf: unisim.vcomponents.BUFG
port map (
I => clk_out1_system_clk_wiz_1_0,
O => clk_out1
);
clkout2_buf: unisim.vcomponents.BUFG
port map (
I => clk_out2_system_clk_wiz_1_0,
O => clk_out2
);
clkout3_buf: unisim.vcomponents.BUFG
port map (
I => clk_out3_system_clk_wiz_1_0,
O => clk_out3
);
clkout4_buf: unisim.vcomponents.BUFG
port map (
I => clk_out4_system_clk_wiz_1_0,
O => clk_out4
);
mmcm_adv_inst: unisim.vcomponents.MMCME2_ADV
generic map(
BANDWIDTH => "OPTIMIZED",
CLKFBOUT_MULT_F => 10.000000,
CLKFBOUT_PHASE => 0.000000,
CLKFBOUT_USE_FINE_PS => false,
CLKIN1_PERIOD => 10.000000,
CLKIN2_PERIOD => 0.000000,
CLKOUT0_DIVIDE_F => 10.000000,
CLKOUT0_DUTY_CYCLE => 0.500000,
CLKOUT0_PHASE => 0.000000,
CLKOUT0_USE_FINE_PS => false,
CLKOUT1_DIVIDE => 6,
CLKOUT1_DUTY_CYCLE => 0.500000,
CLKOUT1_PHASE => 0.000000,
CLKOUT1_USE_FINE_PS => false,
CLKOUT2_DIVIDE => 5,
CLKOUT2_DUTY_CYCLE => 0.500000,
CLKOUT2_PHASE => 0.000000,
CLKOUT2_USE_FINE_PS => false,
CLKOUT3_DIVIDE => 40,
CLKOUT3_DUTY_CYCLE => 0.500000,
CLKOUT3_PHASE => 0.000000,
CLKOUT3_USE_FINE_PS => false,
CLKOUT4_CASCADE => false,
CLKOUT4_DIVIDE => 1,
CLKOUT4_DUTY_CYCLE => 0.500000,
CLKOUT4_PHASE => 0.000000,
CLKOUT4_USE_FINE_PS => false,
CLKOUT5_DIVIDE => 1,
CLKOUT5_DUTY_CYCLE => 0.500000,
CLKOUT5_PHASE => 0.000000,
CLKOUT5_USE_FINE_PS => false,
CLKOUT6_DIVIDE => 1,
CLKOUT6_DUTY_CYCLE => 0.500000,
CLKOUT6_PHASE => 0.000000,
CLKOUT6_USE_FINE_PS => false,
COMPENSATION => "ZHOLD",
DIVCLK_DIVIDE => 1,
IS_CLKINSEL_INVERTED => '0',
IS_PSEN_INVERTED => '0',
IS_PSINCDEC_INVERTED => '0',
IS_PWRDWN_INVERTED => '0',
IS_RST_INVERTED => '0',
REF_JITTER1 => 0.010000,
REF_JITTER2 => 0.010000,
SS_EN => "FALSE",
SS_MODE => "CENTER_HIGH",
SS_MOD_PERIOD => 10000,
STARTUP_WAIT => false
)
port map (
CLKFBIN => clkfbout_buf_system_clk_wiz_1_0,
CLKFBOUT => clkfbout_system_clk_wiz_1_0,
CLKFBOUTB => NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED,
CLKFBSTOPPED => NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED,
CLKIN1 => clk_in1_system_clk_wiz_1_0,
CLKIN2 => '0',
CLKINSEL => '1',
CLKINSTOPPED => NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED,
CLKOUT0 => clk_out1_system_clk_wiz_1_0,
CLKOUT0B => NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED,
CLKOUT1 => clk_out2_system_clk_wiz_1_0,
CLKOUT1B => NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED,
CLKOUT2 => clk_out3_system_clk_wiz_1_0,
CLKOUT2B => NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED,
CLKOUT3 => clk_out4_system_clk_wiz_1_0,
CLKOUT3B => NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED,
CLKOUT4 => NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED,
CLKOUT5 => NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED,
CLKOUT6 => NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED,
DADDR(6 downto 0) => B"0000000",
DCLK => '0',
DEN => '0',
DI(15 downto 0) => B"0000000000000000",
DO(15 downto 0) => NLW_mmcm_adv_inst_DO_UNCONNECTED(15 downto 0),
DRDY => NLW_mmcm_adv_inst_DRDY_UNCONNECTED,
DWE => '0',
LOCKED => locked,
PSCLK => '0',
PSDONE => NLW_mmcm_adv_inst_PSDONE_UNCONNECTED,
PSEN => '0',
PSINCDEC => '0',
PWRDWN => '0',
RST => reset_high
);
mmcm_adv_inst_i_1: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => resetn,
O => reset_high
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_clk_wiz_1_0 is
port (
clk_out1 : out STD_LOGIC;
clk_out2 : out STD_LOGIC;
clk_out3 : out STD_LOGIC;
clk_out4 : out STD_LOGIC;
resetn : in STD_LOGIC;
locked : out STD_LOGIC;
clk_in1 : in STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of system_clk_wiz_1_0 : entity is true;
end system_clk_wiz_1_0;
architecture STRUCTURE of system_clk_wiz_1_0 is
begin
inst: entity work.system_clk_wiz_1_0_system_clk_wiz_1_0_clk_wiz
port map (
clk_in1 => clk_in1,
clk_out1 => clk_out1,
clk_out2 => clk_out2,
clk_out3 => clk_out3,
clk_out4 => clk_out4,
locked => locked,
resetn => resetn
);
end STRUCTURE;
|
-- Copyright 1986-1999, 2001-2013 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2013.4 (lin64) Build 353583 Mon Dec 9 17:26:26 MST 2013
-- Date : Mon Mar 31 20:12:08 2014
-- Host : macbook running 64-bit Arch Linux
-- Command : write_vhdl -force -mode funcsim
-- /home/keith/Documents/VHDL-lib/top/lab_4/part_1/ip/clk_108MHz/clk_108MHz_funcsim.vhdl
-- Design : clk_108MHz
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE; use IEEE.STD_LOGIC_1164.ALL;
library UNISIM; use UNISIM.VCOMPONENTS.ALL;
entity clk_108MHzclk_108MHz_clk_wiz is
port (
clk_100MHz : in STD_LOGIC;
clk_108MHz : out STD_LOGIC;
locked : out STD_LOGIC
);
end clk_108MHzclk_108MHz_clk_wiz;
architecture STRUCTURE of clk_108MHzclk_108MHz_clk_wiz is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
signal clk_100MHz_clk_108MHz : STD_LOGIC;
signal clk_108MHz_clk_108MHz : STD_LOGIC;
signal clkfbout_buf_clk_108MHz : STD_LOGIC;
signal clkfbout_clk_108MHz : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_DRDY_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_PSDONE_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_DO_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 );
attribute box_type : string;
attribute box_type of clkf_buf : label is "PRIMITIVE";
attribute box_type of clkin1_bufg : label is "PRIMITIVE";
attribute box_type of clkout1_buf : label is "PRIMITIVE";
attribute box_type of mmcm_adv_inst : label is "PRIMITIVE";
begin
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
clkf_buf: unisim.vcomponents.BUFG
port map (
I => clkfbout_clk_108MHz,
O => clkfbout_buf_clk_108MHz
);
clkin1_bufg: unisim.vcomponents.BUFG
port map (
I => clk_100MHz,
O => clk_100MHz_clk_108MHz
);
clkout1_buf: unisim.vcomponents.BUFG
port map (
I => clk_108MHz_clk_108MHz,
O => clk_108MHz
);
mmcm_adv_inst: unisim.vcomponents.MMCME2_ADV
generic map(
BANDWIDTH => "OPTIMIZED",
CLKFBOUT_MULT_F => 10.125000,
CLKFBOUT_PHASE => 0.000000,
CLKFBOUT_USE_FINE_PS => false,
CLKIN1_PERIOD => 10.000000,
CLKIN2_PERIOD => 0.000000,
CLKOUT0_DIVIDE_F => 9.375000,
CLKOUT0_DUTY_CYCLE => 0.500000,
CLKOUT0_PHASE => 0.000000,
CLKOUT0_USE_FINE_PS => false,
CLKOUT1_DIVIDE => 1,
CLKOUT1_DUTY_CYCLE => 0.500000,
CLKOUT1_PHASE => 0.000000,
CLKOUT1_USE_FINE_PS => false,
CLKOUT2_DIVIDE => 1,
CLKOUT2_DUTY_CYCLE => 0.500000,
CLKOUT2_PHASE => 0.000000,
CLKOUT2_USE_FINE_PS => false,
CLKOUT3_DIVIDE => 1,
CLKOUT3_DUTY_CYCLE => 0.500000,
CLKOUT3_PHASE => 0.000000,
CLKOUT3_USE_FINE_PS => false,
CLKOUT4_CASCADE => false,
CLKOUT4_DIVIDE => 1,
CLKOUT4_DUTY_CYCLE => 0.500000,
CLKOUT4_PHASE => 0.000000,
CLKOUT4_USE_FINE_PS => false,
CLKOUT5_DIVIDE => 1,
CLKOUT5_DUTY_CYCLE => 0.500000,
CLKOUT5_PHASE => 0.000000,
CLKOUT5_USE_FINE_PS => false,
CLKOUT6_DIVIDE => 1,
CLKOUT6_DUTY_CYCLE => 0.500000,
CLKOUT6_PHASE => 0.000000,
CLKOUT6_USE_FINE_PS => false,
COMPENSATION => "BUF_IN",
DIVCLK_DIVIDE => 1,
IS_CLKINSEL_INVERTED => '0',
IS_PSEN_INVERTED => '0',
IS_PSINCDEC_INVERTED => '0',
IS_PWRDWN_INVERTED => '0',
IS_RST_INVERTED => '0',
REF_JITTER1 => 0.010000,
REF_JITTER2 => 0.000000,
SS_EN => "FALSE",
SS_MODE => "CENTER_HIGH",
SS_MOD_PERIOD => 10000,
STARTUP_WAIT => false
)
port map (
CLKFBIN => clkfbout_buf_clk_108MHz,
CLKFBOUT => clkfbout_clk_108MHz,
CLKFBOUTB => NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED,
CLKFBSTOPPED => NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED,
CLKIN1 => clk_100MHz_clk_108MHz,
CLKIN2 => \<const0>\,
CLKINSEL => \<const1>\,
CLKINSTOPPED => NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED,
CLKOUT0 => clk_108MHz_clk_108MHz,
CLKOUT0B => NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED,
CLKOUT1 => NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED,
CLKOUT1B => NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED,
CLKOUT2 => NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED,
CLKOUT2B => NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED,
CLKOUT3 => NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED,
CLKOUT3B => NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED,
CLKOUT4 => NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED,
CLKOUT5 => NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED,
CLKOUT6 => NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED,
DADDR(6) => \<const0>\,
DADDR(5) => \<const0>\,
DADDR(4) => \<const0>\,
DADDR(3) => \<const0>\,
DADDR(2) => \<const0>\,
DADDR(1) => \<const0>\,
DADDR(0) => \<const0>\,
DCLK => \<const0>\,
DEN => \<const0>\,
DI(15) => \<const0>\,
DI(14) => \<const0>\,
DI(13) => \<const0>\,
DI(12) => \<const0>\,
DI(11) => \<const0>\,
DI(10) => \<const0>\,
DI(9) => \<const0>\,
DI(8) => \<const0>\,
DI(7) => \<const0>\,
DI(6) => \<const0>\,
DI(5) => \<const0>\,
DI(4) => \<const0>\,
DI(3) => \<const0>\,
DI(2) => \<const0>\,
DI(1) => \<const0>\,
DI(0) => \<const0>\,
DO(15 downto 0) => NLW_mmcm_adv_inst_DO_UNCONNECTED(15 downto 0),
DRDY => NLW_mmcm_adv_inst_DRDY_UNCONNECTED,
DWE => \<const0>\,
LOCKED => locked,
PSCLK => \<const0>\,
PSDONE => NLW_mmcm_adv_inst_PSDONE_UNCONNECTED,
PSEN => \<const0>\,
PSINCDEC => \<const0>\,
PWRDWN => \<const0>\,
RST => \<const0>\
);
end STRUCTURE;
library IEEE; use IEEE.STD_LOGIC_1164.ALL;
library UNISIM; use UNISIM.VCOMPONENTS.ALL;
entity clk_108MHz is
port (
clk_100MHz : in STD_LOGIC;
clk_108MHz : out STD_LOGIC;
locked : out STD_LOGIC
);
end clk_108MHz;
architecture STRUCTURE of clk_108MHz is
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of STRUCTURE : architecture is true;
begin
U0: entity work.clk_108MHzclk_108MHz_clk_wiz
port map (
clk_100MHz => clk_100MHz,
clk_108MHz => clk_108MHz,
locked => locked
);
end STRUCTURE;
|
-- Copyright 1986-1999, 2001-2013 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2013.4 (lin64) Build 353583 Mon Dec 9 17:26:26 MST 2013
-- Date : Mon Mar 31 20:12:08 2014
-- Host : macbook running 64-bit Arch Linux
-- Command : write_vhdl -force -mode funcsim
-- /home/keith/Documents/VHDL-lib/top/lab_4/part_1/ip/clk_108MHz/clk_108MHz_funcsim.vhdl
-- Design : clk_108MHz
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE; use IEEE.STD_LOGIC_1164.ALL;
library UNISIM; use UNISIM.VCOMPONENTS.ALL;
entity clk_108MHzclk_108MHz_clk_wiz is
port (
clk_100MHz : in STD_LOGIC;
clk_108MHz : out STD_LOGIC;
locked : out STD_LOGIC
);
end clk_108MHzclk_108MHz_clk_wiz;
architecture STRUCTURE of clk_108MHzclk_108MHz_clk_wiz is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
signal clk_100MHz_clk_108MHz : STD_LOGIC;
signal clk_108MHz_clk_108MHz : STD_LOGIC;
signal clkfbout_buf_clk_108MHz : STD_LOGIC;
signal clkfbout_clk_108MHz : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_DRDY_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_PSDONE_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_DO_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 );
attribute box_type : string;
attribute box_type of clkf_buf : label is "PRIMITIVE";
attribute box_type of clkin1_bufg : label is "PRIMITIVE";
attribute box_type of clkout1_buf : label is "PRIMITIVE";
attribute box_type of mmcm_adv_inst : label is "PRIMITIVE";
begin
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
clkf_buf: unisim.vcomponents.BUFG
port map (
I => clkfbout_clk_108MHz,
O => clkfbout_buf_clk_108MHz
);
clkin1_bufg: unisim.vcomponents.BUFG
port map (
I => clk_100MHz,
O => clk_100MHz_clk_108MHz
);
clkout1_buf: unisim.vcomponents.BUFG
port map (
I => clk_108MHz_clk_108MHz,
O => clk_108MHz
);
mmcm_adv_inst: unisim.vcomponents.MMCME2_ADV
generic map(
BANDWIDTH => "OPTIMIZED",
CLKFBOUT_MULT_F => 10.125000,
CLKFBOUT_PHASE => 0.000000,
CLKFBOUT_USE_FINE_PS => false,
CLKIN1_PERIOD => 10.000000,
CLKIN2_PERIOD => 0.000000,
CLKOUT0_DIVIDE_F => 9.375000,
CLKOUT0_DUTY_CYCLE => 0.500000,
CLKOUT0_PHASE => 0.000000,
CLKOUT0_USE_FINE_PS => false,
CLKOUT1_DIVIDE => 1,
CLKOUT1_DUTY_CYCLE => 0.500000,
CLKOUT1_PHASE => 0.000000,
CLKOUT1_USE_FINE_PS => false,
CLKOUT2_DIVIDE => 1,
CLKOUT2_DUTY_CYCLE => 0.500000,
CLKOUT2_PHASE => 0.000000,
CLKOUT2_USE_FINE_PS => false,
CLKOUT3_DIVIDE => 1,
CLKOUT3_DUTY_CYCLE => 0.500000,
CLKOUT3_PHASE => 0.000000,
CLKOUT3_USE_FINE_PS => false,
CLKOUT4_CASCADE => false,
CLKOUT4_DIVIDE => 1,
CLKOUT4_DUTY_CYCLE => 0.500000,
CLKOUT4_PHASE => 0.000000,
CLKOUT4_USE_FINE_PS => false,
CLKOUT5_DIVIDE => 1,
CLKOUT5_DUTY_CYCLE => 0.500000,
CLKOUT5_PHASE => 0.000000,
CLKOUT5_USE_FINE_PS => false,
CLKOUT6_DIVIDE => 1,
CLKOUT6_DUTY_CYCLE => 0.500000,
CLKOUT6_PHASE => 0.000000,
CLKOUT6_USE_FINE_PS => false,
COMPENSATION => "BUF_IN",
DIVCLK_DIVIDE => 1,
IS_CLKINSEL_INVERTED => '0',
IS_PSEN_INVERTED => '0',
IS_PSINCDEC_INVERTED => '0',
IS_PWRDWN_INVERTED => '0',
IS_RST_INVERTED => '0',
REF_JITTER1 => 0.010000,
REF_JITTER2 => 0.000000,
SS_EN => "FALSE",
SS_MODE => "CENTER_HIGH",
SS_MOD_PERIOD => 10000,
STARTUP_WAIT => false
)
port map (
CLKFBIN => clkfbout_buf_clk_108MHz,
CLKFBOUT => clkfbout_clk_108MHz,
CLKFBOUTB => NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED,
CLKFBSTOPPED => NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED,
CLKIN1 => clk_100MHz_clk_108MHz,
CLKIN2 => \<const0>\,
CLKINSEL => \<const1>\,
CLKINSTOPPED => NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED,
CLKOUT0 => clk_108MHz_clk_108MHz,
CLKOUT0B => NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED,
CLKOUT1 => NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED,
CLKOUT1B => NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED,
CLKOUT2 => NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED,
CLKOUT2B => NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED,
CLKOUT3 => NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED,
CLKOUT3B => NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED,
CLKOUT4 => NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED,
CLKOUT5 => NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED,
CLKOUT6 => NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED,
DADDR(6) => \<const0>\,
DADDR(5) => \<const0>\,
DADDR(4) => \<const0>\,
DADDR(3) => \<const0>\,
DADDR(2) => \<const0>\,
DADDR(1) => \<const0>\,
DADDR(0) => \<const0>\,
DCLK => \<const0>\,
DEN => \<const0>\,
DI(15) => \<const0>\,
DI(14) => \<const0>\,
DI(13) => \<const0>\,
DI(12) => \<const0>\,
DI(11) => \<const0>\,
DI(10) => \<const0>\,
DI(9) => \<const0>\,
DI(8) => \<const0>\,
DI(7) => \<const0>\,
DI(6) => \<const0>\,
DI(5) => \<const0>\,
DI(4) => \<const0>\,
DI(3) => \<const0>\,
DI(2) => \<const0>\,
DI(1) => \<const0>\,
DI(0) => \<const0>\,
DO(15 downto 0) => NLW_mmcm_adv_inst_DO_UNCONNECTED(15 downto 0),
DRDY => NLW_mmcm_adv_inst_DRDY_UNCONNECTED,
DWE => \<const0>\,
LOCKED => locked,
PSCLK => \<const0>\,
PSDONE => NLW_mmcm_adv_inst_PSDONE_UNCONNECTED,
PSEN => \<const0>\,
PSINCDEC => \<const0>\,
PWRDWN => \<const0>\,
RST => \<const0>\
);
end STRUCTURE;
library IEEE; use IEEE.STD_LOGIC_1164.ALL;
library UNISIM; use UNISIM.VCOMPONENTS.ALL;
entity clk_108MHz is
port (
clk_100MHz : in STD_LOGIC;
clk_108MHz : out STD_LOGIC;
locked : out STD_LOGIC
);
end clk_108MHz;
architecture STRUCTURE of clk_108MHz is
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of STRUCTURE : architecture is true;
begin
U0: entity work.clk_108MHzclk_108MHz_clk_wiz
port map (
clk_100MHz => clk_100MHz,
clk_108MHz => clk_108MHz,
locked => locked
);
end STRUCTURE;
|
--Practica3 de Diseño Automatico de Sistemas
--Cerrojo Electronico.
--Fichero principal.
--Desarrollada por Héctor Gutiérrez Palancarejo.
library ieee;
use ieee.std_logic_1164.all;
entity lock is
port ( intro : in std_logic;
clk : in std_logic;
rst : in std_logic;
switches : in std_logic_vector (7 downto 0);
lock_signal : out std_logic;
segs : out std_logic_vector (6 downto 0));
end lock;
architecture rtl of lock is
component synchronizer
port(
x : in std_logic;
rst : in std_logic;
clk : in std_logic;
xsync : out std_logic
);
end component;
component debouncer
port(
x : in std_logic;
rst : in std_logic;
clk : in std_logic;
xdeb : out std_logic
);
end component;
component edgedetector
port(
rst : in std_logic;
x : in std_logic;
clk : in std_logic;
x_falling_edge : out std_logic;
x_rising_edge : out std_logic
);
end component;
component fsm is
port(
x : in std_logic;
clk : in std_logic;
rst : in std_logic;
eq : in std_logic;
lock : out std_logic;
ld : out std_logic;
st : out std_logic_vector (3 downto 0)
);
end component;
component switch2display7seg
port(
a : in std_logic_vector(3 downto 0);
b : out std_logic_vector(6 downto 0)
);
end component;
signal reg : std_logic_vector(7 downto 0);
signal display : std_logic_vector(3 downto 0);
signal eq,load,xsync,xdeb,x_falling,x_rising,lock_inv : std_logic;
begin
i_sync : synchronizer port map(x=>intro, rst=>rst, clk=>clk, xsync=>xsync);
i_deb : debouncer port map(x=>xsync,rst=>rst,clk=>clk,xdeb=>xdeb);
i_edge : edgedetector port map(x=>xdeb,rst=>rst,clk=>clk,
x_falling_edge =>x_falling, x_rising_edge=>x_rising);
i_fsm : fsm port map(x=>x_falling,rst=>rst,clk=>clk,eq=>eq,
lock=>lock_inv,ld=>load,st=>display);
i_7segs : switch2display7seg port map(a=>display,b=>segs);
eq <= '1' when switches = reg else '0';
lock_signal <= lock_inv;
reg_load : process(clk,rst)
begin
if(rst = '0') then
reg <= (others => '0');
elsif(rising_edge(clk)) then
if(load = '1') then
reg <= switches;
end if;
end if;
end process;
end rtl; |
package assert_after_missing_type is
end package;
package body assert_after_missing_type is
procedure proc(var : type_t) is
begin
end;
procedure calling_proc is
begin
proc(1); -- Causes SIGABRT
end;
end package body;
|
package assert_after_missing_type is
end package;
package body assert_after_missing_type is
procedure proc(var : type_t) is
begin
end;
procedure calling_proc is
begin
proc(1); -- Causes SIGABRT
end;
end package body;
|
package assert_after_missing_type is
end package;
package body assert_after_missing_type is
procedure proc(var : type_t) is
begin
end;
procedure calling_proc is
begin
proc(1); -- Causes SIGABRT
end;
end package body;
|
package assert_after_missing_type is
end package;
package body assert_after_missing_type is
procedure proc(var : type_t) is
begin
end;
procedure calling_proc is
begin
proc(1); -- Causes SIGABRT
end;
end package body;
|
LIBRARY ieee ;
USE ieee.std_logic_1164.all ;
ENTITY genOR IS
GENERIC
(
size : integer
);
PORT
(
input0 : IN std_logic_vector(size-1 downto 0);
input1 : IN std_logic_vector(size-1 downto 0);
output : OUT std_logic_vector(size-1 downto 0)
);
END genOR;
ARCHITECTURE behavior OF genOR IS
BEGIN
output <= input0 OR input1;
END behavior; |
-- (C) 1992-2014 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
-- for 36 bit mantissa for trig library
--***************************************************
--*** Notes: Latency = 17 ***
--***************************************************
ENTITY fp_invsqr_trig1 IS
GENERIC (synthesize : integer := 1);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
exponentin: IN STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (36 DOWNTO 1);
exponentout : OUT STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (36 DOWNTO 1)
);
END fp_invsqr_trig1;
ARCHITECTURE rtl OF fp_invsqr_trig1 IS
constant manwidth : positive := 36;
constant expwidth : positive := 8;
constant coredepth : positive := 17;
type expfftype IS ARRAY (coredepth DOWNTO 1) OF STD_LOGIC_VECTOR (expwidth DOWNTO 1);
signal expff : expfftype;
signal radicand : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal oddexponent : STD_LOGIC;
signal invroot : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal zerovec : STD_LOGIC_VECTOR (manwidth DOWNTO 1);
signal offset : STD_LOGIC_VECTOR (expwidth DOWNTO 1);
component fp_invsqr_core IS
GENERIC (synthesize : integer := 1); -- 0/1
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
radicand : IN STD_LOGIC_VECTOR (36 DOWNTO 1);
odd : IN STD_LOGIC;
invroot : OUT STD_LOGIC_VECTOR (36 DOWNTO 1)
);
end component;
BEGIN
gzva: FOR k IN 1 TO manwidth GENERATE
zerovec(k) <= '0';
END GENERATE;
gxoa: FOR k IN 1 TO expwidth-1 GENERATE
offset(k) <= '1';
END GENERATE;
offset(expwidth) <= '0';
pma: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO coredepth LOOP
FOR j IN 1 TO expwidth LOOP
expff(k)(j) <= '0';
END LOOP;
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
expff(1)(expwidth DOWNTO 1) <= exponentin;
expff(2)(expwidth DOWNTO 1) <= expff(1)(expwidth DOWNTO 1) - offset;
expff(3)(expwidth DOWNTO 1) <= expff(2)(expwidth) & expff(2)(expwidth DOWNTO 2);
expff(4)(expwidth DOWNTO 1) <= offset - expff(3)(expwidth DOWNTO 1);
expff(5)(expwidth DOWNTO 1) <= expff(4)(expwidth DOWNTO 1) - 1;
FOR k IN 6 TO coredepth LOOP
expff(k)(expwidth DOWNTO 1) <= expff(k-1)(expwidth DOWNTO 1);
END LOOP;
END IF;
END PROCESS;
--*******************
--*** SQUARE ROOT ***
--*******************
radicand <= mantissain; -- already with leading '1'
-- sub 127, so 127 (odd) = 2^0 => even
oddexponent <= NOT(exponentin(1));
-- does not require rounding, output of core rounded already, LSB always 0
isqr: fp_invsqr_core
GENERIC MAP (synthesize=>synthesize)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
radicand=>radicand,odd=>oddexponent,
invroot=>invroot);
--***************
--*** OUTPUTS ***
--***************
exponentout <= expff(coredepth)(expwidth DOWNTO 1);
mantissaout <= invroot;
END rtl;
|
-- (C) 1992-2014 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
-- for 36 bit mantissa for trig library
--***************************************************
--*** Notes: Latency = 17 ***
--***************************************************
ENTITY fp_invsqr_trig1 IS
GENERIC (synthesize : integer := 1);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
exponentin: IN STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (36 DOWNTO 1);
exponentout : OUT STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (36 DOWNTO 1)
);
END fp_invsqr_trig1;
ARCHITECTURE rtl OF fp_invsqr_trig1 IS
constant manwidth : positive := 36;
constant expwidth : positive := 8;
constant coredepth : positive := 17;
type expfftype IS ARRAY (coredepth DOWNTO 1) OF STD_LOGIC_VECTOR (expwidth DOWNTO 1);
signal expff : expfftype;
signal radicand : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal oddexponent : STD_LOGIC;
signal invroot : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal zerovec : STD_LOGIC_VECTOR (manwidth DOWNTO 1);
signal offset : STD_LOGIC_VECTOR (expwidth DOWNTO 1);
component fp_invsqr_core IS
GENERIC (synthesize : integer := 1); -- 0/1
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
radicand : IN STD_LOGIC_VECTOR (36 DOWNTO 1);
odd : IN STD_LOGIC;
invroot : OUT STD_LOGIC_VECTOR (36 DOWNTO 1)
);
end component;
BEGIN
gzva: FOR k IN 1 TO manwidth GENERATE
zerovec(k) <= '0';
END GENERATE;
gxoa: FOR k IN 1 TO expwidth-1 GENERATE
offset(k) <= '1';
END GENERATE;
offset(expwidth) <= '0';
pma: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO coredepth LOOP
FOR j IN 1 TO expwidth LOOP
expff(k)(j) <= '0';
END LOOP;
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
expff(1)(expwidth DOWNTO 1) <= exponentin;
expff(2)(expwidth DOWNTO 1) <= expff(1)(expwidth DOWNTO 1) - offset;
expff(3)(expwidth DOWNTO 1) <= expff(2)(expwidth) & expff(2)(expwidth DOWNTO 2);
expff(4)(expwidth DOWNTO 1) <= offset - expff(3)(expwidth DOWNTO 1);
expff(5)(expwidth DOWNTO 1) <= expff(4)(expwidth DOWNTO 1) - 1;
FOR k IN 6 TO coredepth LOOP
expff(k)(expwidth DOWNTO 1) <= expff(k-1)(expwidth DOWNTO 1);
END LOOP;
END IF;
END PROCESS;
--*******************
--*** SQUARE ROOT ***
--*******************
radicand <= mantissain; -- already with leading '1'
-- sub 127, so 127 (odd) = 2^0 => even
oddexponent <= NOT(exponentin(1));
-- does not require rounding, output of core rounded already, LSB always 0
isqr: fp_invsqr_core
GENERIC MAP (synthesize=>synthesize)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
radicand=>radicand,odd=>oddexponent,
invroot=>invroot);
--***************
--*** OUTPUTS ***
--***************
exponentout <= expff(coredepth)(expwidth DOWNTO 1);
mantissaout <= invroot;
END rtl;
|
-- (C) 1992-2014 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
-- for 36 bit mantissa for trig library
--***************************************************
--*** Notes: Latency = 17 ***
--***************************************************
ENTITY fp_invsqr_trig1 IS
GENERIC (synthesize : integer := 1);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
exponentin: IN STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (36 DOWNTO 1);
exponentout : OUT STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (36 DOWNTO 1)
);
END fp_invsqr_trig1;
ARCHITECTURE rtl OF fp_invsqr_trig1 IS
constant manwidth : positive := 36;
constant expwidth : positive := 8;
constant coredepth : positive := 17;
type expfftype IS ARRAY (coredepth DOWNTO 1) OF STD_LOGIC_VECTOR (expwidth DOWNTO 1);
signal expff : expfftype;
signal radicand : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal oddexponent : STD_LOGIC;
signal invroot : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal zerovec : STD_LOGIC_VECTOR (manwidth DOWNTO 1);
signal offset : STD_LOGIC_VECTOR (expwidth DOWNTO 1);
component fp_invsqr_core IS
GENERIC (synthesize : integer := 1); -- 0/1
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
radicand : IN STD_LOGIC_VECTOR (36 DOWNTO 1);
odd : IN STD_LOGIC;
invroot : OUT STD_LOGIC_VECTOR (36 DOWNTO 1)
);
end component;
BEGIN
gzva: FOR k IN 1 TO manwidth GENERATE
zerovec(k) <= '0';
END GENERATE;
gxoa: FOR k IN 1 TO expwidth-1 GENERATE
offset(k) <= '1';
END GENERATE;
offset(expwidth) <= '0';
pma: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO coredepth LOOP
FOR j IN 1 TO expwidth LOOP
expff(k)(j) <= '0';
END LOOP;
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
expff(1)(expwidth DOWNTO 1) <= exponentin;
expff(2)(expwidth DOWNTO 1) <= expff(1)(expwidth DOWNTO 1) - offset;
expff(3)(expwidth DOWNTO 1) <= expff(2)(expwidth) & expff(2)(expwidth DOWNTO 2);
expff(4)(expwidth DOWNTO 1) <= offset - expff(3)(expwidth DOWNTO 1);
expff(5)(expwidth DOWNTO 1) <= expff(4)(expwidth DOWNTO 1) - 1;
FOR k IN 6 TO coredepth LOOP
expff(k)(expwidth DOWNTO 1) <= expff(k-1)(expwidth DOWNTO 1);
END LOOP;
END IF;
END PROCESS;
--*******************
--*** SQUARE ROOT ***
--*******************
radicand <= mantissain; -- already with leading '1'
-- sub 127, so 127 (odd) = 2^0 => even
oddexponent <= NOT(exponentin(1));
-- does not require rounding, output of core rounded already, LSB always 0
isqr: fp_invsqr_core
GENERIC MAP (synthesize=>synthesize)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
radicand=>radicand,odd=>oddexponent,
invroot=>invroot);
--***************
--*** OUTPUTS ***
--***************
exponentout <= expff(coredepth)(expwidth DOWNTO 1);
mantissaout <= invroot;
END rtl;
|
-- (C) 1992-2014 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
-- for 36 bit mantissa for trig library
--***************************************************
--*** Notes: Latency = 17 ***
--***************************************************
ENTITY fp_invsqr_trig1 IS
GENERIC (synthesize : integer := 1);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
exponentin: IN STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (36 DOWNTO 1);
exponentout : OUT STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (36 DOWNTO 1)
);
END fp_invsqr_trig1;
ARCHITECTURE rtl OF fp_invsqr_trig1 IS
constant manwidth : positive := 36;
constant expwidth : positive := 8;
constant coredepth : positive := 17;
type expfftype IS ARRAY (coredepth DOWNTO 1) OF STD_LOGIC_VECTOR (expwidth DOWNTO 1);
signal expff : expfftype;
signal radicand : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal oddexponent : STD_LOGIC;
signal invroot : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal zerovec : STD_LOGIC_VECTOR (manwidth DOWNTO 1);
signal offset : STD_LOGIC_VECTOR (expwidth DOWNTO 1);
component fp_invsqr_core IS
GENERIC (synthesize : integer := 1); -- 0/1
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
radicand : IN STD_LOGIC_VECTOR (36 DOWNTO 1);
odd : IN STD_LOGIC;
invroot : OUT STD_LOGIC_VECTOR (36 DOWNTO 1)
);
end component;
BEGIN
gzva: FOR k IN 1 TO manwidth GENERATE
zerovec(k) <= '0';
END GENERATE;
gxoa: FOR k IN 1 TO expwidth-1 GENERATE
offset(k) <= '1';
END GENERATE;
offset(expwidth) <= '0';
pma: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO coredepth LOOP
FOR j IN 1 TO expwidth LOOP
expff(k)(j) <= '0';
END LOOP;
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
expff(1)(expwidth DOWNTO 1) <= exponentin;
expff(2)(expwidth DOWNTO 1) <= expff(1)(expwidth DOWNTO 1) - offset;
expff(3)(expwidth DOWNTO 1) <= expff(2)(expwidth) & expff(2)(expwidth DOWNTO 2);
expff(4)(expwidth DOWNTO 1) <= offset - expff(3)(expwidth DOWNTO 1);
expff(5)(expwidth DOWNTO 1) <= expff(4)(expwidth DOWNTO 1) - 1;
FOR k IN 6 TO coredepth LOOP
expff(k)(expwidth DOWNTO 1) <= expff(k-1)(expwidth DOWNTO 1);
END LOOP;
END IF;
END PROCESS;
--*******************
--*** SQUARE ROOT ***
--*******************
radicand <= mantissain; -- already with leading '1'
-- sub 127, so 127 (odd) = 2^0 => even
oddexponent <= NOT(exponentin(1));
-- does not require rounding, output of core rounded already, LSB always 0
isqr: fp_invsqr_core
GENERIC MAP (synthesize=>synthesize)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
radicand=>radicand,odd=>oddexponent,
invroot=>invroot);
--***************
--*** OUTPUTS ***
--***************
exponentout <= expff(coredepth)(expwidth DOWNTO 1);
mantissaout <= invroot;
END rtl;
|
-- (C) 1992-2014 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
-- for 36 bit mantissa for trig library
--***************************************************
--*** Notes: Latency = 17 ***
--***************************************************
ENTITY fp_invsqr_trig1 IS
GENERIC (synthesize : integer := 1);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
exponentin: IN STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (36 DOWNTO 1);
exponentout : OUT STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (36 DOWNTO 1)
);
END fp_invsqr_trig1;
ARCHITECTURE rtl OF fp_invsqr_trig1 IS
constant manwidth : positive := 36;
constant expwidth : positive := 8;
constant coredepth : positive := 17;
type expfftype IS ARRAY (coredepth DOWNTO 1) OF STD_LOGIC_VECTOR (expwidth DOWNTO 1);
signal expff : expfftype;
signal radicand : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal oddexponent : STD_LOGIC;
signal invroot : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal zerovec : STD_LOGIC_VECTOR (manwidth DOWNTO 1);
signal offset : STD_LOGIC_VECTOR (expwidth DOWNTO 1);
component fp_invsqr_core IS
GENERIC (synthesize : integer := 1); -- 0/1
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
radicand : IN STD_LOGIC_VECTOR (36 DOWNTO 1);
odd : IN STD_LOGIC;
invroot : OUT STD_LOGIC_VECTOR (36 DOWNTO 1)
);
end component;
BEGIN
gzva: FOR k IN 1 TO manwidth GENERATE
zerovec(k) <= '0';
END GENERATE;
gxoa: FOR k IN 1 TO expwidth-1 GENERATE
offset(k) <= '1';
END GENERATE;
offset(expwidth) <= '0';
pma: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO coredepth LOOP
FOR j IN 1 TO expwidth LOOP
expff(k)(j) <= '0';
END LOOP;
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
expff(1)(expwidth DOWNTO 1) <= exponentin;
expff(2)(expwidth DOWNTO 1) <= expff(1)(expwidth DOWNTO 1) - offset;
expff(3)(expwidth DOWNTO 1) <= expff(2)(expwidth) & expff(2)(expwidth DOWNTO 2);
expff(4)(expwidth DOWNTO 1) <= offset - expff(3)(expwidth DOWNTO 1);
expff(5)(expwidth DOWNTO 1) <= expff(4)(expwidth DOWNTO 1) - 1;
FOR k IN 6 TO coredepth LOOP
expff(k)(expwidth DOWNTO 1) <= expff(k-1)(expwidth DOWNTO 1);
END LOOP;
END IF;
END PROCESS;
--*******************
--*** SQUARE ROOT ***
--*******************
radicand <= mantissain; -- already with leading '1'
-- sub 127, so 127 (odd) = 2^0 => even
oddexponent <= NOT(exponentin(1));
-- does not require rounding, output of core rounded already, LSB always 0
isqr: fp_invsqr_core
GENERIC MAP (synthesize=>synthesize)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
radicand=>radicand,odd=>oddexponent,
invroot=>invroot);
--***************
--*** OUTPUTS ***
--***************
exponentout <= expff(coredepth)(expwidth DOWNTO 1);
mantissaout <= invroot;
END rtl;
|
-- (C) 1992-2014 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
-- for 36 bit mantissa for trig library
--***************************************************
--*** Notes: Latency = 17 ***
--***************************************************
ENTITY fp_invsqr_trig1 IS
GENERIC (synthesize : integer := 1);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
exponentin: IN STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (36 DOWNTO 1);
exponentout : OUT STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (36 DOWNTO 1)
);
END fp_invsqr_trig1;
ARCHITECTURE rtl OF fp_invsqr_trig1 IS
constant manwidth : positive := 36;
constant expwidth : positive := 8;
constant coredepth : positive := 17;
type expfftype IS ARRAY (coredepth DOWNTO 1) OF STD_LOGIC_VECTOR (expwidth DOWNTO 1);
signal expff : expfftype;
signal radicand : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal oddexponent : STD_LOGIC;
signal invroot : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal zerovec : STD_LOGIC_VECTOR (manwidth DOWNTO 1);
signal offset : STD_LOGIC_VECTOR (expwidth DOWNTO 1);
component fp_invsqr_core IS
GENERIC (synthesize : integer := 1); -- 0/1
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
radicand : IN STD_LOGIC_VECTOR (36 DOWNTO 1);
odd : IN STD_LOGIC;
invroot : OUT STD_LOGIC_VECTOR (36 DOWNTO 1)
);
end component;
BEGIN
gzva: FOR k IN 1 TO manwidth GENERATE
zerovec(k) <= '0';
END GENERATE;
gxoa: FOR k IN 1 TO expwidth-1 GENERATE
offset(k) <= '1';
END GENERATE;
offset(expwidth) <= '0';
pma: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO coredepth LOOP
FOR j IN 1 TO expwidth LOOP
expff(k)(j) <= '0';
END LOOP;
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
expff(1)(expwidth DOWNTO 1) <= exponentin;
expff(2)(expwidth DOWNTO 1) <= expff(1)(expwidth DOWNTO 1) - offset;
expff(3)(expwidth DOWNTO 1) <= expff(2)(expwidth) & expff(2)(expwidth DOWNTO 2);
expff(4)(expwidth DOWNTO 1) <= offset - expff(3)(expwidth DOWNTO 1);
expff(5)(expwidth DOWNTO 1) <= expff(4)(expwidth DOWNTO 1) - 1;
FOR k IN 6 TO coredepth LOOP
expff(k)(expwidth DOWNTO 1) <= expff(k-1)(expwidth DOWNTO 1);
END LOOP;
END IF;
END PROCESS;
--*******************
--*** SQUARE ROOT ***
--*******************
radicand <= mantissain; -- already with leading '1'
-- sub 127, so 127 (odd) = 2^0 => even
oddexponent <= NOT(exponentin(1));
-- does not require rounding, output of core rounded already, LSB always 0
isqr: fp_invsqr_core
GENERIC MAP (synthesize=>synthesize)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
radicand=>radicand,odd=>oddexponent,
invroot=>invroot);
--***************
--*** OUTPUTS ***
--***************
exponentout <= expff(coredepth)(expwidth DOWNTO 1);
mantissaout <= invroot;
END rtl;
|
-- (C) 1992-2014 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
-- for 36 bit mantissa for trig library
--***************************************************
--*** Notes: Latency = 17 ***
--***************************************************
ENTITY fp_invsqr_trig1 IS
GENERIC (synthesize : integer := 1);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
exponentin: IN STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (36 DOWNTO 1);
exponentout : OUT STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (36 DOWNTO 1)
);
END fp_invsqr_trig1;
ARCHITECTURE rtl OF fp_invsqr_trig1 IS
constant manwidth : positive := 36;
constant expwidth : positive := 8;
constant coredepth : positive := 17;
type expfftype IS ARRAY (coredepth DOWNTO 1) OF STD_LOGIC_VECTOR (expwidth DOWNTO 1);
signal expff : expfftype;
signal radicand : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal oddexponent : STD_LOGIC;
signal invroot : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal zerovec : STD_LOGIC_VECTOR (manwidth DOWNTO 1);
signal offset : STD_LOGIC_VECTOR (expwidth DOWNTO 1);
component fp_invsqr_core IS
GENERIC (synthesize : integer := 1); -- 0/1
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
radicand : IN STD_LOGIC_VECTOR (36 DOWNTO 1);
odd : IN STD_LOGIC;
invroot : OUT STD_LOGIC_VECTOR (36 DOWNTO 1)
);
end component;
BEGIN
gzva: FOR k IN 1 TO manwidth GENERATE
zerovec(k) <= '0';
END GENERATE;
gxoa: FOR k IN 1 TO expwidth-1 GENERATE
offset(k) <= '1';
END GENERATE;
offset(expwidth) <= '0';
pma: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO coredepth LOOP
FOR j IN 1 TO expwidth LOOP
expff(k)(j) <= '0';
END LOOP;
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
expff(1)(expwidth DOWNTO 1) <= exponentin;
expff(2)(expwidth DOWNTO 1) <= expff(1)(expwidth DOWNTO 1) - offset;
expff(3)(expwidth DOWNTO 1) <= expff(2)(expwidth) & expff(2)(expwidth DOWNTO 2);
expff(4)(expwidth DOWNTO 1) <= offset - expff(3)(expwidth DOWNTO 1);
expff(5)(expwidth DOWNTO 1) <= expff(4)(expwidth DOWNTO 1) - 1;
FOR k IN 6 TO coredepth LOOP
expff(k)(expwidth DOWNTO 1) <= expff(k-1)(expwidth DOWNTO 1);
END LOOP;
END IF;
END PROCESS;
--*******************
--*** SQUARE ROOT ***
--*******************
radicand <= mantissain; -- already with leading '1'
-- sub 127, so 127 (odd) = 2^0 => even
oddexponent <= NOT(exponentin(1));
-- does not require rounding, output of core rounded already, LSB always 0
isqr: fp_invsqr_core
GENERIC MAP (synthesize=>synthesize)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
radicand=>radicand,odd=>oddexponent,
invroot=>invroot);
--***************
--*** OUTPUTS ***
--***************
exponentout <= expff(coredepth)(expwidth DOWNTO 1);
mantissaout <= invroot;
END rtl;
|
-- (C) 1992-2014 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
-- for 36 bit mantissa for trig library
--***************************************************
--*** Notes: Latency = 17 ***
--***************************************************
ENTITY fp_invsqr_trig1 IS
GENERIC (synthesize : integer := 1);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
exponentin: IN STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (36 DOWNTO 1);
exponentout : OUT STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (36 DOWNTO 1)
);
END fp_invsqr_trig1;
ARCHITECTURE rtl OF fp_invsqr_trig1 IS
constant manwidth : positive := 36;
constant expwidth : positive := 8;
constant coredepth : positive := 17;
type expfftype IS ARRAY (coredepth DOWNTO 1) OF STD_LOGIC_VECTOR (expwidth DOWNTO 1);
signal expff : expfftype;
signal radicand : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal oddexponent : STD_LOGIC;
signal invroot : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal zerovec : STD_LOGIC_VECTOR (manwidth DOWNTO 1);
signal offset : STD_LOGIC_VECTOR (expwidth DOWNTO 1);
component fp_invsqr_core IS
GENERIC (synthesize : integer := 1); -- 0/1
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
radicand : IN STD_LOGIC_VECTOR (36 DOWNTO 1);
odd : IN STD_LOGIC;
invroot : OUT STD_LOGIC_VECTOR (36 DOWNTO 1)
);
end component;
BEGIN
gzva: FOR k IN 1 TO manwidth GENERATE
zerovec(k) <= '0';
END GENERATE;
gxoa: FOR k IN 1 TO expwidth-1 GENERATE
offset(k) <= '1';
END GENERATE;
offset(expwidth) <= '0';
pma: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO coredepth LOOP
FOR j IN 1 TO expwidth LOOP
expff(k)(j) <= '0';
END LOOP;
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
expff(1)(expwidth DOWNTO 1) <= exponentin;
expff(2)(expwidth DOWNTO 1) <= expff(1)(expwidth DOWNTO 1) - offset;
expff(3)(expwidth DOWNTO 1) <= expff(2)(expwidth) & expff(2)(expwidth DOWNTO 2);
expff(4)(expwidth DOWNTO 1) <= offset - expff(3)(expwidth DOWNTO 1);
expff(5)(expwidth DOWNTO 1) <= expff(4)(expwidth DOWNTO 1) - 1;
FOR k IN 6 TO coredepth LOOP
expff(k)(expwidth DOWNTO 1) <= expff(k-1)(expwidth DOWNTO 1);
END LOOP;
END IF;
END PROCESS;
--*******************
--*** SQUARE ROOT ***
--*******************
radicand <= mantissain; -- already with leading '1'
-- sub 127, so 127 (odd) = 2^0 => even
oddexponent <= NOT(exponentin(1));
-- does not require rounding, output of core rounded already, LSB always 0
isqr: fp_invsqr_core
GENERIC MAP (synthesize=>synthesize)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
radicand=>radicand,odd=>oddexponent,
invroot=>invroot);
--***************
--*** OUTPUTS ***
--***************
exponentout <= expff(coredepth)(expwidth DOWNTO 1);
mantissaout <= invroot;
END rtl;
|
-- (C) 1992-2014 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
-- for 36 bit mantissa for trig library
--***************************************************
--*** Notes: Latency = 17 ***
--***************************************************
ENTITY fp_invsqr_trig1 IS
GENERIC (synthesize : integer := 1);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
exponentin: IN STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (36 DOWNTO 1);
exponentout : OUT STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (36 DOWNTO 1)
);
END fp_invsqr_trig1;
ARCHITECTURE rtl OF fp_invsqr_trig1 IS
constant manwidth : positive := 36;
constant expwidth : positive := 8;
constant coredepth : positive := 17;
type expfftype IS ARRAY (coredepth DOWNTO 1) OF STD_LOGIC_VECTOR (expwidth DOWNTO 1);
signal expff : expfftype;
signal radicand : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal oddexponent : STD_LOGIC;
signal invroot : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal zerovec : STD_LOGIC_VECTOR (manwidth DOWNTO 1);
signal offset : STD_LOGIC_VECTOR (expwidth DOWNTO 1);
component fp_invsqr_core IS
GENERIC (synthesize : integer := 1); -- 0/1
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
radicand : IN STD_LOGIC_VECTOR (36 DOWNTO 1);
odd : IN STD_LOGIC;
invroot : OUT STD_LOGIC_VECTOR (36 DOWNTO 1)
);
end component;
BEGIN
gzva: FOR k IN 1 TO manwidth GENERATE
zerovec(k) <= '0';
END GENERATE;
gxoa: FOR k IN 1 TO expwidth-1 GENERATE
offset(k) <= '1';
END GENERATE;
offset(expwidth) <= '0';
pma: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO coredepth LOOP
FOR j IN 1 TO expwidth LOOP
expff(k)(j) <= '0';
END LOOP;
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
expff(1)(expwidth DOWNTO 1) <= exponentin;
expff(2)(expwidth DOWNTO 1) <= expff(1)(expwidth DOWNTO 1) - offset;
expff(3)(expwidth DOWNTO 1) <= expff(2)(expwidth) & expff(2)(expwidth DOWNTO 2);
expff(4)(expwidth DOWNTO 1) <= offset - expff(3)(expwidth DOWNTO 1);
expff(5)(expwidth DOWNTO 1) <= expff(4)(expwidth DOWNTO 1) - 1;
FOR k IN 6 TO coredepth LOOP
expff(k)(expwidth DOWNTO 1) <= expff(k-1)(expwidth DOWNTO 1);
END LOOP;
END IF;
END PROCESS;
--*******************
--*** SQUARE ROOT ***
--*******************
radicand <= mantissain; -- already with leading '1'
-- sub 127, so 127 (odd) = 2^0 => even
oddexponent <= NOT(exponentin(1));
-- does not require rounding, output of core rounded already, LSB always 0
isqr: fp_invsqr_core
GENERIC MAP (synthesize=>synthesize)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
radicand=>radicand,odd=>oddexponent,
invroot=>invroot);
--***************
--*** OUTPUTS ***
--***************
exponentout <= expff(coredepth)(expwidth DOWNTO 1);
mantissaout <= invroot;
END rtl;
|
-- (C) 1992-2014 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
-- for 36 bit mantissa for trig library
--***************************************************
--*** Notes: Latency = 17 ***
--***************************************************
ENTITY fp_invsqr_trig1 IS
GENERIC (synthesize : integer := 1);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
exponentin: IN STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (36 DOWNTO 1);
exponentout : OUT STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (36 DOWNTO 1)
);
END fp_invsqr_trig1;
ARCHITECTURE rtl OF fp_invsqr_trig1 IS
constant manwidth : positive := 36;
constant expwidth : positive := 8;
constant coredepth : positive := 17;
type expfftype IS ARRAY (coredepth DOWNTO 1) OF STD_LOGIC_VECTOR (expwidth DOWNTO 1);
signal expff : expfftype;
signal radicand : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal oddexponent : STD_LOGIC;
signal invroot : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal zerovec : STD_LOGIC_VECTOR (manwidth DOWNTO 1);
signal offset : STD_LOGIC_VECTOR (expwidth DOWNTO 1);
component fp_invsqr_core IS
GENERIC (synthesize : integer := 1); -- 0/1
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
radicand : IN STD_LOGIC_VECTOR (36 DOWNTO 1);
odd : IN STD_LOGIC;
invroot : OUT STD_LOGIC_VECTOR (36 DOWNTO 1)
);
end component;
BEGIN
gzva: FOR k IN 1 TO manwidth GENERATE
zerovec(k) <= '0';
END GENERATE;
gxoa: FOR k IN 1 TO expwidth-1 GENERATE
offset(k) <= '1';
END GENERATE;
offset(expwidth) <= '0';
pma: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO coredepth LOOP
FOR j IN 1 TO expwidth LOOP
expff(k)(j) <= '0';
END LOOP;
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
expff(1)(expwidth DOWNTO 1) <= exponentin;
expff(2)(expwidth DOWNTO 1) <= expff(1)(expwidth DOWNTO 1) - offset;
expff(3)(expwidth DOWNTO 1) <= expff(2)(expwidth) & expff(2)(expwidth DOWNTO 2);
expff(4)(expwidth DOWNTO 1) <= offset - expff(3)(expwidth DOWNTO 1);
expff(5)(expwidth DOWNTO 1) <= expff(4)(expwidth DOWNTO 1) - 1;
FOR k IN 6 TO coredepth LOOP
expff(k)(expwidth DOWNTO 1) <= expff(k-1)(expwidth DOWNTO 1);
END LOOP;
END IF;
END PROCESS;
--*******************
--*** SQUARE ROOT ***
--*******************
radicand <= mantissain; -- already with leading '1'
-- sub 127, so 127 (odd) = 2^0 => even
oddexponent <= NOT(exponentin(1));
-- does not require rounding, output of core rounded already, LSB always 0
isqr: fp_invsqr_core
GENERIC MAP (synthesize=>synthesize)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
radicand=>radicand,odd=>oddexponent,
invroot=>invroot);
--***************
--*** OUTPUTS ***
--***************
exponentout <= expff(coredepth)(expwidth DOWNTO 1);
mantissaout <= invroot;
END rtl;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
aLmCh07kamflOuBaaM0+v7gF3ZQCN4uTPS49jGLZrm9CPd5dKgOoOsd31lVTa39JRx8k8u0RZFFV
nw3upaAZ/Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Aw2ILhM4six9UWZ51f4Gy1qRmB5epLhkXLiUel7/FHhV7ItYiMTQtS+L83Mc+nltIzBz41zx1hg+
tXO5AqTS9y6LHQ1ArWATw/2MxHpqqoQIEm/MMEqmD/Abq3WrBTKsP7RX5Dxj9tAlh7xY+e7JDk+a
sjJqfmxL57ISjzlKoaQ=
`protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
0/1mLFI6+FTTZyqv+sYB352QRZ5wrgfyuO8Nkt+jQDUoTWGXOFvLM95e0B7u7pGyVXEuiRNaS/1C
9K5laxba09UTfWZfUB2hMm6rnfWn8YWcIaVNd02hszTUlzNTayWvVsa2FTdMCLRIiFK8u1RBHLVP
UcX9x/96nygRGOLoIfE=
`protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TwF12B0FENmte69HLik7RgUzysvY8+HuB8EGjVY6poUa8iBKzPda2TQoHnlJTqGe1+FzZYUJuhGB
clNU6Lk8Bkwu2Zvg4jDN7NVaR9NLeQFwNSRsk3xulCw6V567vcil0zGYyjbOnYYTHzq7HsSH/Bm0
xq4+RgccqurbpDb3jMTCnrT8FdAbNHrYUODBgqb2jIwhD7/OPqJ0SEE3ixLW7nbxBsRKHm9Kma6y
1hzP9cz3Q0EBN5F8DlAfJL6l/k/Fca4GPaKT+xXlCPkuH9S4142Gj3BthEYVN4LNQxtTwa2uY31y
sgCqBN1SJYOxVE7rwfYIV4u6ydorl0NL4b8SIA==
`protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Dd8zTWz32pUa1MkJJ89cKoEsw+888js7vmFz+G6UXbaPykBi5+zzNJq/ma/zLUevoDTleeS0vnkG
+JIO9/zchHNr4qeCqpsII+gVnZw6HhC58DuHvYGN1Y7TBoUJRH+MKXVyK2yMhoejeeHyO4lNN+gN
S1MgvOyCze3SyHsJ+SIEqHrYsnjDZhaMLEzXqyA22EZM4EzfOyYnjWMgZaxxaMYob5z9jzxpSYIp
TO40Bd6Pm8WauMjFHordqiQfK5Pjpzcdo5mK2zhDq99Ps7biiaBYj2fl31Z9/oKSUs3+8cqx2lgf
9kXg8/E4aiAcL+A6bP9qcYXM24+6CVH25++cBg==
`protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
T1OzwxahBz+3DD3Rm3j/gjV9y0afSZCx2fO2ZTfZP7ske+MGwxAEj6thGu3zcWtqmD0GiLn0cY5l
S56WD0icxE6wHjkL4oa4WujMcCwuovMioF6lkvnUzL1+y6Wu503nnT0iCczMIQadO2UcfK1jYsxZ
JhFAghVKjOTgZLvrbU6a9oJbmXaFjPdoVXULO6RJRtupdQ2VPxYp8PFoTxnXXp50G4hGNkviUtRA
KTHBgrmSN0y7lDM3qlsTT4fhiGuveo50Ihz8U+fAZ+maBUixwOJLCGV+jx11R/FO3KUwnuLfoOnp
XIvpC/RD2PuDhUsd27pxO1aeLeOP2B+LsTouLw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 296032)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
aLmCh07kamflOuBaaM0+v7gF3ZQCN4uTPS49jGLZrm9CPd5dKgOoOsd31lVTa39JRx8k8u0RZFFV
nw3upaAZ/Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Aw2ILhM4six9UWZ51f4Gy1qRmB5epLhkXLiUel7/FHhV7ItYiMTQtS+L83Mc+nltIzBz41zx1hg+
tXO5AqTS9y6LHQ1ArWATw/2MxHpqqoQIEm/MMEqmD/Abq3WrBTKsP7RX5Dxj9tAlh7xY+e7JDk+a
sjJqfmxL57ISjzlKoaQ=
`protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
0/1mLFI6+FTTZyqv+sYB352QRZ5wrgfyuO8Nkt+jQDUoTWGXOFvLM95e0B7u7pGyVXEuiRNaS/1C
9K5laxba09UTfWZfUB2hMm6rnfWn8YWcIaVNd02hszTUlzNTayWvVsa2FTdMCLRIiFK8u1RBHLVP
UcX9x/96nygRGOLoIfE=
`protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TwF12B0FENmte69HLik7RgUzysvY8+HuB8EGjVY6poUa8iBKzPda2TQoHnlJTqGe1+FzZYUJuhGB
clNU6Lk8Bkwu2Zvg4jDN7NVaR9NLeQFwNSRsk3xulCw6V567vcil0zGYyjbOnYYTHzq7HsSH/Bm0
xq4+RgccqurbpDb3jMTCnrT8FdAbNHrYUODBgqb2jIwhD7/OPqJ0SEE3ixLW7nbxBsRKHm9Kma6y
1hzP9cz3Q0EBN5F8DlAfJL6l/k/Fca4GPaKT+xXlCPkuH9S4142Gj3BthEYVN4LNQxtTwa2uY31y
sgCqBN1SJYOxVE7rwfYIV4u6ydorl0NL4b8SIA==
`protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Dd8zTWz32pUa1MkJJ89cKoEsw+888js7vmFz+G6UXbaPykBi5+zzNJq/ma/zLUevoDTleeS0vnkG
+JIO9/zchHNr4qeCqpsII+gVnZw6HhC58DuHvYGN1Y7TBoUJRH+MKXVyK2yMhoejeeHyO4lNN+gN
S1MgvOyCze3SyHsJ+SIEqHrYsnjDZhaMLEzXqyA22EZM4EzfOyYnjWMgZaxxaMYob5z9jzxpSYIp
TO40Bd6Pm8WauMjFHordqiQfK5Pjpzcdo5mK2zhDq99Ps7biiaBYj2fl31Z9/oKSUs3+8cqx2lgf
9kXg8/E4aiAcL+A6bP9qcYXM24+6CVH25++cBg==
`protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
T1OzwxahBz+3DD3Rm3j/gjV9y0afSZCx2fO2ZTfZP7ske+MGwxAEj6thGu3zcWtqmD0GiLn0cY5l
S56WD0icxE6wHjkL4oa4WujMcCwuovMioF6lkvnUzL1+y6Wu503nnT0iCczMIQadO2UcfK1jYsxZ
JhFAghVKjOTgZLvrbU6a9oJbmXaFjPdoVXULO6RJRtupdQ2VPxYp8PFoTxnXXp50G4hGNkviUtRA
KTHBgrmSN0y7lDM3qlsTT4fhiGuveo50Ihz8U+fAZ+maBUixwOJLCGV+jx11R/FO3KUwnuLfoOnp
XIvpC/RD2PuDhUsd27pxO1aeLeOP2B+LsTouLw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 296032)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
aLmCh07kamflOuBaaM0+v7gF3ZQCN4uTPS49jGLZrm9CPd5dKgOoOsd31lVTa39JRx8k8u0RZFFV
nw3upaAZ/Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Aw2ILhM4six9UWZ51f4Gy1qRmB5epLhkXLiUel7/FHhV7ItYiMTQtS+L83Mc+nltIzBz41zx1hg+
tXO5AqTS9y6LHQ1ArWATw/2MxHpqqoQIEm/MMEqmD/Abq3WrBTKsP7RX5Dxj9tAlh7xY+e7JDk+a
sjJqfmxL57ISjzlKoaQ=
`protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
0/1mLFI6+FTTZyqv+sYB352QRZ5wrgfyuO8Nkt+jQDUoTWGXOFvLM95e0B7u7pGyVXEuiRNaS/1C
9K5laxba09UTfWZfUB2hMm6rnfWn8YWcIaVNd02hszTUlzNTayWvVsa2FTdMCLRIiFK8u1RBHLVP
UcX9x/96nygRGOLoIfE=
`protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TwF12B0FENmte69HLik7RgUzysvY8+HuB8EGjVY6poUa8iBKzPda2TQoHnlJTqGe1+FzZYUJuhGB
clNU6Lk8Bkwu2Zvg4jDN7NVaR9NLeQFwNSRsk3xulCw6V567vcil0zGYyjbOnYYTHzq7HsSH/Bm0
xq4+RgccqurbpDb3jMTCnrT8FdAbNHrYUODBgqb2jIwhD7/OPqJ0SEE3ixLW7nbxBsRKHm9Kma6y
1hzP9cz3Q0EBN5F8DlAfJL6l/k/Fca4GPaKT+xXlCPkuH9S4142Gj3BthEYVN4LNQxtTwa2uY31y
sgCqBN1SJYOxVE7rwfYIV4u6ydorl0NL4b8SIA==
`protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Dd8zTWz32pUa1MkJJ89cKoEsw+888js7vmFz+G6UXbaPykBi5+zzNJq/ma/zLUevoDTleeS0vnkG
+JIO9/zchHNr4qeCqpsII+gVnZw6HhC58DuHvYGN1Y7TBoUJRH+MKXVyK2yMhoejeeHyO4lNN+gN
S1MgvOyCze3SyHsJ+SIEqHrYsnjDZhaMLEzXqyA22EZM4EzfOyYnjWMgZaxxaMYob5z9jzxpSYIp
TO40Bd6Pm8WauMjFHordqiQfK5Pjpzcdo5mK2zhDq99Ps7biiaBYj2fl31Z9/oKSUs3+8cqx2lgf
9kXg8/E4aiAcL+A6bP9qcYXM24+6CVH25++cBg==
`protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
T1OzwxahBz+3DD3Rm3j/gjV9y0afSZCx2fO2ZTfZP7ske+MGwxAEj6thGu3zcWtqmD0GiLn0cY5l
S56WD0icxE6wHjkL4oa4WujMcCwuovMioF6lkvnUzL1+y6Wu503nnT0iCczMIQadO2UcfK1jYsxZ
JhFAghVKjOTgZLvrbU6a9oJbmXaFjPdoVXULO6RJRtupdQ2VPxYp8PFoTxnXXp50G4hGNkviUtRA
KTHBgrmSN0y7lDM3qlsTT4fhiGuveo50Ihz8U+fAZ+maBUixwOJLCGV+jx11R/FO3KUwnuLfoOnp
XIvpC/RD2PuDhUsd27pxO1aeLeOP2B+LsTouLw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 296032)
`protect data_block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`protect end_protected
|
--
-- DDR3 example Top-Level
--
-- Author:
-- * Rodrigo A. Melo
--
-- Copyright (c) 2017 INTI
-- Distributed under the BSD 3-Clause License
--
library IEEE;
use IEEE.std_logic_1164.all;
library FPGALIB;
use FPGALIB.Verif.all;
entity Top is
generic (
SIM_BYPASS_INIT_CAL : string := "OFF";
DM_WIDTH : integer := 8;
DQ_WIDTH : integer := 64;
ROW_WIDTH : integer := 13;
RANK_WIDTH : integer := 1;
BANK_WIDTH : integer := 3;
CS_WIDTH : integer := 1;
nCS_PER_RANK : integer := 1;
CKE_WIDTH : integer := 1;
DQS_WIDTH : integer := 8;
CK_WIDTH : integer := 1
);
port (
-- Clock
sys_clk_p_i : in std_logic;
sys_clk_n_i : in std_logic;
sys_rst_i : in std_logic;
clk_ref_p_i : in std_logic;
clk_ref_n_i : in std_logic;
-- DDR3
ddr3_dq_io : inout std_logic_vector(DQ_WIDTH-1 downto 0);
ddr3_dm_o : out std_logic_vector(DM_WIDTH-1 downto 0);
ddr3_addr_o : out std_logic_vector(ROW_WIDTH-1 downto 0);
ddr3_ba_o : out std_logic_vector(BANK_WIDTH-1 downto 0);
ddr3_ras_n_o : out std_logic;
ddr3_cas_n_o : out std_logic;
ddr3_we_n_o : out std_logic;
ddr3_reset_n_o : out std_logic;
ddr3_cs_n_o : out std_logic_vector((CS_WIDTH*nCS_PER_RANK)-1 downto 0);
ddr3_odt_o : out std_logic_vector((CS_WIDTH*nCS_PER_RANK)-1 downto 0);
ddr3_cke_o : out std_logic_vector(CKE_WIDTH-1 downto 0);
ddr3_dqs_p_io : inout std_logic_vector(DQS_WIDTH-1 downto 0);
ddr3_dqs_n_io : inout std_logic_vector(DQS_WIDTH-1 downto 0);
ddr3_ck_p_o : out std_logic_vector(CK_WIDTH-1 downto 0);
ddr3_ck_n_o : out std_logic_vector(CK_WIDTH-1 downto 0);
-- App
rx_errors_o : out std_logic_vector(4 downto 0)
);
end entity Top;
architecture RTL of Top is
constant WRITE_CMD : std_logic_vector(2 downto 0):="000";
constant READ_CMD : std_logic_vector(2 downto 0):="001";
constant ADDR_WIDTH : integer := 27; -- RANK_WIDTH + BANK_WIDTH + ROW_WIDTH + COL_WIDTH;
constant PAYLOAD_WIDTH : integer := 64;
constant APP_DATA_WIDTH : integer := PAYLOAD_WIDTH * 4;
signal sys_clk : std_logic := '0';
signal sys_rst : std_logic := '1';
signal clk_ref : std_logic := '0';
signal stop : boolean;
signal sys_clk_p, sys_clk_n : std_logic;
signal clk_ref_p, clk_ref_n : std_logic;
signal phy_init_done : std_logic;
signal app_clk : std_logic;
signal app_rst : std_logic;
--
signal app_en : std_logic;
signal app_cmd : std_logic_vector(2 downto 0);
signal app_addr : std_logic_vector(ADDR_WIDTH-1 downto 0);
signal app_rdy : std_logic;
--
signal app_wdf_wren : std_logic;
signal app_wdf_data : std_logic_vector(APP_DATA_WIDTH-1 downto 0);
signal app_wdf_end : std_logic;
signal app_wdf_rdy : std_logic;
--
signal app_rd_data : std_logic_vector(APP_DATA_WIDTH-1 downto 0);
signal app_rd_data_valid : std_logic;
signal rx_data, tx_data : std_logic_vector(7 downto 0);
signal rx_stb, tx_stb : std_logic;
type state_t is (IDLE_S, WR_LOW_S, WR_HIGH_S, COMMAND_S, RD_LOW_S, RD_HIGH_S, FINISH_S);
signal state : state_t:=IDLE_S;
begin
mig_inst : entity work.mig
generic map(
SIM_BYPASS_INIT_CAL => SIM_BYPASS_INIT_CAL,
CLKFBOUT_MULT_F => 6,
DIVCLK_DIVIDE => 1, -- 2; -- Coregen assumes sys_clk = 400 MHz but we use 200 MHz
CLKOUT_DIVIDE => 3,
RST_ACT_LOW => 0
)
port map(
sys_clk_p => sys_clk_p_i,
sys_clk_n => sys_clk_n_i,
clk_ref_p => clk_ref_p_i,
clk_ref_n => clk_ref_n_i,
sys_rst => sys_rst_i,
ddr3_ck_p => ddr3_ck_p_o,
ddr3_ck_n => ddr3_ck_n_o,
ddr3_addr => ddr3_addr_o,
ddr3_ba => ddr3_ba_o,
ddr3_ras_n => ddr3_ras_n_o,
ddr3_cas_n => ddr3_cas_n_o,
ddr3_we_n => ddr3_we_n_o,
ddr3_cs_n => ddr3_cs_n_o,
ddr3_cke => ddr3_cke_o,
ddr3_odt => ddr3_odt_o,
ddr3_reset_n => ddr3_reset_n_o,
ddr3_dm => ddr3_dm_o,
ddr3_dq => ddr3_dq_io,
ddr3_dqs_p => ddr3_dqs_p_io,
ddr3_dqs_n => ddr3_dqs_n_io,
ui_clk => app_clk,
ui_clk_sync_rst => app_rst,
app_wdf_wren => app_wdf_wren,
app_wdf_data => app_wdf_data,
app_wdf_mask => (others => '0'),
app_wdf_end => app_wdf_end,
app_addr => app_addr,
app_en => app_en,
app_cmd => app_cmd,
app_rdy => app_rdy,
app_wdf_rdy => app_wdf_rdy,
app_rd_data => app_rd_data,
app_rd_data_end => open,
app_rd_data_valid => app_rd_data_valid,
sda => '1',
scl => '1',
phy_init_done => phy_init_done
);
loop_i: LoopCheck
generic map (DWIDTH => 8)
port map(
-- TX side
tx_clk_i => app_clk,
tx_rst_i => app_rst,
tx_stb_i => tx_stb,
tx_data_i => (others => '0'),
tx_data_o => tx_data,
-- RX side
rx_clk_i => app_clk,
rx_rst_i => app_rst,
rx_stb_i => rx_stb,
rx_data_i => rx_data,
rx_errors_o => rx_errors_o
);
do_fsm: process(app_clk) is
begin
if rising_edge(app_clk) then
if app_rst='1' then
state <= IDLE_S;
app_addr <= (others => '0');
app_en <= '0';
app_wdf_wren <= '0';
app_wdf_data <= (others => '0');
else
app_en <= '0';
app_wdf_wren <= '0';
app_wdf_end <= '0';
case state is
when IDLE_S =>
if phy_init_done='1' then
state <= WR_LOW_S;
end if;
when WR_LOW_S =>
app_cmd <= WRITE_CMD;
app_wdf_wren <= '1';
app_wdf_data <= X"0123456789012345678901234567890123456789012345678901234567890123";
if app_wdf_rdy='1' then
state <= WR_HIGH_S;
end if;
when WR_HIGH_S =>
app_wdf_wren <= '1';
app_wdf_end <= '1';
app_wdf_data <= X"ABCDEFABCDEFABCDEFABCDEFABCDEFABCDEFABCDEFABCDEFABCDEFABCDEFABCD";
if app_wdf_rdy='1' then
state <= COMMAND_S;
end if;
when COMMAND_S =>
app_wdf_data <= (others => '0');
app_en <= '1';
if app_rdy='1' then
state <= RD_LOW_S;
--if app_en='1' then
-- app_en <= '0';
--end if;
end if;
when RD_LOW_S =>
app_cmd <= READ_CMD;
state <= RD_HIGH_S;
when RD_HIGH_S =>
app_en <= '1';
if app_rdy='1' then
state <= FINISH_S;
end if;
when FINISH_S =>
end case;
end if;
end if;
end process do_fsm;
end architecture RTL;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
SOYVpLO4bquFSzdYRRoFUJR6R1VGHI2ZHnJXFJeeBsuoDRBfdXEWHORahW4A0rWgjN8aMxqnK26G
ZRLY3P3SMg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
dNa+su0lFKlpb3yzn/MeCLuMa1m2nviQqs6wDH2vpYr8aseY5omDbRfqTey4y4neerZiDBU/I+oi
EJWIIj5x0QAmR21pyVBdoG5HGcdYghrQk1ER3FOkyb5E1kSGx8taYfs++jHGNZx+BMIlOXX33k8I
esAqtU7bjUww9e//E1c=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
hOtq1t0vzh6ioERKB13p5svtBYs1T9WkbLbHtv60aM1RhdzL9DaXckQtYSPyG2bpGqMgwQ4guxt+
yzSekaMVPVGG2xSbzXkjOx0L1PK4tgy72Sc3uO7Adnx7Syb8yPtrQZU018ba/GqYHKbgmA3RxMaK
LYxQ3MfWvwzu72QliyVY9x21lmsaCLK7qRIX1lC8LQKpwBL3jZbcEl/VkNGdt2RC9wBfHOz5v/WJ
WP+X8UkkVqRN+j0L+bDtPermjSsP7k/KToPqOMioeL8QeH2H5DbtlR7Aw6hCK81tdcOXtKwyYcnF
7uBOxJjkFwZZ1VUTGtstTiUu6Mhjo94EEgfc4A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
mLq/sLLAWOJLJ6eqKJGMMoZypYneRQpmi8SD0LfVC7FuoVWq0qj+ord9MslpzRQaKBHqHXXtK0ZL
8LHVkuowCb7LckiOTeZ1z4hHYAkSC98b+sIH35GlLpY6GK/LEz4kjmLQTgYuLs6ce8aZpbaQl6Zw
ocUb/yBYwP6SS9z4Oeg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
eZBT+C/pu9ER2iL3EzIRmJYdWLYV1InlOdV548JBQv5AE0qovtprWT0gQ4dME3Jnh3sPAZNgKSk6
EJTUEGlaILX9ud03JCFJTvCeylo0P/3rZ/xjqsepu1/+6laGC64ZLwZ30/u7dS49q7G6ZQ4SWs3Y
v7IvZnT5u2KxPJcOyV2EJtfAqNmZu2Y8CFs8ZJXw2jFwTM5x8E+U33QnaJ2RSIFL5Xk3bGlX0vDk
WHuN6xMEvnUaMFqpNjesChxUqWXc7UlGg8Pqkw6QjSa+WCsAxa3qpNrSW6t8HLLgZrTYruAjO7Fu
TaToDEJrCrpUesNciqaJXiWD7TaWQyU9B6jA9g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5328)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
SOYVpLO4bquFSzdYRRoFUJR6R1VGHI2ZHnJXFJeeBsuoDRBfdXEWHORahW4A0rWgjN8aMxqnK26G
ZRLY3P3SMg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
dNa+su0lFKlpb3yzn/MeCLuMa1m2nviQqs6wDH2vpYr8aseY5omDbRfqTey4y4neerZiDBU/I+oi
EJWIIj5x0QAmR21pyVBdoG5HGcdYghrQk1ER3FOkyb5E1kSGx8taYfs++jHGNZx+BMIlOXX33k8I
esAqtU7bjUww9e//E1c=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
hOtq1t0vzh6ioERKB13p5svtBYs1T9WkbLbHtv60aM1RhdzL9DaXckQtYSPyG2bpGqMgwQ4guxt+
yzSekaMVPVGG2xSbzXkjOx0L1PK4tgy72Sc3uO7Adnx7Syb8yPtrQZU018ba/GqYHKbgmA3RxMaK
LYxQ3MfWvwzu72QliyVY9x21lmsaCLK7qRIX1lC8LQKpwBL3jZbcEl/VkNGdt2RC9wBfHOz5v/WJ
WP+X8UkkVqRN+j0L+bDtPermjSsP7k/KToPqOMioeL8QeH2H5DbtlR7Aw6hCK81tdcOXtKwyYcnF
7uBOxJjkFwZZ1VUTGtstTiUu6Mhjo94EEgfc4A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
mLq/sLLAWOJLJ6eqKJGMMoZypYneRQpmi8SD0LfVC7FuoVWq0qj+ord9MslpzRQaKBHqHXXtK0ZL
8LHVkuowCb7LckiOTeZ1z4hHYAkSC98b+sIH35GlLpY6GK/LEz4kjmLQTgYuLs6ce8aZpbaQl6Zw
ocUb/yBYwP6SS9z4Oeg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
eZBT+C/pu9ER2iL3EzIRmJYdWLYV1InlOdV548JBQv5AE0qovtprWT0gQ4dME3Jnh3sPAZNgKSk6
EJTUEGlaILX9ud03JCFJTvCeylo0P/3rZ/xjqsepu1/+6laGC64ZLwZ30/u7dS49q7G6ZQ4SWs3Y
v7IvZnT5u2KxPJcOyV2EJtfAqNmZu2Y8CFs8ZJXw2jFwTM5x8E+U33QnaJ2RSIFL5Xk3bGlX0vDk
WHuN6xMEvnUaMFqpNjesChxUqWXc7UlGg8Pqkw6QjSa+WCsAxa3qpNrSW6t8HLLgZrTYruAjO7Fu
TaToDEJrCrpUesNciqaJXiWD7TaWQyU9B6jA9g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5328)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
SOYVpLO4bquFSzdYRRoFUJR6R1VGHI2ZHnJXFJeeBsuoDRBfdXEWHORahW4A0rWgjN8aMxqnK26G
ZRLY3P3SMg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
dNa+su0lFKlpb3yzn/MeCLuMa1m2nviQqs6wDH2vpYr8aseY5omDbRfqTey4y4neerZiDBU/I+oi
EJWIIj5x0QAmR21pyVBdoG5HGcdYghrQk1ER3FOkyb5E1kSGx8taYfs++jHGNZx+BMIlOXX33k8I
esAqtU7bjUww9e//E1c=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
hOtq1t0vzh6ioERKB13p5svtBYs1T9WkbLbHtv60aM1RhdzL9DaXckQtYSPyG2bpGqMgwQ4guxt+
yzSekaMVPVGG2xSbzXkjOx0L1PK4tgy72Sc3uO7Adnx7Syb8yPtrQZU018ba/GqYHKbgmA3RxMaK
LYxQ3MfWvwzu72QliyVY9x21lmsaCLK7qRIX1lC8LQKpwBL3jZbcEl/VkNGdt2RC9wBfHOz5v/WJ
WP+X8UkkVqRN+j0L+bDtPermjSsP7k/KToPqOMioeL8QeH2H5DbtlR7Aw6hCK81tdcOXtKwyYcnF
7uBOxJjkFwZZ1VUTGtstTiUu6Mhjo94EEgfc4A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
mLq/sLLAWOJLJ6eqKJGMMoZypYneRQpmi8SD0LfVC7FuoVWq0qj+ord9MslpzRQaKBHqHXXtK0ZL
8LHVkuowCb7LckiOTeZ1z4hHYAkSC98b+sIH35GlLpY6GK/LEz4kjmLQTgYuLs6ce8aZpbaQl6Zw
ocUb/yBYwP6SS9z4Oeg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
eZBT+C/pu9ER2iL3EzIRmJYdWLYV1InlOdV548JBQv5AE0qovtprWT0gQ4dME3Jnh3sPAZNgKSk6
EJTUEGlaILX9ud03JCFJTvCeylo0P/3rZ/xjqsepu1/+6laGC64ZLwZ30/u7dS49q7G6ZQ4SWs3Y
v7IvZnT5u2KxPJcOyV2EJtfAqNmZu2Y8CFs8ZJXw2jFwTM5x8E+U33QnaJ2RSIFL5Xk3bGlX0vDk
WHuN6xMEvnUaMFqpNjesChxUqWXc7UlGg8Pqkw6QjSa+WCsAxa3qpNrSW6t8HLLgZrTYruAjO7Fu
TaToDEJrCrpUesNciqaJXiWD7TaWQyU9B6jA9g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5328)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
SOYVpLO4bquFSzdYRRoFUJR6R1VGHI2ZHnJXFJeeBsuoDRBfdXEWHORahW4A0rWgjN8aMxqnK26G
ZRLY3P3SMg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
dNa+su0lFKlpb3yzn/MeCLuMa1m2nviQqs6wDH2vpYr8aseY5omDbRfqTey4y4neerZiDBU/I+oi
EJWIIj5x0QAmR21pyVBdoG5HGcdYghrQk1ER3FOkyb5E1kSGx8taYfs++jHGNZx+BMIlOXX33k8I
esAqtU7bjUww9e//E1c=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
hOtq1t0vzh6ioERKB13p5svtBYs1T9WkbLbHtv60aM1RhdzL9DaXckQtYSPyG2bpGqMgwQ4guxt+
yzSekaMVPVGG2xSbzXkjOx0L1PK4tgy72Sc3uO7Adnx7Syb8yPtrQZU018ba/GqYHKbgmA3RxMaK
LYxQ3MfWvwzu72QliyVY9x21lmsaCLK7qRIX1lC8LQKpwBL3jZbcEl/VkNGdt2RC9wBfHOz5v/WJ
WP+X8UkkVqRN+j0L+bDtPermjSsP7k/KToPqOMioeL8QeH2H5DbtlR7Aw6hCK81tdcOXtKwyYcnF
7uBOxJjkFwZZ1VUTGtstTiUu6Mhjo94EEgfc4A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
mLq/sLLAWOJLJ6eqKJGMMoZypYneRQpmi8SD0LfVC7FuoVWq0qj+ord9MslpzRQaKBHqHXXtK0ZL
8LHVkuowCb7LckiOTeZ1z4hHYAkSC98b+sIH35GlLpY6GK/LEz4kjmLQTgYuLs6ce8aZpbaQl6Zw
ocUb/yBYwP6SS9z4Oeg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
eZBT+C/pu9ER2iL3EzIRmJYdWLYV1InlOdV548JBQv5AE0qovtprWT0gQ4dME3Jnh3sPAZNgKSk6
EJTUEGlaILX9ud03JCFJTvCeylo0P/3rZ/xjqsepu1/+6laGC64ZLwZ30/u7dS49q7G6ZQ4SWs3Y
v7IvZnT5u2KxPJcOyV2EJtfAqNmZu2Y8CFs8ZJXw2jFwTM5x8E+U33QnaJ2RSIFL5Xk3bGlX0vDk
WHuN6xMEvnUaMFqpNjesChxUqWXc7UlGg8Pqkw6QjSa+WCsAxa3qpNrSW6t8HLLgZrTYruAjO7Fu
TaToDEJrCrpUesNciqaJXiWD7TaWQyU9B6jA9g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5328)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
SOYVpLO4bquFSzdYRRoFUJR6R1VGHI2ZHnJXFJeeBsuoDRBfdXEWHORahW4A0rWgjN8aMxqnK26G
ZRLY3P3SMg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
dNa+su0lFKlpb3yzn/MeCLuMa1m2nviQqs6wDH2vpYr8aseY5omDbRfqTey4y4neerZiDBU/I+oi
EJWIIj5x0QAmR21pyVBdoG5HGcdYghrQk1ER3FOkyb5E1kSGx8taYfs++jHGNZx+BMIlOXX33k8I
esAqtU7bjUww9e//E1c=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
hOtq1t0vzh6ioERKB13p5svtBYs1T9WkbLbHtv60aM1RhdzL9DaXckQtYSPyG2bpGqMgwQ4guxt+
yzSekaMVPVGG2xSbzXkjOx0L1PK4tgy72Sc3uO7Adnx7Syb8yPtrQZU018ba/GqYHKbgmA3RxMaK
LYxQ3MfWvwzu72QliyVY9x21lmsaCLK7qRIX1lC8LQKpwBL3jZbcEl/VkNGdt2RC9wBfHOz5v/WJ
WP+X8UkkVqRN+j0L+bDtPermjSsP7k/KToPqOMioeL8QeH2H5DbtlR7Aw6hCK81tdcOXtKwyYcnF
7uBOxJjkFwZZ1VUTGtstTiUu6Mhjo94EEgfc4A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
mLq/sLLAWOJLJ6eqKJGMMoZypYneRQpmi8SD0LfVC7FuoVWq0qj+ord9MslpzRQaKBHqHXXtK0ZL
8LHVkuowCb7LckiOTeZ1z4hHYAkSC98b+sIH35GlLpY6GK/LEz4kjmLQTgYuLs6ce8aZpbaQl6Zw
ocUb/yBYwP6SS9z4Oeg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
eZBT+C/pu9ER2iL3EzIRmJYdWLYV1InlOdV548JBQv5AE0qovtprWT0gQ4dME3Jnh3sPAZNgKSk6
EJTUEGlaILX9ud03JCFJTvCeylo0P/3rZ/xjqsepu1/+6laGC64ZLwZ30/u7dS49q7G6ZQ4SWs3Y
v7IvZnT5u2KxPJcOyV2EJtfAqNmZu2Y8CFs8ZJXw2jFwTM5x8E+U33QnaJ2RSIFL5Xk3bGlX0vDk
WHuN6xMEvnUaMFqpNjesChxUqWXc7UlGg8Pqkw6QjSa+WCsAxa3qpNrSW6t8HLLgZrTYruAjO7Fu
TaToDEJrCrpUesNciqaJXiWD7TaWQyU9B6jA9g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5328)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
SOYVpLO4bquFSzdYRRoFUJR6R1VGHI2ZHnJXFJeeBsuoDRBfdXEWHORahW4A0rWgjN8aMxqnK26G
ZRLY3P3SMg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
dNa+su0lFKlpb3yzn/MeCLuMa1m2nviQqs6wDH2vpYr8aseY5omDbRfqTey4y4neerZiDBU/I+oi
EJWIIj5x0QAmR21pyVBdoG5HGcdYghrQk1ER3FOkyb5E1kSGx8taYfs++jHGNZx+BMIlOXX33k8I
esAqtU7bjUww9e//E1c=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
hOtq1t0vzh6ioERKB13p5svtBYs1T9WkbLbHtv60aM1RhdzL9DaXckQtYSPyG2bpGqMgwQ4guxt+
yzSekaMVPVGG2xSbzXkjOx0L1PK4tgy72Sc3uO7Adnx7Syb8yPtrQZU018ba/GqYHKbgmA3RxMaK
LYxQ3MfWvwzu72QliyVY9x21lmsaCLK7qRIX1lC8LQKpwBL3jZbcEl/VkNGdt2RC9wBfHOz5v/WJ
WP+X8UkkVqRN+j0L+bDtPermjSsP7k/KToPqOMioeL8QeH2H5DbtlR7Aw6hCK81tdcOXtKwyYcnF
7uBOxJjkFwZZ1VUTGtstTiUu6Mhjo94EEgfc4A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
mLq/sLLAWOJLJ6eqKJGMMoZypYneRQpmi8SD0LfVC7FuoVWq0qj+ord9MslpzRQaKBHqHXXtK0ZL
8LHVkuowCb7LckiOTeZ1z4hHYAkSC98b+sIH35GlLpY6GK/LEz4kjmLQTgYuLs6ce8aZpbaQl6Zw
ocUb/yBYwP6SS9z4Oeg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
eZBT+C/pu9ER2iL3EzIRmJYdWLYV1InlOdV548JBQv5AE0qovtprWT0gQ4dME3Jnh3sPAZNgKSk6
EJTUEGlaILX9ud03JCFJTvCeylo0P/3rZ/xjqsepu1/+6laGC64ZLwZ30/u7dS49q7G6ZQ4SWs3Y
v7IvZnT5u2KxPJcOyV2EJtfAqNmZu2Y8CFs8ZJXw2jFwTM5x8E+U33QnaJ2RSIFL5Xk3bGlX0vDk
WHuN6xMEvnUaMFqpNjesChxUqWXc7UlGg8Pqkw6QjSa+WCsAxa3qpNrSW6t8HLLgZrTYruAjO7Fu
TaToDEJrCrpUesNciqaJXiWD7TaWQyU9B6jA9g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5328)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
SOYVpLO4bquFSzdYRRoFUJR6R1VGHI2ZHnJXFJeeBsuoDRBfdXEWHORahW4A0rWgjN8aMxqnK26G
ZRLY3P3SMg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
dNa+su0lFKlpb3yzn/MeCLuMa1m2nviQqs6wDH2vpYr8aseY5omDbRfqTey4y4neerZiDBU/I+oi
EJWIIj5x0QAmR21pyVBdoG5HGcdYghrQk1ER3FOkyb5E1kSGx8taYfs++jHGNZx+BMIlOXX33k8I
esAqtU7bjUww9e//E1c=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
hOtq1t0vzh6ioERKB13p5svtBYs1T9WkbLbHtv60aM1RhdzL9DaXckQtYSPyG2bpGqMgwQ4guxt+
yzSekaMVPVGG2xSbzXkjOx0L1PK4tgy72Sc3uO7Adnx7Syb8yPtrQZU018ba/GqYHKbgmA3RxMaK
LYxQ3MfWvwzu72QliyVY9x21lmsaCLK7qRIX1lC8LQKpwBL3jZbcEl/VkNGdt2RC9wBfHOz5v/WJ
WP+X8UkkVqRN+j0L+bDtPermjSsP7k/KToPqOMioeL8QeH2H5DbtlR7Aw6hCK81tdcOXtKwyYcnF
7uBOxJjkFwZZ1VUTGtstTiUu6Mhjo94EEgfc4A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
mLq/sLLAWOJLJ6eqKJGMMoZypYneRQpmi8SD0LfVC7FuoVWq0qj+ord9MslpzRQaKBHqHXXtK0ZL
8LHVkuowCb7LckiOTeZ1z4hHYAkSC98b+sIH35GlLpY6GK/LEz4kjmLQTgYuLs6ce8aZpbaQl6Zw
ocUb/yBYwP6SS9z4Oeg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
eZBT+C/pu9ER2iL3EzIRmJYdWLYV1InlOdV548JBQv5AE0qovtprWT0gQ4dME3Jnh3sPAZNgKSk6
EJTUEGlaILX9ud03JCFJTvCeylo0P/3rZ/xjqsepu1/+6laGC64ZLwZ30/u7dS49q7G6ZQ4SWs3Y
v7IvZnT5u2KxPJcOyV2EJtfAqNmZu2Y8CFs8ZJXw2jFwTM5x8E+U33QnaJ2RSIFL5Xk3bGlX0vDk
WHuN6xMEvnUaMFqpNjesChxUqWXc7UlGg8Pqkw6QjSa+WCsAxa3qpNrSW6t8HLLgZrTYruAjO7Fu
TaToDEJrCrpUesNciqaJXiWD7TaWQyU9B6jA9g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5328)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
SOYVpLO4bquFSzdYRRoFUJR6R1VGHI2ZHnJXFJeeBsuoDRBfdXEWHORahW4A0rWgjN8aMxqnK26G
ZRLY3P3SMg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
dNa+su0lFKlpb3yzn/MeCLuMa1m2nviQqs6wDH2vpYr8aseY5omDbRfqTey4y4neerZiDBU/I+oi
EJWIIj5x0QAmR21pyVBdoG5HGcdYghrQk1ER3FOkyb5E1kSGx8taYfs++jHGNZx+BMIlOXX33k8I
esAqtU7bjUww9e//E1c=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
hOtq1t0vzh6ioERKB13p5svtBYs1T9WkbLbHtv60aM1RhdzL9DaXckQtYSPyG2bpGqMgwQ4guxt+
yzSekaMVPVGG2xSbzXkjOx0L1PK4tgy72Sc3uO7Adnx7Syb8yPtrQZU018ba/GqYHKbgmA3RxMaK
LYxQ3MfWvwzu72QliyVY9x21lmsaCLK7qRIX1lC8LQKpwBL3jZbcEl/VkNGdt2RC9wBfHOz5v/WJ
WP+X8UkkVqRN+j0L+bDtPermjSsP7k/KToPqOMioeL8QeH2H5DbtlR7Aw6hCK81tdcOXtKwyYcnF
7uBOxJjkFwZZ1VUTGtstTiUu6Mhjo94EEgfc4A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
mLq/sLLAWOJLJ6eqKJGMMoZypYneRQpmi8SD0LfVC7FuoVWq0qj+ord9MslpzRQaKBHqHXXtK0ZL
8LHVkuowCb7LckiOTeZ1z4hHYAkSC98b+sIH35GlLpY6GK/LEz4kjmLQTgYuLs6ce8aZpbaQl6Zw
ocUb/yBYwP6SS9z4Oeg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
eZBT+C/pu9ER2iL3EzIRmJYdWLYV1InlOdV548JBQv5AE0qovtprWT0gQ4dME3Jnh3sPAZNgKSk6
EJTUEGlaILX9ud03JCFJTvCeylo0P/3rZ/xjqsepu1/+6laGC64ZLwZ30/u7dS49q7G6ZQ4SWs3Y
v7IvZnT5u2KxPJcOyV2EJtfAqNmZu2Y8CFs8ZJXw2jFwTM5x8E+U33QnaJ2RSIFL5Xk3bGlX0vDk
WHuN6xMEvnUaMFqpNjesChxUqWXc7UlGg8Pqkw6QjSa+WCsAxa3qpNrSW6t8HLLgZrTYruAjO7Fu
TaToDEJrCrpUesNciqaJXiWD7TaWQyU9B6jA9g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5328)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
SOYVpLO4bquFSzdYRRoFUJR6R1VGHI2ZHnJXFJeeBsuoDRBfdXEWHORahW4A0rWgjN8aMxqnK26G
ZRLY3P3SMg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
dNa+su0lFKlpb3yzn/MeCLuMa1m2nviQqs6wDH2vpYr8aseY5omDbRfqTey4y4neerZiDBU/I+oi
EJWIIj5x0QAmR21pyVBdoG5HGcdYghrQk1ER3FOkyb5E1kSGx8taYfs++jHGNZx+BMIlOXX33k8I
esAqtU7bjUww9e//E1c=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
hOtq1t0vzh6ioERKB13p5svtBYs1T9WkbLbHtv60aM1RhdzL9DaXckQtYSPyG2bpGqMgwQ4guxt+
yzSekaMVPVGG2xSbzXkjOx0L1PK4tgy72Sc3uO7Adnx7Syb8yPtrQZU018ba/GqYHKbgmA3RxMaK
LYxQ3MfWvwzu72QliyVY9x21lmsaCLK7qRIX1lC8LQKpwBL3jZbcEl/VkNGdt2RC9wBfHOz5v/WJ
WP+X8UkkVqRN+j0L+bDtPermjSsP7k/KToPqOMioeL8QeH2H5DbtlR7Aw6hCK81tdcOXtKwyYcnF
7uBOxJjkFwZZ1VUTGtstTiUu6Mhjo94EEgfc4A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
mLq/sLLAWOJLJ6eqKJGMMoZypYneRQpmi8SD0LfVC7FuoVWq0qj+ord9MslpzRQaKBHqHXXtK0ZL
8LHVkuowCb7LckiOTeZ1z4hHYAkSC98b+sIH35GlLpY6GK/LEz4kjmLQTgYuLs6ce8aZpbaQl6Zw
ocUb/yBYwP6SS9z4Oeg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
eZBT+C/pu9ER2iL3EzIRmJYdWLYV1InlOdV548JBQv5AE0qovtprWT0gQ4dME3Jnh3sPAZNgKSk6
EJTUEGlaILX9ud03JCFJTvCeylo0P/3rZ/xjqsepu1/+6laGC64ZLwZ30/u7dS49q7G6ZQ4SWs3Y
v7IvZnT5u2KxPJcOyV2EJtfAqNmZu2Y8CFs8ZJXw2jFwTM5x8E+U33QnaJ2RSIFL5Xk3bGlX0vDk
WHuN6xMEvnUaMFqpNjesChxUqWXc7UlGg8Pqkw6QjSa+WCsAxa3qpNrSW6t8HLLgZrTYruAjO7Fu
TaToDEJrCrpUesNciqaJXiWD7TaWQyU9B6jA9g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5328)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
SOYVpLO4bquFSzdYRRoFUJR6R1VGHI2ZHnJXFJeeBsuoDRBfdXEWHORahW4A0rWgjN8aMxqnK26G
ZRLY3P3SMg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
dNa+su0lFKlpb3yzn/MeCLuMa1m2nviQqs6wDH2vpYr8aseY5omDbRfqTey4y4neerZiDBU/I+oi
EJWIIj5x0QAmR21pyVBdoG5HGcdYghrQk1ER3FOkyb5E1kSGx8taYfs++jHGNZx+BMIlOXX33k8I
esAqtU7bjUww9e//E1c=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
hOtq1t0vzh6ioERKB13p5svtBYs1T9WkbLbHtv60aM1RhdzL9DaXckQtYSPyG2bpGqMgwQ4guxt+
yzSekaMVPVGG2xSbzXkjOx0L1PK4tgy72Sc3uO7Adnx7Syb8yPtrQZU018ba/GqYHKbgmA3RxMaK
LYxQ3MfWvwzu72QliyVY9x21lmsaCLK7qRIX1lC8LQKpwBL3jZbcEl/VkNGdt2RC9wBfHOz5v/WJ
WP+X8UkkVqRN+j0L+bDtPermjSsP7k/KToPqOMioeL8QeH2H5DbtlR7Aw6hCK81tdcOXtKwyYcnF
7uBOxJjkFwZZ1VUTGtstTiUu6Mhjo94EEgfc4A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
mLq/sLLAWOJLJ6eqKJGMMoZypYneRQpmi8SD0LfVC7FuoVWq0qj+ord9MslpzRQaKBHqHXXtK0ZL
8LHVkuowCb7LckiOTeZ1z4hHYAkSC98b+sIH35GlLpY6GK/LEz4kjmLQTgYuLs6ce8aZpbaQl6Zw
ocUb/yBYwP6SS9z4Oeg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
eZBT+C/pu9ER2iL3EzIRmJYdWLYV1InlOdV548JBQv5AE0qovtprWT0gQ4dME3Jnh3sPAZNgKSk6
EJTUEGlaILX9ud03JCFJTvCeylo0P/3rZ/xjqsepu1/+6laGC64ZLwZ30/u7dS49q7G6ZQ4SWs3Y
v7IvZnT5u2KxPJcOyV2EJtfAqNmZu2Y8CFs8ZJXw2jFwTM5x8E+U33QnaJ2RSIFL5Xk3bGlX0vDk
WHuN6xMEvnUaMFqpNjesChxUqWXc7UlGg8Pqkw6QjSa+WCsAxa3qpNrSW6t8HLLgZrTYruAjO7Fu
TaToDEJrCrpUesNciqaJXiWD7TaWQyU9B6jA9g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5328)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
SOYVpLO4bquFSzdYRRoFUJR6R1VGHI2ZHnJXFJeeBsuoDRBfdXEWHORahW4A0rWgjN8aMxqnK26G
ZRLY3P3SMg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
dNa+su0lFKlpb3yzn/MeCLuMa1m2nviQqs6wDH2vpYr8aseY5omDbRfqTey4y4neerZiDBU/I+oi
EJWIIj5x0QAmR21pyVBdoG5HGcdYghrQk1ER3FOkyb5E1kSGx8taYfs++jHGNZx+BMIlOXX33k8I
esAqtU7bjUww9e//E1c=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
hOtq1t0vzh6ioERKB13p5svtBYs1T9WkbLbHtv60aM1RhdzL9DaXckQtYSPyG2bpGqMgwQ4guxt+
yzSekaMVPVGG2xSbzXkjOx0L1PK4tgy72Sc3uO7Adnx7Syb8yPtrQZU018ba/GqYHKbgmA3RxMaK
LYxQ3MfWvwzu72QliyVY9x21lmsaCLK7qRIX1lC8LQKpwBL3jZbcEl/VkNGdt2RC9wBfHOz5v/WJ
WP+X8UkkVqRN+j0L+bDtPermjSsP7k/KToPqOMioeL8QeH2H5DbtlR7Aw6hCK81tdcOXtKwyYcnF
7uBOxJjkFwZZ1VUTGtstTiUu6Mhjo94EEgfc4A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
mLq/sLLAWOJLJ6eqKJGMMoZypYneRQpmi8SD0LfVC7FuoVWq0qj+ord9MslpzRQaKBHqHXXtK0ZL
8LHVkuowCb7LckiOTeZ1z4hHYAkSC98b+sIH35GlLpY6GK/LEz4kjmLQTgYuLs6ce8aZpbaQl6Zw
ocUb/yBYwP6SS9z4Oeg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
eZBT+C/pu9ER2iL3EzIRmJYdWLYV1InlOdV548JBQv5AE0qovtprWT0gQ4dME3Jnh3sPAZNgKSk6
EJTUEGlaILX9ud03JCFJTvCeylo0P/3rZ/xjqsepu1/+6laGC64ZLwZ30/u7dS49q7G6ZQ4SWs3Y
v7IvZnT5u2KxPJcOyV2EJtfAqNmZu2Y8CFs8ZJXw2jFwTM5x8E+U33QnaJ2RSIFL5Xk3bGlX0vDk
WHuN6xMEvnUaMFqpNjesChxUqWXc7UlGg8Pqkw6QjSa+WCsAxa3qpNrSW6t8HLLgZrTYruAjO7Fu
TaToDEJrCrpUesNciqaJXiWD7TaWQyU9B6jA9g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5328)
`protect data_block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`protect end_protected
|
-- Package used in ethernet_udp block
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
package ethernet_package is
type rgmii_t is record
data : std_logic_vector(3 downto 0);
dv : std_logic;
end record;
type gmii_t is record
data : std_logic_vector(7 downto 0);
dv : std_logic;
end record;
type flag is record
good : std_logic;
bad : std_logic;
end record;
end ethernet_package;
|
-- Copyright 1986-2014 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2014.4 (win64) Build 1071353 Tue Nov 18 18:29:27 MST 2014
-- Date : Tue Jun 30 18:05:44 2015
-- Host : Vangelis-PC running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode synth_stub
-- C:/Users/Vfor/Documents/GitHub/Minesweeper_Vivado/Minesweeper_Vivado.srcs/sources_1/ip/MemFaces/MemFaces_stub.vhdl
-- Design : MemFaces
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7a100tcsg324-3
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity MemFaces is
Port (
clka : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 9 downto 0 );
douta : out STD_LOGIC_VECTOR ( 799 downto 0 )
);
end MemFaces;
architecture stub of MemFaces is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "clka,addra[9:0],douta[799:0]";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "blk_mem_gen_v8_2,Vivado 2014.4";
begin
end;
|
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
--------------------------------------------------------
-- Con celda y configuration maquina de estados
--------------------------------------------------------
-- x^163 + x^7 + x^6 + x^3 + 1
entity serial_multiplier is
generic (
NUM_BITS : positive := 163 -- The order of the finite field
);
port(
ax : in std_logic_vector(NUM_BITS-1 downto 0);
bx : in std_logic_vector(NUM_BITS-1 downto 0);
cx : out std_logic_vector(NUM_BITS-1 downto 0); -- cx = ax*bx mod Fx
reset : in std_logic;
clk : in std_logic;
done : out std_logic
);
end serial_multiplier;
-----------------------------------------------------------
architecture behave of serial_multiplier is
-----------------------------------------------------------
signal bx_shift : std_logic_vector(NUM_BITS-1 downto 0); -- B and C shifted one position to the rigth
signal bx_int : std_logic_vector(NUM_BITS-1 downto 0); -- Internal registers
signal cx_int : std_logic_vector(NUM_BITS-1 downto 0); -- Internal registers
signal counter: std_logic_vector(7 downto 0); -- 8-bit counter, controling the number of iterations: m
--señales para las xor de la reduccion:
signal xor_1 : std_logic;
signal xor_2 : std_logic;
signal xor_3 : std_logic;
-----------------------------------------------------------
-- States for the finite state machine
-----------------------------------------------------------
type CurrentState_type is (NOTHING, END_STATE, MUL_STATE);
signal CurrentState: CurrentState_type;
-----------------------------------------------------------
begin
-----------------------------------------------------------
-- Result of the multiplication
xor_1 <= Cx_int(2) xor Cx_int(NUM_BITS-1);
xor_2 <= Cx_int(5) xor Cx_int(NUM_BITS-1);
xor_3 <= Cx_int(6) xor Cx_int(NUM_BITS-1);
Bx_shift <= bx_int(NUM_BITS-2 downto 0)& '0'; -- Shift Bx to left one position
------------------------------------------------------------
-- The finite state machine, it takes m cycles to compute
-- the multiplication, a counter is used to keep this count
------------------------------------------------------------
CELL_0: ENTITY basic_cell(behave)
PORT MAP(Ax(0),Bx_int(NUM_BITS-1),Cx_int(NUM_BITS-1),clk,reset,Cx_int(0));
CELL_1: ENTITY basic_cell(behave)
PORT MAP(Ax(1),Bx_int(NUM_BITS-1),Cx_int(0),clk,reset,Cx_int(1));
CELL_2: ENTITY basic_cell(behave)
PORT MAP(Ax(2),Bx_int(NUM_BITS-1),Cx_int(1),clk,reset,Cx_int(2));
CELL_3: ENTITY basic_cell(behave)
PORT MAP(Ax(3),Bx_int(NUM_BITS-1),xor_1,clk,reset,Cx_int(3));
CELL_4: ENTITY basic_cell(behave)
PORT MAP(Ax(4),Bx_int(NUM_BITS-1),Cx_int(3),clk,reset,Cx_int(4));
CELL_5: ENTITY basic_cell(behave)
PORT MAP(Ax(5),Bx_int(NUM_BITS-1),Cx_int(4),clk,reset,Cx_int(5));
CELL_6: ENTITY basic_cell(behave)
PORT MAP(Ax(6),Bx_int(NUM_BITS-1),xor_2,clk,reset,Cx_int(6));
CELL_7: ENTITY basic_cell(behave)
PORT MAP(Ax(7),Bx_int(NUM_BITS-1),xor_3,clk,reset,Cx_int(7));
CELL_8: ENTITY basic_cell(behave)
PORT MAP(Ax(8),Bx_int(NUM_BITS-1),Cx_int(7),clk,reset,Cx_int(8));
CELL_9: ENTITY basic_cell(behave)
PORT MAP(Ax(9),Bx_int(NUM_BITS-1),Cx_int(8),clk,reset,Cx_int(9));
CELL_10: ENTITY basic_cell(behave)
PORT MAP(Ax(10),Bx_int(NUM_BITS-1),Cx_int(9),clk,reset,Cx_int(10));
CELL_11: ENTITY basic_cell(behave)
PORT MAP(Ax(11),Bx_int(NUM_BITS-1),Cx_int(10),clk,reset,Cx_int(11));
CELL_12: ENTITY basic_cell(behave)
PORT MAP(Ax(12),Bx_int(NUM_BITS-1),Cx_int(11),clk,reset,Cx_int(12));
CELL_13: ENTITY basic_cell(behave)
PORT MAP(Ax(13),Bx_int(NUM_BITS-1),Cx_int(12),clk,reset,Cx_int(13));
CELL_14: ENTITY basic_cell(behave)
PORT MAP(Ax(14),Bx_int(NUM_BITS-1),Cx_int(13),clk,reset,Cx_int(14));
CELL_15: ENTITY basic_cell(behave)
PORT MAP(Ax(15),Bx_int(NUM_BITS-1),Cx_int(14),clk,reset,Cx_int(15));
CELL_16: ENTITY basic_cell(behave)
PORT MAP(Ax(16),Bx_int(NUM_BITS-1),Cx_int(15),clk,reset,Cx_int(16));
CELL_17: ENTITY basic_cell(behave)
PORT MAP(Ax(17),Bx_int(NUM_BITS-1),Cx_int(16),clk,reset,Cx_int(17));
CELL_18: ENTITY basic_cell(behave)
PORT MAP(Ax(18),Bx_int(NUM_BITS-1),Cx_int(17),clk,reset,Cx_int(18));
CELL_19: ENTITY basic_cell(behave)
PORT MAP(Ax(19),Bx_int(NUM_BITS-1),Cx_int(18),clk,reset,Cx_int(19));
CELL_20: ENTITY basic_cell(behave)
PORT MAP(Ax(20),Bx_int(NUM_BITS-1),Cx_int(19),clk,reset,Cx_int(20));
CELL_21: ENTITY basic_cell(behave)
PORT MAP(Ax(21),Bx_int(NUM_BITS-1),Cx_int(20),clk,reset,Cx_int(21));
CELL_22: ENTITY basic_cell(behave)
PORT MAP(Ax(22),Bx_int(NUM_BITS-1),Cx_int(21),clk,reset,Cx_int(22));
CELL_23: ENTITY basic_cell(behave)
PORT MAP(Ax(23),Bx_int(NUM_BITS-1),Cx_int(22),clk,reset,Cx_int(23));
CELL_24: ENTITY basic_cell(behave)
PORT MAP(Ax(24),Bx_int(NUM_BITS-1),Cx_int(23),clk,reset,Cx_int(24));
CELL_25: ENTITY basic_cell(behave)
PORT MAP(Ax(25),Bx_int(NUM_BITS-1),Cx_int(24),clk,reset,Cx_int(25));
CELL_26: ENTITY basic_cell(behave)
PORT MAP(Ax(26),Bx_int(NUM_BITS-1),Cx_int(25),clk,reset,Cx_int(26));
CELL_27: ENTITY basic_cell(behave)
PORT MAP(Ax(27),Bx_int(NUM_BITS-1),Cx_int(26),clk,reset,Cx_int(27));
CELL_28: ENTITY basic_cell(behave)
PORT MAP(Ax(28),Bx_int(NUM_BITS-1),Cx_int(27),clk,reset,Cx_int(28));
CELL_29: ENTITY basic_cell(behave)
PORT MAP(Ax(29),Bx_int(NUM_BITS-1),Cx_int(28),clk,reset,Cx_int(29));
CELL_30: ENTITY basic_cell(behave)
PORT MAP(Ax(30),Bx_int(NUM_BITS-1),Cx_int(29),clk,reset,Cx_int(30));
CELL_31: ENTITY basic_cell(behave)
PORT MAP(Ax(31),Bx_int(NUM_BITS-1),Cx_int(30),clk,reset,Cx_int(31));
CELL_32: ENTITY basic_cell(behave)
PORT MAP(Ax(32),Bx_int(NUM_BITS-1),Cx_int(31),clk,reset,Cx_int(32));
CELL_33: ENTITY basic_cell(behave)
PORT MAP(Ax(33),Bx_int(NUM_BITS-1),Cx_int(32),clk,reset,Cx_int(33));
CELL_34: ENTITY basic_cell(behave)
PORT MAP(Ax(34),Bx_int(NUM_BITS-1),Cx_int(33),clk,reset,Cx_int(34));
CELL_35: ENTITY basic_cell(behave)
PORT MAP(Ax(35),Bx_int(NUM_BITS-1),Cx_int(34),clk,reset,Cx_int(35));
CELL_36: ENTITY basic_cell(behave)
PORT MAP(Ax(36),Bx_int(NUM_BITS-1),Cx_int(35),clk,reset,Cx_int(36));
CELL_37: ENTITY basic_cell(behave)
PORT MAP(Ax(37),Bx_int(NUM_BITS-1),Cx_int(36),clk,reset,Cx_int(37));
CELL_38: ENTITY basic_cell(behave)
PORT MAP(Ax(38),Bx_int(NUM_BITS-1),Cx_int(37),clk,reset,Cx_int(38));
CELL_39: ENTITY basic_cell(behave)
PORT MAP(Ax(39),Bx_int(NUM_BITS-1),Cx_int(38),clk,reset,Cx_int(39));
CELL_40: ENTITY basic_cell(behave)
PORT MAP(Ax(40),Bx_int(NUM_BITS-1),Cx_int(39),clk,reset,Cx_int(40));
CELL_41: ENTITY basic_cell(behave)
PORT MAP(Ax(41),Bx_int(NUM_BITS-1),Cx_int(40),clk,reset,Cx_int(41));
CELL_42: ENTITY basic_cell(behave)
PORT MAP(Ax(42),Bx_int(NUM_BITS-1),Cx_int(41),clk,reset,Cx_int(42));
CELL_43: ENTITY basic_cell(behave)
PORT MAP(Ax(43),Bx_int(NUM_BITS-1),Cx_int(42),clk,reset,Cx_int(43));
CELL_44: ENTITY basic_cell(behave)
PORT MAP(Ax(44),Bx_int(NUM_BITS-1),Cx_int(43),clk,reset,Cx_int(44));
CELL_45: ENTITY basic_cell(behave)
PORT MAP(Ax(45),Bx_int(NUM_BITS-1),Cx_int(44),clk,reset,Cx_int(45));
CELL_46: ENTITY basic_cell(behave)
PORT MAP(Ax(46),Bx_int(NUM_BITS-1),Cx_int(45),clk,reset,Cx_int(46));
CELL_47: ENTITY basic_cell(behave)
PORT MAP(Ax(47),Bx_int(NUM_BITS-1),Cx_int(46),clk,reset,Cx_int(47));
CELL_48: ENTITY basic_cell(behave)
PORT MAP(Ax(48),Bx_int(NUM_BITS-1),Cx_int(47),clk,reset,Cx_int(48));
CELL_49: ENTITY basic_cell(behave)
PORT MAP(Ax(49),Bx_int(NUM_BITS-1),Cx_int(48),clk,reset,Cx_int(49));
CELL_50: ENTITY basic_cell(behave)
PORT MAP(Ax(50),Bx_int(NUM_BITS-1),Cx_int(49),clk,reset,Cx_int(50));
CELL_51: ENTITY basic_cell(behave)
PORT MAP(Ax(51),Bx_int(NUM_BITS-1),Cx_int(50),clk,reset,Cx_int(51));
CELL_52: ENTITY basic_cell(behave)
PORT MAP(Ax(52),Bx_int(NUM_BITS-1),Cx_int(51),clk,reset,Cx_int(52));
CELL_53: ENTITY basic_cell(behave)
PORT MAP(Ax(53),Bx_int(NUM_BITS-1),Cx_int(52),clk,reset,Cx_int(53));
CELL_54: ENTITY basic_cell(behave)
PORT MAP(Ax(54),Bx_int(NUM_BITS-1),Cx_int(53),clk,reset,Cx_int(54));
CELL_55: ENTITY basic_cell(behave)
PORT MAP(Ax(55),Bx_int(NUM_BITS-1),Cx_int(54),clk,reset,Cx_int(55));
CELL_56: ENTITY basic_cell(behave)
PORT MAP(Ax(56),Bx_int(NUM_BITS-1),Cx_int(55),clk,reset,Cx_int(56));
CELL_57: ENTITY basic_cell(behave)
PORT MAP(Ax(57),Bx_int(NUM_BITS-1),Cx_int(56),clk,reset,Cx_int(57));
CELL_58: ENTITY basic_cell(behave)
PORT MAP(Ax(58),Bx_int(NUM_BITS-1),Cx_int(57),clk,reset,Cx_int(58));
CELL_59: ENTITY basic_cell(behave)
PORT MAP(Ax(59),Bx_int(NUM_BITS-1),Cx_int(58),clk,reset,Cx_int(59));
CELL_60: ENTITY basic_cell(behave)
PORT MAP(Ax(60),Bx_int(NUM_BITS-1),Cx_int(59),clk,reset,Cx_int(60));
CELL_61: ENTITY basic_cell(behave)
PORT MAP(Ax(61),Bx_int(NUM_BITS-1),Cx_int(60),clk,reset,Cx_int(61));
CELL_62: ENTITY basic_cell(behave)
PORT MAP(Ax(62),Bx_int(NUM_BITS-1),Cx_int(61),clk,reset,Cx_int(62));
CELL_63: ENTITY basic_cell(behave)
PORT MAP(Ax(63),Bx_int(NUM_BITS-1),Cx_int(62),clk,reset,Cx_int(63));
CELL_64: ENTITY basic_cell(behave)
PORT MAP(Ax(64),Bx_int(NUM_BITS-1),Cx_int(63),clk,reset,Cx_int(64));
CELL_65: ENTITY basic_cell(behave)
PORT MAP(Ax(65),Bx_int(NUM_BITS-1),Cx_int(64),clk,reset,Cx_int(65));
CELL_66: ENTITY basic_cell(behave)
PORT MAP(Ax(66),Bx_int(NUM_BITS-1),Cx_int(65),clk,reset,Cx_int(66));
CELL_67: ENTITY basic_cell(behave)
PORT MAP(Ax(67),Bx_int(NUM_BITS-1),Cx_int(66),clk,reset,Cx_int(67));
CELL_68: ENTITY basic_cell(behave)
PORT MAP(Ax(68),Bx_int(NUM_BITS-1),Cx_int(67),clk,reset,Cx_int(68));
CELL_69: ENTITY basic_cell(behave)
PORT MAP(Ax(69),Bx_int(NUM_BITS-1),Cx_int(68),clk,reset,Cx_int(69));
CELL_70: ENTITY basic_cell(behave)
PORT MAP(Ax(70),Bx_int(NUM_BITS-1),Cx_int(69),clk,reset,Cx_int(70));
CELL_71: ENTITY basic_cell(behave)
PORT MAP(Ax(71),Bx_int(NUM_BITS-1),Cx_int(70),clk,reset,Cx_int(71));
CELL_72: ENTITY basic_cell(behave)
PORT MAP(Ax(72),Bx_int(NUM_BITS-1),Cx_int(71),clk,reset,Cx_int(72));
CELL_73: ENTITY basic_cell(behave)
PORT MAP(Ax(73),Bx_int(NUM_BITS-1),Cx_int(72),clk,reset,Cx_int(73));
CELL_74: ENTITY basic_cell(behave)
PORT MAP(Ax(74),Bx_int(NUM_BITS-1),Cx_int(73),clk,reset,Cx_int(74));
CELL_75: ENTITY basic_cell(behave)
PORT MAP(Ax(75),Bx_int(NUM_BITS-1),Cx_int(74),clk,reset,Cx_int(75));
CELL_76: ENTITY basic_cell(behave)
PORT MAP(Ax(76),Bx_int(NUM_BITS-1),Cx_int(75),clk,reset,Cx_int(76));
CELL_77: ENTITY basic_cell(behave)
PORT MAP(Ax(77),Bx_int(NUM_BITS-1),Cx_int(76),clk,reset,Cx_int(77));
CELL_78: ENTITY basic_cell(behave)
PORT MAP(Ax(78),Bx_int(NUM_BITS-1),Cx_int(77),clk,reset,Cx_int(78));
CELL_79: ENTITY basic_cell(behave)
PORT MAP(Ax(79),Bx_int(NUM_BITS-1),Cx_int(78),clk,reset,Cx_int(79));
CELL_80: ENTITY basic_cell(behave)
PORT MAP(Ax(80),Bx_int(NUM_BITS-1),Cx_int(79),clk,reset,Cx_int(80));
CELL_81: ENTITY basic_cell(behave)
PORT MAP(Ax(81),Bx_int(NUM_BITS-1),Cx_int(80),clk,reset,Cx_int(81));
CELL_82: ENTITY basic_cell(behave)
PORT MAP(Ax(82),Bx_int(NUM_BITS-1),Cx_int(81),clk,reset,Cx_int(82));
CELL_83: ENTITY basic_cell(behave)
PORT MAP(Ax(83),Bx_int(NUM_BITS-1),Cx_int(82),clk,reset,Cx_int(83));
CELL_84: ENTITY basic_cell(behave)
PORT MAP(Ax(84),Bx_int(NUM_BITS-1),Cx_int(83),clk,reset,Cx_int(84));
CELL_85: ENTITY basic_cell(behave)
PORT MAP(Ax(85),Bx_int(NUM_BITS-1),Cx_int(84),clk,reset,Cx_int(85));
CELL_86: ENTITY basic_cell(behave)
PORT MAP(Ax(86),Bx_int(NUM_BITS-1),Cx_int(85),clk,reset,Cx_int(86));
CELL_87: ENTITY basic_cell(behave)
PORT MAP(Ax(87),Bx_int(NUM_BITS-1),Cx_int(86),clk,reset,Cx_int(87));
CELL_88: ENTITY basic_cell(behave)
PORT MAP(Ax(88),Bx_int(NUM_BITS-1),Cx_int(87),clk,reset,Cx_int(88));
CELL_89: ENTITY basic_cell(behave)
PORT MAP(Ax(89),Bx_int(NUM_BITS-1),Cx_int(88),clk,reset,Cx_int(89));
CELL_90: ENTITY basic_cell(behave)
PORT MAP(Ax(90),Bx_int(NUM_BITS-1),Cx_int(89),clk,reset,Cx_int(90));
CELL_91: ENTITY basic_cell(behave)
PORT MAP(Ax(91),Bx_int(NUM_BITS-1),Cx_int(90),clk,reset,Cx_int(91));
CELL_92: ENTITY basic_cell(behave)
PORT MAP(Ax(92),Bx_int(NUM_BITS-1),Cx_int(91),clk,reset,Cx_int(92));
CELL_93: ENTITY basic_cell(behave)
PORT MAP(Ax(93),Bx_int(NUM_BITS-1),Cx_int(92),clk,reset,Cx_int(93));
CELL_94: ENTITY basic_cell(behave)
PORT MAP(Ax(94),Bx_int(NUM_BITS-1),Cx_int(93),clk,reset,Cx_int(94));
CELL_95: ENTITY basic_cell(behave)
PORT MAP(Ax(95),Bx_int(NUM_BITS-1),Cx_int(94),clk,reset,Cx_int(95));
CELL_96: ENTITY basic_cell(behave)
PORT MAP(Ax(96),Bx_int(NUM_BITS-1),Cx_int(95),clk,reset,Cx_int(96));
CELL_97: ENTITY basic_cell(behave)
PORT MAP(Ax(97),Bx_int(NUM_BITS-1),Cx_int(96),clk,reset,Cx_int(97));
CELL_98: ENTITY basic_cell(behave)
PORT MAP(Ax(98),Bx_int(NUM_BITS-1),Cx_int(97),clk,reset,Cx_int(98));
CELL_99: ENTITY basic_cell(behave)
PORT MAP(Ax(99),Bx_int(NUM_BITS-1),Cx_int(98),clk,reset,Cx_int(99));
CELL_100: ENTITY basic_cell(behave)
PORT MAP(Ax(100),Bx_int(NUM_BITS-1),Cx_int(99),clk,reset,Cx_int(100));
CELL_101: ENTITY basic_cell(behave)
PORT MAP(Ax(101),Bx_int(NUM_BITS-1),Cx_int(100),clk,reset,Cx_int(101));
CELL_102: ENTITY basic_cell(behave)
PORT MAP(Ax(102),Bx_int(NUM_BITS-1),Cx_int(101),clk,reset,Cx_int(102));
CELL_103: ENTITY basic_cell(behave)
PORT MAP(Ax(103),Bx_int(NUM_BITS-1),Cx_int(102),clk,reset,Cx_int(103));
CELL_104: ENTITY basic_cell(behave)
PORT MAP(Ax(104),Bx_int(NUM_BITS-1),Cx_int(103),clk,reset,Cx_int(104));
CELL_105: ENTITY basic_cell(behave)
PORT MAP(Ax(105),Bx_int(NUM_BITS-1),Cx_int(104),clk,reset,Cx_int(105));
CELL_106: ENTITY basic_cell(behave)
PORT MAP(Ax(106),Bx_int(NUM_BITS-1),Cx_int(105),clk,reset,Cx_int(106));
CELL_107: ENTITY basic_cell(behave)
PORT MAP(Ax(107),Bx_int(NUM_BITS-1),Cx_int(106),clk,reset,Cx_int(107));
CELL_108: ENTITY basic_cell(behave)
PORT MAP(Ax(108),Bx_int(NUM_BITS-1),Cx_int(107),clk,reset,Cx_int(108));
CELL_109: ENTITY basic_cell(behave)
PORT MAP(Ax(109),Bx_int(NUM_BITS-1),Cx_int(108),clk,reset,Cx_int(109));
CELL_110: ENTITY basic_cell(behave)
PORT MAP(Ax(110),Bx_int(NUM_BITS-1),Cx_int(109),clk,reset,Cx_int(110));
CELL_111: ENTITY basic_cell(behave)
PORT MAP(Ax(111),Bx_int(NUM_BITS-1),Cx_int(110),clk,reset,Cx_int(111));
CELL_112: ENTITY basic_cell(behave)
PORT MAP(Ax(112),Bx_int(NUM_BITS-1),Cx_int(111),clk,reset,Cx_int(112));
CELL_113: ENTITY basic_cell(behave)
PORT MAP(Ax(113),Bx_int(NUM_BITS-1),Cx_int(112),clk,reset,Cx_int(113));
CELL_114: ENTITY basic_cell(behave)
PORT MAP(Ax(114),Bx_int(NUM_BITS-1),Cx_int(113),clk,reset,Cx_int(114));
CELL_115: ENTITY basic_cell(behave)
PORT MAP(Ax(115),Bx_int(NUM_BITS-1),Cx_int(114),clk,reset,Cx_int(115));
CELL_116: ENTITY basic_cell(behave)
PORT MAP(Ax(116),Bx_int(NUM_BITS-1),Cx_int(115),clk,reset,Cx_int(116));
CELL_117: ENTITY basic_cell(behave)
PORT MAP(Ax(117),Bx_int(NUM_BITS-1),Cx_int(116),clk,reset,Cx_int(117));
CELL_118: ENTITY basic_cell(behave)
PORT MAP(Ax(118),Bx_int(NUM_BITS-1),Cx_int(117),clk,reset,Cx_int(118));
CELL_119: ENTITY basic_cell(behave)
PORT MAP(Ax(119),Bx_int(NUM_BITS-1),Cx_int(118),clk,reset,Cx_int(119));
CELL_120: ENTITY basic_cell(behave)
PORT MAP(Ax(120),Bx_int(NUM_BITS-1),Cx_int(119),clk,reset,Cx_int(120));
CELL_121: ENTITY basic_cell(behave)
PORT MAP(Ax(121),Bx_int(NUM_BITS-1),Cx_int(120),clk,reset,Cx_int(121));
CELL_122: ENTITY basic_cell(behave)
PORT MAP(Ax(122),Bx_int(NUM_BITS-1),Cx_int(121),clk,reset,Cx_int(122));
CELL_123: ENTITY basic_cell(behave)
PORT MAP(Ax(123),Bx_int(NUM_BITS-1),Cx_int(122),clk,reset,Cx_int(123));
CELL_124: ENTITY basic_cell(behave)
PORT MAP(Ax(124),Bx_int(NUM_BITS-1),Cx_int(123),clk,reset,Cx_int(124));
CELL_125: ENTITY basic_cell(behave)
PORT MAP(Ax(125),Bx_int(NUM_BITS-1),Cx_int(124),clk,reset,Cx_int(125));
CELL_126: ENTITY basic_cell(behave)
PORT MAP(Ax(126),Bx_int(NUM_BITS-1),Cx_int(125),clk,reset,Cx_int(126));
CELL_127: ENTITY basic_cell(behave)
PORT MAP(Ax(127),Bx_int(NUM_BITS-1),Cx_int(126),clk,reset,Cx_int(127));
CELL_128: ENTITY basic_cell(behave)
PORT MAP(Ax(128),Bx_int(NUM_BITS-1),Cx_int(127),clk,reset,Cx_int(128));
CELL_129: ENTITY basic_cell(behave)
PORT MAP(Ax(129),Bx_int(NUM_BITS-1),Cx_int(128),clk,reset,Cx_int(129));
CELL_130: ENTITY basic_cell(behave)
PORT MAP(Ax(130),Bx_int(NUM_BITS-1),Cx_int(129),clk,reset,Cx_int(130));
CELL_131: ENTITY basic_cell(behave)
PORT MAP(Ax(131),Bx_int(NUM_BITS-1),Cx_int(130),clk,reset,Cx_int(131));
CELL_132: ENTITY basic_cell(behave)
PORT MAP(Ax(132),Bx_int(NUM_BITS-1),Cx_int(131),clk,reset,Cx_int(132));
CELL_133: ENTITY basic_cell(behave)
PORT MAP(Ax(133),Bx_int(NUM_BITS-1),Cx_int(132),clk,reset,Cx_int(133));
CELL_134: ENTITY basic_cell(behave)
PORT MAP(Ax(134),Bx_int(NUM_BITS-1),Cx_int(133),clk,reset,Cx_int(134));
CELL_135: ENTITY basic_cell(behave)
PORT MAP(Ax(135),Bx_int(NUM_BITS-1),Cx_int(134),clk,reset,Cx_int(135));
CELL_136: ENTITY basic_cell(behave)
PORT MAP(Ax(136),Bx_int(NUM_BITS-1),Cx_int(135),clk,reset,Cx_int(136));
CELL_137: ENTITY basic_cell(behave)
PORT MAP(Ax(137),Bx_int(NUM_BITS-1),Cx_int(136),clk,reset,Cx_int(137));
CELL_138: ENTITY basic_cell(behave)
PORT MAP(Ax(138),Bx_int(NUM_BITS-1),Cx_int(137),clk,reset,Cx_int(138));
CELL_139: ENTITY basic_cell(behave)
PORT MAP(Ax(139),Bx_int(NUM_BITS-1),Cx_int(138),clk,reset,Cx_int(139));
CELL_140: ENTITY basic_cell(behave)
PORT MAP(Ax(140),Bx_int(NUM_BITS-1),Cx_int(139),clk,reset,Cx_int(140));
CELL_141: ENTITY basic_cell(behave)
PORT MAP(Ax(141),Bx_int(NUM_BITS-1),Cx_int(140),clk,reset,Cx_int(141));
CELL_142: ENTITY basic_cell(behave)
PORT MAP(Ax(142),Bx_int(NUM_BITS-1),Cx_int(141),clk,reset,Cx_int(142));
CELL_143: ENTITY basic_cell(behave)
PORT MAP(Ax(143),Bx_int(NUM_BITS-1),Cx_int(142),clk,reset,Cx_int(143));
CELL_144: ENTITY basic_cell(behave)
PORT MAP(Ax(144),Bx_int(NUM_BITS-1),Cx_int(143),clk,reset,Cx_int(144));
CELL_145: ENTITY basic_cell(behave)
PORT MAP(Ax(145),Bx_int(NUM_BITS-1),Cx_int(144),clk,reset,Cx_int(145));
CELL_146: ENTITY basic_cell(behave)
PORT MAP(Ax(146),Bx_int(NUM_BITS-1),Cx_int(145),clk,reset,Cx_int(146));
CELL_147: ENTITY basic_cell(behave)
PORT MAP(Ax(147),Bx_int(NUM_BITS-1),Cx_int(146),clk,reset,Cx_int(147));
CELL_148: ENTITY basic_cell(behave)
PORT MAP(Ax(148),Bx_int(NUM_BITS-1),Cx_int(147),clk,reset,Cx_int(148));
CELL_149: ENTITY basic_cell(behave)
PORT MAP(Ax(149),Bx_int(NUM_BITS-1),Cx_int(148),clk,reset,Cx_int(149));
CELL_150: ENTITY basic_cell(behave)
PORT MAP(Ax(150),Bx_int(NUM_BITS-1),Cx_int(149),clk,reset,Cx_int(150));
CELL_151: ENTITY basic_cell(behave)
PORT MAP(Ax(151),Bx_int(NUM_BITS-1),Cx_int(150),clk,reset,Cx_int(151));
CELL_152: ENTITY basic_cell(behave)
PORT MAP(Ax(152),Bx_int(NUM_BITS-1),Cx_int(151),clk,reset,Cx_int(152));
CELL_153: ENTITY basic_cell(behave)
PORT MAP(Ax(153),Bx_int(NUM_BITS-1),Cx_int(152),clk,reset,Cx_int(153));
CELL_154: ENTITY basic_cell(behave)
PORT MAP(Ax(154),Bx_int(NUM_BITS-1),Cx_int(153),clk,reset,Cx_int(154));
CELL_155: ENTITY basic_cell(behave)
PORT MAP(Ax(155),Bx_int(NUM_BITS-1),Cx_int(154),clk,reset,Cx_int(155));
CELL_156: ENTITY basic_cell(behave)
PORT MAP(Ax(156),Bx_int(NUM_BITS-1),Cx_int(155),clk,reset,Cx_int(156));
CELL_157: ENTITY basic_cell(behave)
PORT MAP(Ax(157),Bx_int(NUM_BITS-1),Cx_int(156),clk,reset,Cx_int(157));
CELL_158: ENTITY basic_cell(behave)
PORT MAP(Ax(158),Bx_int(NUM_BITS-1),Cx_int(157),clk,reset,Cx_int(158));
CELL_159: ENTITY basic_cell(behave)
PORT MAP(Ax(159),Bx_int(NUM_BITS-1),Cx_int(158),clk,reset,Cx_int(159));
CELL_160: ENTITY basic_cell(behave)
PORT MAP(Ax(160),Bx_int(NUM_BITS-1),Cx_int(159),clk,reset,Cx_int(160));
CELL_161: ENTITY basic_cell(behave)
PORT MAP(Ax(161),Bx_int(NUM_BITS-1),Cx_int(160),clk,reset,Cx_int(161));
CELL_162: ENTITY basic_cell(behave)
PORT MAP(Ax(162),Bx_int(NUM_BITS-1),Cx_int(161),clk,reset,Cx_int(162));
FSM_MUL: process (CLK)
Begin
if CLK'event and CLK = '1' then
if Reset = '1' then
counter <= "10100010"; -- m-1 value, in this case, it is 112, be sure to set the correct value
bx_int <= bx;
cx <= (others => '0');
Done <= '0';
CurrentState <= MUL_STATE;
else
case CurrentState is
when MUL_STATE => -- processes a bit of bx
counter <= counter - 1;
if counter = "00000000" then -- The done signal is asserted at the same time that the result is computed.
CurrentState <= END_STATE;
else
bx_int <= bx_shift;
end if;
when END_STATE =>
Cx <= Cx_int;
Done <= '1';
CurrentState <= NOTHING;
when others =>
null;
end case;
end if;
end if;
end process;
end behave;
|
-- Ejercicio 3(a), contador síncrono
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE work.txt_util.all;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY TB_Cont32bSinc IS
END TB_Cont32bSinc;
ARCHITECTURE behavior OF TB_Cont32bSinc IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT Cont32bSinc
PORT(
CLK : IN std_logic;
RST : IN std_logic;
LOAD : IN std_logic;
CE : IN std_logic;
UND : IN std_logic;
DIN : IN std_logic_vector(31 downto 0);
Q : BUFFER std_logic_vector(31 downto 0)
);
END COMPONENT;
--Inputs
signal CLK : std_logic := '0';
signal RST : std_logic := '0';
signal LOAD : std_logic := '0';
signal CE : std_logic := '0';
signal UND : std_logic := '0';
signal DIN : std_logic_vector(31 downto 0) := (others => '0');
--Outputs
signal Q : std_logic_vector(31 downto 0);
-- Clock period definitions
constant CLK_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: Cont32bSinc PORT MAP (
CLK => CLK,
RST => RST,
LOAD => LOAD,
CE => CE,
UND => UND,
DIN => DIN,
Q => Q
);
-- Clock process definitions
CLK_process :process
begin
CLK <= '0';
wait for CLK_period/2;
CLK <= '1';
wait for CLK_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
-- check initial states
wait for 12 ns;
DIN<=x"00000000";
RST<='1';
LOAD<='0';
UND<='0';
CE <= '0';
wait for 10 ns;
RST <= '0';
wait for 40 ns; -- debe mantenerse sin contar
DIN <= x"0000005A";
CE<='1';
wait for 20 ns;
LOAD <= '1';
wait for 10 ns;
LOAD <= '0';
wait for 100 ns;
UND <= '1';
wait;
end process;
corr_proc: process(CLK)
variable theTime : time;
begin
theTime := now;
if theTime=20000 ps then
assert (Q=x"00000000")
report "Resultado erroneo a los " & time'image(theTime) & " Q=" & str(Q)
severity ERROR;
end if;
if theTime=70000 ps then
assert (Q=x"fffffffc")
report "Resultado erroneo a los " & time'image(theTime) & " Q=" & str(Q)
severity ERROR;
end if;
if theTime=80000 ps then
assert (Q=x"fffffff8")
report "Resultado erroneo a los " & time'image(theTime) & " Q=" & str(Q)
severity ERROR;
end if;
if theTime=90000 ps then
assert (Q=x"0000005a")
report "Resultado erroneo a los " & time'image(theTime) & " Q=" & str(Q)
severity ERROR;
end if;
if theTime=120000 ps then
assert (Q=x"0000004e")
report "Resultado erroneo a los " & time'image(theTime) & " Q=" & str(Q)
severity ERROR;
end if;
if theTime=210000 ps then
assert (Q=x"0000003a")
report "Resultado erroneo a los " & time'image(theTime) & " Q=" & str(Q)
severity ERROR;
end if;
end process;
END;
|
-------------------------------------------------------------------------------
--
-- File : irq_mnrg.vhd
-- Related files : (none)
--
-- Author(s) : Fabrice Mousset (fabrice.mousset@laposte.net)
-- Project : Wishbone Interruption Manager
--
-- Creation Date : 2007/01/05
--
-- Description : This is the top file of the IP
-------------------------------------------------------------------------------
-- Modifications :
-- 20/10/2008 : Detected rising edge instead of high state
-- Fabien Marteau <fabien.marteau@armadeus.com>
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
-- ----------------------------------------------------------------------------
Entity irq_mngr is
-- ----------------------------------------------------------------------------
generic
(
id : natural := 0;
irq_count : integer := 16; -- always 16 default
irq_level : std_logic := '1'
);
port
(
-- Global Signals
gls_clk : in std_logic;
gls_reset : in std_logic;
-- Wishbone interface signals
wbs_s1_address : in std_logic_vector(1 downto 0); -- Address bus
wbs_s1_readdata : out std_logic_vector(15 downto 0); -- Data bus for read access
wbs_s1_writedata : in std_logic_vector(15 downto 0); -- Data bus for write access
wbs_s1_ack : out std_logic; -- Access acknowledge
wbs_s1_strobe : in std_logic; -- Strobe
wbs_s1_cycle : in std_logic ; -- Cycle
wbs_s1_write : in std_logic; -- Write access
-- irq from other IP
irqport : in std_logic_vector(irq_count-1 downto 0);
-- Component external signals
gls_irq : out std_logic -- IRQ request
);
end entity;
-- ----------------------------------------------------------------------------
Architecture RTL of irq_mngr is
-- ----------------------------------------------------------------------------
signal irq_r : std_logic_vector(irq_count-1 downto 0);
signal irq_old : std_logic_vector(irq_count-1 downto 0);
signal irq_pend : std_logic_vector(irq_count-1 downto 0);
signal irq_ack : std_logic_vector(irq_count-1 downto 0);
signal irq_mask : std_logic_vector(irq_count-1 downto 0);
signal readdata : std_logic_vector(15 downto 0);
signal rd_ack : std_logic;
signal wr_ack : std_logic;
begin
-- ----------------------------------------------------------------------------
-- External signals synchronization process
-- ----------------------------------------------------------------------------
process(gls_clk, gls_reset)
begin
if(gls_reset='1') then
irq_r <= (others => '0');
irq_old <= (others => '0');
elsif(rising_edge(gls_clk)) then
irq_r <= irqport;
irq_old <= irq_r;
end if;
end process;
-- ----------------------------------------------------------------------------
-- Interruption requests latching process on rising edge
-- ----------------------------------------------------------------------------
process(gls_clk, gls_reset)
begin
if(gls_reset='1') then
irq_pend <= (others => '0');
elsif(rising_edge(gls_clk)) then
irq_pend <= (irq_pend or ((irq_r and (not irq_old))and irq_mask)) and (not irq_ack);
end if;
end process;
-- ----------------------------------------------------------------------------
-- Register reading process
-- ----------------------------------------------------------------------------
process(gls_clk, gls_reset)
begin
if(gls_reset='1') then
rd_ack <= '0';
readdata <= (others => '0');
elsif(rising_edge(gls_clk)) then
rd_ack <= '0';
if(wbs_s1_strobe = '1' and wbs_s1_write = '0' and wbs_s1_cycle = '1') then
rd_ack <= '1';
if(wbs_s1_address = "00") then
readdata(irq_count-1 downto 0) <= irq_mask;
elsif(wbs_s1_address="01") then
readdata(irq_count-1 downto 0) <= irq_pend;
elsif(wbs_s1_address="10") then
readdata <= std_logic_vector(to_unsigned(id,16));
else
readdata <= (others => '0');
end if;
end if;
end if;
end process;
-- ----------------------------------------------------------------------------
-- Register update process
-- ----------------------------------------------------------------------------
process(gls_clk, gls_reset)
begin
if(gls_reset='1') then
irq_ack <= (others => '0');
wr_ack <= '0';
irq_mask <= (others => '0');
elsif(rising_edge(gls_clk)) then
irq_ack <= (others => '0');
wr_ack <= '0';
if(wbs_s1_strobe = '1' and wbs_s1_write = '1' and wbs_s1_cycle = '1') then
wr_ack <= '1';
if(wbs_s1_address = "00") then
irq_mask <= wbs_s1_writedata(irq_count-1 downto 0);
elsif(wbs_s1_address = "01") then
irq_ack <= wbs_s1_writedata(irq_count-1 downto 0);
end if;
end if;
end if;
end process;
gls_irq <= irq_level when(unsigned(irq_pend) /= 0 and gls_reset = '0') else
not irq_level;
wbs_s1_ack <= rd_ack or wr_ack;
wbs_s1_readdata <= readdata when (wbs_s1_strobe = '1' and wbs_s1_write = '0' and wbs_s1_cycle = '1') else (others => '0');
end architecture RTL;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library unisim;
use unisim.vcomponents.all;
entity s3e_clockgen is
port (
clk_50 : in std_logic;
reset_in : in std_logic;
dcm_lock : out std_logic;
sys_clock : out std_logic; -- 50 MHz
sys_reset : out std_logic;
sys_shifted : out std_logic;
pix_clock : out std_logic; -- * 7/25 (14 MHz)
pix_clock_en: out std_logic;
pix_reset : out std_logic );
end s3e_clockgen;
architecture Gideon of s3e_clockgen is
signal clk_in_buf : std_logic;
signal sys_clk_buf : std_logic;
signal reset_dcm : std_logic;
signal reset_cnt : integer range 0 to 63 := 0;
signal dcm1_locked : std_logic := '1';
signal sys_clk_i : std_logic := '0';
signal sysrst_cnt : integer range 0 to 63;
signal sys_reset_i : std_logic := '1';
signal sys_reset_p : std_logic := '1';
signal pix_clock_pre : std_logic;
signal pix_clock_ii : std_logic;
signal pix_clock_i : std_logic;
signal pixrst_cnt : integer range 0 to 63;
signal pix_reset_i : std_logic := '1';
signal pix_reset_p : std_logic := '1';
signal pixdiv : integer range 0 to 7;
signal reset_c : std_logic;
signal reset_out : std_logic := '1';
attribute register_duplication : string;
attribute register_duplication of sys_reset_i : signal is "no";
signal clk_0_pre : std_logic;
signal clk_270_pre : std_logic;
begin
dcm_lock <= dcm1_locked;
bufg_in : BUFG port map (I => clk_50, O => clk_in_buf);
process(clk_in_buf)
begin
if rising_edge(clk_in_buf) then
if reset_cnt = 63 then
reset_dcm <= '0';
else
reset_cnt <= reset_cnt + 1;
reset_dcm <= '1';
end if;
end if;
if reset_in='1' then
reset_dcm <= '1';
reset_cnt <= 0;
end if;
end process;
dcm_shft: DCM
generic map
(
CLKIN_PERIOD => 20.0,
-- CLKOUT_PHASE_SHIFT => "FIXED",
CLK_FEEDBACK => "1X",
-- PHASE_SHIFT => -20,
CLKDV_DIVIDE => 2.5,
CLKFX_MULTIPLY => 5,
CLKFX_DIVIDE => 2,
STARTUP_WAIT => true
)
port map
(
CLKIN => clk_in_buf,
CLKFB => sys_clk_buf,
CLK0 => clk_0_pre,
CLK270 => clk_270_pre,
CLKFX => pix_clock_pre,
LOCKED => dcm1_locked,
RST => reset_dcm
);
bufg_pix: BUFG port map (I => pix_clock_pre, O => pix_clock_ii);
bufg_sys: BUFG port map (I => clk_0_pre, O => sys_clk_buf);
bufg_shft: BUFG port map (I => clk_270_pre, O => sys_shifted);
sys_clk_i <= sys_clk_buf;
sys_clock <= sys_clk_buf;
pix_clock <= pix_clock_ii;
pix_clock_i <= pix_clock_ii;
process(sys_clk_i, dcm1_locked)
begin
if rising_edge(sys_clk_i) then
if sysrst_cnt = 63 then
sys_reset_i <= '0';
else
sysrst_cnt <= sysrst_cnt + 1;
end if;
sys_reset_p <= sys_reset_i;
end if;
if dcm1_locked='0' then
sysrst_cnt <= 0;
sys_reset_i <= '1';
sys_reset_p <= '1';
end if;
end process;
process(pix_clock_i, dcm1_locked)
begin
if rising_edge(pix_clock_i) then
if pixdiv = 0 then
pixdiv <= 4;
pix_clock_en <= '1';
else
pixdiv <= pixdiv - 1;
pix_clock_en <= '0';
end if;
if pixrst_cnt = 63 then
pix_reset_i <= '0';
else
pixrst_cnt <= pixrst_cnt + 1;
end if;
pix_reset_p <= pix_reset_i;
end if;
if dcm1_locked='0' then
pixrst_cnt <= 0;
pix_reset_i <= '1';
pix_reset_p <= '1';
end if;
end process;
sys_reset <= sys_reset_p;
pix_reset <= pix_reset_p;
end Gideon;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library unisim;
use unisim.vcomponents.all;
entity s3e_clockgen is
port (
clk_50 : in std_logic;
reset_in : in std_logic;
dcm_lock : out std_logic;
sys_clock : out std_logic; -- 50 MHz
sys_reset : out std_logic;
sys_shifted : out std_logic;
pix_clock : out std_logic; -- * 7/25 (14 MHz)
pix_clock_en: out std_logic;
pix_reset : out std_logic );
end s3e_clockgen;
architecture Gideon of s3e_clockgen is
signal clk_in_buf : std_logic;
signal sys_clk_buf : std_logic;
signal reset_dcm : std_logic;
signal reset_cnt : integer range 0 to 63 := 0;
signal dcm1_locked : std_logic := '1';
signal sys_clk_i : std_logic := '0';
signal sysrst_cnt : integer range 0 to 63;
signal sys_reset_i : std_logic := '1';
signal sys_reset_p : std_logic := '1';
signal pix_clock_pre : std_logic;
signal pix_clock_ii : std_logic;
signal pix_clock_i : std_logic;
signal pixrst_cnt : integer range 0 to 63;
signal pix_reset_i : std_logic := '1';
signal pix_reset_p : std_logic := '1';
signal pixdiv : integer range 0 to 7;
signal reset_c : std_logic;
signal reset_out : std_logic := '1';
attribute register_duplication : string;
attribute register_duplication of sys_reset_i : signal is "no";
signal clk_0_pre : std_logic;
signal clk_270_pre : std_logic;
begin
dcm_lock <= dcm1_locked;
bufg_in : BUFG port map (I => clk_50, O => clk_in_buf);
process(clk_in_buf)
begin
if rising_edge(clk_in_buf) then
if reset_cnt = 63 then
reset_dcm <= '0';
else
reset_cnt <= reset_cnt + 1;
reset_dcm <= '1';
end if;
end if;
if reset_in='1' then
reset_dcm <= '1';
reset_cnt <= 0;
end if;
end process;
dcm_shft: DCM
generic map
(
CLKIN_PERIOD => 20.0,
-- CLKOUT_PHASE_SHIFT => "FIXED",
CLK_FEEDBACK => "1X",
-- PHASE_SHIFT => -20,
CLKDV_DIVIDE => 2.5,
CLKFX_MULTIPLY => 5,
CLKFX_DIVIDE => 2,
STARTUP_WAIT => true
)
port map
(
CLKIN => clk_in_buf,
CLKFB => sys_clk_buf,
CLK0 => clk_0_pre,
CLK270 => clk_270_pre,
CLKFX => pix_clock_pre,
LOCKED => dcm1_locked,
RST => reset_dcm
);
bufg_pix: BUFG port map (I => pix_clock_pre, O => pix_clock_ii);
bufg_sys: BUFG port map (I => clk_0_pre, O => sys_clk_buf);
bufg_shft: BUFG port map (I => clk_270_pre, O => sys_shifted);
sys_clk_i <= sys_clk_buf;
sys_clock <= sys_clk_buf;
pix_clock <= pix_clock_ii;
pix_clock_i <= pix_clock_ii;
process(sys_clk_i, dcm1_locked)
begin
if rising_edge(sys_clk_i) then
if sysrst_cnt = 63 then
sys_reset_i <= '0';
else
sysrst_cnt <= sysrst_cnt + 1;
end if;
sys_reset_p <= sys_reset_i;
end if;
if dcm1_locked='0' then
sysrst_cnt <= 0;
sys_reset_i <= '1';
sys_reset_p <= '1';
end if;
end process;
process(pix_clock_i, dcm1_locked)
begin
if rising_edge(pix_clock_i) then
if pixdiv = 0 then
pixdiv <= 4;
pix_clock_en <= '1';
else
pixdiv <= pixdiv - 1;
pix_clock_en <= '0';
end if;
if pixrst_cnt = 63 then
pix_reset_i <= '0';
else
pixrst_cnt <= pixrst_cnt + 1;
end if;
pix_reset_p <= pix_reset_i;
end if;
if dcm1_locked='0' then
pixrst_cnt <= 0;
pix_reset_i <= '1';
pix_reset_p <= '1';
end if;
end process;
sys_reset <= sys_reset_p;
pix_reset <= pix_reset_p;
end Gideon;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library unisim;
use unisim.vcomponents.all;
entity s3e_clockgen is
port (
clk_50 : in std_logic;
reset_in : in std_logic;
dcm_lock : out std_logic;
sys_clock : out std_logic; -- 50 MHz
sys_reset : out std_logic;
sys_shifted : out std_logic;
pix_clock : out std_logic; -- * 7/25 (14 MHz)
pix_clock_en: out std_logic;
pix_reset : out std_logic );
end s3e_clockgen;
architecture Gideon of s3e_clockgen is
signal clk_in_buf : std_logic;
signal sys_clk_buf : std_logic;
signal reset_dcm : std_logic;
signal reset_cnt : integer range 0 to 63 := 0;
signal dcm1_locked : std_logic := '1';
signal sys_clk_i : std_logic := '0';
signal sysrst_cnt : integer range 0 to 63;
signal sys_reset_i : std_logic := '1';
signal sys_reset_p : std_logic := '1';
signal pix_clock_pre : std_logic;
signal pix_clock_ii : std_logic;
signal pix_clock_i : std_logic;
signal pixrst_cnt : integer range 0 to 63;
signal pix_reset_i : std_logic := '1';
signal pix_reset_p : std_logic := '1';
signal pixdiv : integer range 0 to 7;
signal reset_c : std_logic;
signal reset_out : std_logic := '1';
attribute register_duplication : string;
attribute register_duplication of sys_reset_i : signal is "no";
signal clk_0_pre : std_logic;
signal clk_270_pre : std_logic;
begin
dcm_lock <= dcm1_locked;
bufg_in : BUFG port map (I => clk_50, O => clk_in_buf);
process(clk_in_buf)
begin
if rising_edge(clk_in_buf) then
if reset_cnt = 63 then
reset_dcm <= '0';
else
reset_cnt <= reset_cnt + 1;
reset_dcm <= '1';
end if;
end if;
if reset_in='1' then
reset_dcm <= '1';
reset_cnt <= 0;
end if;
end process;
dcm_shft: DCM
generic map
(
CLKIN_PERIOD => 20.0,
-- CLKOUT_PHASE_SHIFT => "FIXED",
CLK_FEEDBACK => "1X",
-- PHASE_SHIFT => -20,
CLKDV_DIVIDE => 2.5,
CLKFX_MULTIPLY => 5,
CLKFX_DIVIDE => 2,
STARTUP_WAIT => true
)
port map
(
CLKIN => clk_in_buf,
CLKFB => sys_clk_buf,
CLK0 => clk_0_pre,
CLK270 => clk_270_pre,
CLKFX => pix_clock_pre,
LOCKED => dcm1_locked,
RST => reset_dcm
);
bufg_pix: BUFG port map (I => pix_clock_pre, O => pix_clock_ii);
bufg_sys: BUFG port map (I => clk_0_pre, O => sys_clk_buf);
bufg_shft: BUFG port map (I => clk_270_pre, O => sys_shifted);
sys_clk_i <= sys_clk_buf;
sys_clock <= sys_clk_buf;
pix_clock <= pix_clock_ii;
pix_clock_i <= pix_clock_ii;
process(sys_clk_i, dcm1_locked)
begin
if rising_edge(sys_clk_i) then
if sysrst_cnt = 63 then
sys_reset_i <= '0';
else
sysrst_cnt <= sysrst_cnt + 1;
end if;
sys_reset_p <= sys_reset_i;
end if;
if dcm1_locked='0' then
sysrst_cnt <= 0;
sys_reset_i <= '1';
sys_reset_p <= '1';
end if;
end process;
process(pix_clock_i, dcm1_locked)
begin
if rising_edge(pix_clock_i) then
if pixdiv = 0 then
pixdiv <= 4;
pix_clock_en <= '1';
else
pixdiv <= pixdiv - 1;
pix_clock_en <= '0';
end if;
if pixrst_cnt = 63 then
pix_reset_i <= '0';
else
pixrst_cnt <= pixrst_cnt + 1;
end if;
pix_reset_p <= pix_reset_i;
end if;
if dcm1_locked='0' then
pixrst_cnt <= 0;
pix_reset_i <= '1';
pix_reset_p <= '1';
end if;
end process;
sys_reset <= sys_reset_p;
pix_reset <= pix_reset_p;
end Gideon;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library unisim;
use unisim.vcomponents.all;
entity s3e_clockgen is
port (
clk_50 : in std_logic;
reset_in : in std_logic;
dcm_lock : out std_logic;
sys_clock : out std_logic; -- 50 MHz
sys_reset : out std_logic;
sys_shifted : out std_logic;
pix_clock : out std_logic; -- * 7/25 (14 MHz)
pix_clock_en: out std_logic;
pix_reset : out std_logic );
end s3e_clockgen;
architecture Gideon of s3e_clockgen is
signal clk_in_buf : std_logic;
signal sys_clk_buf : std_logic;
signal reset_dcm : std_logic;
signal reset_cnt : integer range 0 to 63 := 0;
signal dcm1_locked : std_logic := '1';
signal sys_clk_i : std_logic := '0';
signal sysrst_cnt : integer range 0 to 63;
signal sys_reset_i : std_logic := '1';
signal sys_reset_p : std_logic := '1';
signal pix_clock_pre : std_logic;
signal pix_clock_ii : std_logic;
signal pix_clock_i : std_logic;
signal pixrst_cnt : integer range 0 to 63;
signal pix_reset_i : std_logic := '1';
signal pix_reset_p : std_logic := '1';
signal pixdiv : integer range 0 to 7;
signal reset_c : std_logic;
signal reset_out : std_logic := '1';
attribute register_duplication : string;
attribute register_duplication of sys_reset_i : signal is "no";
signal clk_0_pre : std_logic;
signal clk_270_pre : std_logic;
begin
dcm_lock <= dcm1_locked;
bufg_in : BUFG port map (I => clk_50, O => clk_in_buf);
process(clk_in_buf)
begin
if rising_edge(clk_in_buf) then
if reset_cnt = 63 then
reset_dcm <= '0';
else
reset_cnt <= reset_cnt + 1;
reset_dcm <= '1';
end if;
end if;
if reset_in='1' then
reset_dcm <= '1';
reset_cnt <= 0;
end if;
end process;
dcm_shft: DCM
generic map
(
CLKIN_PERIOD => 20.0,
-- CLKOUT_PHASE_SHIFT => "FIXED",
CLK_FEEDBACK => "1X",
-- PHASE_SHIFT => -20,
CLKDV_DIVIDE => 2.5,
CLKFX_MULTIPLY => 5,
CLKFX_DIVIDE => 2,
STARTUP_WAIT => true
)
port map
(
CLKIN => clk_in_buf,
CLKFB => sys_clk_buf,
CLK0 => clk_0_pre,
CLK270 => clk_270_pre,
CLKFX => pix_clock_pre,
LOCKED => dcm1_locked,
RST => reset_dcm
);
bufg_pix: BUFG port map (I => pix_clock_pre, O => pix_clock_ii);
bufg_sys: BUFG port map (I => clk_0_pre, O => sys_clk_buf);
bufg_shft: BUFG port map (I => clk_270_pre, O => sys_shifted);
sys_clk_i <= sys_clk_buf;
sys_clock <= sys_clk_buf;
pix_clock <= pix_clock_ii;
pix_clock_i <= pix_clock_ii;
process(sys_clk_i, dcm1_locked)
begin
if rising_edge(sys_clk_i) then
if sysrst_cnt = 63 then
sys_reset_i <= '0';
else
sysrst_cnt <= sysrst_cnt + 1;
end if;
sys_reset_p <= sys_reset_i;
end if;
if dcm1_locked='0' then
sysrst_cnt <= 0;
sys_reset_i <= '1';
sys_reset_p <= '1';
end if;
end process;
process(pix_clock_i, dcm1_locked)
begin
if rising_edge(pix_clock_i) then
if pixdiv = 0 then
pixdiv <= 4;
pix_clock_en <= '1';
else
pixdiv <= pixdiv - 1;
pix_clock_en <= '0';
end if;
if pixrst_cnt = 63 then
pix_reset_i <= '0';
else
pixrst_cnt <= pixrst_cnt + 1;
end if;
pix_reset_p <= pix_reset_i;
end if;
if dcm1_locked='0' then
pixrst_cnt <= 0;
pix_reset_i <= '1';
pix_reset_p <= '1';
end if;
end process;
sys_reset <= sys_reset_p;
pix_reset <= pix_reset_p;
end Gideon;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library unisim;
use unisim.vcomponents.all;
entity s3e_clockgen is
port (
clk_50 : in std_logic;
reset_in : in std_logic;
dcm_lock : out std_logic;
sys_clock : out std_logic; -- 50 MHz
sys_reset : out std_logic;
sys_shifted : out std_logic;
pix_clock : out std_logic; -- * 7/25 (14 MHz)
pix_clock_en: out std_logic;
pix_reset : out std_logic );
end s3e_clockgen;
architecture Gideon of s3e_clockgen is
signal clk_in_buf : std_logic;
signal sys_clk_buf : std_logic;
signal reset_dcm : std_logic;
signal reset_cnt : integer range 0 to 63 := 0;
signal dcm1_locked : std_logic := '1';
signal sys_clk_i : std_logic := '0';
signal sysrst_cnt : integer range 0 to 63;
signal sys_reset_i : std_logic := '1';
signal sys_reset_p : std_logic := '1';
signal pix_clock_pre : std_logic;
signal pix_clock_ii : std_logic;
signal pix_clock_i : std_logic;
signal pixrst_cnt : integer range 0 to 63;
signal pix_reset_i : std_logic := '1';
signal pix_reset_p : std_logic := '1';
signal pixdiv : integer range 0 to 7;
signal reset_c : std_logic;
signal reset_out : std_logic := '1';
attribute register_duplication : string;
attribute register_duplication of sys_reset_i : signal is "no";
signal clk_0_pre : std_logic;
signal clk_270_pre : std_logic;
begin
dcm_lock <= dcm1_locked;
bufg_in : BUFG port map (I => clk_50, O => clk_in_buf);
process(clk_in_buf)
begin
if rising_edge(clk_in_buf) then
if reset_cnt = 63 then
reset_dcm <= '0';
else
reset_cnt <= reset_cnt + 1;
reset_dcm <= '1';
end if;
end if;
if reset_in='1' then
reset_dcm <= '1';
reset_cnt <= 0;
end if;
end process;
dcm_shft: DCM
generic map
(
CLKIN_PERIOD => 20.0,
-- CLKOUT_PHASE_SHIFT => "FIXED",
CLK_FEEDBACK => "1X",
-- PHASE_SHIFT => -20,
CLKDV_DIVIDE => 2.5,
CLKFX_MULTIPLY => 5,
CLKFX_DIVIDE => 2,
STARTUP_WAIT => true
)
port map
(
CLKIN => clk_in_buf,
CLKFB => sys_clk_buf,
CLK0 => clk_0_pre,
CLK270 => clk_270_pre,
CLKFX => pix_clock_pre,
LOCKED => dcm1_locked,
RST => reset_dcm
);
bufg_pix: BUFG port map (I => pix_clock_pre, O => pix_clock_ii);
bufg_sys: BUFG port map (I => clk_0_pre, O => sys_clk_buf);
bufg_shft: BUFG port map (I => clk_270_pre, O => sys_shifted);
sys_clk_i <= sys_clk_buf;
sys_clock <= sys_clk_buf;
pix_clock <= pix_clock_ii;
pix_clock_i <= pix_clock_ii;
process(sys_clk_i, dcm1_locked)
begin
if rising_edge(sys_clk_i) then
if sysrst_cnt = 63 then
sys_reset_i <= '0';
else
sysrst_cnt <= sysrst_cnt + 1;
end if;
sys_reset_p <= sys_reset_i;
end if;
if dcm1_locked='0' then
sysrst_cnt <= 0;
sys_reset_i <= '1';
sys_reset_p <= '1';
end if;
end process;
process(pix_clock_i, dcm1_locked)
begin
if rising_edge(pix_clock_i) then
if pixdiv = 0 then
pixdiv <= 4;
pix_clock_en <= '1';
else
pixdiv <= pixdiv - 1;
pix_clock_en <= '0';
end if;
if pixrst_cnt = 63 then
pix_reset_i <= '0';
else
pixrst_cnt <= pixrst_cnt + 1;
end if;
pix_reset_p <= pix_reset_i;
end if;
if dcm1_locked='0' then
pixrst_cnt <= 0;
pix_reset_i <= '1';
pix_reset_p <= '1';
end if;
end process;
sys_reset <= sys_reset_p;
pix_reset <= pix_reset_p;
end Gideon;
|
-- Nothing should fail in this entity
entity ENT1 is
generic (
G_GENERIC1 : std_logic_vector(3 downto 0);
G_GENERIC2 : std_logic_vector(0 TO 256)
);
port (
P_PORT1 : std_logic_vector(15 downto 6); -- DOWNTO
P_PORT2 : std_logic_vector(56 TO 132)
);
end entity ENT1;
-- Everything should fail in this entity
entity ENT1 is
generic (
G_GENERIC1 : std_logic_vector(3 downTo 0);
G_GENERIC2 : std_logic_vector(0 TO 256)
);
port (
P_PORT1 : std_logic_vector(15 Downto 6);
P_PORT2 : std_logic_vector(56 TO 132)
);
end entity ENT1;
architecture ARCH of ENT1 is
constant c_const1 : std_logic_vector(3 DOWNTO 0); -- downto
constant c_const2 : std_logic_vector(3 downto 0);
constant c_const3 : std_logic_vector(345 TO 670);
constant c_const4 : std_logic_vector(345 TO 670);
signal w_sig1 : std_logic_vector(50 dOWnto 45);
signal w_sig2 : std_logic_vector(50 downto 45);
signal w_sig3 : std_logic_vector(46 TO 345);
signal w_sig4 : std_logic_vector(46 TO 345);
begin
end architecture ARCH;
|
--
-- Author: Pawel Szostek (pawel.szostek@cern.ch)
-- Date: 27.07.2011
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity dummy is
port (o1: out std_logic_vector(7 downto 0);
o2: out std_logic_vector(7 downto 0);
o3: out std_logic_vector(7 downto 0)
);
end;
architecture behaviour of dummy is
begin
o1 <= (others => '0');
o2 <= (3 => '1', others => '0');
o3 <= (7=>'1', 6|5|4|3|2|1|0 => '0', others => '1'); --tricky
end;
|
--
-- Author: Pawel Szostek (pawel.szostek@cern.ch)
-- Date: 27.07.2011
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity dummy is
port (o1: out std_logic_vector(7 downto 0);
o2: out std_logic_vector(7 downto 0);
o3: out std_logic_vector(7 downto 0)
);
end;
architecture behaviour of dummy is
begin
o1 <= (others => '0');
o2 <= (3 => '1', others => '0');
o3 <= (7=>'1', 6|5|4|3|2|1|0 => '0', others => '1'); --tricky
end;
|
-- Copyright 1986-2015 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2015.1 (win64) Build 1215546 Mon Apr 27 19:22:08 MDT 2015
-- Date : Sun Mar 13 09:23:31 2016
-- Host : DESKTOP-5FTSDRT running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode synth_stub
-- C:/Users/SKL/Desktop/ECE532/project_work/integrated/test/project_2.srcs/sources_1/ip/mult_gen_1/mult_gen_1_stub.vhdl
-- Design : mult_gen_1
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7a100tcsg324-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity mult_gen_1 is
Port (
A : in STD_LOGIC_VECTOR ( 11 downto 0 );
B : in STD_LOGIC_VECTOR ( 13 downto 0 );
P : out STD_LOGIC_VECTOR ( 32 downto 0 )
);
end mult_gen_1;
architecture stub of mult_gen_1 is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "A[11:0],B[13:0],P[32:0]";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "mult_gen_v12_0,Vivado 2015.1";
begin
end;
|
-- Copyright 1986-2015 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2015.1 (win64) Build 1215546 Mon Apr 27 19:22:08 MDT 2015
-- Date : Sun Mar 13 09:23:31 2016
-- Host : DESKTOP-5FTSDRT running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode synth_stub
-- C:/Users/SKL/Desktop/ECE532/project_work/integrated/test/project_2.srcs/sources_1/ip/mult_gen_1/mult_gen_1_stub.vhdl
-- Design : mult_gen_1
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7a100tcsg324-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity mult_gen_1 is
Port (
A : in STD_LOGIC_VECTOR ( 11 downto 0 );
B : in STD_LOGIC_VECTOR ( 13 downto 0 );
P : out STD_LOGIC_VECTOR ( 32 downto 0 )
);
end mult_gen_1;
architecture stub of mult_gen_1 is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "A[11:0],B[13:0],P[32:0]";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "mult_gen_v12_0,Vivado 2015.1";
begin
end;
|
-- NEED RESULT: ARCH00436.Chk_s3: Guarded assignment controlled by implicit guard passed
-- NEED RESULT: ARCH00436.Chk_s2: Guarded assignment controlled by implicit guard passed
-- NEED RESULT: ARCH00436.Chk_s1: Guarded assignment controlled by implicit guard passed
-- NEED RESULT: ARCH00436.Chk_s1: Guarded assignment controlled by implicit guard passed
-- NEED RESULT: ARCH00436.Chk_s2: Guarded assignment controlled by implicit guard passed
-- NEED RESULT: ARCH00436.Chk_s3: Guarded assignment controlled by implicit guard passed
-- NEED RESULT: ARCH00436.Chk_gs3: Guarded assignment controlled by explicit guard passed
-- NEED RESULT: ARCH00436.Chk_gs2: Guarded assignment controlled by explicit guard passed
-- NEED RESULT: ARCH00436.Chk_gs1: Guarded assignment controlled by explicit guard passed
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- ct00436
--
-- AUTHOR:
--
-- G. Tominovich
--
-- TEST OBJECTIVES:
--
-- 9.5 (4)
-- 9.5 (5)
-- 9.5 (9)
--
-- DESIGN UNIT ORDERING:
--
-- E00000(ARCH00436)
-- ENT00436_Test_Bench(ARCH00436_Test_Bench)
--
-- REVISION HISTORY:
--
-- 4-AUG-1987 - initial revision
--
-- NOTES:
--
-- self-checking
--
--
use WORK.STANDARD_TYPES.all ;
architecture ARCH00436 of E00000 is
function rfunc ( to_resolve : boolean_vector ) return boolean ;
subtype rboolean is rfunc boolean ;
signal Control : boolean := false ;
signal s1, s2, s3 : rboolean ;
alias gs1 : rboolean is s1 ;
alias gs2 : rboolean is s2 ;
alias gs3 : rboolean is s3 ;
signal Guard : boolean := false ;
function rfunc ( to_resolve : boolean_vector ) return boolean is
variable result : boolean := false ;
begin
for i in to_resolve'range loop
result := result or to_resolve (i) ;
end loop ;
return result ;
end rfunc ;
begin
B1 :
block ( Control ) -- Implicit Guard Signal
begin
s1 <= guarded transport Not s1 after 5 ns, s1 after 10 ns ;
s2 <= guarded transport False after 5 ns, True after 10 ns when s2 else
True after 5 ns, False after 10 ns ;
with s3 select
s3 <= guarded transport False after 5 ns, True after 10 ns when True,
True after 5 ns, False after 10 ns when others ;
end block B1 ;
Control <= transport True after 10 ns, False after 11 ns ;
Chk_s1 :
process ( s1 )
variable SavTime : Time ;
variable counter : Integer := 0 ;
begin
case counter is
when 0 =>
SavTime := Std.Standard.Now ;
when 1 =>
test_report ( "ARCH00436.Chk_s1" ,
"Guarded assignment controlled by implicit guard" ,
s1 and ((SavTime+15 ns) = Std.Standard.Now) ) ;
when 2 =>
test_report ( "ARCH00436.Chk_s1" ,
"Guarded assignment controlled by implicit guard" ,
(Not s1) and ((SavTime+20 ns) = Std.Standard.Now) ) ;
when 3 =>
test_report ( "ARCH00436.Chk_gs1" ,
"Guarded assignment controlled by explicit guard" ,
gs1 and ((SavTime+105 ns) = Std.Standard.Now) ) ;
when others =>
test_report ( "ARCH00436.Chk_s1" ,
"Guarded assignment controlled by implicit guard" ,
False ) ;
end case ;
counter := counter + 1;
end process Chk_s1 ;
Chk_s2 :
process ( s2 )
variable SavTime : Time ;
variable counter : Integer := 0 ;
begin
case counter is
when 0 =>
SavTime := Std.Standard.Now ;
when 1 =>
test_report ( "ARCH00436.Chk_s2" ,
"Guarded assignment controlled by implicit guard" ,
s2 and ((SavTime+15 ns) = Std.Standard.Now) ) ;
when 2 =>
test_report ( "ARCH00436.Chk_s2" ,
"Guarded assignment controlled by implicit guard" ,
(Not s2) and ((SavTime+20 ns) = Std.Standard.Now) ) ;
when 3 =>
test_report ( "ARCH00436.Chk_gs2" ,
"Guarded assignment controlled by explicit guard" ,
gs2 and ((SavTime+105 ns) = Std.Standard.Now) ) ;
when others =>
test_report ( "ARCH00436.Chk_s2" ,
"Guarded assignment controlled by implicit guard" ,
False ) ;
end case ;
counter := counter + 1;
end process Chk_s2 ;
Chk_s3 :
process ( s3 )
variable SavTime : Time ;
variable counter : Integer := 0 ;
begin
case counter is
when 0 =>
SavTime := Std.Standard.Now ;
when 1 =>
test_report ( "ARCH00436.Chk_s3" ,
"Guarded assignment controlled by implicit guard" ,
s3 and ((SavTime+15 ns) = Std.Standard.Now) ) ;
when 2 =>
test_report ( "ARCH00436.Chk_s3" ,
"Guarded assignment controlled by implicit guard" ,
(Not s3) and ((SavTime+20 ns) = Std.Standard.Now) ) ;
when 3 =>
test_report ( "ARCH00436.Chk_gs3" ,
"Guarded assignment controlled by explicit guard" ,
gs3 and ((SavTime+105 ns) = Std.Standard.Now) ) ;
when others =>
test_report ( "ARCH00436.Chk_s3" ,
"Guarded assignment controlled by implicit guard" ,
False ) ;
end case ;
counter := counter + 1;
end process Chk_s3 ;
-- The following depend on the explicit signal Guard
gs1 <= guarded transport Not gs1 after 5 ns ;
gs2 <= guarded transport False after 5 ns when gs2 else
True after 5 ns ;
with gs3 select
gs3 <= guarded transport False after 5 ns when True,
True after 5 ns when others ;
Guard <= transport True after 100 ns, False after 101 ns ;
end ARCH00436 ;
entity ENT00436_Test_Bench is
end ENT00436_Test_Bench ;
architecture ARCH00436_Test_Bench of ENT00436_Test_Bench is
begin
L1:
block
component UUT
end component ;
for CIS1 : UUT use entity WORK.E00000 ( ARCH00436 ) ;
begin
CIS1 : UUT ;
end block L1 ;
end ARCH00436_Test_Bench ;
|
Subsets and Splits