query
stringlengths
3
298
candidates
listlengths
10
10
signal integrity design and analysis of differential
[ { "abstract": "In this paper, we, for the first time, designed and analyzed differential high speed serial links of the silicon interposer including differential through silicon via (TSV) channels for a high bandwidth memory (HBM) graphic module. The meshed ground plane and various parameters were considered in designing the silicon interposer. In addition, superior designs were proposed to improve signal integrity (SI) for the differential channels in the redistribution layer, TSVs, and the meshed ground. SI of the silicon interposer was successfully analyzed, and the corresponding results were verified based on a full 3 D electromagnetic solver and circuit simulations. A number of RLGC parameters were extracted and calculated, then adopted to verify the simulation results. The simulation results for the differential characteristic impedance and insertion loss were compared with those of the equivalent circuit. A mixed mode conversion matrix was utilized to analyze differential mode transmission. Moreover, a model for differential TSV channels was proposed to precisely analyze the electrical characteristics. The eye diagram simulation was conducted to evaluate SI of the proposed designs in terms of an eye opening voltage and timing jitter. The eye opening voltage of the proposed design was 0.594 V, which is 45.69% of a peak to peak voltage of the assumed peripheral component interconnect (PCI) express 4.0 interfaces. It is expected that the analysis and design methodologies of differential high speed serial links for a silicon interposer could be widely adopted in the semiconductor industry.", "author_names": [ "Kyungjun Cho", "Youngwoo Kim", "Hyunsuk Lee", "Jinwook Song", "Junyong Park", "Seongsoo Lee", "Subin Kim", "Gapyeol Park", "Kyungjune Son", "Joungho Kim" ], "corpus_id": 58670764, "doc_id": "58670764", "n_citations": 6, "n_key_citations": 0, "score": 1, "title": "Signal Integrity Design and Analysis of Differential High Speed Serial Links in Silicon Interposer With Through Silicon Via", "venue": "IEEE Transactions on Components, Packaging and Manufacturing Technology", "year": 2019 }, { "abstract": "Precise regulation of the formation, maintenance, and remodeling of the vasculature is required for normal development, tissue response to injury, and tumor progression. How specific microRNAs intersect with and modulate angiogenic signaling cascades is unknown. Here, we identified microRNAs that were enriched in endothelial cells derived from mouse embryonic stem (ES) cells and in developing mouse embryos. We found that miR 126 regulated the response of endothelial cells to VEGF. Additionally, knockdown of miR 126 in zebrafish resulted in loss of vascular integrity and hemorrhage during embryonic development. miR 126 functioned in part by directly repressing negative regulators of the VEGF pathway, including the Sprouty related protein SPRED1 and phosphoinositol 3 kinase regulatory subunit 2 (PIK3R2/p85 beta) Increased expression of Spred1 or inhibition of VEGF signaling in zebrafish resulted in defects similar to miR 126 knockdown. These findings illustrate that a single miRNA can regulate vascular integrity and angiogenesis, providing a new target for modulating vascular formation and function.", "author_names": [ "Jason E Fish", "Massimo Mattia Santoro", "Sarah U Morton", "Sangho Yu", "Ru-Fang Yeh", "Joshua D Wythe", "Kathryn Nicole Ivey", "Benoit G Bruneau", "Didier Y R Stainier", "Deepak Srivastava" ], "corpus_id": 10661723, "doc_id": "10661723", "n_citations": 1394, "n_key_citations": 93, "score": 0, "title": "miR 126 regulates angiogenic signaling and vascular integrity.", "venue": "Developmental cell", "year": 2008 }, { "abstract": "As data communication speed increases beyond 10 Gbps, designing for optimal signal integrity becomes critical to ensure reliable data. In the high speed board/package design, designers are trying to eliminate or minimize all the impedance mismatches along the high speed signal path. When multilayer board is used to increase the signal density, via structures are unavoidable to connect the signal, ground and power supply traces on different layers. High speed via transitions usually bring impedance discontinuities with them which will cause signal reflections and distortions compromising signal integrity. The deterioration of signal integrity will generate additional jitter and decrease the data eye opening ultimately jeopardizing the reliability of the data. This paper addresses designing for signal integrity at 10 Gbps by comparing the signal integrity of single ended and differential through hole vias for the designer. In each case, the impedance mismatch at the via transition can be minimized by optimizing a few parameters such as pitch size, via diameter, via height, excess via stub, antipad size and ground via locations. The impacts of these parameters are investigated with the help for a full wave electromagnetic simulation and verified by measurements.", "author_names": [ "Zuowei Shen", "Jian Tong" ], "corpus_id": 39193726, "doc_id": "39193726", "n_citations": 8, "n_key_citations": 1, "score": 0, "title": "Signal Integrity Analysis of High Speed Single Ended and Differential Vias", "venue": "2008 10th Electronics Packaging Technology Conference", "year": 2008 }, { "abstract": "In September 1996 Boneh, Demillo, and Lipton from Bellcore announced a new type of cryptanalytic attack which exploits computational errors to find cryptographic keys. Their attack is based on algebraic properties of modular arithmetic, and thus it is applicable only to public key cryptosystems such as RSA, and not to secret key algorithms such as the Data Encryption Standard (DES)", "author_names": [ "Eli Biham", "Adi Shamir" ], "corpus_id": 12376527, "doc_id": "12376527", "n_citations": 1569, "n_key_citations": 133, "score": 0, "title": "Differential Fault Analysis of Secret Key Cryptosystems", "venue": "CRYPTO", "year": 1997 }, { "abstract": "Signal integrity has become the key issue in most high performance digital designs. Now, from the foremost experts in the field, this book leverages theory and techniques from non related fields such as applied physics, communications, and microwave engineering and applies them to the field of high speed digital design. This approach creates an optimal combination of theory and practice that is meaningful to practicing engineers and graduate students alike.", "author_names": [ "Stephen H Hall", "Howard Heck" ], "corpus_id": 56523069, "doc_id": "56523069", "n_citations": 395, "n_key_citations": 52, "score": 0, "title": "Advanced Signal Integrity for High Speed Digital Designs", "venue": "", "year": 2009 }, { "abstract": "From the Publisher: The complete guide to understanding and designing for signal integrity Suitable for even non specialists, Signal Integrity Simplified offers a comprehensive, easy to follow look at how physical interconnects affect electrical performance. World class engineer Eric Bogatin expertly reviews the root causes of the four families of signal integrity problems and offers solutions to design them out early in the design cycle. Coverage includes: An introduction to signal integrity and physical design A fundamental understanding of what bandwidth, inductance, and characteristic impedance really mean Analysis of resistance, capacitance, inductance, and impedance The four important practical tools used to solve signal integrity problems: rules of thumb, analytic approximations, numerical simulation, and measurements The effect of the physical design of interconnects on signal integrity Solutions that do not hide behind mathematical derivations Recommendations for design guidelines to improve signal integrity, and much more Unlike related books that concentrate on theoretical derivation and mathematical rigor, this book emphasizes intuitive understanding, practical tools, and engineering discipline. Specially designed for everyone in the electronics industry, from electrical engineers to product managers, Signal Integrity Simplified will prove itself an invaluable resource for helping you find and fix signal integrity problems before they become problems. ABOUT THE AUTHOR ERIC BOGATIN, Chief Technology Officer at GigaTest Labs, has over 23 years of experience in the microelectronics industry. Before joining GigaTest, he worked with AT&T Bell Labs, Raychem Corporation, Sun Microsystems, and other leading microelectronics manufacturers. He received his B.S. degree in physics at MIT and his M.S. and Ph.D. degrees from the University of Arizona, Tucson, in 1980. Over the years, he has taught over 3,000 engineers and authored over 100 technical articles and books on signal integrity and interconnect design.", "author_names": [ "Eric Bogatin" ], "corpus_id": 108838774, "doc_id": "108838774", "n_citations": 256, "n_key_citations": 15, "score": 0, "title": "Signal Integrity Simplified", "venue": "", "year": 2003 }, { "abstract": "This paper reviews recent progress and future directions of signal integrity design for high speed digital circuits, focusing on four areas: signal propagation on transmission lines, discontinuity modeling and characterization, measurement techniques, and link path design and analysis.", "author_names": [ "Jun Fan", "Xiaoning Ye", "Jingook Kim", "Bruce Archambeault", "Antonio Orlandi" ], "corpus_id": 18145644, "doc_id": "18145644", "n_citations": 177, "n_key_citations": 7, "score": 0, "title": "Signal Integrity Design for High Speed Digital Circuits: Progress and Directions", "venue": "IEEE Transactions on Electromagnetic Compatibility", "year": 2010 }, { "abstract": "This is a textbook on the structural analysis and design of highway pavements. It presents the theory of pavement design and reviews the methods developed by several organizations, such as the American Association of State Highway and Transportation Officials (AASHTO) the Asphalt Institute (AI) and the Portland Cement Association (PCA) It can be used for an undergraduate course by skipping the appendices or as an advanced graduate course by including them. The book is organized in 13 chapters. Chapter 1 introduces the historical development of pavement design, the major road tests, the various design factors, and the differences in design concepts among highway pavements, airport pavements, and railroad trackbeds. Chapter 2 discusses stresses and strains in flexible pavements. Chapter 3 presents the KENLAYER computer program, based on Burmister's layered theory, including theoretical developments, program description, comparison with available solutions, and sensitivity analysis on the effect of various factors on pavement responses. Chapter 4 discusses stresses and deflections in rigid pavements due to curling, loading, and friction, as well as the design of dowels and joints. Influence charts for determining stresses and deflections are also presented. Chapter 5 presents the KENSLABS computer program, based on the finite element method, including theoretical developments, program description, comparison with available solutions, and sensitivity analysis. Chapter 6 discusses the concept of equivalent single wheel and single axle loads and the prediction of traffic. Chapter 7 describes the material characterization for mechanistic empirical methods of pavement design including the determination of resilient modulus, fatigue and permanent deformation properties, and the modulus of subgrade reaction. Chapter 8 outlines the subdrainage design including general principles, drainage materials, and design procedures. Chapter 9 discusses pavement performance including distress, serviceability, skid resistance, nondestructive testing, and the evaluation of pavement performance. Chapter 10 illustrates the reliability concept of pavement design in which the variabilities of traffic, material, and geometric parameters are all taken into consideration. A probabilistic procedure, developed by Rosenblueth, is described and two probabilistic computer programs including VESYS for flexible pavements and PMRPD for rigid pavements are discussed. Chapter 11 outlines an idealistic mechanistic method of flexible pavement design and presents in detail the AI method and the AASHTO method, as well as the design of flexible pavement shoulders. Chapter 12 outlines an idealistic mechanistic method of rigid pavement design and presents in detail the PCA method and the AASHTO method. The design of continuous reinforced concrete pavements and rigid pavement shoulders is also included. Chapter 13 outlines the design of overlay on both flexible and rigid pavements including the AASHTO, AI, and PCA procedures. An Author Index and a Subject Index are provided.", "author_names": [ "Yang H Huang" ], "corpus_id": 107047574, "doc_id": "107047574", "n_citations": 2543, "n_key_citations": 357, "score": 0, "title": "Pavement analysis and design", "venue": "", "year": 1992 }, { "abstract": "The #1 Practical Guide to Signal Integrity DesignNow Updated with Extensive New Coverage!This book brings together up to the minute techniques for finding, fixing, and avoiding signal integrity problems in your design. Drawing on his work teaching more than five thousand engineers, world class signal and power integrity expert Eric Bogatin systematically reviews the root causes of all six families of signal integrity problems and shows how to design them out early in the design cycle. This editions extensive new content includes a brand new chapter on S parameters in signal integrity applications, and another on power integrity and power distribution network designtopics at the forefront of contemporary electronics design.Coverage includesA fully up to date introduction to signal integrity and physical designHow design and technology selection can make or break the performance of the power distribution networkExploration of key concepts, such as plane impedance, spreading inductance, decoupling capacitors, and capacitor loop inductancePractical techniques for analyzing resistance, capacitance, inductance, and impedanceSolving signal integrity problems via rules of thumb, analytic approximation, numerical simulation, and measurementUnderstanding how interconnect physical design impacts signal integrityManaging differential pairs and lossesHarnessing the full power of S parameters in high speed serial link applicationsEnsuring power integrity throughout the entire power distribution pathRealistic design guidelines for improving signal integrity, and much moreUnlike books that concentrate on theoretical derivation and mathematical rigor, this book emphasizes intuitive understanding, practical tools, and engineering discipline. Designed for electronics industry professionals from beginners to experts it will be an invaluable resource for getting signal integrity designs right the first time, every time.", "author_names": [ "Eric Bogatin" ], "corpus_id": 112328597, "doc_id": "112328597", "n_citations": 214, "n_key_citations": 23, "score": 0, "title": "Signal and Power Integrity Simplified", "venue": "", "year": 2009 }, { "abstract": "In modern electronic systems, data rate is keeping increase, and Gbps becomes common, designing for reliable signal integrity becomes more and more important. In the high speed borad/package design, discontinuities are big concerns of signal integrity. A variety of sources lead to discontinuities and every source ought to be carefully treated. The signal via is one source of discontinuity that should not be overlooked. Vias can add jitter and reduce eye openings that can cause data misinterpretation by the receiver. This paper detail the antipad, pad and excess via stub effect on the vias. In each case, the impedance mismatch at the via transition can be minimized by optimizing a few parameters such as antipad radius, pad radius and excess via stub. The impacts of these parameters are investigated with the help for a full wave 3D electromagnetic simulator.", "author_names": [ "Ling Bao Zhao", "Qing Hua Chen" ], "corpus_id": 108819235, "doc_id": "108819235", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Signal Integrity Analysis of High Speed Differential Vias", "venue": "", "year": 2014 } ]
–Einstein condensation of excitons in potential traps
[ { "abstract": "An exciton is an electron hole bound pair in a semiconductor. In the low density limit, it is a composite Bose quasi particle, akin to the hydrogen atom. Just as in dilute atomic gases, reducing the temperature or increasing the exciton density increases the occupation numbers of the low energy states leading to quantum degeneracy and eventually to Bose Einstein condensation (BEC) Because the exciton mass is small even smaller than the free electron mass exciton BEC should occur at temperatures of about 1 K, many orders of magnitude higher than for atoms. However, it is in practice difficult to reach BEC conditions, as the temperature of excitons can considerably exceed that of the semiconductor lattice. The search for exciton BEC has concentrated on long lived excitons: the exciton lifetime against electron hole recombination therefore should exceed the characteristic timescale for the cooling of initially hot photo generated excitons. Until now, all experiments on atom condensation were performed on atomic gases confined in the potential traps. Inspired by these experiments, and using specially designed semiconductor nanostructures, we have collected quasi two dimensional excitons in an in plane potential trap. Our photoluminescence measurements show that the quasi two dimensional excitons indeed condense at the bottom of the traps, giving rise to a statistically degenerate Bose gas.", "author_names": [ "L V Butov", "Chih-wei Lai", "Arkadii L Ivanov", "Arthur C Gossard", "Daniel S Chemla" ], "corpus_id": 4373555, "doc_id": "4373555", "n_citations": 302, "n_key_citations": 2, "score": 1, "title": "Towards Bose Einstein condensation of excitons in potential traps", "venue": "Nature", "year": 2002 }, { "abstract": "An asymmetric multi quantum state magnetic lattice is proposed to host excitons formed in a quantum degenerate gas of ultracold fermionic atoms to simulate Bose Einstein condensation (BEC) of excitons. A Quasi two dimensional degenerate gas of excitons can be collected in the in plane asymmetric magnetic bands created at the surface of the proposed magnetic lattice, where the ultracold fermions simulate separately direct and indirect confined electronhole pairs (spin up fermions spin down fermions) rising to the statistically degenerate Bose gas and eventually through controlled tunnelling to BEC of excitons. The confinement of the coupled magnetic quantum well (CMQWs) system may significantly improve the condition for long lived exciton BEC. The exciton BEC, formed in CMQWs can be regarded as a suitable host for the multi qubits (multipartite) systems to be used in quantum information processors.", "author_names": [ "Ahmed M Abdelrahman", "Mikhail A Vasiliev", "Karmal Alameh", "Peter Hannaford", "Byoung Seung Ham", "Yong-Tak Lee" ], "corpus_id": 118412429, "doc_id": "118412429", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Towards Bose Einstein condensation of excitons in an asymmetric multi quantum state magnetic lattice", "venue": "", "year": 2009 }, { "abstract": "We calculate the spatially resolved optical emission spectrum of a weakly interacting Bose gas of excitons confined in a three dimensional potential trap due to interband transitions involving weak direct and phonon mediated exciton photon interactions. Applying the local density approximation, we show that for a noncondensed system the spatiospectral lineshape of the direct process reflects directly the shape of the potential. The existence of a Bose Einstein condensate changes the spectrum in a characteristic way so that it directly reflects the constant chemical potential of the excitons and the renormalization of the quasiparticle excitation spectrum. Typical examples are given for parameters of the lowest yellow excitons in \\text{Cu}}_{2}\\text{O}", "author_names": [ "Heinrich Stolz", "Dirk Semkat" ], "corpus_id": 119242758, "doc_id": "119242758", "n_citations": 12, "n_key_citations": 0, "score": 0, "title": "Unique signatures for Bose Einstein condensation in the decay luminescence lineshape of weakly interacting excitons in a potential trap", "venue": "", "year": 2010 }, { "abstract": "Coupled states of semiconductor quantum well (QW) excitons and photons in a two dimensional (2D) periodic lattice of microcavities are analyzed theoretically, revealing allowed bands and forbidden gaps in the energy spectrum of exciton polaritons. Photonic crystal exciton polaritons have spatially uniform excitonic constituent set by at QWs, but exhibit periodic Bloch oscillations in the plane of QWs due to their photonic component. The envelope functions of photonic crystal exciton polaritons can be tailored via efiective potential of a photonic crystal heterostructure, by using quasi periodic lattices of microcavities. Conflned envelope function states of lower and upper polaritons and the Bose Einstein condensation of lower polaritons are analyzed here in a photonic crystal heterostructure trap with harmonic oscillator potential. This concept is numerically illustrated on example of CdTe/CdMgTe microcavities.", "author_names": [ "Dmitri L Boiko" ], "corpus_id": 17882589, "doc_id": "17882589", "n_citations": 5, "n_key_citations": 0, "score": 0, "title": "Towards R space Bose Einstein Condensation of Photonic Crystal Exciton Polaritons", "venue": "", "year": 2008 }, { "abstract": "Exciton polaritons, with extremely low effective mass 1 are regarded as promising candidates to realize Bose Einstein condensation in lattices for quantum simulations 2 towards room temperature operations 3 8 Along with the condensation, an efficient exciton polariton quantum simulator 9 would require a strong lattice with robust polariton trapping as well as strong intersite coupling to allow coherent quantum motion of polaritons within the lattice. A strong lattice can be characterized with a larger forbidden bandgap opening and a larger lattice bandwidth compared with the linewidth. However, exciton polaritons in such strong lattices have only been shown to condense at cryogenic temperatures 3 8 Here, we report the observation of non equilibrium exciton polariton condensation in a one dimensional strong lead halide perovskite lattice at room temperature. Modulated by deep periodic potentials, the strong lead halide perovskite lattice exhibits a large forbidden bandgap opening up to 13.3 meV and a lattice band up to 8.5 meV wide, which are at least 10 times larger than previous systems. Above a critical density, we observe polariton condensation into p y orbital states with long range spatial coherence at room temperature. Our result opens the route to the implementation of polariton condensates in quantum simulators at room temperature. Non equilibrium Bose Einstein condensation of exciton polaritons in chains of lead halide perovskite pillars can occur at room temperature. These condensates have long spatial coherence.", "author_names": [ "Rui Su", "Sanjib Ghosh", "Jian Wang", "Songting Liu", "Carole Diederichs", "Timothy C H Liew", "Qihua Xiong" ], "corpus_id": 195699880, "doc_id": "195699880", "n_citations": 61, "n_key_citations": 1, "score": 0, "title": "Observation of exciton polariton condensation in a perovskite lattice at room temperature", "venue": "", "year": 2019 }, { "abstract": "Spatially indirect excitons, being composite bosons, are attractive candidates to explore correlated many body systems. They possess an inherent large electric dipole, a four fold spin manifold, and can be studied via the emitted photoluminescence after electrons and holes have recombined. Due to their extremely low mass compared to atoms, a sufficiently dense gas of indirect excitons is expected to form a Bose Einstein condensate below a critical temperature of a few Kelvins. Recent theoretical results show that this condensation must take place in optically dark states of the spin manifold. However, under a density increase the condensate is expected to coherently couple to a small population of bright excitons. It is then possible to study the condensate through its weak photoluminescence. In this thesis we report on experiments with a cold gas of indirect excitons in coupled quantum wells embedded in a field effect device. Indirect excitons are photo generated through pulsed laser excitation, in a fashion that minimizes photo induced perturbations in the field effect device. Confinement is provided by exploiting the high field seeking dipolar nature of spatially indirect excitons through independently biased surface gate electrodes. Time and spectrally resolved analysis of the photoluminescence allow us to extract the decay time of the bright exciton population while at the same time monitoring the decay of the overall exciton population through the energy of the photoluminescence. Maintaining a fixed density while varying the bath temperature, we are able to observe a depletion of the bright state population, by even three fold when the bath temperature is lowered from 3.5 K to 0.33 K. This stands in stark contrast to the expected classical behavior of a cold gas of excitons obeying Maxwell Boltzmann statistics. The experimental results are confirmed by a phenomenological model which shows that Bose stimulation from bright to dark excitons is compatible with the observed anomalous darkening. Lowering the exciton gas temperature should reinforce these signatures. However, in GaAs exciton phonon interaction is the main mechanism to cooling an exciton gas to quantum degeneracy. The efficiency of this process is strongly reduced for temperatures below 330 mK. We have thus developed a technique to control the exciton confinement in situ, on a time scale of nanoseconds by pulsing the gate electrode. Our approach relies on a complete characterization of the transfer function linking the response of the indirect excitons to a voltage pulse, i.e. the strength of the confining potential. Our method shows no increase of the bath temperature thus paves the way towards exploration of evaporative cooling methods for a gas of cold indirect excitons.", "author_names": [ "Mussie Beian" ], "corpus_id": 102789087, "doc_id": "102789087", "n_citations": 2, "n_key_citations": 1, "score": 0, "title": "Spectral evidence for a condensate of dark excitons in a trap", "venue": "", "year": 2016 }, { "abstract": "Mixed light matter nature of exciton polaritons brings the rich physics of correlated systems, e.g. Bose Einstein like condensation, to an all semiconductor platform with potential applications for generation of correlated and entangled photons. One strategy towards this goal is to engineer the polariton density of states by spatial confinement. In this way, one can create a discrete spectrum of polariton energies to control the scattering pathways in an optical parametric oscillator (OPO) configuration. We fabricated 3D traps with quasi equidistant energy levels and positioned them at the anti nodes of a standing surface acoustic wave (SAW) The SAW modulates the energies of the trap as a whole up to one meV and allows to realize perfect energy and momentum matching. When we resonantly excited polaritons in the confined middle level of the trap with a CW laser under an applied SAW, we observed two emission peaks on both energy sides of the pump, spaced equidistantly with respect to the excitation energy a typical OPO signature. The observation of an OPO for the confined polaritons is an important step towards novel sources of entangled photons. Another significant aspect of this work is the dynamic control of the generation of correlated photons by the SAW amplitude, frequency and phase.", "author_names": [ "Alexander S Kuznetsov", "Klaus Biermann", "Paulo Ventura Santos" ], "corpus_id": 201811769, "doc_id": "201811769", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Parametric photons from confined polariton condensates driven by acoustic fields", "venue": "2019 Compound Semiconductor Week (CSW)", "year": 2019 }, { "abstract": "We theoretically investigate coupling interaction between molecule excitons and whispering gallery modes (WGMs) that approaches the limit of single exciton strong coupling in hyperbolic metamaterial (HMM) cavity, composed of a dielectric core wrapped by several stacks of alternative layers of metal and dielectric. We demonstrate that associated with the excitation of the whispering gallery mode, the electric fields with resonance wavelengths that are much larger than the cavity size can be highly localized within a dielectric layer, leading to extremely small mode volumes. By using temporal coupled mode theory to model the interaction in the proposed WGMs exciton system, we are able to demonstrate that the coupling between molecular excitons and hyperbolic cavity WGMs can reach the strong coupling regime. Furthermore, we also prove that changing both the thickness of inner core and outermost layer can lead to invariant resonance frequency while the threshold number of coupled excitons to fulfill the criteria for strong coupling remains 4 in various sets of tunable HMM cavities. References and links 1. R. Chikkaraddy, B. de Nijs, F. Benz, S. J. Barrow, O. A. Scherman, E. Rosta, A. Demetriadou, P. Fox, O. Hess, and J. J. Baumberg, \"Single molecule strong coupling at room temperature in plasmonic nanocavities,\" Nature 535, 127 130 (2016) 2. D. M. Coles, Y. Yang, Y. Wang, R. T. Grant, R. A. Taylor, S. K. Saikin, A. Aspuru Guzik, D. G. Lidzey, J. K. Tang, and J. M. Smith, \"Strong coupling between chlorosomes of photosynthetic bacteria and a confined optical cavity mode,\" Nat Commun 5, 5561 (2014) 3. J. D. Plumhof, T. Stoferle, L. Mai, U. Scherf, and R. F. Mahrt, \"Room temperature Bose Einstein condensation of cavity exciton polaritons in a polymer,\" Nat Mater 13, 247 252 (2014) 4. D. Yelin, D. Oron, S. Thiberge, E. Moses, and Y. Silberberg, \"Multiphoton plasmon resonance microscopy,\" Opt. Express 11(12) 1385 1391 (2003) 5. J. Z. Bernad, and G. Alber, \"Photon assisted entanglement creation by minimum error generalized quantum measurements in the strong coupling regime,\" Physical Review A 87, 012311 (2013) 6. C. Coulson, G. Christmann, P. Cristofolini, C. Grossmann, J. J. Baumberg, S. I. Tsintzos, G. Konstantinidis, Z. Hatzopoulos, and P. G. Savvidis, \"Electrically controlled strong coupling and polariton bistability in double quantum wells,\" Physical Review B 87, 045311 (2013) 7. R. Konoike, H. Nakagawa, M. Nakadai, T. Asano, Y. Tanaka, and S. Noda, \"On demand transfer of trapped photons on a chip,\" Science Advances 2 (2016) 8. Y. Sato, Y. Tanaka, J. Upham, Y. Takahashi, T. Asano, and S. Noda, \"Strong coupling between distant photonic nanocavities and its dynamic control,\" Nature Photonics 6, 56 61 (2011) 9. F. Neubrech, A. Pucci, T. W. Cornelius, S. Karim, A. Garcia Etxarri, and J. Aizpurua, \"Resonant plasmonic and vibrational coupling in a tailored nanoantenna for infrared detection,\" Phys Rev Lett 101, 157403 (2008) 10. L. V. Brown, K. Zhao, N. King, H. Sobhani, P. Nordlander, and N. J. Halas, \"Surface enhanced infrared absorption using individual cross antennas tailored to chemical moieties,\" J Am Chem Soc 135, 3688 3695 (2013) 11. D. Nau, A. Seidel, R. B. Orzekowsky, S. H. Lee, S. Deb, and H. Giessen, \"Hydrogen sensor based on metallic photonic crystal slabs,\" Opt. Lett. 35, 3150 3152 (2010) 12. V. E. Ferry, L. A. Sweatlock, D. Pacifici, and H. A. Atwater, \"Plasmonic nanostructure design for efficient light coupling into solar cells,\" Nano Letters 8, 4391 (2008) 13. A. E. Schlather, N. Large, A. S. Urban, P. Nordlander, and N. J. Halas, \"Near field mediated plexcitonic coupling and giant Rabi splitting in individual metallic dimers,\" Nano Lett 13, 3281 3286 (2013) 14. W. Wu, M. Wan, P. Gu, Z. Chen, and Z. Wang, \"Strong coupling between few molecular excitons and Fanolike cavity plasmon in two layered dielectric metal core shell resonators,\" Opt Express 25, 1495 1504 (2017) 15. P. Torma, and W. L. Barnes, \"Strong coupling between surface plasmon polaritons and emitters: a review,\" Rep Prog Phys 78, 013901 (2015) 16. G. Khitrova, H. M. Gibbs, M. Kira, S. W. Koch, and A. Scherer, \"Vacuum Rabi splitting in semiconductors,\" Nat Phys 2, 81 90 (2006) 17. K. J. Vahala, \"Optical microcavities,\" Nature 424, 839 846 (2003) 18. S. Anand, M. Eryurek, Y. Karadag, A. Erten, A. Serpenguzel, A. Jonas, and A. Kiraz, \"Observation of whispering gallery modes in elastic light scattering from microdroplets optically trapped in a microfluidic channel,\" J. Opt. Soc. Am. B 33, 1349 1354 (2016) 19. B. Gayral, J. M. Gerard, A. Lemaitre, C. Dupuis, L. Manin, and J. L. Pelouard, \"High Q wet etched gaas microdisks containing inas quantum boxes,\" Appl. Phys. Lett. 75(13) 1908 (1999) 20. E. Peter, P. Senellart, D. Martrou, A. Lemaitre, J. Hours, J. M. Gerard, and J. Bloch, \"Exciton Photon StrongCoupling Regime for a Single Quantum Dot Embedded in a Microcavity,\" Physical Review Letters 95, 067401 (2005) 21. D. Englund, A. Majumdar, A. Faraon, M. Toishi, N. Stoltz, P. Petroff, and J. Vuckovic, \"Resonant excitation of a quantum dot strongly coupled to a photonic crystal nanocavity,\" Phys Rev Lett 104, 073904 (2010) 22. P. Androvitsaneas, A. B. Young, C. Schneider, S. Maier, M. Kamp, S. Hofling, S. Knauer, E. Harbord, C. Y. Hu, J. G. Rarity, and R. Oulton, \"Charged quantum dot micropillar system for deterministic light matter interactions,\" Physical Review B 93, 241409 (2016) 23. Y. Yang, O. D. Miller, T. Christensen, J. D. Joannopoulos, and M. Soljacic, \"Low Loss Plasmonic Dielectric Nanoresonators,\" Nano Letters 17, 3238 3245 (2017) 24. X. Chen, Y. H. Chen, J. Qin, D. Zhao, B. Ding, R. J. Blaikie, and M. Qiu, \"Mode Modification of Plasmonic Gap Resonances Induced by Strong Coupling with Molecular Excitons,\" Nano Letters 17, 3246 3251 (2017) 25. P. Oskar, S. Kartik, D. O. B. John, S. Axel, and P. D. Dapkus, \"Tailoring of the resonant mode properties of optical nanocavities in two dimensional photonic crystal slab waveguides,\" Journal of Optics A: Pure and Applied Optics 3, S161 (2001) 26. J. S. Foresi, P. R. Villeneuve, J. Ferrera, E. R. Thoen, G. Steinmeyer, S. Fan, J. D. Joannopoulos, L. C. Kimerling, H. I. Smith, and E. P. Ippen, \"Photonic bandgap microcavities in optical waveguides,\" Nature 390, 143 145 (1997) 27. R. Coccioli, M. Boroditsky, K. W. Kim, Y. Rahmat Samii, and E. Yablonovitch, \"Smallest possible electromagnetic mode volume in a dielectric cavity,\" IEE Proceedings Optoelectronics 145, 391 397 (1998) 28. A. Faraon, P. E. Barclay, C. Santori, K. M. C. Fu, and R. G. Beausoleil, \"Resonant enhancement of the zerophonon emission from a colour centre in a diamond cavity,\" Nat Photon 5, 301 305 (2011) 29. J. P. Reithmaier, G. Sek, A. Loffler, C. Hofmann, S. Kuhn, S. Reitzenstein, L. V. Keldysh, V. D. Kulakovskii, T. L. Reinecke, and A. Forchel, \"Strong coupling in a single quantum dot semiconductor microcavity system,\" Nature 432, 197 200 (2004) 30. D. Wang, H. Kelkar, D. Martin Cano, T. Utikal, S. Gotzinger, and V. Sandoghdar, \"Coherent Coupling of a Single Molecule to a Scanning Fabry Perot Microcavity,\" Physical Review X 7, 021014 (2017) 31. P. B. Johnson, and R. W. Christy, \"Optical Constants of the Noble Metals,\" Physical Review B 6, 4370 4379 (1972) 32. P. Gu, M. Wan, Q. Shen, X. He, Z. Chen, P. Zhan, and Z. Wang, \"Experimental observation of sharp cavity plasmon resonances in dielectric metal core shell resonators,\" Applied Physics Letters 107, 141908 (2015) 33. C. F. Bohren, and D. R. Huffman, \"Absorption and Scattering of Light by Small Particles,\" Journal of Colloid Interface Science 98, 290 291 (1998) 34. C. Wu, A. Salandrino, X. Ni, and X. Zhang, \"Electrodynamical Light Trapping Using Whispering Gallery Resonances in Hyperbolic Cavities,\" Physical Review X 4 (2014) 35. S. T. Smiley, M. Reers, C. Mottolahartshorn, M. Lin, A. Chen, T. W. Smith, G. D. Steele, and L. B. Chen, \"Intracellular heterogeneity in mitochondrial membrane potentials revealed by a J aggregate forming lipophilic cation JC 1,\" Proceedings of the National Academy of Sciences of the United States of America 88, 3671 (1991) 36. E. T. Jaynes, and F. W. Cummings, \"Comparison of quantum and semiclassical radiation theories with application to the beam maser,\" Proceedings of the IEEE 51, 89 109 (2005) 37. S. Fan, W. Suh, and J. D. Joannopoulos, \"Temporal coupled mode theory for the Fano resonance in optical resonators,\" J. Opt. Soc. Am. A 20, 569 572 (2003) 38. F. Vaianella, and B. Maes, \"Fano resonance engineering in slanted cavities with hyperbolic metamaterials,\" Physical Review B 94, 125442 (2016)", "author_names": [ "" ], "corpus_id": 196698389, "doc_id": "196698389", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Tunable hyperbolic metamaterial cavity towards few exciton strong coupling", "venue": "", "year": 2017 }, { "abstract": "Polaritons are half matter half light quasiparticles, arising, in a two dimensional semiconductor microcavity, from the strong coupling between an exciton (an elementary electronic excitation of a crystal) and a photon. This thesis presents the fabrication of polariton confining structures, their characterization and the study of the linear and non linear optical properties of the confined polaritons. Thanks to their bosonic character, to their extremely light effective mass and to the peculiar shape of their dispersion curve, polaritons were proven to accumulate in their ground state to form a Bose Einstein condensate in a CdTe based sample, at a high temperature of the order of 20 Kelvin. No such effect was observed in GaAs materials, who offer a less disordered environment, where we developed a method to fabricate traps of any shape and size. The latter should facilitate the condensation of polaritons by lowering the density thresholds, and allow us to manipulate the condensate. Thanks to the strong coupling regime, it is possible to confine polaritons either through their photon or through their exciton part. We thus fabricated two dimensional microcavities with local thickness variations, confining the cavity photon along its two free dimensions. We were able to perform this through high quality molecular beam epitaxy (MBE) growth, accompanied by a controlled processing of the sample. We measured the anticrossing behaviors characteristic of the strong coupling regime in zero and two dimensions. As the confining structures have sizes of the order of the micron, we could image the confined polaritons' wave functions in the real and reciprocal (momentum) spaces, and tried to understand how the transition between confined (0D) and extended (2D) polariton modes occurs. We also gave first evidences of the interaction between the two and zero dimensional structures, and of the polariton trapping from one to the other. We then studied the nonlinear optical properties of this new object, performing two different kinds of experiments: a study of the response of the system to a non resonant excitation, in order to probe the formation of a condensed phase. Collective electronic excitations were created, at energies far higher than the modes which are of interest for us. We observed the effect of high densities in the system and evidenced Coulomb interaction. We then observed the cross over from strong to weak coupling regime, and the onset of lasing in the weakly coupled system. a study of the response of the system to a resonant excitation in order to probe parametric effects between the discrete states. In this configuration a number of polaritons are intentionally created in a given state. We observed various nonlinear behaviors as a function of the created population, which may be interpreted as effects of Coulomb interaction, or indications of bistable behaviors in the system. We were nevertheless not able to discriminate. We give some potential applications in the field of single or correlated photon emission. Although industrial applications may not be in the short term agenda, it should be possible to take advantages of this original type of structures for research and development applications. We finally give some experimental perspectives, which may help deepen the observations shown and the interpretations proposed here, and should allow to work towards the fabrication of new samples, where BEC of polaritons is observed and controlled, as well as parametric oscillations between various confined states.", "author_names": [ "O El Daif" ], "corpus_id": 122944890, "doc_id": "122944890", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "0D microcavity polaritons", "venue": "", "year": 2007 }, { "abstract": "This dissertation consists of a theoretical investigation into the transport and coherence properties of indirect excitons in coupled quantum wells (QWs) at helium temperatures. The motion of excitons along the quantum well plane is described through a quantum diffusion equation and the possibility of excitonic cloud formation is studied both due to the natural potential fluctuations and externally applied confining potentials. The photoluminescence (PL) of decaying excitons is used as a probe for their properties such as concentration, effective temperature and optical lifetime. The exciton thermalisation from an initial high energy to the lattice temperature is achieved within their lifetime due to a very effective coupling between the exciton states and a continuum of phonon states, a direct consequence of the relaxation of momentum conservation along the growth direction of a QW. Moreover, the natural spatial separation between electrons and holes prevents their recombination, resulting in long lifetimes. The dynamics of the system of excitons in optically induced traps is also studied and the numerical solution of the quantum diffusion equation provides an insight into the extremely fast loading times of the trap with a highly degenerate exciton gas. The hierarchy of timescales in such a trap allows for the creation of a cold and dense gas confined within the trap, opening a new route towards the long sought Bose Einstein Condensation (BEC) in solid state. Finally the issue of exciton spatial coherence is studied and an analytic expression for the coherence function, i.e. the measure of the coherence in a system, is derived. A direct comparison with large coherence lengths recently observed in systems of quantum well excitons and microcavity polaritons is attempted and interesting conclusions are drawn regarding the build up of spontaneous coherence in these systems.", "author_names": [ "Leonidas Mouchliadis" ], "corpus_id": 119906547, "doc_id": "119906547", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Transport and coherence properties of indirect excitions in coupled quantum wells", "venue": "", "year": 2008 } ]
Wide-Bandgap Vertical Power FinFETs
[ { "abstract": "FinFET is the backbone device technology for CMOS electronics at deeply scaled technology nodes per Moore's law. Recently, the FinFET concept has been leveraged to develop a new generation of vertical power transistors based on wide bandgap (WBG) and ultrawide bandgap (UWBG) semiconductors for kilovolts and high power applications. The sidewall gate stack in a vertical power FinFET can rely on either a metal oxide semiconductor (MOS) structure or a p n junction, rendering a Fin MOSFET or a fin based junction field effect transistor (Fin JFET) respectively. Although the device technologies are still at the early stage of development, 1.2 kV class WBG gallium nitride (GaN) power Fin MOSFETs have demonstrated one of the highest static and switching performances in all similarly rated power transistors; UWBG gallium oxide power Fin MOSFETs have shown high performance up to a breakdown voltage over 2.6 kV. Early UWBG diamond lateral power Fin MOSFETs have also been demonstrated. Meanwhile, GaN power Fin JFETs are currently under active development. This article provides a comprehensive tutorial and review of the background and recent advances in WBG and UWBG vertical power FinFETs. It covers fundamental device physics, device and process development, as well as the static and switching performance of various power Fin MOSFETs and Fin JFETs. This article is concluded by identifying the current challenges and exciting research opportunities in this very dynamic research field.", "author_names": [ "Yuhao Zhang", "Tomas Palacios" ], "corpus_id": 221915167, "doc_id": "221915167", "n_citations": 17, "n_key_citations": 1, "score": 1, "title": "(Ultra)Wide Bandgap Vertical Power FinFETs", "venue": "IEEE Transactions on Electron Devices", "year": 2020 }, { "abstract": "This work evaluates the switching performance of 1.2 kV vertical GaN power FinFETs using the mixed mode device circuit TCAD simulation. The device simulation is calibrated with the I V and C V characteristics of experimental devices. A double pulse circuit is implemented in the mixed mode simulation and subsequently used to evaluate the device turn on/turn off times and switching losses under hard switching. To improve the switching performance of vertical power FinFETs, a novel inter fin design is proposed based on the split gate geometry. This structure removes the gate metal in the inter fin region and exposes the drift layer to the source metal. During the device turn (on)off transients, the drift layer underneath the inter fin gap region is (dis)charged by the drain to source current instead of gate current, leading to shorter switching times and lower switching losses. By utilizing this split gate structure, over 47% superior switching figure of merit (FOM) and over 30% lower switching losses have been demonstrated in 1.2 kV vertical GaN power FinFETs. The 1.2 kV, 80 mO split gate vertical GaN power FinFETs show over 30% superior switching FOM and over 50% smaller switching losses when compared to commercial 1.2 kV SiC MOSFETs under similar switching conditions. These results provide important guidelines for the design of vertical GaN power FinFETs and show their great potentials for high frequency medium voltage power applications.", "author_names": [ "Hengyu Wang", "Ming Xiao", "Kuang Sheng", "Tomas Palacios", "Yuhao Zhang" ], "corpus_id": 211227402, "doc_id": "211227402", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "Switching Performance Evaluation of 1200 V Vertical GaN Power FinFETs", "venue": "2019 IEEE 7th Workshop on Wide Bandgap Power Devices and Applications (WiPDA)", "year": 2019 }, { "abstract": "We review recent development of In(Ga)As channel MOSFETs. Planar UTB devices with raised regrown source and drain scale readily to sub 20nmgate lengths. Low effective mass InAs and InGaAs channels, combined with thin gate dielectrics, provide high transconductance, but off state leakage can be high due to band band and source drain tunneling currents. Leakage is reduced through thin 2.5 3nmchannels, and through InGaAs or wide bandgap InP vertical field spacers in the regrown S/D. Growing the FET channel by atomic layer epitaxy on the sidewall of a sacrificial ridged template will enable InGaAs/InAs finFETs of few nm channel thicknesses. Overview InAs and InGaAs have been recently and extensively studied for potential application in VLSI [1] [9] Interest is motivated by the high mobilities and high carrier injection velocities associated with these materials, parameters providing high transconductance and consequently the potential for high on currents at low power supply voltages. Yet, impact ionization, band band tunneling, and source/drain (S/D) tunneling leakage currents can be high because of low bandgaps and low electron effective mass; until recently [10] Ion (at specified low Ioff and VDD) had not approached or surpassed Si. If III V MOSFETs are to supplant silicon MOSFETs in VLSI, their leakage currents will have to be greatly reduced, to levels varying from 100nA/m for high performance (HP) logic to 30pA/m for low power (LP) logic. Such low leakage currents must be obtained at device dimensions consistent with the next few scaling generations of VLSI; implying gate lengths approach 7 15nm and source/drain contact pitches approaching 20 40nm. Given such tight dimension, reduction of leakage through use of large lateral gate drain spacers has little relevance to VLSI. Here we describe device designs for low leakage III V MOSFETs. In addition to benefiting FET electrostatics, extreme thinning the epitaxial InAs or InGaAs channel to 2 3nm increases the channel quantized bandgap, thereby also decreasing off state leakage arising from BTBT. Inserting undoped vertical spacers within the raised regrowth source/drain (S/D) reduces the otherwise extreme electric field in the gate drain region, reducing band to band tunneling (BTBT) leakage currents. InP, with its wider bandgap, is less prone to BTBT, but, with its higher electron effective mass, its extensive use within the FET channel will decrease the transconductance and on current; by judicious insertion of InP only in the regions of highest field concentration, leakage can be greatly reduced while minimizing the sacrifice in on current. III V MOSFET scaling limitations extend beyond leakage currents. Because of the low effective mass, collapse of mobility from surface roughness scattering in nm thick channels is a more serious challenge with In(Ga)As than with Si. FinFETs, necessary for scaling to very small Lg, demand very thin bodies of precisely controlled thickness, yet III V materials are prone to extreme damage when dry etched. By forming the III V fin by atomic layer epitaxial growth on the sidewall of a sacrificial ridged growth template, high damage dry etch processes are avoided, and fin thicknesses can be controlled by the number of ALE growth cycles. Results Figure 1 shows a schematic cross section of a recent device, and Figure 2 a TEM cross section of the channel. The FET has a 2.5 2.7nm thick InAs channel, a 1.0nm Al2O3/2.5nm ZrO2 gate dielectric [11] and 12nm undoped InGaAs vertical spacers in the raised regrown S/D. Transconductance (Figure 3) is high because of low InAs effective mass and thin channel and dielectric; off state leakage is moderately low (Figure 4) because of the vertical spacers and the strong quantization in the thin channel. Long channel FETs show 61 mV/dec. subthreshold swing S.S.(Figure 6) on current at 500mV VDD and 100nA/m Ioff (Figure 7) is 0.5mA/m, comparable to, or surpassing, leading [12] [13] Si finand nanowire FETs. Because of residual BTBT at negative Vgs, off current (Figure 4) in the FETs of Figure 1 meets the HP but not GP/LP/UPL ITRS requirements. Inserting (Figure 8) [14] raised plus recessed InP S/D spacers reduces off current (Figure 9) more than 10:1 at a given (Vgs Vth) our current efforts seek to minimize the sacrifice in on current through use of InP only in the regions of greatest electric field. Figure 10 illustrates finFET fabrication by atomic layer epitaxy [15] while Figure 11 is a TEM cross section of a 180nm height, 8nm thick InGaAs fin formed by this process. References [1] J. Lin et al. IEDM, p. 421 (2013) [2] T. W. Kim et al. IEDM, p.425 (2013) [3] S. W. Chang et al. IEDM, p.417 (2013) [4] S. Kim et al. IEDM, p.429 (2013) [5] S. Lee et al. APL, vol.103, p.233503 (2013) [6] D. H. Kim et al. IEDM, p.761 (2012) [7] J. J. Gu et al. IEDM, p.633 (2012) [8] M. Radosavljevic et al.,IEDM, p.319 (2009) [9] S. Lee et al. EDL, June 2014 [10] S. Lee et al. VLSI Symposium, June 2014. [11] V. Chobpattana et al. Appl. Phys. Lett. 104, 182912 (2014) [12] C. H. Jan et al. IEDM, p.44 (2012) [13] S. Bangsaruntip et al. IEDM, p.526 (2013) [14] C. Y. Huang et al. IEEE Lester Eastman Conference (2014) [15] D. Cohen Elias et al. IEEE DRC, June 2013. Extended Abstracts of the 2014 International Conference on Solid State Devices and Materials, Tsukuba, 2014, 658 E 8 1 (Invited) pp658 659", "author_names": [ "Mark J W Rodwell", "Seokbeom Lee", "Cheng-Ying Huang", "Doron Cohen Elias", "Varistha Chobpattana", "B J Thibeault", "William J Mitchell", "Susanne Stemmer", "Arthur C Gossard" ], "corpus_id": 131763246, "doc_id": "131763246", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "High Performance III V MOS Technologies", "venue": "", "year": 2014 }, { "abstract": "", "author_names": [ "Yinlei Zhang", "James S Speck" ], "corpus_id": 224908419, "doc_id": "224908419", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Importance of shallow hydrogenic dopants and material purity of ultra wide bandgap semiconductors for vertical power electron devices", "venue": "", "year": 2020 }, { "abstract": "GaN vertical power FinFETs are promising high voltage switches for the next generation of high frequency power electronics applications. Thanks to a vertical fin channel, the device offers excellent electrostatic and threshold voltage control, eliminating the need for epitaxial regrowth 1 or p type doping 2 unlike other vertical GaN power transistors. Vertical GaN FinFETs with 1200 V breakdown voltage (BV) 5 A current rating and excellent switching figure of merit have been demonstrated recently on free standing GaN substrates 3 Despite this promising performance, the commercialization of these devices has been limited by the high cost $50 $100/cm 2 and small diameter 2 inch) of free standing GaN substrates. The use of GaN on Si wafers could reduce the substrate cost by x1000, however the growth of the thick ~10 mm or thicker) drift layers required for kV class applications is extremely challenging on Si. Alternatively, GaN grown on engineered substrates (QST (r) with a matched thermal expansion coefficient could enable low cost vertical GaN FinFETs with thick >10 mm) drift layers and large wafer diameters (8 12 inch) In this work, we demonstrate GaN power FinFETs on engineered substrates for the first time.", "author_names": [ "Ahmad Zubair", "Josh Perozek", "John Niroula", "Ozgur Aktas", "Vladimir Odnoblyudov", "Tomas Palacios" ], "corpus_id": 220465695, "doc_id": "220465695", "n_citations": 6, "n_key_citations": 0, "score": 0, "title": "First Demonstration of GaN Vertical Power FinFETs on Engineered Substrate", "venue": "2020 Device Research Conference (DRC)", "year": 2020 }, { "abstract": "This letter presents the first experimental study on capacitances, charges, and power switching figure of merits (FOM) for a large area vertical GaN power transistor. A 1.2 kV, 5 A GaN vertical power FinFET was demonstrated in a chip area of 0.45 mm2, with a specific on resistance of 2.1 \\text{m}\\Omega \\cdot \\textsf {cm}\\textsf {2} and a threshold voltage of 1.3 V. Device junction capacitances were characterized and their main components were identified. This was used to calculate the switching charges and practical switching frequencies. Device FOMs were then derived that take into account the trade offs between the conduction and switching power losses. Our GaN vertical FinFETs exhibit high frequency ~MHz) switching capabilities and superior switching FOMs when compared with commercial 0.9 1.2 kV Si and SiC power transistors. This letter shows the great potential of GaN vertical FinFETs for next generation medium voltage power electronics.", "author_names": [ "Yuhao Zhang", "Min-Chul Sun", "Josh Perozek", "Zhihong Liu", "Ahmad Zubair", "Daniel Piedra", "Nadim Chowdhury", "Xiang Gao", "Kenneth L Shepard", "Tomas Palacios" ], "corpus_id": 58012466, "doc_id": "58012466", "n_citations": 36, "n_key_citations": 6, "score": 0, "title": "Large Area 1.2 kV GaN Vertical Power FinFETs With a Record Switching Figure of Merit", "venue": "IEEE Electron Device Letters", "year": 2019 }, { "abstract": "This work studies the leakage and breakdown mechanisms of 1.2 kV GaN vertical power FinFETs with edge termination. Two competing leakage and breakdown mechanisms have been identified. The first mechanism is dominated by the electric field, with the leakage current dominated by the electric field in the drift region and destructive breakdown voltage by the peak electric field at the edge termination. The second leakage and breakdown mechanism is controlled by an energy (or potential) barrier in the fin channel. This energy barrier suffers from the drain induced barrier lowering (DIBL) effect and is highly dependent on gate/drain biases, fin geometries, and GaN/oxide interface charges. The electrons injected into the drift region due to the DIBL effect further lead to trap assisted space charge limited conduction, which results in a nondestructive early breakdown. The barrier height in the fin channel determines which mechanism is dominant; the same device could show either destructive or nondestructive breakdown at different gate biases. To enable the normally off power switching, it is important to suppress the leakage from the second mechanism and maintain a sufficiently high energy barrier in the fin channel up to high drain voltages. Finally, the key device parameters determining the energy barrier in the fin channel have been identified. The findings in this work provide critical device understanding and design guidelines for GaN vertical power FinFETs and other \"junctionless\" vertical high voltage power transistors.This work studies the leakage and breakdown mechanisms of 1.2 kV GaN vertical power FinFETs with edge termination. Two competing leakage and breakdown mechanisms have been identified. The first mechanism is dominated by the electric field, with the leakage current dominated by the electric field in the drift region and destructive breakdown voltage by the peak electric field at the edge termination. The second leakage and breakdown mechanism is controlled by an energy (or potential) barrier in the fin channel. This energy barrier suffers from the drain induced barrier lowering (DIBL) effect and is highly dependent on gate/drain biases, fin geometries, and GaN/oxide interface charges. The electrons injected into the drift region due to the DIBL effect further lead to trap assisted space charge limited conduction, which results in a nondestructive early breakdown. The barrier height in the fin channel determines which mechanism is dominant; the same device could show either destructive or nondestructive bre.", "author_names": [ "Ming Xiao", "Xiang Gao", "Tomas Palacios", "Yuhao Zhang" ], "corpus_id": 150151004, "doc_id": "150151004", "n_citations": 19, "n_key_citations": 2, "score": 0, "title": "Leakage and breakdown mechanisms of GaN vertical power FinFETs", "venue": "Applied Physics Letters", "year": 2019 }, { "abstract": "This paper presents the first analytical model for the ON resistance <inline formula> <tex math notation=\"LaTeX\"{R}_{ \\mathrm{\\scriptscriptstyle ON} /tex math>/inline formula> in vertical power FinFETs. The model allows to extract the channel mobility and series resistance and to separate the current conduction through the bulk fin channel and the accumulation mode metal oxide semiconductor (MOS) channel. The model was validated by experiments and simulations. The extracted series resistance was verified by measuring a diode fabricated in the same wafer with the FinFETs. At the same time, simulations using the extracted channel mobility and series resistance agreed well with the experiments. The model was then used to analyze a 1200 V GaN vertical power FinFET. The main <inline formula> <tex math notation=\"LaTeX\"{R}_{ \\mathrm{\\scriptscriptstyle ON} /tex math>/inline formula> component was identified to be from the drift layer and the substrate, while the gate modulated channel resistance only accounts for ~13% of the total device <inline formula> <tex math notation=\"LaTeX\"{R}_{ \\mathrm{\\scriptscriptstyle ON} /tex math>/inline formula> Our model enables parameter extraction from the dc characteristics of a single device, and therefore, provides a fast and easy way to understand, analyze, and design vertical power FinFETs. Our model can also be adjusted to allow for fast and accurate parameter extraction in other power transistors with a vertical gate modulated channel, such as trench MOSFETs.", "author_names": [ "Ming Xiao", "Tomas Palacios", "Yuhao Zhang" ], "corpus_id": 201237646, "doc_id": "201237646", "n_citations": 10, "n_key_citations": 1, "score": 0, "title": "ON Resistance in Vertical Power FinFETs", "venue": "IEEE Transactions on Electron Devices", "year": 2019 }, { "abstract": "As a promising ultra wide bandgap semiconductor, the <italic>b</italic> phase of Ga<sub>2</sub>O<sub>3</sub> has attracted more and more interest in the field of power electronics due to its ultra wide bandgap (4.8 eV) high theoretical breakdown electric field (8 MV/cm) and large Baliga's figure of merit, which is deemed as a potential candidate for next generation high power electronics, including diodes, field effect transistors (FETs) etc. In this article, we introduce the basic material properties of Ga<sub>2</sub>O<sub>3</sub> and review the recent progress and advances of <italic>b</italic> Ga<sub>2</sub>O<sub>3</sub> based metal oxide semiconductor field effect transistors <sc>mosfet</sc>s) Due to the problematic p type doping technology up to now, the enhancement mode (E mode) <italic>b</italic> Ga<sub>2</sub>O<sub>3</sub> FETs face more difficulties, compared with depletion mode (D mode) This article focuses on reviewing the recent progress of E mode Ga<sub>2</sub>O<sub>3</sub> <sc>mosfet</sc>s, summarizing and comparing various feasible solutions when p type doping is absent. Furthermore, the device fabrication and performances of state of art <italic>b</italic> Ga<sub>2</sub>O<sub>3</sub> <sc>mosfet</sc>s, including D mode, E mode, and planar/vertical structure are fully discussed and compared, as well as potential solutions to the challenges of Ga<sub>2</sub>O<sub>3</sub> FETs.", "author_names": [ "Hongpeng Zhang", "Lei Yuan", "Jichao Hu", "Yuming Zhang", "Renxu Jia" ], "corpus_id": 208834268, "doc_id": "208834268", "n_citations": 27, "n_key_citations": 0, "score": 0, "title": "Progress of Ultra Wide Bandgap Ga2O3 Semiconductor Materials in Power MOSFETs", "venue": "IEEE Transactions on Power Electronics", "year": 2020 }, { "abstract": "Wireless power transfer systems and plasma generators are among the increasing number of applications that use high frequency power converters. Increasing switching frequency can reduce the energy storage requirements of the passive elements that can lead to higher power densities or even the elimination of magnetic cores. However, operating at higher frequencies requires faster switching devices in packages with low parasitics. Wide bandgap (WBG) power devices, such as gallium nitride (GaN) and silicon carbide (SiC) devices, have high critical fields and high thermal conductivity that make them good candidates for efficient high voltage and high frequency operations. Commercially available GaN and SiC devices have ratings targeting different applications. Lateral GaN devices dominate in lower voltage <650 V) and high frequency applications as they have relatively small device capacitances <inline formula><tex math notation=\"LaTeX\"$C_{\\text{oss},C_{\\text{iss}/tex math>/inline formula> which make them easy to drive at high frequencies. On the other hand, vertical SiC devices are often used in high voltage and low frequency applications since they have higher blocking voltages and larger gate charge than their GaN counterparts. As a result, SiC devices usually require high power and complicated gate drive circuitry. Recent work shows that in both GaN and SiC devices, losses in device <inline formula><tex math notation=\"LaTeX\"$C_{\\text{oss}/tex math>/inline formula> can exceed the conduction losses at high switching frequencies and relatively high voltages under zero voltage switching conditions. Moreover, the <inline formula><tex math notation=\"LaTeX\"$C_{\\text{oss}/tex math>/inline formula> energy loss <inline formula><tex math notation=\"LaTeX\"$E_{\\text{oss}/tex math>/inline formula> per switching cycle increases with frequency in GaN devices but remains roughly independent of frequency in SiC devices. This means that at high frequencies, SiC devices can be preferable due to their smaller <inline formula><tex math notation=\"LaTeX\"$C_{\\text{oss}/tex math>/inline formula> energy loss even when taking into consideration the complexity of the gate drive circuit. In this article, we present a WBG high voltage cascode GaN/SiC power device, combining the advantages of both a GaN and an SiC device namely, simple gate drive requirements, <inline formula><tex math notation=\"LaTeX\"$E_{\\text{oss}/tex math>/inline formula> loss per cycle roughly independent of frequency, and relatively high voltage blocking capability. Comparing this cascode GaN/SiC device with an SiC <sc>mosfet</sc> and a SiC junction gate field effect transistor of similar voltage ratings and <inline formula><tex math notation=\"LaTeX\"$R_{ds,\\text{ON}/tex math>/inline formula> we find that the inverter using the cascode GaN/SiC device has the highest efficiency and simplest auxiliary gate drive circuitry. Finally, integrating the cascode GaN/SiC device has the potential benefits of achieving lower <inline formula><tex math notation=\"LaTeX\"$C_{\\text{oss}/tex math>/inline formula> losses, higher device ratings, and better heat removal capability.", "author_names": [ "Jiale Xu", "Lei Gu", "Zhechi Ye", "Saleh Kargarrazi", "Juan Manuel Rivas-Davila" ], "corpus_id": 208614276, "doc_id": "208614276", "n_citations": 12, "n_key_citations": 0, "score": 0, "title": "Cascode GaN/SiC: A Wide Bandgap Heterogenous Power Device for High Frequency Applications", "venue": "IEEE Transactions on Power Electronics", "year": 2020 } ]
Electrodynamics of correlated electron materials
[ { "abstract": "We review studies of the electromagnetic response of various classes of correlated electron materials including transition metal oxides, organic and molecular conductors, intermetallic compounds with $d$ and $f$ electrons as well as magnetic semiconductors. Optical inquiry into correlations in all these diverse systems is enabled by experimental access to the fundamental characteristics of an ensemble of electrons including their self energy and kinetic energy. Steady state spectroscopy carried out over a broad range of frequencies from microwaves to UV light and fast optics time resolved techniques provide complimentary prospectives on correlations. Because the theoretical understanding of strong correlations is still evolving, the review is focused on the analysis of the universal trends that are emerging out of a large body of experimental data augmented where possible with insights from numerical studies.", "author_names": [ "Dimitri Basov", "Richard D Averitt", "Dirk van der Marel", "Martin Dressel", "Kristjan Haule" ], "corpus_id": 118437353, "doc_id": "118437353", "n_citations": 439, "n_key_citations": 11, "score": 1, "title": "Electrodynamics of correlated electron materials", "venue": "", "year": 2011 }, { "abstract": "Electrostatics of conductors Static magnetic field Superconductivity The propagation of electromagnetic waves Spatial dispersion Diffraction of X rays in crystals.", "author_names": [ "Lev Davidovich Landau", "E M Lifshitz", "J B Sykes", "John Stewart Bell", "Ellis Harold Dill" ], "corpus_id": 122262112, "doc_id": "122262112", "n_citations": 10951, "n_key_citations": 457, "score": 0, "title": "Electrodynamics of continuous media", "venue": "", "year": 1960 }, { "abstract": "Infrared spectroscopy has emerged as a premier experimental technique to probe enigmatic effects arising from strong correlations in solids. Here we report on recent advances in this area focusing on common patterns in correlated electron systems including transition metal oxides, intermetallics and organic conductors. All these materials are highly conducting substances but their electrodynamic response is profoundly different from the canonical Drude behavior observed in simple metals. These unconventional properties can be attributed in several cases to the formation of spin and/or charge ordered states, zero temperature phase transitions and strong coupling to bosonic modes.", "author_names": [ "Sasa V Dordevic", "Dimitri Basov" ], "corpus_id": 9401360, "doc_id": "9401360", "n_citations": 31, "n_key_citations": 0, "score": 0, "title": "Electrodynamics of correlated electron matter", "venue": "", "year": 2005 }, { "abstract": "Physical and chemical systems can be characterized by their natural frequency and energy scales. It is hardly an exaggeration that most of what we know about such systems, from the acoustics of a violin to the energy levels of atoms, comes from their response to perturbations at these natural frequencies. It is of course the same situation in `correlated' electron materials. We can learn about the novel effects of strong electron electron interactions and the properties of collective states of matter (superconductors, quantum magnets etc. by characterizing their response to small amplitude perturbations at their natural frequencies. In solids, these natural frequency scales span an impressively large frequency range from x ray down to DC. This incredibly broad range means that a blizzard of experimental techniques and analysis methods are required for the characterization of correlated systems with optical techniques. This short review and lecture notes attempt to lay out a brief summary of the formalism, techniques, and analysis used for `optical' spectroscopies of correlated electron systems. They are idiosyncratic, occasionally opinionated, and considering the breadth of the subject incredibly brief.", "author_names": [ "N P Armitage" ], "corpus_id": 18172130, "doc_id": "18172130", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "Electrodynamics of correlated electron systems", "venue": "", "year": 2009 }, { "abstract": "1. Vector Analysis. Vector Algebra. Differential Calculus. Integral Calculus. Curvilinear Coordinates. The Dirac Delta Function. The Theory of Vector Fields. 2. Electrostatics. The Electrostatic Field. Divergence and Curl of Electrostatic Fields. Electric Potential. Work and Energy in Electrostatics. Conductors. 3. Special Techniques. Laplace's Equation and Uniqueness Theorems. The Method of Images. Separation of Variables. Multipole Expansion. 4. Electrostatic Fields in Matter. Polarization. The Field of a Polarized Object. The Electric Displacement. Linear Dielectrics. 5. Magnetostatics. The Lorentz Force Law. The Biot Savart Law. The Divergence and Curl of B. Magnetic Vector Potential. 6. Magnetic Fields in Matter. Magnetization. The Field of a Magnetized Object. The Auxiliary Field H. Linear and Nonlinear Media. 7. Electrodynamics. Electromotive Force. Electromagnetic Induction. Maxwell's Equations. 8. Conservation Laws. Charge and Energy. Momentum. 9. Electromagnetic Waves. Waves in One Dimension. Electromagnetic Waves in Vacuum. Electromagnetic Waves in Matter. Absorption and Dispersion. Guided Waves. 10. Potentials and Fields. The Potential Formulation. Continuous Distributions. Point Charges. 11. Radiation. Dipole Radiation. Point Charges. 12. Electrodynamics and Relativity. The Special Theory of Relativity. Relativistic Mechanics. Relativistic Electrodynamics. Appendix A: Vector Calculus in Curvilinear Coordinates. Appendix B: The Helmholtz Theorem. Appendix C: Units. Index.", "author_names": [ "David J Griffiths" ], "corpus_id": 122382734, "doc_id": "122382734", "n_citations": 3418, "n_key_citations": 227, "score": 0, "title": "Introduction to Electrodynamics", "venue": "", "year": 1981 }, { "abstract": "Electrodynamics of Particles and PlasmasBy P. C. Clemmow and J. P. Dougherty. (Addison Wesley Series in Advanced Physics. Pp. ix 457. (Addison Wesley London, September 1969. 163s.", "author_names": [ "D A Dunnett" ], "corpus_id": 4268632, "doc_id": "4268632", "n_citations": 22679, "n_key_citations": 1320, "score": 0, "title": "Classical Electrodynamics", "venue": "Nature", "year": 1969 }, { "abstract": "Working within the framework of perturbation theory, we show that the axial vector vertex in spinor electrodynamics has anomalous properties which disagree with those found by the formal manipulation of field equations. Specifically, because of the presence of closed loop \"triangle diagrams,\" the divergence of axial vector current is not the usual expression calculated from the field equations, and the axial vector current does not satisfy the usual Ward identity. One consequence is that, even after the external line wave function renormalizations are made, the axial vector vertex is still divergent in fourth (and higher order perturbation theory. A corollary is that the radiative corrections to \\ensuremath{\\nu}}_{l}l$ elastic scattering in the local current current theory diverge in fourth (and higher) order. A second consequence is that, in massless electrodynamics, despite the fact that the theory is invariant under \\ensuremath{\\gamma}}_{5} tranformations, the axial vector current is not conserved. In an Appendix we demonstrate the uniqueness of the triangle diagrams, and discuss a possible connection between our results and the \\ensuremath{\\pi}{0}\\ensuremath{\\rightarrow}2\\ensuremath{\\gamma} and \\ensuremath{\\eta}\\ensuremath{\\rightarrow}2\\ensuremath{\\gamma} decays. In particular, we argue that as a result of triangle diagrams, the equations expressing partial conservation of axial vector current (PCAC) for the neutral members of the axial vector current octet must be modified in a well defined manner, which completely alters the PCAC predictions for the \\ensuremath{\\pi}{0} and the \\ensuremath{\\eta} two photon decays.", "author_names": [ "Stephen L Adler" ], "corpus_id": 123818918, "doc_id": "123818918", "n_citations": 2439, "n_key_citations": 41, "score": 0, "title": "Axial vector vertex in spinor electrodynamics", "venue": "", "year": 1969 }, { "abstract": "The authors of this book present a thorough discussion of the optical properties of solids, with a focus on electron states and their response to electrodynamic fields. A review of the fundamental aspects of the propagation of electromagnetic fields, and their interaction with condensed matter, is given. This is followed by a discussion of the optical properties of metals, semiconductors, and collective states of solids such as superconductors. Theoretical concepts, measurement techniques and experimental results are covered in three interrelated sections. Well established, mature fields are discussed (for example, classical metals and semiconductors) together with modern topics at the focus of current interest. The substantial reference list included will also prove to be a valuable resource for those interested in the electronic properties of solids. The book is intended for use by advanced undergraduate and graduate students, and researchers active in the fields of condensed matter physics, materials science and optical engineering.", "author_names": [ "Martin Dressel", "George Gruner" ], "corpus_id": 121909466, "doc_id": "121909466", "n_citations": 457, "n_key_citations": 28, "score": 0, "title": "Electrodynamics of Solids: Optical Properties of Electrons in Matter", "venue": "", "year": 2002 }, { "abstract": "Inelastic light scattering is an intensively used tool in the study of electronic properties of solids. Triggered by the discovery of high temperature superconductivity in the cuprates and by new developments in instrumentation, light scattering in both the visible (Raman effect) and x ray part of the electromagnetic spectrum has become a method complementary to optical (infrared) spectroscopy while providing additional and relevant information. The main purpose of the review is to position Raman scattering with regard to single particle methods like angle resolved photoemission spectroscopy, and other transport and thermodynamic measurements in correlated materials. Particular focus will be placed on photon polarizations and the role of symmetry to elucidate the dynamics of electrons in different regions of the Brillouin zone. This advantage over conventional transport (usually measuring averaged properties) provides new insights into anisotropic and complex many body behavior of electrons in various systems. Recent developments in the theory of electronic Raman scattering in correlated systems and experimental results in paradigmatic materials such as the A15 superconductors, magnetic and paramagnetic insulators, compounds with competing orders, as well as the cuprates with high superconducting transition temperatures are reviewed. An overview of the manifestations of complexity in the Raman response due to the impact of correlations and developing competing orders is presented. In a variety of materials, observations which may be understood and a summary of important open questions that pave the way to a detailed understanding of correlated electron systems, are discussed.", "author_names": [ "Thomas P Devereaux", "Rudi U Hackl" ], "corpus_id": 119090499, "doc_id": "119090499", "n_citations": 360, "n_key_citations": 15, "score": 0, "title": "Inelastic light scattering from correlated electrons", "venue": "", "year": 2007 }, { "abstract": "Classical Electrodynamics: The Fundamental Equations and the Dynamical Variables. Lagrangian and Hamiltonian Approach to Electrodynamics. The Standard Lagrangian and the Coulomb Gauge. Quantum Electrodynamics in the Coulomb Gauge. Other Equivalent Formulations of Electrodynamics. Introduction to the Covariant Formulation of Quantum Electrodynamics. References. Index.", "author_names": [ "Claude cohen-tannoudji", "Jacques Dupont-roc", "Gilbert Grynberg" ], "corpus_id": 123590331, "doc_id": "123590331", "n_citations": 635, "n_key_citations": 48, "score": 0, "title": "Photons and Atoms: Introduction to Quantum Electrodynamics", "venue": "", "year": 1989 } ]
High-power erbium-doped fiber laser mode locked by a semiconductor saturable absorber
[ { "abstract": "Using an erbium doped fiber laser (EDFL) passively mode locked by a semiconductor saturable absorber, we generate 5.5 ps pulses of a 2.3 nJ/pulse, which are more than three times higher in energy than for other reported EDFL's. We show that, by introduction of a linear loss element within the cavity, multiple pulsing behavior at high pump powers can be suppressed. We also determine the saturable absorber characteristics absorbance versus wavelength near band gap that are necessary to produce short mode locked pulses.", "author_names": [ "Brandon C Barnett", "L Rahman", "M N Islam", "Y C Chen", "Pallab K Bhattacharya", "Will Riha", "Karthik Reddy", "Arthur T Howe", "K A Stair", "Hidetoshi Iwamura", "Stephen Richard Friberg", "Toshiharu Mukai" ], "corpus_id": 23903506, "doc_id": "23903506", "n_citations": 58, "n_key_citations": 1, "score": 1, "title": "High power erbium doped fiber laser mode locked by a semiconductor saturable absorber.", "venue": "Optics letters", "year": 1995 }, { "abstract": "We briefly review the recent progress in passively mode locked fiber lasers (PMLFLs) based on semiconductor saturable absorber mirrors (SESAMs) and discuss the detailed characterization of a SESAM based, passively mode locked erbium doped fiber (EDF) laser operating in the 1.5 mm spectral range for various configurations. A simple and compact design of the laser cavity enables the PMLFL to generate either femtosecond or wavelength tunable picosecond pulses with high stability as the intra cavity filtering method is altered. All the cavities investigated in our experiments present self starting, continuous wave mode locking with no Q switching instabilities. The excellent stability of the source eventually enables the wavelength tunable PMLFL to be used as a master oscillator for a power amplifier source based on a large core EDF, generating picosecond pulses of >10 kW peak power and >100 nJ pulse energy.", "author_names": [ "Luis A Vazquez-Zuniga", "Yoonchan Jeong" ], "corpus_id": 123135684, "doc_id": "123135684", "n_citations": 13, "n_key_citations": 0, "score": 0, "title": "Wavelength Tunable, Passively Mode Locked Erbium Doped Fiber Master Oscillator Incorporating a Semiconductor Saturable Absorber Mirror", "venue": "", "year": 2013 }, { "abstract": "Two dimensional materials with a sheet structure have excellent optical, electrical and mechanical properties, and have attracted much attention in recent years, especially In2Se3 (the N type semiconductor compound) which has a rapid development in the fields of materials science and optical communication. In this paper, the nonlinear saturation absorption characteristics of In2Se3 are studied. The In2Se3 nanosheet dispersion can be used in ultrafast photonics applications. The nonlinear absorption is measured by power correlation method, and the modulation depth and saturation intensity are 3.8 and 246.6 MW/cm2, respectively. More importantly, In2Se3 is used as a saturable absorber (SA) in a passively mode locked erbium doped fiber laser. The proposed mode locked fiber laser is demenstrated with a center wavelength of 1529.4 nm, a fundamental frequency of 5.9 MHz, a spectral width of 3.96 nm, a pulse width of 1.38 ps, and a signal to noise ratio of 55 dB. For the first time, harmonic mode locking with a high repetition rate of 431 MHz is achieved when the pump power is 360 mW corresponding to 73rd order harmonic mode locking. It can be seen that In2Se3 is indeed a new excellent photonic material, which can be used in fiber optic communication, SAs photonics, laser material processing and light modulators.", "author_names": [ "Xiaohui Li", "Lirong Jing", "Ya-min Wang", "Chong Wang", "Wenfeng Luo", "Peiguang Yan", "Mengjia Qu", "Ziyan Wu" ], "corpus_id": 214645437, "doc_id": "214645437", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "In2Se3 nanosheets for harmonic mode locked fiber laser.", "venue": "Nanotechnology", "year": 2020 }, { "abstract": "Two dimensional layered metal chalcogenides (LMCs) are widely used in battery anode materials, energy conversion, and semiconductor devices, because of their high energy storage characteristics, high thermoelectric characteristics, and large electron mobility. SnSe2 as a kind of LMC has strong nonlinear optical characteristics. However, its research on dissipative system dynamics as a saturable absorber has not been studied. In this work, we obtained SnSe2 nanosheets using lithium ion intercalation and we reported a passively mode locked fiber laser with SnSe2 as a saturable absorber to achieve the dissipative soliton in a dissipative system. Due to the high third order nonlinearity of SnSe2, the evolution of square wave pulses from 2 to 16 ns was obtained in a fiber ring cavity. Through adjusting the polarization state, the evolution phenomenon of soliton rain, the soliton rain phenomenon with a spectrum of dual wavelengths, and a bound state harmonic phenomenon with a frequency of 313 MHz were obtained. Therefore, the strong nonlinear fiber laser based on SnSe2 provides a good platform for study the pulsation, explosion, rainfall and other phenomena.", "author_names": [ "Wenfeng Luo", "Xiaoyu Liu", "Xiaohui Li", "Shuyuan Lv", "Wenxiong Xu", "Lianzhou Wang", "Zhaojiang Shi", "Chenxi Zhang" ], "corpus_id": 221635860, "doc_id": "221635860", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "SnSe2 realizes soliton rain and harmonic soliton molecules in erbium doped fiber lasers", "venue": "Nanotechnology", "year": 2020 }, { "abstract": "Ultrafast passively mode locked lasers with spectral tuning capability and high output power have widespread applications in biomedical research, spectroscopy and telecommunications. Currently, the dominant technology is based on semiconductor saturable absorber mirrors (SESAMs) However, these typically have a narrow tuning range, and require complex fabrication and packaging. A simple, cost effective alternative is to use Single Wall Carbon Nanotubes (SWNTs) and Graphene. Wide band operation is possible using SWNTs with a wide diameter distribution. However, SWNTs not in resonance are not used and may contribute to unwanted insertion losses. The linear dispersion of the Dirac electrons in graphene offers an ideal solution for wideband ultrafast pulse generation. Here, we report graphene saturable absorbers (GSA) for wideband tunable and high power ultrafast pulse generation. Tunable ultrafast pulses are generated with an Erbium doped fiber laser mode locked by GSA.", "author_names": [ "Z Sun", "X C Lin", "Daniel Popa", "H J Yu", "Tawfique Hasan", "Felice Torrisi", "Edmund J R Kelleher", "Liuping Zhang", "L Sun", "L Guo", "Wei Hou", "Jin Li", "J R Taylor", "Andrea C Ferrari" ], "corpus_id": 23257902, "doc_id": "23257902", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Wideband tunable, high power, graphene mode locked ultrafast lasers", "venue": "2011 Conference on Lasers and Electro Optics Europe and 12th European Quantum Electronics Conference (CLEO EUROPE/EQEC)", "year": 2011 }, { "abstract": "Abstract.Stretched pulse mode locked erbium doped fiber lasers based on monolithically grown InGaAsP/InP semiconductor saturable absorber mirrors are described. The saturable absorbers are used to initiate the mode locking, to stabilize the pulse train and to control the cavity dispersion. We obtained reliable operation at the fundamental repetition rate with 1.3 nJ of pulse energy, limited by the maximum available pump power of 175 mW. The amplitude fluctuation was estimated to be less than 1.6x10 3. 135 fs transform limited pulses were obtained after compression in standard telecommunication fiber. The performance of the stretched pulse mode locked fiber laser with near resonant semiconductor saturable absorber has been studied. A semiconductor dispersive saturable absorber mirror was used for intracavity chirp compensation in the stretched pulse fiber laser. With the semiconductor mirror combining both negative group velocity dispersion and saturable absorption, we have demonstrated the production of high power chirp free pulses directly from stretched pulse laser.", "author_names": [ "Mircea D Guina", "N Xiang", "Oleg G Okhotnikov" ], "corpus_id": 120817356, "doc_id": "120817356", "n_citations": 28, "n_key_citations": 1, "score": 0, "title": "Stretched pulse fiber lasers based on semiconductor saturable absorbers", "venue": "", "year": 2002 }, { "abstract": "We report on a passively mode locked erbium doped fiber laser, using a high nonlinear modulation depth saturable absorber mirror, in a Fabry Perot cavity. A segment of dispersion compensation fiber is added inside the cavity in order to build a high positive dispersion regime. The setup produced highly chirped pulses with an energy of 1.8 nJ at a repetition rate of 33.5 MHz. Numerical simulations accurately reflect our experimental results and show that pulse shaping in this laser could be interpreted as producing 'dissipative solitons'", "author_names": [ "Amelie Cabasse", "G Martel", "Jean-Louis Oudar" ], "corpus_id": 36206477, "doc_id": "36206477", "n_citations": 60, "n_key_citations": 1, "score": 0, "title": "High power dissipative soliton in an Erbium doped fiber laser mode locked with a high modulation depth saturable absorber mirror.", "venue": "Optics express", "year": 2009 }, { "abstract": "A self starting simple structured dual wavelength passively mode locked (ML) erbium doped fiber (EDF) laser is proposed in this Letter. An all fiber ring cavity is adopted and a transmission type semiconductor saturable absorber is used as modelocker. In this laser, there are two gain humps located at the 1530 nm region and the 1550 nm region, respectively. Along with the length of EDF increasing, the intensity of the hump at 1530 nm region is gradually suppressed because of the re absorption of emission by the ground state. With the proper length of EDF, the gain intensities of two regions are very close. When the pump power is above the ML threshold, the self starting dual wavelength ML operation is achieved easily without manual adjustment. The two spectral peaks with close intensities are located at 1532 and 1552 nm, respectively. The effect of intracavity dispersion on the output spectrum is also experimentally demonstrated.", "author_names": [ "Shi Junkai", "Weihu Zhou" ], "corpus_id": 111373241, "doc_id": "111373241", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Self starting simple structured dual wavelength mode locked erbium doped fiber laser using a transmission type semiconductor saturable absorber", "venue": "", "year": 2018 }, { "abstract": "In this study, a double end pumped high power passively mode locked erbium doped fiber laser (EDFL) was realized by employing a few layered In2Se3 flakes as a saturable absorber (SA) Herein, the uniform large scale In2Se3 flakes were synthesized by the physical vapor deposition (PVD) method. The PVD In2Se3 SA exhibited a remarkable damage threshold of higher than 24 mJ/cm2. Meanwhile, the PVD In2Se3 SA had a modulation depth and saturable intensity of 18.75% and 6.8 MW/cm2, respectively. Based on the In2Se3 SA, the stable bright pulses emitting at 1559.4 nm with an average output power/pulse energy/pulse duration of 122.4 mW/5.8 nJ/14.4 ns were obtained successfully. To our knowledge, 122.4 mW was the new major breakthrough of mode locked Er doped fiber lasers. In addition, this is the first demonstration of the dark bright pulse pair generation based on In2Se3 SA. The maximum average output power of the dark bright pulse reached 121.2 mW, which also showed significant enhancement in comparison with previous works. Our excellent experiment results fully prove the superiority of our experimental design scheme and indicate that the PVD In2Se3 could operate as a promising highly nonlinear photonic material for a high power fiber laser.", "author_names": [ "Xile Han", "Huanian Zhang", "Shouzhen Jiang", "Chao Zhang", "Dengwang Li", "Quanxin Guo", "Jinjuan Gao", "Baoyuan Man" ], "corpus_id": 201675192, "doc_id": "201675192", "n_citations": 7, "n_key_citations": 0, "score": 0, "title": "Improved Laser Damage Threshold of In2Se3 Saturable Absorber by PVD for High Power Mode Locked Er Doped Fiber Laser", "venue": "Nanomaterials", "year": 2019 }, { "abstract": "Q switched and mode locked Erbium doped fiber lasers (EDFLs) were demonstrated using poly(3 hexylthiophene 2,5 diyl) regioregular (P3HT) organic semiconductor in the form of thin film as a saturable absorber (SA) The P3HT thin film is cut into small pieces and sandwiched between two ferrules in EDFL cavity to generate a stable Q switching and mode locking pulse train. By increasing the 980 nm pump power from 63mW to 125mW, the repetition rate of the EDFL increases from 62.97 kHz to 78.63 kHz while the pulse width decreases from 5.52 ms to 3.79 ms. The maximum pulse energy of 15.05 nJ is generated with repetition rate and pulse width of 78.63 kHz and 3.79 ms, respectively. Meanwhile, self starting mode locked EDFL arises as the pump power raises from 125mW to 187mW. As the pump power increases to the maximum, the repetition rate is almost constant of 1.838MHz and pulse width of 2.62 ps are observed. The mode locked EDFL operated at 1563 nm wavelength. This experiment shows that P3HT SA can be used to generate stable Q switched and mode locked pulsed lasers.", "author_names": [ "F S M Samsamnuna", "N F Zulkiplia", "M A M Sarjidanb", "S W Haruna", "W H A Majidb", "M I M A Khudusb", "A Shuhaimib", "A H A Rosola", "M F M Rusdia", "A A A Jafryc", "M Yasind" ], "corpus_id": 211845786, "doc_id": "211845786", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Poly(3 hexylthiophene 2,5 diyl) regioregular (P3HT) thin film as saturable absorber for passively Q switched and mode locked Erbium doped fiber laser", "venue": "", "year": 2019 } ]
physics of solar cells
[ { "abstract": "Photons In, Electrons Out: Basic Principles of PV Electrons and Holes in Semiconductors Generation and Recombination Junctions Analysis of the p n Junction Monocrystalline Solar Cells Thin Film Solar Cells Managing Light Over the Limit: Strategies for Higher Efficiency.", "author_names": [ "J Roy Nelson" ], "corpus_id": 117097776, "doc_id": "117097776", "n_citations": 2054, "n_key_citations": 184, "score": 1, "title": "The physics of solar cells", "venue": "", "year": 2003 }, { "abstract": "Characterization, material parameter extraction and subsequent optimization of solar cell devices is a highly time consuming and complex procedure. In this work, we propose a method for quick extraction of limiting material parameters in solar cell devices using a surrogate, physics embedded, neural network model. This surrogate model, implemented by an autoencoder architecture trained with a physical numerical model, allows to quickly extract the device parameters of interest at a certain process condition by using only a small number of illumination dependent current voltage (JV) measurements. Our surrogate model adequately links material parameters at a certain process condition to final device efficiency. The model provides physical insights about the location of the best performing and robust processing conditions in solar cell devices. We test our approach with GaAs and CH3NH3PbI3 (MAPbI) perovskite solar cells. The model allows to find a set of processing conditions that maximize the performance of both GaAs and MAPbI solar cells, and analogous processing conditions that minimize solar cell variability.", "author_names": [ "Zekun Ren", "Siyu I P Tian", "Thomas Heumueller", "Erik Birgersson", "Fen Lin", "Armin Gerhard Aberle", "Shijing Sun", "Ian Marius Peters", "Rolf Stangl", "Christoph J Brabec", "Tonio Buonassisi", "Felipe Oviedo", "Hansong Xue", "M Thway", "Kaicheng Zhang", "Ning Li", "Jose Dario Perea", "Mariya Layurova", "Yue Wang" ], "corpus_id": 211058995, "doc_id": "211058995", "n_citations": 5, "n_key_citations": 0, "score": 0, "title": "Physics guided characterization and optimization of solar cells using surrogate machine learning model", "venue": "2019 IEEE 46th Photovoltaic Specialists Conference (PVSC)", "year": 2019 }, { "abstract": "", "author_names": [ "Juan Bisquert" ], "corpus_id": 187456109, "doc_id": "187456109", "n_citations": 21, "n_key_citations": 0, "score": 0, "title": "The Physics of Solar Cells: Perovskites, Organics, and Photovoltaic Fundamentals", "venue": "", "year": 2017 }, { "abstract": "Abstract Physics ruling the temperature sensitivity of photovoltaic (PV) cells is discussed. Dependences with temperature of the fundamental losses for single junction solar cells are examined and fundamental temperature coefficients (TCs) are calculated. Impacts on TCs of the incident spectrum and of variations of the bandgap with temperature are highlighted. It is shown that the unusual behavior of the bandgaps of perovskite semiconductor compounds such as CH 3 NH 3 PbI 3 x Cl x and CsSnI 3 will ultimately, in the radiative limit, give PV cells made of these materials peculiar temperature sensitivities. The different losses limiting the efficiency of present commercial cells are depicted on a p n junction diagram. This representation provides valuable information on the energy transfer mechanisms within PV cells. In particular, it is shown that an important fraction of the heat generation occurs at the junction. A review of the loss mechanisms driving the temperature coefficients of the different cell parameters (open circuit voltage V oc short circuit current density J sc fill factor FF is proposed. The temperature sensitivity of open circuit voltage is connected to the balance between generation and recombination of carriers and its variation with temperature. A general expression that relates the temperature sensitivity of V oc to the External Radiative Efficiency (ERE) of a solar cell is provided. Comparisons with experimental data are discussed. The impacts of bandgap temperature dependence and incident spectrum on the temperature sensitivity of short circuit current are demonstrated. Finally, it is argued that if the fill factor temperature sensitivity is ideally closely related to the open circuit voltage temperature sensitivity of the cell, it depends for some cells strongly on technological issues linked to carrier transport such as contact resistances.", "author_names": [ "Olivier Dupre", "Rodolphe Vaillon", "Martin A Green" ], "corpus_id": 95233745, "doc_id": "95233745", "n_citations": 155, "n_key_citations": 9, "score": 0, "title": "Physics of the temperature coefficients of solar cells", "venue": "", "year": 2015 }, { "abstract": "1 Problems of the Energy Economy 2 Photons 3 Semiconductors 4 Conversion of Thermal Radiation into Chemical Energy 5 Conversion of Chemical Energy into Electrical Energy 6 Basic Structure of Solar Cells 7 Limitations on Energy Conversion in Solar Cells 8 Concepts for Improving the Efficiency of Solar Cells 9 Prospects for the Future", "author_names": [ "Peter Prof Dr Wurfel", "Uli Wurfel" ], "corpus_id": 118107056, "doc_id": "118107056", "n_citations": 406, "n_key_citations": 26, "score": 0, "title": "Physics of solar cells from basic principles to advanced concepts", "venue": "", "year": 2009 }, { "abstract": "As technology plays a larger and larger role in the global community, areas in the developing world without consistent access to electricity are increasingly limited. Solar power is a strong candidate for rural electrification projects because of its low emission operation, but it is difficult to get a sense of the true cost, both economically and energetically, that operating different types of solar power sources incurs. Here, we seek to develop a comprehensive understanding of the resources required and energy expended in the manufacture of dye sensitized solar cells (DSCs) and silicon solar cells, as well as the total energy production capabilities of these technologies. In order to do this, a procedure was adopted and iterated upon in order to fabricate DSCs using unprocessed natural dyes from blackberries and beets, a measurement apparatus was designed to collect IV curves and the life cycles of the two technologies were examined. Comparing existing life cycle analyses (LCAs) for silicon cells with the analysis we conducted for dye sensitized cells, we concluded that dye sensitized cells can compete with silicon cells, particularly in the developing world. We found that with certain improvements to the fabrication procedure, the energy payback lifetime (the time to produce the same amount of energy expended in the production process) for a blackberry DSC could be as low as 4.2 years, and for a beet DSC could be as low as 3.3 years. Meanwhile, a silicon cell has an energy payback lifetime of 7.7 years.", "author_names": [ "Lydia Thurman" ], "corpus_id": 13160162, "doc_id": "13160162", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "The Physics of Solar Cells for the Developing World", "venue": "", "year": 2015 }, { "abstract": "The rise in power conversion efficiency of organic photovoltaic (OPV) devices over the last few years has been driven by the emergence of new organic semiconductors and the growing understanding of morphological control at both the molecular and aggregation scales. Non fullerene OPVs adopting p type conjugated polymers as the donor and n type small molecules as the acceptor have exhibited steady progress, outperforming PCBM based solar cells and reaching efficiencies of over 15% in 2019. This review starts with a refreshed discussion of charge separation, recombination, and V OC loss in non fullerene OPVs, followed by a review of work undertaken to develop favorable molecular configurations required for high device performance. We summarize several key approaches that have been employed to tune the nanoscale morphology in non fullerene photovoltaic blends, comparing them (where appropriate) to their PCBM based counterparts. In particular, we discuss issues ranging from materials chemistry to solution processing and post treatments, showing how this can lead to enhanced photovoltaic properties. Particular attention is given to the control of molecular configuration through solution processing, which can have a pronounced impact on the structure of the solid state photoactive layer. Key challenges, including green solvent processing, stability and lifetime, burn in, and thickness dependence in non fullerene OPVs are briefly discussed.", "author_names": [ "Robert S Gurney", "David G Lidzey", "Tao Wang" ], "corpus_id": 73426880, "doc_id": "73426880", "n_citations": 94, "n_key_citations": 0, "score": 0, "title": "A review of non fullerene polymer solar cells: from device physics to morphology control.", "venue": "Reports on progress in physics. Physical Society", "year": 2019 }, { "abstract": "The power conversion efficiencies (PCEs) of perovskite solar cells (PSCs) are already higher than those of other thin film photovoltaic technologies, but the high efficiency cells are based on complicated device architectures with multiple layers of coating. A promising strategy to commercialize this emerging technology is to simplify the device structure while simultaneously maintaining high efficiency. Charge transport layers (CTLs) are generally indispensable for achieving high performance PSCs, but the high cost and possibility of instability hinder the mass production of efficient, stable PSCs in a cost effective manner. The ambipolar carrier transfer characteristic of perovskite materials makes it possible to fabricate efficient PSCs even in the absence of electron and/or hole transport layers. Encouragingly, the reported PCEs of CTL free PSCs are already over 20% However, it is still a mystery about why and how CTL free devices can work efficiently. Here, we summarize the recent strategies developed to improve the performance of CTL free PSCs, aiming at strengthening the comprehensive understanding of the fundamental carrier dynamics, heterojunction merits and device physics behind these mysteriously simple yet efficient devices. This review sheds light on identifying the limiting and determining factors in achieving high efficiency CTL free devices, and proposes some empirical charge transport models (e.g. p type doping of perovskites for HTL free PSCs, n type doping of perovskites for ETL free PSCs, constructing efficient p n heterojunctions and/or homojunctions at one side/interface or employing perovskite single crystal based lateral geometry for both HTL and ETL free PSCs, etc. that are useful to further improve device performance. In addition, an insightful perspective for the future design and commercial development of large scale, efficient and stable optoelectronic devices by employing carbon electrodes is provided.", "author_names": [ "Jin-Feng Liao", "Wu-Qiang Wu", "Yong Jiang", "Jun-Xing Zhong", "Lianzhong Wang", "Dai-Bin Kuang" ], "corpus_id": 209427242, "doc_id": "209427242", "n_citations": 43, "n_key_citations": 0, "score": 0, "title": "Understanding of carrier dynamics, heterojunction merits and device physics: towards designing efficient carrier transport layer free perovskite solar cells.", "venue": "Chemical Society reviews", "year": 2019 }, { "abstract": "List of Symbols.Preface.1 Problems of the Energy Economy.1.1 Energy economy.1.2 Estimate of the maximum reserves of fossil energy.1.3 The greenhouse effect.2 Photons.2.1 Black body radiation.2.2 Kirchhoff's law of radiation for non black bodies.2.3 The solar spectrum.2.4 Concentration of the solar radiation.2.5 Maximum efficiency of solar energy conversion.3 Semiconductors.3.1 Electrons in semiconductors.3.2 Holes.3.3 Doping.3.4 Quasi Fermi distributions.3.5 Generation of electrons and holes.3.6 Recombination of electrons and holes.3.7 Light emission by semiconductors.4 Conversion of Thermal Radiation into Chemical Energy.4.1 Maximum efficiency for the production of chemical energy.5 Conversion of Chemical Energy into Electrical Energy.5.1 Transport of electrons and holes.5.2 Separation of electrons and holes.5.3 Diffusion length of minority carriers.5.4 Dielectric relaxation.5.5 Ambipolar diffusion.5.6 Dember effect.5.7 Mathematical description.6 Basic Structure of Solar Cells.6.1 A chemical solar cell.6.2 Basic mechanisms in solar cells.6.3 Dye solar cell.6.4 The pn junction.6.5 pn junction with impurity recombination, two diode model.6.6 Hetero junctions.6.7 Semiconductor metal contact.6.8 The role of the electric field in solar cells.7 Limitations on Energy Conversion in Solar Cells.7.1 Maximum efficiency of solar cells.7.2 Efficiency of solar cells as a function of their energy gap.7.3 The optimal silicon solar cell.7.4 Thin film solar cells.7.5 Equivalent circuit.7.6 Temperature dependence of the open circuit voltage.7.7 Intensity dependence of the efficiency.7.8 Efficiencies of the individual energy conversion processes.8 Concepts for Improving the Efficiency of Solar Cells.8.1 Tandem cells.8.2 Concentrator cells.8.3 Thermo photovoltaic energy conversion.8.4 Impact ionization.8.5 Two step excitation in three level systems.9 Prospects for the Future.Appendix.Index.", "author_names": [ "Peter Prof Dr Wurfel" ], "corpus_id": 116944127, "doc_id": "116944127", "n_citations": 400, "n_key_citations": 22, "score": 0, "title": "Physics of solar cells from principles to new concepts", "venue": "", "year": 2005 }, { "abstract": "", "author_names": [ "Adolf Prof Dr Goetzberger", "Jurgen Knobloch", "Bernhard Voss" ], "corpus_id": 135934610, "doc_id": "135934610", "n_citations": 5, "n_key_citations": 0, "score": 0, "title": "The Physics of Solar Cells", "venue": "", "year": 2014 } ]
Properties and potential optoelectronic applications of lead halide perovskite nanocrystals
[ { "abstract": "Semiconducting lead halide perovskites (LHPs) have not only become prominent thin film absorber materials in photovoltaics but have also proven to be disruptive in the field of colloidal semiconductor nanocrystals (NCs) The most important feature of LHP NCs is their so called defect tolerance the apparently benign nature of structural defects, highly abundant in these compounds, with respect to optical and electronic properties. Here, we review the important differences that exist in the chemistry and physics of LHP NCs as compared with more conventional, tetrahedrally bonded, elemental, and binary semiconductor NCs (such as silicon, germanium, cadmium selenide, gallium arsenide, and indium phosphide) We survey the prospects of LHP NCs for optoelectronic applications such as in television displays, light emitting devices, and solar cells, emphasizing the practical hurdles that remain to be overcome.", "author_names": [ "Maksym V Kovalenko", "Loredana Protesescu", "Maryna I Bodnarchuk" ], "corpus_id": 4699004, "doc_id": "4699004", "n_citations": 840, "n_key_citations": 3, "score": 1, "title": "Properties and potential optoelectronic applications of lead halide perovskite nanocrystals", "venue": "Science", "year": 2017 }, { "abstract": "Abstract Cesium lead halide perovskite nanocrystals (NCs) have emerged as a key material for optoelectronic applications owing to their outstanding optical properties and easy synthesis in solution. Nowadays, the scientific community is facing a daring challenge toward the synthesis of lead free perovskite NCs with high stability and excellent optical properties for the fabrication of optoelectronic devices with enhanced performance. In this sense, cesium lead free halide perovskites (CsLFHPs) are demonstrated as extremely less susceptible toward oxygen and moisture and suitable candidates for long term device applications. Thus, synthesis and identification of CsLFHP NCs essentially need understanding of surface chemistry to improve their structural and optical properties. Recent experimental findings on synthesis and properties of CsLFHP nanomaterials emphasizing that the chelation effect of organic ligands on the surface of perovskite NCs have to be thoroughly examined to improve their properties. This chapter summarizes recent advances that have been made in synthesis and surface chemistry of CsLFHP nanomaterials. The crucial role of solvents and organic ligands in synthesizing different morphologies of CsLFHP NCs are evaluated. Important experimental observations that are different from the already demonstrated cesium lead halide perovskite nanomaterials are outlined. Further suggestions in improving the surface properties of CsLFHP nanomaterials and their potential applications in several areas are also discussed.", "author_names": [ "Ananthakumar Soosaimanickam", "Pedro J rodriguez-Canto", "Juan P Martinez-Pastor", "Rafael Abargues" ], "corpus_id": 219877275, "doc_id": "219877275", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Recent advances in synthesis, surface chemistry of cesium lead free halide perovskite nanocrystals and their potential applications", "venue": "", "year": 2020 }, { "abstract": "Lead (Pb halide perovskite nanocrystals (NCs) are interesting nanomaterials due to their excellent optical properties, such as narrow band emission, high photoluminescence (PL) efficiency, and wide color gamut. However, these NCs have several critical problems, such as the high toxicity of Pb, its tendency to accumulate in the human body, and phase instability. Although Pb free metal (Bi, Sn, etc. halide perovskite NCs have recently been reported as possible alternatives, they exhibit poor optical and electrical properties as well as abundant intrinsic defect sites. For the first time, the synthesis and optical characterization of cesium ytterbium triiodide (CsYbI3 cubic perovskite NCs with highly uniform size distribution and high crystallinity using a simple hot injection method are reported. Strong excitation independent emission and high quantum yields for the prepared NCs are verified using photoluminescence measurements. Furthermore, these CsYbI3 NCs exhibit potential for use in organic inorganic hybrid photodetectors as a photoactive layer. The as prepared samples exhibit clear on off switching behavior as well as high photoresponsivity (2.4 x 103 A W 1 and external quantum efficiency (EQE, 5.8 x 105 due to effective exciton dissociation and charge transport. These results suggest that CsYbI3 NCs offer tremendous opportunities in electronic and optoelectronic applications, such as chemical sensors, light emitting diodes (LEDs) and energy conversion and storage devices.", "author_names": [ "Byung Joon Moon", "Sang Jin Kim", "Seungmin Lee", "Aram Lee", "Hyunjung Lee", "Dong Su Lee", "Tae-Wook Kim", "Seoung-Ki Lee", "Sukang Bae", "Sang Hyun Lee" ], "corpus_id": 195329227, "doc_id": "195329227", "n_citations": 29, "n_key_citations": 0, "score": 0, "title": "Rare Earth Element Ytterbium Substituted Lead Free Inorganic Perovskite Nanocrystals for Optoelectronic Applications.", "venue": "Advanced materials", "year": 2019 }, { "abstract": "Lead halide perovskite nanocrystals (NCs) have been widely investigated owing to their potential applications as optoelectronic devices. However, these materials suffer from poor water stability, which make them impossible to be applied in biomedicine. Here, insoluble CsPbBr3/CsPb2Br5 composite NCs were successfully synthesized via simple water assisted chemical transformation of perovskite NCs. Water plays two key roles in this synthesis: (i) stripping CsBr from CsPbBr3/Cs4PbBr6 and (ii) modifying the coordination number of Pb2+ (six in CsPbBr3 and Cs4PbBr6 vs eight in CsPb2Br5) The as prepared CsPbBr3/CsPb2Br5 composite NCs not only retain the photoluminescence quantum yield (up to 80% and a narrow full width to half maximum of 16 nm, but also present excellent water stability and low cytotoxicity. With these properties, the CsPbBr3/CsPb2Br5 composite NCs were demonstrated as efficient fluorescent probes in live HeLa cells. We believe that our finding not only provides a new method to prepare insoluble, narrow band, and brightly luminescent CsPbBr3/CsPb2Br5 composite NCs, but also extend the potential applications of lead halides in biomedicine.", "author_names": [ "Sunqi Lou", "Zhi Zhou", "Tongtong Xuan", "Huili Li", "Ju Jiao", "Hongwu Zhang", "Romain Gautier", "Jing Wang" ], "corpus_id": 195695559, "doc_id": "195695559", "n_citations": 34, "n_key_citations": 0, "score": 0, "title": "Chemical Transformation of Lead Halide Perovskite into Insoluble, Less Cytotoxic, and Brightly Luminescent CsPbBr3/CsPb2Br5 Composite Nanocrystals for Cell Imaging.", "venue": "ACS applied materials interfaces", "year": 2019 }, { "abstract": "Abstract Solution processed all inorganic trihalide perovskite nanocrystals are potential materials for the fabrication of future generation optoelectronic devices. However, the surface of the perovskite nanocrystals should be encapsulated to prevent degradation. Because of their stability under ambient conditions, nanocomposites of perovskite nanocrystals have been intensively researched for display applications. Perovskite nanocrystals dispersion or growth in a polymer matrix imparts structural stability and influences the optical properties, preventing effects such as halide migration. Developing flexible, high performance lighting devices through perovskite nanocomposites will need to be evaluated on the compatibility of nanocrystals within the polymer matrix. Research in this area has escalated, but specific attention is required to address the practical challenges. This chapter discusses the current developments in the field of preparation and processing of nanocomposites of pure inorganic perovskite nanocrystals. Organic ligands, fabrication methods, encapsulating compounds, solvents, and other deposition procedures affecting the performance and stability of nanocomposites are discussed.", "author_names": [ "Ananthakumar Soosaimanickam", "Pedro J rodriguez-Canto", "Juan P Martinez-Pastor", "Rafael Abargues" ], "corpus_id": 226321742, "doc_id": "226321742", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Preparation and processing of nanocomposites of all inorganic lead halide perovskite nanocrystals", "venue": "", "year": 2021 }, { "abstract": "Thermally activated delayed fluorescence (TADF) is generally observed in solid state organic molecules or metal organic complexes. However, TADF in all inorganic colloidal nanocrystals (NCs) is rare. Herein, we report the first colloidal synthesis of an air stable all inorganic lead free Cs 2 ZrCl 6 perovskite NCs. The Cs 2 ZrCl 6 NCs exhibit long lived triplet excited state (138.2 ms) featuring highly efficient photoluminescence (PL) quantum efficiency (QY= 60.37% due to TADF. The emission color can be easily tuned from blue to green by synthesizing the mixed halide Cs 2 ZrBr x Cl 6 x (0<=x<=1.5) NCs. Both femtosecond transient absorption and temperature dependent PL measurements are performed to clarify the emission mechanism. In addition, Bi 3+ ions are successfully doped into Cs 2 ZrCl 6 NCs, which further extends the PL properties. This work not only develops a new lead free perovskite Cs 2 ZrCl 6 NCs for potential optoelectronic applications, but also offers unique strategies for developing new inorganic phosphors.", "author_names": [ "Siping Liu", "Bin Yang", "Junsheng Chen", "Daoyuan Zheng", "Qingkun Kong", "Weiqiao Deng", "Keli Han" ], "corpus_id": 221238598, "doc_id": "221238598", "n_citations": 14, "n_key_citations": 0, "score": 0, "title": "Efficient Thermally Activated Delayed Fluorescence from All Inorganic Cesium Zirconium Halide Perovskite Nanocrystals.", "venue": "Angewandte Chemie", "year": 2020 }, { "abstract": "Recently, all inorganic cesium lead halide (CsPbX3, X Cl, Br, and I) nanocrystals (NCs) have drawn wide attention because of their excellent optoelectronic properties and potential applications. However, one of the most significant challenges of such NCs is their low stability against protonic solvents. In this work, we demonstrate that by incorporating a highly branched capping ligand, trioctylphosphine oxide (TOPO) into the traditional oleic acid/oleylamine system, monodisperse CsPbX3 NCs with excellent optoelectronic properties can be achieved at elevated temperatures (up to 260 degC) The size of such NCs can be varied in a relatively wide range. The capping of TOPO on NCs has been verified through Fourier transform infrared spectroscopy measurement. More importantly, the presence of TOPO can dramatically improve the stability of CsPbX3 NCs against ethanol treatment. After ethanol treatment for 100 min, the emission intensity of the TOPO capped sample dropped only 5% whereas that of non TOPO capped NCs dropped up to 86% This work may shed some light on the preparation and application of CsPbX3 NCs with higher stability.", "author_names": [ "Linzhong Wu", "Qixuan Zhong", "Di Yang", "Min Chen", "Huicheng Hu", "Qi Pan", "Haiyu Liu", "Muhan Cao", "Yong Xu", "Baoquan Sun", "Qiao Zhang" ], "corpus_id": 167219, "doc_id": "167219", "n_citations": 85, "n_key_citations": 2, "score": 0, "title": "Improving the Stability and Size Tunability of Cesium Lead Halide Perovskite Nanocrystals Using Trioctylphosphine Oxide as the Capping Ligand.", "venue": "Langmuir the ACS journal of surfaces and colloids", "year": 2017 }, { "abstract": "Lead based perovskite nanocrystals (NCs) have outstanding optical properties and cheap synthesis conferring them a tremendous potential in the field of optoelectronic devices. However, two critical problems are still unresolved and hindering their commercial applications: one is the fact of being lead based and the other is the poor stability. Lead free all inorganic perovskite Cs3 Bi2 X9 (X=Cl, Br, I) NCs are synthesized with emission wavelength ranging from 400 to 560 nm synthesized by a facile room temperature reaction. The ligand free Cs3 Bi2 Br9 NCs exhibit blue emission with photoluminescence quantum efficiency (PLQE) about 0.2 The PLQE can be increased to 4.5 when extra surfactant (oleic acid) is added during the synthesis processes. This improvement stems from passivation of the fast trapping process (2 20 ps) Notably, the trap states can also be passivated under humid conditions, and the NCs exhibited high stability towards air exposure exceeding 30 days.", "author_names": [ "Bin Yang", "Junsheng Chen", "Feng Hong", "Xin Mao", "Kaibo Zheng", "Songqiu Yang", "Yajuan Li", "Tonu Pullerits", "Weiqiao Deng", "Keli Han" ], "corpus_id": 30149158, "doc_id": "30149158", "n_citations": 254, "n_key_citations": 0, "score": 0, "title": "Lead Free, Air Stable All Inorganic Cesium Bismuth Halide Perovskite Nanocrystals.", "venue": "Angewandte Chemie", "year": 2017 }, { "abstract": "Lead halide perovskite materials are thriving in optoelectronic applications due to their excellent properties, while their instability due to the fact that they are easily hydrolyzed is still a bottleneck for their potential application. In this work, water resistant, monodispersed and stably luminescent cesium lead bromine perovskite nanocrystals coated with CsPb2Br5 were obtained using a modified non stoichiometric solution phase method. CsPb2Br5 2D layers were coated on the surface of CsPbBr3 nanocrystals and formed a core shell like structure in the synthetic processes. The stability of the luminescence of the CsPbBr3 nanocrystals in water and ethanol atmosphere was greatly enhanced by the photoluminescence inactive CsPb2Br5 coating with a wide bandgap. The water stable enhanced nanocrystals are suitable for long term stable optoelectronic applications in the atmosphere.", "author_names": [ "Bo Qiao", "Pengjie Song", "Jingyue Cao", "Suling Zhao", "Zhaohui Shen", "", "Zhiqin Liang", "Zheng Xu", "Dan-dan Song", "Xurong Xu" ], "corpus_id": 42343365, "doc_id": "42343365", "n_citations": 61, "n_key_citations": 0, "score": 0, "title": "Water resistant, monodispersed and stably luminescent CsPbBr3/CsPb2Br5 core shell like structure lead halide perovskite nanocrystals.", "venue": "Nanotechnology", "year": 2017 }, { "abstract": "Lead based perovskite nanocrystals (NCs) have demonstrated outstanding optical properties and cheap synthesis methods conferring them a tremendous potential in the field of optoelectronic devices. However,two critical problems are still unresolved and hindering their commercial applications: one is the fact of being lead based and the other is the poor stability. Here, we report alead free all inorganic perovskite Cs3Bi2X9(X= Cl, Br, I)NCswith emission wavelength ranging from 400 to 560 nm synthesized by a facile room temperature reaction. The ligand free Cs3Bi2Br9NCs exhibits blue emission with photoluminescence quantum efficiency (PLQE) about 0.2% The PLQE can be increased to 5 when extra surfactant (oleic acid) is added during the synthesis processes.We determine that this improvement stems from passivationoffast trapping process (2~20 ps) Notably the trap states can also be passivated in humid condition and the NCs exhibited high stabilitytowards air exposure exceeding 30 days.", "author_names": [ "Ke-Li Han", "Bin Yang", "Junsheng Chen", "Feng Hong", "Xin Mao", "Kaibo Zheng", "Songqiu Yang", "Yajuan Li", "Tonu Pullerits", "Wei-Qiao Deng" ], "corpus_id": 103326454, "doc_id": "103326454", "n_citations": 46, "n_key_citations": 0, "score": 0, "title": "Lead Free, All Inorganic Cesium Bismuth Halide Perovskite Nanocrystals with Long Term Stability", "venue": "", "year": 2017 } ]
current fed zcs converter analysis
[ { "abstract": "This paper presents a systematic derivation of a small signal model of current fed half bridge isolated dc/dc converter for the hybrid electric vehicle based on the lithium battery and fuel cell. The reflected output voltage across the power semiconductor devices can naturally be clamped at Vdeg/n by a secondary modulation strategy without any additional circuit, which can reduce footprints and cost. Moreover, the soft switch of all can be achieved resulting in low switching losses and higher efficiency due to ZCS/ZVS. In order to maintain the constant bus voltage and the balanced two way inductor current, a small signal model using state space averaging technique is deduced and the dual closed loop controller is designed in detail. The co simulation of PSIM and MATLAB/SIMULINK is used to verify the effectiveness of the controller when the load step changes in case of the different input voltage.", "author_names": [ "Minchi Xie", "Yigeng Huangfu", "Qingchao Zhang", "Qian Li", "Dongdong Zhao", "Yuntian Liu" ], "corpus_id": 57365204, "doc_id": "57365204", "n_citations": 1, "n_key_citations": 0, "score": 1, "title": "Small Signal Analysis and Control Design of Snubberless Naturally Clamped ZCS/ZVS Current Fed Half Bridge DC/DC Converter for EV", "venue": "IECON 2018 44th Annual Conference of the IEEE Industrial Electronics Society", "year": 2018 }, { "abstract": "The paper proposes and analyzes an impulse commutation based zero current switching (ZCS) current fed three phase push pull dc/dc converter. The classic problem of turn off spike in current fed converters has been addressed via impulse commutation. A small high frequency capacitor is used to solve the problem. The resonance between the leakage inductances and the parallel capacitors facilitates zero current operation of the devices via impulse commutation and confines the maximum switch voltage to Vo/n naturally. Variable frequency modulation aids in regulating the output voltage. Impulse commutation offers reduction in the component count (snubber or active clamp) and superior performance with low circulating currents. Simulation results using PSIM 9.3 and experimental results on 1kW laboratory prototype have been demonstrated to corroborate the aforementioned claims.", "author_names": [ "Radha Sree Krishna Moorthy", "Akshay Kumar Rathore" ], "corpus_id": 24312265, "doc_id": "24312265", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Analysis and design of impulse commutated ZCS three phase current fed push pull DC/DC converter", "venue": "2016 IEEE Applied Power Electronics Conference and Exposition (APEC)", "year": 2016 }, { "abstract": "This paper presents a novel snubberless naturally clamped bidirectional current fed half bridge isolated dc/dc converter for fuel cell vehicles (FCVs) The proposed converter achieves zero current switching (ZCS) of the primary side active semiconductor devices and zero voltage switching of the secondary side active semiconductor devices. It is a potential topology for FCVs, front end dc/dc power conversion for fuel cell inverters, and energy storage. A proposed secondary modulation clamps the voltage across the primary side devices (current fed) naturally and eliminates switch turn off voltage spike concern with ZCS without any additional circuit. This leads to reduced footprints and lower cost. Voltage across the primary side current fed devices is independent of duty cycle like conventional current fed converters but clamped at a reflected output voltage. Therefore, comparatively low voltage rating devices with a low on state resistance are used, introducing low conduction losses and higher efficiency. Steady state analysis, operation, design, simulation, and experimental results of the proposed converter are reported in this paper.", "author_names": [ "Akshay Kumar Rathore", "R PrasannaU" ], "corpus_id": 28183432, "doc_id": "28183432", "n_citations": 124, "n_key_citations": 5, "score": 0, "title": "Analysis, Design, and Experimental Results of Novel Snubberless Bidirectional Naturally Clamped ZCS/ZVS Current Fed Half Bridge DC/DC Converter for Fuel Cell Vehicles", "venue": "IEEE Transactions on Industrial Electronics", "year": 2013 }, { "abstract": "This paper presents steady state analysis and simulation of full bridge current fed dc dc converter with Synchronous rectification. This converter is best suited for Grid connected Fuel Cell applications. Synchronous rectifier circuit with voltage doubler was implemented on the secondary side of H/F isolation Transformer. Secondary modulation technique helps in reducing blocking voltage spike of the primary side switches at low value. The proposed converter topology ensures Zero Current Switching (ZCS) on primary side and Zero Voltage Switching (ZVS) on secondary side of H/F isolation transformer. Primary devices clamped at low voltage, which intends to use power MOSFET's with low ON state resistance values we can go with less conduction losses moreover all power switches ensuring soft switching which will reduce the switching losses there by the converter efficiency will improve. The proposed converter is analyzed and simulated for 250W with an input voltage of 12V and the output voltage is modulated using duty cycle from 150V 300V.", "author_names": [ "Mule Sai Krishna Reddy", "Devaraj Elangovan" ], "corpus_id": 14515800, "doc_id": "14515800", "n_citations": 3, "n_key_citations": 2, "score": 0, "title": "Analysis and simulation of ZCS current fed full bridge high gain DC DC converter with Synchronous rectification", "venue": "2015 Conference on Power, Control, Communication and Computational Technologies for Sustainable Growth (PCCCTSG)", "year": 2015 }, { "abstract": "A full dc and ac analysis of a previous proposed boost type input soft switching full bridge converter is presented. The primary side switches turn on/off under a zero current condition (ZCS) The soft switching is realized by using a simple snubber, formed by two uni directional switches and a resonant capacitor, in the primary side. The snubber's switches commute under a zero voltage condition. The resonant energy used for getting ZCS is self adaptable, depending only on the value of the input current. Except when the input current is at its maximum value, less resonant energy is used, keeping the conduction losses at a low value. A dc analysis allows for the calculation of the duty cycle. The duty cycle loss, the maximum voltage across the snubber capacitor, and the duration of the soft switching assisted intervals (the charging and discharging intervals of the snubber capacitor) are represented for different values of the resonant capacitor and the leakage inductance of the converter transformer. These graphics allow for an optimized design, by trading off the voltage stress on the resonant capacitor (i.e. on the primary side switches) with the loss of duty cycle (i.e. with the ZCS regulation range) The range of the input voltage and load variation for which both output voltage regulation and soft switching are assured is determined. Soft switching is obtained for a wide line and load range. A current controlled feedback circuit, using a digital signal processor (DSP) with a soft start scheme was implemented. A small signal ac analysis of the power stage allowed for the design of the digital controllers.", "author_names": [ "H Wang", "H S -h Chung", "Saad Tapuchi", "Adrian Ioinovici" ], "corpus_id": 37891867, "doc_id": "37891867", "n_citations": 9, "n_key_citations": 0, "score": 0, "title": "Modeling and Analysis of a Current Fed ZCS Full Bridge DC/DC Converter with Adaptive Soft Switching Energy", "venue": "2009 Twenty Fourth Annual IEEE Applied Power Electronics Conference and Exposition", "year": 2009 }, { "abstract": "In this paper, a single phase single stage isolated zero current switched (ZCS) current fed full bridge ac/dc converter is proposed for IGBT based high power power factor correction (PFC) applications. By adding an additional commutation path and few resonant components, ZCS operation is realized for all IGBTs. The conduction loss is also lowered by the additional path. Furthermore, the control strategy for the proposed converter is compatible with that developed for traditional PFC converter. In this paper, the topology derivation and circuit operational analysis are given. The control strategy is introduced. A 3 kw prototype is developed and tested to verify the circuit functionality. Finally, the efficiency performance is investigated and comparison is made to show the advantages of the topology.", "author_names": [ "Chushan Li", "Yu Zhang", "Zhifang Cao", "Dewei David Xu" ], "corpus_id": 33817921, "doc_id": "33817921", "n_citations": 40, "n_key_citations": 2, "score": 0, "title": "Single Phase Single Stage Isolated ZCS Current Fed Full Bridge Converter for High Power AC/DC Applications", "venue": "IEEE Transactions on Power Electronics", "year": 2017 }, { "abstract": "Impulse commutated current fed push pull (ICCPP) converter has been analyzed and designed. The topology maintains zero current switching (ZCS) of the semiconductor devices. Variable frequency modulation has been adopted to regulate load voltage and achieve ZCS over variable input voltage. At fixed input voltage, ZCS and load voltage are load independent. Natural clamping of devices is achieved via impulse commutation. Push pull converters have only two devices with common ground with source requiring simple gate drive circuitry. A comprehensive study of the proposed converter, including steady state analysis and design, has been reported. A 500 W prototype was developed in the laboratory to evaluate and demonstrate the performance of the converter.", "author_names": [ "K Radha Sree", "Akshay Kumar Rathore" ], "corpus_id": 5511732, "doc_id": "5511732", "n_citations": 32, "n_key_citations": 2, "score": 0, "title": "Impulse Commutated Zero Current Switching Current Fed Push Pull Converter: Analysis, Design, and Experimental Results", "venue": "IEEE Transactions on Industrial Electronics", "year": 2015 }, { "abstract": "This paper presents the performance analysis of current fed push pull dc/dc converter based on ZCS with impulse commutation. The basic problem of conventional current fed converter is turn off spikes. This problem has been solved by impulse commutation. For zero current operation of devices with impulse commutation the resonance is achieved between leakage inductances and parallel capacitors reduces the components of circuit and provide low circulating current. The simulation results using MATLAB 2015b have been obtained for input, switches, inductances, capacitors, diodes, and output.", "author_names": [ "Pavan Singh Tomar", "Ashok Kumar Sharma", "Kanak Hada", "Jyoti Gupta" ], "corpus_id": 21661758, "doc_id": "21661758", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Performance analysis of current fed impulse commutated three phase push pull DC/DC converter", "venue": "2017 International Conference On Smart Technologies For Smart Nation (SmartTechCon)", "year": 2017 }, { "abstract": "An interleaved current fed capacitor less DC/AC converter for PV systems is proposed in this paper with the merits low input current ripple, high power density and high reliability. This proposed DC/AC converter is composed of an interleaved current fed dc/pulsating dc converter cascaded with a three phase pulsating dc/ac inverter through pulsating dc link modulation. The pulse width modulation of frontend converter is encoded with the sinusoidal information of three phase line voltage, and it will reduce the switching frequency of pulsating dc/ac inverter. The power density and lifetime of the proposed converter can be increased significantly by eliminating the intermediate electrolytic capacitor, which benefits from pulsating dc link instead of fixed dc link. Meanwhile, secondary clamping technique applied in the front end dc/pulsating dc converter can realize zero current switching (ZCS) for the primary side and zero voltage switching (ZVS) for the secondary side, and is able to avoid voltage spikes caused by the transformer leakage inductance as well. Moreover, the current fed topology and interleaved structure can lower the input current ripple. The aforesaid merits make the converter suitable for PV systems. Steady state operation modes, analysis, simulation and experience results are presented in this paper. A 1kW laboratory prototype is built to demonstrate the validity of the proposed topology.", "author_names": [ "Yue Zhang", "Zheng Wang", "Ming Cheng" ], "corpus_id": 25630310, "doc_id": "25630310", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Analysis of an interleaved current fed capacitor less DC/AC converter for PV systems", "venue": "2017 IEEE Energy Conversion Congress and Exposition (ECCE)", "year": 2017 }, { "abstract": "A new soft switched, current driven full bridge converter is presented. The new topology has the advantages of simple circuit topology, soft switching implementation with simple snubber device, high efficiency and simple control and is formed by two unidirectional switches and a capacitor to realize soft switching operation over a wide line and load range. The energy used for soft switching is self adaptable. For a given input current, the snubber capacitor is charged to the minimum required energy for ZCS of the switches. Thus, less resonant energy is used and the conduction loss can be kept minimal. The cyclical switching operation and control of the converter will be simulated using MATLAB 7.5b. This proposed method make the converter promising for medium and high power applications are required. The experimental results measured on a 5 kw, 530 5300kv confirms the advantages of the proposed converter.", "author_names": [ "", "Mrs Deva Brinda", "Neena Ramesh" ], "corpus_id": 31457538, "doc_id": "31457538", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "DESIGN AND ANALYSIS OF ZCS CURRENT FED FULL BRIDGE CONVERTER", "venue": "", "year": 2011 } ]
ML driven material science
[ { "abstract": "Recently, photo degrading organic waste material is a hot topic. Many photocatalysts based on semiconductor oxide have been reported. Although they have excellent catalytic activity under ultraviolet (UV) irradiation, it is difficult to practical application because of the limited ratio of UV light in whole solar irradiation. In this work, we prepared solar driven photocatalyst based on graphene quantum dots (GQDs) and silver nanoparticles (Ag NPs) by simple photo reduction reaction. In the synthesized process, GQDs were served as reducing agent for Ag cations and capping agent for the in situ formation of Ag NPs with small size. Although the used concentration of Ag cations was very low (just 0.54 mg/ml) GQDs Ag photocatalyst exhibited a high efficiency for photo degradation Rhodamine B under sunlight. It could be ascribed to the synthetic role of Ag NPs and GQDs, and further the detailed photocatalytic mechanism was analyzed in this paper. Because this kind of photocatalyst made from water solution and can be driven by sunlight, it is a promising photocatalyst for industrial applications in the environmental remediation field.", "author_names": [ "Lifeng Wang", "Yan Li", "Sen Li", "Ying-Min Wang", "Wen-hui Kong", "Wendong Xue", "Cancan Li" ], "corpus_id": 136149389, "doc_id": "136149389", "n_citations": 5, "n_key_citations": 0, "score": 0, "title": "Synthesis, characterization and photocatalytic activity of graphene quantum dots Ag solar driven photocatalyst", "venue": "Journal of Materials Science: Materials in Electronics", "year": 2017 }, { "abstract": "A ternary visible light driven photocatalyst, Ag/BiVO4/reduced graphene oxide (rGO) composite was manufactured by hydrothermal strategy. The optimized products were characterized by XRD, SEM, HRTEM, EDS, XPS, DRS, Raman spectra, PL, BET, photocurrent density and EIS analysis. Compared to pure BiVO4, the fabricated ternary composite showed enhanced photocatalytic ability to decompose pollutant under visible light. Triclosan was completely removed after 100 min in solution with 1 mg/mL photocatalyst under visible light irradiation. Repeated cycle tests demonstrated the photo stability and reusability of composite to decompose triclosan, indicating that this material could be utilized repeatedly. The upgraded photocatalytic ability was attributed to the addition of Ag and rGO, which enhanced the charge separation and inhibited the recombination of photogenerated electrons and holes. The EPR spin trap technique (with DMPO) was performed to identify the radicals produced in Ag/BiVO4/rGO under the visible light, and trapping experiments were conducted to determine the main active species in the photocatalytic process of decomposing triclosan. Finally, seven reaction intermediates of triclosan were detected by LC MS/MS and possible degradation routes were proposed.", "author_names": [ "Ming Li", "Guanghui Xu", "Zhen-Lon Guan", "Yang Wang", "Hongwen Yu", "Yong Yu" ], "corpus_id": 73455418, "doc_id": "73455418", "n_citations": 39, "n_key_citations": 0, "score": 0, "title": "Synthesis of Ag/BiVO4/rGO composite with enhanced photocatalytic degradation of triclosan.", "venue": "The Science of the total environment", "year": 2019 }, { "abstract": "Innovative paramagnetic one dimensional (1 D) core shell Fe Ag@AgCl visible light driven photocatalysts are synthesized through a template assisted electrodeposition method trailed by FeCl3 in situ oxidation. The metallic nature of Fe Ag@AgCl is confirmed through scanning electron microscopy (SEM) and crystal nature through X ray diffraction (XRD) The controllable diameter of Fe Ag is obtained through the selection of hollow size of the polycarbonate (PC) template. Electron impedance spectroscopy (EIS) confirms through the introduction of Fe to the Ag core that has prolonged the recombination of electron and hole. Escherichia coli (E. coli) are employed as the target bacteria to evaluate the photocatalytic disinfection performances. A total of 1.30 mg of Fe Ag@AgCl is proved to be able to completely inactivate 107 CFU (colony forming units)/mL after 120 min of visible light irradiation. The transition electron microscopy (TEM) confirms the stability of the material after the photo reaction. As Fe Ag@AgCl possesses magnetic properties, the material is recovered through the application of an external magnetic field. SEM images and results of 3D emission extraction matrix (EEM) depict that the bacteria cell death is caused by membrane permeability changes caused by the reduction of membrane associated proteins.", "author_names": [ "Yanping Cui", "Nimesha Thathsarani", "Liang Peng", "Ya Gao", "Lidan Lei", "Zhengwei Zhou", "Li-Li Liang", "Xiaoyan Shi" ], "corpus_id": 210914162, "doc_id": "210914162", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Visible light activated 1 D core shell paramagnetic Fe Ag@AgCl as an innovative method for photocatalytic inactivation of E. coli", "venue": "Environmental Science and Pollution Research", "year": 2020 }, { "abstract": "Abstract Discovery of new energy materials with thermal stability and special electro optical properties has always been the goal and challenge of material science. As an important energy material, spinel has been widely used in the fields of photovoltaics, piezoelectric, catalysis, batteries, and thermoelectrics. However, there are many spinels with AB2X4 formula that have not been explored, especially for the ones with direct band gaps, which severely limit their applications. Here, we develop a target driven method that uses machine learning (ML) to accelerate the ab initio predictions of unknown spinels from the periodic table of elements. Under this strategy, eight spinels with direct band gaps and thermal stabilities at room temperature are screened out successfully from 3880 unexplored spinels (CaAl2O4, CaGa2O4, SnGa2O4, CaAl2S4, CaGa2S4, CaAl2Se4, CaGa2Se4, CaAl2Te4) The screened spinels show good optoelectronic performance in the energy systems (thin film solar cells, photocatalysts, etc. Based on the XGBoost algorithm, a semiconductor classification model with strong structure property relationship is established, with a high prediction accuracy of 91.2% and a low computational cost of a few milliseconds. The proposed target driven approach shortens the research cycle of spinel screening by approximately 3.4 years and enables the discovery and design of a wide range of energy materials. Compared with traditional high throughput material screening, the proposed method has potential applications in shortening the screening time and accelerating the development of material genomics.", "author_names": [ "Zhilong Wang", "Haikuo Zhang", "Jinjin Li" ], "corpus_id": 230553351, "doc_id": "230553351", "n_citations": 6, "n_key_citations": 0, "score": 1, "title": "Accelerated discovery of stable spinels in energy systems via machine learning", "venue": "", "year": 2021 }, { "abstract": "Abstract Computational materials science based on data driven approach has gained increasing interest in recent years. The capability of trained machine learning (ML) models, such as an artificial neural network (ANN) to predict the material properties without repetitive calculations is an appealing idea to save computational time. Thermal conductivity in single or multilayer structure is a quintessential property that plays a pivotal role in electronic applications. In this work, we exemplified a data driven approach based on ML and high throughput computation (HTC) to investigate the cross plane thermal transport in multilayer stanene. Stanene has attracted considerable attention due to its novel electronic properties such as topological insulating features with a wide bandgap, making it an appealing candidate to ferry current in electronic devices. Classical molecular dynamics simulations are performed to extract the lattice thermal conductivities (kL) The calculated cross plane kL is orders of magnitude lower than its lateral counterparts. Impact factors such as layer number, system temperature, interlayer coupling strength, and compressive/tensile strains are explored. It is found that kL of multilayer stanene in the cross plane direction can be diminished by 86.7% with weakened coupling strength, or 66.6% with tensile strains. A total of 2700 kL data are generated using HTC, which are fed into 9 different ANN models for training and testing. The best prediction performance is given by the 2 layer ANN with 30 neurons in each layer.", "author_names": [ "Yang Hong", "Dan Han", "Bo Hou", "Xinyu Wang", "Jingchao Zhang" ], "corpus_id": 233557579, "doc_id": "233557579", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "High Throughput Computations of Cross Plane Thermal Conductivity in Multilayer Stanene", "venue": "", "year": 2021 }, { "abstract": "To date, the development of organic light emitting diode (OLED) materials has been primarily based on a combination of chemical intuition and trial and error experimentation. The approach is often expensive and time consuming, let alone in most instances fails to offer new materials leading to higher efficiencies. Data driven approaches have emerged as a powerful tool to accelerate the design and discovery of novel materials with multifunctional properties for next generation OLED technologies. Virtual high throughput methods assisted by machine learning (ML) enable a broad screening of chemical space to predict material properties and suggest new candidates for OLEDs. In order to build reliable predictive ML models for OLED materials, it is required to create and manage a high volume of data which not only maintain high accuracy but also properly assess the complexity of materials chemistry in the OLED space. Active learning (AL) is among several strategies developed to face the challenge in both materials science and life science applications, where the data management in large scale becomes a main bottleneck. Here, we present a workflow that efficiently combines AL with atomic scale simulations to reliably predict optoelectronic properties of OLED materials. This study provides a robust and validated framework to account for multiple parameters that simultaneously influence OLED performance. Results of this work pave the way for a fundamental understanding of optoelectronic performance of emergent layers from a molecular perspective, and further screen candidate materials with superior efficiencies before laborious simulations, synthesis, and device fabrication.", "author_names": [ "Hadi Abroshan", "Anand Chandrasekaran", "Paul Winget", "Shaun H Kwak", "Mathew D Halls" ], "corpus_id": 237245009, "doc_id": "237245009", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Accelerated design and optimization of novel OLED materials via active learning", "venue": "Organic Photonics Electronics", "year": 2021 }, { "abstract": "Tingting Kong obtained her PhD degree from Xi'an University of Science and Technology in 2017 and is currently a lecturer at Xi'an Shiyou University. Her research interest focuses on material design for photocatalytic CO2 conversion. Jingxiang Low obtained his PhD degree from Wuhan University of Technology in 2018 and is currently a postdoctoral fellow at the University of Science and Technology of China (USTC) His research interest focuses on photocatalyst design for CO2 reduction, nitrogen fixation, and methane conversion. Yujie Xiong is the Cheung Kong Chair Professor, deputy dean of the School of Chemistry and Materials Science at USTC, and director of the Division of Nanocatalysis and Energy Conversion at Hefei National Laboratory for Physical Sciences at the Microscale. His research interests include inorganic materials and devices for CO2 reduction, nitrogen fixation, methane conversion, water splitting, and chemical production.", "author_names": [ "Tingting Kong", "Jingxiang Low", "Yujie Xiong" ], "corpus_id": 216454172, "doc_id": "216454172", "n_citations": 7, "n_key_citations": 0, "score": 0, "title": "Catalyst: How Material Chemistry Enables Solar Driven CO2 Conversion", "venue": "", "year": 2020 }, { "abstract": "X ray diffraction (XRD) is well known for yielding composition and structural information about a material. However, in some applications (such as threat detection in aviation security) the properties of a material are more relevant to the task than is a detailed material characterization. Furthermore, the requirement that one first identify a material before determining its class may be difficult or even impossible for a sufficiently large pool of potentially present materials. We therefore seek to learn relevant composition structure property relationships between materials to enable material identification free classification. We use an expert informed, data driven approach operating on a library of XRD spectra from a broad array of stream of commerce materials. We investigate unsupervised learning techniques in order to learn about naturally emergent groupings, and apply supervised learning techniques to determine how well XRD features can be used to separate user specified classes in the presence of different types and degrees of signal degradation.", "author_names": [ "Siyang Yuan", "Scott D Wolter", "Joel A Greenberg" ], "corpus_id": 125684566, "doc_id": "125684566", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Classification free threat detection based on material science informed clustering", "venue": "Defense Security", "year": 2017 }, { "abstract": "The investigation of superintense laser driven ion sources and their potential applications offers unique opportunities of multisciplinary research. Plasma physics can be combined with materials and nuclear science, radiation detection and advanced laser technology, leading to novel research challenges of great fundamental and applicative interest. In this paper we present interesting and comprehensive results on nanostructured low density (near critical) foam target for TW and PW class lasers, obtained in the framework of the ERC ENSURE project. Numerical simulations and experimental activities carried out at 100s TW and PW class laser facilities have shown that targets consisting in a solid foil coated with a nanostructured low density (near critical) foam can lead to an enhancement of the ion acceleration process. This stimulated a thorough numerical investigation of superintense laser interaction with nanostructured near critical plasmas. Thanks to a deep understanding of the foam growth process via Pulsed Laser Deposition technique and to the complementary capabilities of High Power Impulse Magnetron Sputtering, advanced multi layer targets based on near critical films with carefully controlled properties (e.g. density gradients over few microns length scales) can now be manufactured, with applications outreaching the field of laser driven ion acceleration. In addition, a comprehensive numerical and theoretical work has allowed to design dedicated experiments and a realistic table top apparatus for laser driven materials irradiation, Ion Beam Analysis and neutron generation, that exploits a double layer target to reduce the requirements for the laser system.", "author_names": [ "Matteo Passoni", "Francesca Maria Arioli", "Lorenzo Cialfi", "David Dellasega", "Luca Fedeli", "Arianna Formenti", "Anna Chiara Giovannelli", "Alessandro Maffini", "F Mirani", "Andrea Pazzaglia", "Alessandro Tentori", "D Vavassori", "Margherita Zavelani-Rossi", "Valeria Russo" ], "corpus_id": 199371609, "doc_id": "199371609", "n_citations": 14, "n_key_citations": 0, "score": 0, "title": "Advanced laser driven ion sources and their applications in materials and nuclear science", "venue": "", "year": 2019 }, { "abstract": "Data is a crucial raw material of this century, and the amount of data that has been created in materials science in recent years and is being created every new day is immense. Without a proper infrastructure that allows for collecting and sharing data (including the original data) the envisioned success of materials science and, in particular, Big Data driven materials science will be hampered. For the field of computational materials science, the NOMAD (Novel Materials Discovery) Center of Excellence (CoE) has changed the scientific culture towards a comprehensive and FAIR data sharing, opening new avenues for mining Big Data of materials science. Novel data analytics concepts and tools turn data into knowledge and help the prediction of new materials or the identification of new properties of already known materials.", "author_names": [ "Claudia Draxl", "Matthias Scheffler" ], "corpus_id": 119074571, "doc_id": "119074571", "n_citations": 133, "n_key_citations": 2, "score": 0, "title": "NOMAD: The FAIR Concept for Big Data Driven Materials Science", "venue": "", "year": 2018 } ]
Long-wavelength silicon photonic integrated circuits
[ { "abstract": "In this paper we elaborate on our development of silicon photonic integrated circuits operating at wavelengths beyond the telecommunication wavelength window. Silicon on insulator waveguide circuits up to 3.8 mm wavelength are demonstrated as well as germanium on silicon waveguide circuits operating in the 5 5 mm wavelength range. The heterogeneous integration of III V semiconductors and IV VI semiconductors on this platform is described for the integration of lasers and photodetectors operating in the 2 3 mm wavelength range. GeSn is proposed as an appealing approach to monolithically integrated long wavelength detectors. Finally, nonlinear optics in silicon waveguide circuits beyond the two photon absorption threshold is explored.", "author_names": [ "Gunther Roelkens", "Utsav D Dave", "Alban Gassenq", "Nannicha Hattasan", "C Hu", "Bart Kuyken", "Francois Leo", "Aditya Malik", "Muhammad Muneeb", "Eva Ryckeboer", "Dorian Sanchez", "Sarah Uvin", "R Wang", "Zeger Hens", "Roel Baets", "Yosuke Shimura", "Federica Gencarelli", "Benjamin Vincent", "Roger Loo", "Joris Van Campenhout", "Laurent Cerutti", "J B Rodriguez", "Eric Tournie", "Xu-lin Chen", "Milos S Nedeljkovic", "Goran Z Mashanovich", "L Longfei Shen", "Noel Healy", "Anna C Peacock", "Xiaoping Liu", "Richard M Osgood", "William M J Green" ], "corpus_id": 26614133, "doc_id": "26614133", "n_citations": 0, "n_key_citations": 0, "score": 1, "title": "Long wavelength silicon photonic integrated circuits", "venue": "11th International Conference on Group IV Photonics (GFP)", "year": 2014 }, { "abstract": "An efficient integration scheme for a vertical cavity surface emission laser (VCSEL) on a silicon photonic circuit is introduced. A grating coupler fabricated on a tilted silicon membrane is used to couple the vertical emission of the VCSEL to the in plane silicon waveguide with a high coupling efficiency and a low reflection back to the laser. Single mode and single polarization VCSELs at 1310nm wavelength band are flip chip bonded on the silicon photonic chip. A coupling efficiency of 5.8dB is measured at the laser wavelength and a high optical power of 0.66mW is coupled to the silicon waveguide. Data transmission up to 10Gb/s with direct current modulation is realized using the integrated VCSEL. All the structures in the present coupling scheme are massively producible, and the hybrid integration of VCSELs relies on a standard high accuracy flip chip process with passive alignment.", "author_names": [ "Ziliang Ruan", "Yuntao Zhu", "Pengxin Chen", "Yaocheng Shi", "Sailing He", "Xinlun Cai", "Liu Liu" ], "corpus_id": 221474246, "doc_id": "221474246", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Efficient Hybrid Integration of Long Wavelength VCSELs on Silicon Photonic Circuits", "venue": "Journal of Lightwave Technology", "year": 2020 }, { "abstract": "We review our work on SWIR and MWIR photonic integrated circuits for spectroscopic sensing systems.", "author_names": [ "Gunther Roelkens", "Bart Kuyken", "Francois Leo", "Nannicha Hattasan", "Eva Ryckeboer", "Muhammad Muneeb", "Chen Hu", "Aditya Malik", "Zeger Hens", "Roel Baets", "Yosuke Shimura", "Federica Gencarelli", "Benjamin Vincent", "Roger Loo", "Peter Verheyen", "Guy Lepage", "Joris Van Campenhout", "Laurent Cerutti", "J B Rodriguez", "Eric Tournie", "Xia Chen", "Milos S Nedeljkovic", "Goran Z Mashanovich", "Xiaoping Liu", "Richard M Osgood", "William M J Green" ], "corpus_id": 29640618, "doc_id": "29640618", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Long wavelength III V/silicon photonic integrated circuits", "venue": "", "year": 2013 }, { "abstract": "The atmospheric transmission window and the fingerprint region of many substances overlaps with the long wave infrared band. This has enabled the emergence of a new path for photonic integrated circuits, which could exploit the potential applications of this wavelength range, including chemical and bio sensing. In this work we review our latest advances in the suspended silicon platform with subwavelength grating lateral cladding at 7.7 mm wavelength. Suspended waveguides only require one lithographic etch step and can be specifically designed to maximize sensitivity when used as sensors. Waveguides with propagation loss of 3.1+ 0.3 dB/cm are demonstrated, as well as bends with less than 0.1 dB/bend. Suspended waveguides based on shifted Bragg grating lateral cladding are also reported, with propagation loss of 5.1+ 0.6 dB/cm. These results prepare the ground for the development of a platform capable of covering the entire mid infrared band.", "author_names": [ "Alejandro Sanchez-Postigo", "J Gonzalo Wanguemert-Perez", "Jordi Soler Penades", "Alejandro Ortega-Monux", "Milos S Nedeljkovic", "Robert Halir", "Faysal El Mokhtari Mimum", "Zhibo Qu", "Ali Z Khokhar", "Ahmed Osman", "Wei Cao", "Callum George Littlejohns", "Goran Z Mashanovich", "Pavel Cheben", "Inigo Molina-Fernandez" ], "corpus_id": 199900990, "doc_id": "199900990", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Suspended Silicon Integrated Platform for the Long Wavelength Mid Infrared Band", "venue": "2019 21st International Conference on Transparent Optical Networks (ICTON)", "year": 2019 }, { "abstract": "In this talk, we review the technical merits of silicon photonic devices and integrated circuits, which have benefited from high index contrast silicon waveguides, a high integration level of various optical functions on the same chips, and mature complementary metal oxide semiconductor (CMOS) fabrication techniques. These technical merits assure silicon photonics as a disruptive optical technology that will achieve low cost and compact optical modules for data communications, with applications such as chip scale optical interconnects, short reach communications in datacenters and supercomputers, and metro/long haul optical transmissions. In particular, we review silicon photonic circuits for wavelength division multiplexing (WDM) transmitters, WDM receivers, coherent optical transmitters and coherent receivers, photonic networks on chip, and silicon photonic light sources.", "author_names": [ "Po Dong" ], "corpus_id": 138890842, "doc_id": "138890842", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Silicon photonic integrated circuits", "venue": "", "year": 2016 }, { "abstract": "The optimization of grating couplers is usually realized by multiple simulations using specific computational software for this task. Many grating parameters must be analyzed and designed to get the maximum coupling efficiency and the transmission spectrum centred at the wavelength of operation. However, these simulations may take a long time and consume high computational resources depending on the simulation resolution. This work is focused on finding a method to optimize the grating parameters with the lowest number of simulations. In this way, closed form expressions are presented to get the optimal values for the period and fill factor, which are the main parameters in the grating design. The usefulness of the proposed approach is shown for the design of silicon grating couplers operating at 1.31 um and 1.55 um and both TE and TM polarizations.", "author_names": [ "Roberto Larrea", "Ana M Gutierrez", "Pablo Sanchis" ], "corpus_id": 126119042, "doc_id": "126119042", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Design method for high performance grating couplers in photonic integrated circuits", "venue": "", "year": 2018 }, { "abstract": "We report an electrically pumped hybrid cavity AlGaInAs silicon long wavelength VCSEL using a high contrast grating (HCG) reflector on a silicon on insulator (SOI) substrate. The VCSEL operates at silicon transparent wavelengths ~1.57 mm with >1 mW CW power outcoupled from the semiconductor DBR, and single mode operation up to 65 degC. The thermal resistance of our device is measured to be 1.46 K/mW. We demonstrate >2.5 GHz 3 dB direct modulation bandwidth, and show error free transmission over 2.5 km single mode fiber under 5 Gb/s direct modulation. We show a theoretical design of SOI HCG serving both as a VCSEL reflector as well as waveguide coupler for an in plane SOI waveguide, facilitating integration of VCSEL with in plane silicon photonic circuits. The novel HCG VCSEL design, which employs scalable flip chip eutectic bonding, may enable low cost light sources for integrated optical links.", "author_names": [ "James Ferrara", "Weijian Yang", "Li Zhu", "Pengfei Qiao", "Connie J Chang-Hasnain" ], "corpus_id": 14099602, "doc_id": "14099602", "n_citations": 64, "n_key_citations": 1, "score": 0, "title": "Heterogeneously integrated long wavelength VCSEL using silicon high contrast grating on an SOI substrate.", "venue": "Optics express", "year": 2015 }, { "abstract": "<jats:p><jats:fig position=\"anchor\"<jats:graphic xmlns:xlink=\"http:/www.w3.org/1999/xlink\" mime subtype=\"jpeg\" mimetype=\"image\" position=\"float\" xlink:href=\"S0883769419002033_figAb\" xlink:type=\"simple\" /jats:fig>/jats:p>", "author_names": [ "C David Wright", "Harish Bhaskaran", "Wolfram H P Pernice" ], "corpus_id": 202980930, "doc_id": "202980930", "n_citations": 11, "n_key_citations": 0, "score": 0, "title": "Integrated phase change photonic devices and systems", "venue": "MRS Bulletin", "year": 2019 }, { "abstract": "Most of the research on silicon on insulator integrated circuits so far has been focused on applications for telecommunication. By using the large refractive index of silicon, compact complex photonic functions have been integrated on a silicon chip. However, the transparency of silicon up to 8.5 mm enables the use of the platform for the mid infrared wavelength region, albeit limited by the absorption in silicon oxide from 4 mm on. This could lead to a whole new set of integrated photonics circuits for sensing, given the distinct absorption bands of many molecules in this wavelength region. These long wavelength integrated photonic circuits would preferably need broadband or widely tunable sources to probe these absorption bands. We propose the use of nonlinear optics in silicon wire waveguides to generate light in this wavelength range. Nonlinear interactions in just a few cm of silicon wire waveguides can be very efficient as a result of both the high nonlinear index of silicon and the high optical confinement obtained in these waveguides. We demonstrate the generation of a supercontinuum spanning from 1.53 mm up to 2.55 mm in a 2 cm dispersion engineered silicon nanowire waveguide by pumping the waveguide with strong picoseconds pulses at 2.12 mm [1] Furthermore we demonstrate broadband nonlinear optical amplification in the mid infrared up to 50 dB [2] in these silicon waveguides. By using this broadband parametric gain a silicon based synchronously pumped optical parametric oscillator (OPO) is constructed [3] This OPO is tunable over 70 nm around a central wavelength of 2080 nm. Finally, we also demonstrate the use of higher order dispersion terms to get phase matching between optical signals at very different optical frequencies in silicon wire waveguides. In this way we demonstrate conversion of signals at 2.44 mm to the telecommunication band with efficiencies up to +19.5 dB [4] One particularly attractive application of such wide conversion is the possibility of converting weak signals in the mid IR to the telecom window after which they can be detected by a high sensitivity telecom band optical receiver.", "author_names": [ "Bart Kuyken" ], "corpus_id": 56371434, "doc_id": "56371434", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Nonlinear optics in silicon wire waveguides: towards integrated long wavelength light sources", "venue": "", "year": 2011 }, { "abstract": "We review recent advances in heterogeneous silicon photonic integration technology and components and describe progress in silicon photonic integrated circuits. Techniques for laser integration and the impact of active silicon photonic integrated circuits could have on interconnects, telecommunications and silicon electronics are reviewed. A variety of materials are being heterogeneously integrated, including arsenides for short wavelength lasers, phosphides for infrared lasers, QCLs for long wavelengths, LiNbO3 and GaAs for nonlinear applications and YIG for isolators and circulators.", "author_names": [ "John E Bowers" ], "corpus_id": 53431128, "doc_id": "53431128", "n_citations": 5, "n_key_citations": 0, "score": 0, "title": "Heterogeneous Photonic Integration on Silicon", "venue": "2018 European Conference on Optical Communication (ECOC)", "year": 2018 } ]
plasmon strong polymerization
[ { "abstract": "Localized surface plasmon resonances (LSPRs) typically arise in nanostructures of noble metals resulting in enhanced and geometrically tunable absorption and scattering resonances. LSPRs, however, are not limited to nanostructures of metals and can also be achieved in semiconductor nanocrystals with appreciable free carrier concentrations. Here, we describe well defined LSPRs arising from p type carriers in vacancy doped semiconductor quantum dots (QDs) Achievement of LSPRs by free carrier doping of a semiconductor nanocrystal would allow active on chip control of LSPR responses. Plasmonic sensing and manipulation of solid state processes in single nanocrystals constitutes another interesting possibility. We also demonstrate that doped semiconductor QDs allow realization of LSPRs and quantum confined excitons within the same nanostructure, opening up the possibility of strong coupling of photonic and electronic modes, with implications for light harvesting, nonlinear optics, and quantum information processing.", "author_names": [ "Joseph M Luther", "Prashant K Jain", "Trevor Ewers", "A Paul Alivisatos" ], "corpus_id": 17325485, "doc_id": "17325485", "n_citations": 1151, "n_key_citations": 14, "score": 0, "title": "Localized surface plasmon resonances arising from free carriers in doped quantum dots.", "venue": "Nature materials", "year": 2011 }, { "abstract": "Visualizing individual molecules with chemical recognition is a longstanding target in catalysis, molecular nanotechnology and biotechnology. Molecular vibrations provide a valuable 'fingerprint' for such identification. Vibrational spectroscopy based on tip enhanced Raman scattering allows us to access the spectral signals of molecular species very efficiently via the strong localized plasmonic fields produced at the tip apex. However, the best spatial resolution of the tip enhanced Raman scattering imaging is still limited to 3 15 nanometres, which is not adequate for resolving a single molecule chemically. Here we demonstrate Raman spectral imaging with spatial resolution below one nanometre, resolving the inner structure and surface configuration of a single molecule. This is achieved by spectrally matching the resonance of the nanocavity plasmon to the molecular vibronic transitions, particularly the downward transition responsible for the emission of Raman photons. This matching is made possible by the extremely precise tuning capability provided by scanning tunnelling microscopy. Experimental evidence suggests that the highly confined and broadband nature of the nanocavity plasmon field in the tunnelling gap is essential for ultrahigh resolution imaging through the generation of an efficient double resonance enhancement for both Raman excitation and Raman emission. Our technique not only allows for chemical imaging at the single molecule level, but also offers a new way to study the optical processes and photochemistry of a single molecule.", "author_names": [ "R Zhang", "Yongsheng Zhang", "Zhenchao Dong", "S L Jiang", "C Zhang", "L G Chen", "Leining Zhang", "Yuan Liao", "Javier Aizpurua", "Y Luo", "J L Yang", "J G Hou" ], "corpus_id": 205233946, "doc_id": "205233946", "n_citations": 1070, "n_key_citations": 13, "score": 0, "title": "Chemical mapping of a single molecule by plasmon enhanced Raman scattering", "venue": "Nature", "year": 2013 }, { "abstract": "In this review we look at the concepts and state of the art concerning the strong coupling of surface plasmon polariton modes to states associated with quantum emitters such as excitons in J aggregates, dye molecules and quantum dots. We explore the phenomenon of strong coupling with reference to a number of examples involving electromagnetic fields and matter. We then provide a concise description of the relevant background physics of surface plasmon polaritons. An extensive overview of the historical background and a detailed discussion of more recent relevant experimental advances concerning strong coupling between surface plasmon polaritons and quantum emitters is then presented. Three conceptual frameworks are then discussed and compared in depth: classical, semi classical and fully quantum mechanical; these theoretical frameworks will have relevance to strong coupling beyond that involving surface plasmon polaritons. We conclude our review with a perspective on the future of this rapidly emerging field, one we are sure will grow to encompass more intriguing physics and will develop in scope to be of relevance to other areas of science.", "author_names": [ "Paivi Torma", "William L Barnes" ], "corpus_id": 3411435, "doc_id": "3411435", "n_citations": 712, "n_key_citations": 15, "score": 0, "title": "Strong coupling between surface plasmon polaritons and emitters: a review.", "venue": "Reports on progress in physics. Physical Society", "year": 2015 }, { "abstract": "Since 2000, there has been an explosion of activity in the field of plasmon enhanced Raman spectroscopy (PERS) including surface enhanced Raman spectroscopy (SERS) tip enhanced Raman spectroscopy (TERS) and shell isolated nanoparticle enhanced Raman spectroscopy (SHINERS) In this Review, we explore the mechanism of PERS and discuss PERS hotspots nanoscale regions with a strongly enhanced local electromagnetic field that allow trace molecule detection, biomolecule analysis and surface characterization of various materials. In particular, we discuss a new generation of hotspots that are generated from hybrid structures combining PERS active nanostructures and probe materials, which feature a strong local electromagnetic field on the surface of the probe material. Enhancement of surface Raman signals up to five orders of magnitude can be obtained from materials that are weakly SERS active or SERS inactive. We provide a detailed overview of future research directions in the field of PERS, focusing on new PERS active nanomaterials and nanostructures and the broad application prospect for materials science and technology. Assisted by rationally designed novel plasmonic nanostructures, surface enhanced Raman spectroscopy has presented a new generation of analytical tools (that is, tip enhanced Raman spectroscopy and shell isolated nanoparticle enhanced Raman spectroscopy) with an extremely high surface sensitivity, spatial resolution and broad application for materials science and technology.", "author_names": [ "Song-Yuan Ding", "Jun Yi", "Jian-feng Li", "Bin Ren", "De-Yin Wu", "Rajapandiyan Panneerselvam", "Zhongqun Tian" ], "corpus_id": 138589942, "doc_id": "138589942", "n_citations": 683, "n_key_citations": 2, "score": 0, "title": "Nanostructure based plasmon enhanced Raman spectroscopy for surface analysis of materials", "venue": "", "year": 2016 }, { "abstract": "Plasmons in doped graphene exhibit relatively large confinement and long lifetime compared to noble metal plasmons. Here, we study the propagation properties of plasmons guided along individual and interacting graphene nanoribbons. Besides their tunability via electrostatic gating, an additional handle to control these excitations is provided by the dielectric environment and the relative arrangement of the interacting waveguides. Plasmon interaction and hybridization in pairs of neighboring aligned ribbons are shown to be strong enough to produce dramatic modifications in the plasmon field profiles. We introduce a universal scaling law that considerably simplifies the analysis an understanding of these plasmons. Our work provides the building blocks to construct graphene plasmon circuits for future compact plasmon devices with potential application to optical signal processing, infrared sensing, and quantum information technology.", "author_names": [ "Johan Christensen", "Alejandro Manjavacas", "Sukosin Thongrattanasiri", "Frank H L Koppens", "F Javier Garcia de Abajo" ], "corpus_id": 5284464, "doc_id": "5284464", "n_citations": 573, "n_key_citations": 12, "score": 0, "title": "Graphene plasmon waveguiding and hybridization in individual and paired nanoribbons.", "venue": "ACS nano", "year": 2012 }, { "abstract": "In this review we look at the concepts and state of the art concerning the strong coupling of surface plasmon polariton modes to states associated with quantum emitters such as excitons in J aggregates, dye molecules and quantum dots. We explore the phenomenon of strong coupling with reference to a number of examples involving electromagnetic fields and matter. We then provide a concise description of the relevant background physics of surface plasmon polaritons. An extensive overview of the historical background and a detailed discussion of more recent relevant experimental advances concerning strong coupling between surface plasmon polaritons and quantum emitters is then presented. Three conceptual frameworks are then discussed and compared in depth: classical, semi classical and fully quantum mechanical; these theoretical frameworks will have relevance to strong coupling beyond that involving surface plasmon polaritons. We conclude our review with a perspective on the future of this rapidly emerging field, one we are sure will grow to encompass more intriguing physics and will develop in scope to be of relevance to other areas of science. PACS numbers: 33.80. b, 73.20.Mf, 42.50.Nn Submitted to: Rep. Prog. Phys. ar X iv :1 40 5. 16 61 v1 ph ys ic s. op tic s] 7 M ay 2 01 4 Strong coupling between surface plasmon polaritons and emitters 2", "author_names": [ "Paivi Torma", "William L Barnes" ], "corpus_id": 198233114, "doc_id": "198233114", "n_citations": 399, "n_key_citations": 1, "score": 0, "title": "Strong coupling between surface plasmon polaritons and emitters", "venue": "", "year": 2018 }, { "abstract": "We report on the strong polarization dependence of the plasmon enhanced fluorescence on single gold nanorods. The fluorescence from the organic fluorophores that are embedded in a mesostructured silica shell around individual gold nanorods is enhanced by the longitudinal plasmon resonance of the nanorods. Our electrostatic calculations show that under an off resonance excitation, the electric field intensity contour around a nanorod rotates away from the length axis as the excitation polarization is varied. The polarization dependence of the plasmon enhanced fluorescence is ascribed to the dependence of the averaged electric field intensity enhancement within the silica shell on the excitation polarization. The measured fluorescence enhancement factor is in very good agreement with that obtained from the electrostatic calculations. The fluorescence enhancement factor increases as the longitudinal plasmon wavelength is synthetically tuned close to the excitation wavelength. In addition, the polarization dependence is used to determine the orientation angle of the gold nanorods. The results are in excellent agreement with the actual measurements. Furthermore, the emission spectrum of the fluorophore is modified by the longitudinal plasmon resonance of the gold nanorods. A linear correlation between the emission peak wavelength and the longitudinal plasmon wavelength is obtained.", "author_names": [ "Tian Ming", "Lei Zhao", "Zhi Yang", "Huanjun Chen", "Ling-Dong Sun", "Jianfang Wang", "Chunhua Yan" ], "corpus_id": 1748944, "doc_id": "1748944", "n_citations": 354, "n_key_citations": 1, "score": 0, "title": "Strong polarization dependence of plasmon enhanced fluorescence on single gold nanorods.", "venue": "Nano letters", "year": 2009 }, { "abstract": "In Forster resonance energy transfer (FRET) energy non radiatively transfers from a blue shifted emitter to a red shifted absorber by dipole dipole coupling. This study shows that plasmonics enables the opposite transfer direction, transferring the plasmonic energy towards the short wavelength direction to induce charge separation in a semiconductor. Plasmon induced resonance energy transfer (PIRET) differs from FRET because of the lack of a Stoke's shift, non local absorption effects and a strong dependence on the plasmon's dephasing rate and dipole moment. PIRET non radiatively transfers energy through an insulating spacer layer, which prevents interfacial charge recombination losses and dephasing of the plasmon from hot electron transfer. The distance dependence of dipole dipole coupling is mapped out for a range of detuning across the plasmon resonance. PIRET can efficiently harvest visible and near infrared sunlight with energy below the semiconductor band edge to help overcome the constraints of band edge energetics for single semiconductors in photoelectrochemical cells, photocatalysts and photovoltaics. Plasmon induced resonance energy transfer is revealed and explored for solar energy harvesting from visible and near infrared light.", "author_names": [ "Jiangtian Li", "Scott K Cushing", "Fanke Meng", "Tess R Senty", "A D Bristow", "Nianqiang Wu" ], "corpus_id": 124092053, "doc_id": "124092053", "n_citations": 360, "n_key_citations": 2, "score": 0, "title": "Plasmon induced resonance energy transfer for solar energy conversion", "venue": "", "year": 2015 }, { "abstract": "We demonstrate the possibility to achieve optical triggering of photochemical reactions via two photon absorption using incoherent light sources. This is accomplished by the use of arrays of gold nanoparticles, specially tailored with high precision to obtain high near field intensity enhancement.", "author_names": [ "Kosei Ueno", "Saulius Juodkazis", "Toshiyuki Shibuya", "Yukie Yokota", "Vygantas Mizeikis", "Keiji Sasaki", "Hiroaki Misawa" ], "corpus_id": 32701744, "doc_id": "32701744", "n_citations": 265, "n_key_citations": 1, "score": 1, "title": "Nanoparticle plasmon assisted two photon polymerization induced by incoherent excitation source.", "venue": "Journal of the American Chemical Society", "year": 2008 }, { "abstract": "Titanium(IV) oxide (TiO2) having both small platinum (Pt) nanoparticles and large gold (Au) particles without alloying and nanoparticle coagulation was successfully prepared by the combination of traditional photodeposition of Pt in the presence of a hole scavenger (PH) and subsequent Au colloid photodeposition in the presence of a hole scavenger (CPH) onto TiO2 Pt. Au particles having an average diameter of 13 nm were fixed on both TiO2 and TiO2 Pt samples without change in the original size of Au particles, and the Au/TiO2 and Au/TiO2 Pt samples exhibited strong photoabsorption around 550 nm as a result of surface plasmon resonance (SPR) of Au to which the large size of Au particles was attributed. Bare TiO2, TiO2 Pt, Au/TiO2, and Au/TiO2 Pt samples were used for photoinduced hydrogen (H2) formation from 2 propanol in aqueous solutions under irradiation of visible light. The first two samples yielded no H2 because of no response to visible light, but the latter two formed H2, indicating that SPR photoab.", "author_names": [ "Atsuhiro Tanaka", "Satoshi Sakaguchi", "Keiji Hashimoto", "Hiroshi Kominami" ], "corpus_id": 102222699, "doc_id": "102222699", "n_citations": 241, "n_key_citations": 0, "score": 0, "title": "Preparation of Au/TiO2 with Metal Cocatalysts Exhibiting Strong Surface Plasmon Resonance Effective for Photoinduced Hydrogen Formation under Irradiation of Visible Light", "venue": "", "year": 2013 } ]
control and disicion making
[ { "abstract": "Supply chain disruptions with an unpredictable occurrence such as significant differences between forecasts and actual customer demands are challenging for semiconductor manufacturers. Normally, these events are responded to with a time consuming mostly manual procedure. This work describes an approach for an automated framework to react faster and with less effort on these unpredictable events. Within this framework simulation is necessary for the evaluation of automated decisions. Therefore, a discrete event simulation and a simulation based on a system dynamics approach have been combined. As a result of this framework's approach, safety stocks can be reduced due to a more accurate cycle time prediction and a reduced number of false alarms regarding supply chain disruptions.", "author_names": [ "Markus Rabe", "Angel Alejandro Juan", "Navonil Mustafee", "Anders Skoogh", "S Jain", "B Johansson" ], "corpus_id": 160024733, "doc_id": "160024733", "n_citations": 0, "n_key_citations": 0, "score": 1, "title": "SIMULATION ASSISTED DECISION MAKING FOR SUPPLY CHAIN DISRUPTIONS IN PRODUCTION CONTROL", "venue": "", "year": 2018 }, { "abstract": "Abstract Objective The trail making test (TMT) is one of the most widely used neuropsychological tests. TMT A provides measures of visual scanning/visuomotor speed and TMT B involves additional demands on executive functions. Derived scores TMT B A and TMT B/A enhance measures of executive functioning. However, simple B A subtraction may lead to false estimates of executive dysfunction in clinical samples. Norms for TMT have been published in several countries but are currently lacking for Scandinavia. Methods A total of 292 healthy controls between age 41 and 84 years were included from the Norwegian \"Dementia Disease Initiation\" (DDI) study (n 170) and the Gothenburg Mild Cognitive Impairment (MCI) study (n 122) We used a regression based procedure to develop demographically adjusted norms for basic (TMT A and TMT B) and derived measures (TMT B A and B/A) We also propose a regression based alternative to the TMT B A measure named \"TMT b\" The proposed norms were compared to norms from Heaton et al. and Tombaugh. Results Due to differences in the estimated normative effects of demographics on performance, the proposed norms for TMT were better suited in the Scandinavian sample compared with published non Scandinavian norms. The proposed TMT b measure was highly correlated to TMT B A (r 0.969, p 0.001) Conclusion We here propose demographically adjusted norms for the TMT for ages 41 through 84 years based on a Scandinavian sample. We also present the regression based derived measure TMT b which may resolve issues with the conventional TMT B A measure.", "author_names": [ "Jacob Espenes", "Erik Hessen", "Ingvild Vollo Eliassen", "Knut Waterloo", "Marie Eckerstrom", "Sigrid Botne Sando", "Santiago Timon", "Anders Wallin", "Tormod Fladby", "Bjorn-Eivind Kirsebom" ], "corpus_id": 222236294, "doc_id": "222236294", "n_citations": 2, "n_key_citations": 1, "score": 0, "title": "Demographically adjusted trail making test norms in a Scandinavian sample from 41 to 84 years", "venue": "The Clinical neuropsychologist", "year": 2020 }, { "abstract": "The relatively large voltage loss (Vloss) in excitonic type solar cells severely limits their power conversion efficiencies (PCEs) Here, we report a comprehensive control of Vloss through efficacious engineering of the sensitizer and redox mediator, making a breakthrough in the PCE of dye sensitized solar cells (DSSCs) The targeted down regulation of Vloss is successfully realized by three valid channels: (i) reducing the driving force of electron injection through dye molecular engineering, (ii) decreasing the dye regeneration overpotential through redox mediator engineering, and (iii) suppressing interfacial electron recombination. Significantly, the \"trade off\" effect between the dye optical band gap and the open circuit voltage (VOC) is minimized to a great extent, achieving a distinct enhancement in photovoltaic performance (PCE 11.5% with VOC up to 1.1 V) for liquid junction cells. The solidification of the best performing device leads to a PCE of 11.7% which is so far the highest efficiency obtained for solid state DSSCs. Our work inspires further development in highly efficient excitonic solar cells by comprehensive control of Vloss.", "author_names": [ "Weiwei Zhang", "Yongzhen Wu", "Hee Won Bahng", "Yiming Cao", "Chenyi Yi", "Yasemin Saygili", "Jingshan Luo", "Yuhang Liu", "Ladislav Kavan", "Jacques-E Moser", "Anders Hagfeldt", "He Tian", "Shaik Mohammed Zakeeruddin", "Wei-hong Zhu", "Michael Gratzel" ], "corpus_id": 102922596, "doc_id": "102922596", "n_citations": 81, "n_key_citations": 0, "score": 0, "title": "Comprehensive control of voltage loss enables 11.7% efficient solid state dye sensitized solar cells", "venue": "", "year": 2018 }, { "abstract": "Under the human environment, robot arms are desired to have safety and versatility. There is a tendon drive arm using nonlinear springs as a mechanism that meets the requirement. By using springs in the power transmission path of this arm, safety at the time of contact can be secured. Also, utilizing the nonlinearity of the springs, the mechanical stiffness of the arm can be adjusted. In this paper, we analyze the natural angular frequency and the damping factor of the force control system for the mechanical stiffness of the tendon drive arm using the nonlinear springs and the contact environmental change using the root locus. In particular, it is possible to increase the response speed without changing the control stiffness by making the mechanical stiffness variable.", "author_names": [ "Akimasa Sobue", "Satoshi Komada", "Kazuhiro Yubai", "and Daisuke Yashiro" ], "corpus_id": 53019644, "doc_id": "53019644", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Analysis of Force/Stiffness Control of Variable Stiffness Tendon Driven Arms", "venue": "2018 12th France Japan and 10th Europe Asia Congress on Mechatronics", "year": 2018 }, { "abstract": "Users may download and print one copy of any publication from the public portal for the purpose of private study or research. You may not further distribute the material or use it for any profit making activity or commercial gain You may freely distribute the URL identifying the publication in the public portal Take down policy If you believe that this document breaches copyright please contact us providing details, and we will remove access to the work immediately and investigate your claim.", "author_names": [ "Tobias Worner", "Johanna Nilsson", "Kristian Thorborg", "Anders Stalman", "Frida Eek" ], "corpus_id": 217033246, "doc_id": "217033246", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Self reported hip function and performance based measures in patients following hip arthroscopy compared to an asymptomatic control group A cross sectional study", "venue": "", "year": 2018 }, { "abstract": "Touch based interaction is becoming increasingly popular and is commonly used as the main interaction paradigm for self service kiosks in public spaces. Touch based interaction is known to be visually intensive, and current non haptic touch display technologies are often criticized as excluding blind users. This study set out to demonstrate that touch based kiosks can be designed to include blind users without compromising the user experience for non blind users. Most touch based kiosks are based on absolute positioned virtual buttons which are difficult to locate without any tactile, audible or visual cues. However, simple stroke gestures rely on relative movements and the user does not need to hit a target at a specific location on the display. In this study, a touch based train ticket sales kiosk based on simple stroke gestures was developed and tested on a panel of blind and visually impaired users, a panel of blindfolded non visually impaired users and a control group of non visually impaired users. The tests demonstrate that all the participants managed to discover, learn and use the touch based self service terminal and complete a ticket purchasing task. The majority of the participants completed the task in less than 4 min on the first attempt.", "author_names": [ "Frode Eika Sandnes", "Tek Beng Tan", "Anders Sewerin Johansen", "Edvin Sulic", "Eirik Vesterhus", "Eirik Rud Iversen" ], "corpus_id": 17807661, "doc_id": "17807661", "n_citations": 39, "n_key_citations": 0, "score": 0, "title": "Making touch based kiosks accessible to blind users through simple gestures", "venue": "Universal Access in the Information Society", "year": 2011 }, { "abstract": "Significance Delta oscillations have been largely associated with slow wave sleep and anesthesia, when no conscious functions take place during these states. However, our study demonstrates that coherent delta band oscillations reflect the linkage between distant parietal and frontal cortical circuits during decision making. Thus, these findings open an avenue for investigating whether the activity between distant cortical circuits oscillates in the delta frequency range during other cognitive functions. Coherent oscillations in the theta to gamma frequency range have been proposed as a mechanism that coordinates neural activity in large scale cortical networks in sensory, motor, and cognitive tasks. Whether this mechanism also involves coherent oscillations at delta frequencies (1 4 Hz) is not known. Rather, delta oscillations have been associated with slow wave sleep. Here, we show coherent oscillations in the delta frequency band between parietal and frontal cortices during the decision making component of a somatosensory discrimination task. Importantly, the magnitude of this delta band coherence is modulated by the different decision alternatives. Furthermore, during control conditions not requiring decision making, delta band coherences are typically much reduced. Our work indicates an important role for synchronous activity in the delta frequency band when large scale, distant cortical networks coordinate their neural activity during decision making.", "author_names": [ "V Molina Nacher", "Anders Ledberg", "Gustavo Deco", "Ranulfo Romo" ], "corpus_id": 16126154, "doc_id": "16126154", "n_citations": 110, "n_key_citations": 4, "score": 0, "title": "Coherent delta band oscillations between cortical areas correlate with decision making", "venue": "Proceedings of the National Academy of Sciences", "year": 2013 }, { "abstract": "Abstract This article captures the implications of technology supportive of high level autonomous vehicles eclipsing the capacity of regulators to respond. To date, policy makers and industry actors have avoided confronting the impending gap, a consequence of the fact that current levels of vehicle autonomy are sufficiently low that accountability for decision making affecting the operation of vehicles still resides with the driver. However, as automotive firms achieve higher levels of vehicle autonomy and control shifts to the vehicle itself and the infrastructure supporting it, the preponderance of responsibility will transfer to different actors: automotive firms, autonomous vehicle programmers, and policy makers. This article explains and quantifies the challenges that will arise as that process unfolds. It does this by introducing four ethics and value based foundations that can be used to guide programming of decisions to be made by autonomous vehicles. The purpose is not to recommend a specific ethics or value based foundation for programming high level autonomous vehicles. Rather, by programming dilemma inducing scenarios and then directing outcomes on the basis of distinct ethical or values based foundations, we quantifiably demonstrate that autonomous vehicle programming decisions, whether they are guided by a particular ethical foundation or moral code or not, manifest in tangibly different outcomes. Through this, we introduce and discuss challenges many not considered previously associated with programming and regulating high level autonomous vehicles. Based on that, we consider implications for policy as well as the long term proliferation of the technology.", "author_names": [ "Greig Mordue", "Anders Yeung", "Fan Wu" ], "corpus_id": 213836706, "doc_id": "213836706", "n_citations": 16, "n_key_citations": 0, "score": 0, "title": "The looming challenges of regulating high level autonomous vehicles", "venue": "", "year": 2020 }, { "abstract": "Dorsal anterior cingulate cortex (dACC) is a brain region that subserves cognition and motor control, but the mechanisms of these functions remain unknown. Human neuroimaging and monkey electrophysiology studies have provided valuable insights, but it has been difficult to link the two literatures. Based on monkey single unit recordings, we hypothesized that human dACC is comprised of a mixture of functionally distinct cells that variously anticipate and detect targets, indicate novelty, influence motor responses, encode reward values, and signal errors. As an initial test of this conceptualization, the current event related functional MRI study used a reward based decision making task to isolate responses from a subpopulation of dACC cells sensitive to reward reduction. As predicted, seven of eight subjects showed significant (P 10 4) dACC activation when contrasting reduced reward (REDrew) trials to fixation (FIX) Confirmatory group analyses then corroborated the predicted ordinal relationships of functional MRI activation expected during each trial type (REDrew SWITCH CONrew FIX) The data support a role for dACC in reward based decision making, and by linking the human and monkey literatures, provide initial support for the existence of heterogeneity within dACC. These findings should be of interest to those studying reward, cognition, emotion, motivation, and motor control.", "author_names": [ "George Bush", "Brent A Vogt", "Jennifer Holmes", "Anders M Dale", "Douglas N Greve", "Michael A Jenike", "Bruce R Rosen" ], "corpus_id": 5343745, "doc_id": "5343745", "n_citations": 978, "n_key_citations": 42, "score": 0, "title": "Dorsal anterior cingulate cortex: A role in reward based decision making", "venue": "Proceedings of the National Academy of Sciences of the United States of America", "year": 2001 }, { "abstract": "Abstract This paper discusses the challenges of managing urban technical networks exploring four complementary dimensions: the autonomy of technical objects, the decision making model, the reversibility of changes, and the centralization of command and control. The analysis is based on the example of the Geneva tram network, which has experienced an important change of its operating system over recent years. This transformation has created a vigorous social and political controversy. We argue that what is regarded as an intrinsic condition of ungovernability should be better understood as a more or less consciously constructed situation where (a) public transit development does not appear as a real priority within overall transportation policy in Geneva, (b) a delegation of responsibility of managing the tram network occurs from the political to the technical level, and (c) some of the decisions taken at the technical level have, in turn, physically compromised the development of the network.", "author_names": [ "Ander Audikana", "Vincent Kaufmann", "Marcia Messer" ], "corpus_id": 113072686, "doc_id": "113072686", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Governing the Geneva Tram Network: Making Decisions without Making Choices", "venue": "", "year": 2015 } ]
Adaptive Power Control Using Current Adjustment for Watt-Level Power Amplifiers in CMOS SOI
[ { "abstract": "This brief demonstrates the effectiveness of utilizing a 4 bit current steering digital to analog converter (DAC) as an adaptive power controller to adjust the dc quiescent current of a linear power amplifier as a function of the input signal power. A custom made metal semiconductor field effect transistor (MESFET) which is used as the amplifying transistor, and a current steering DAC have been integrated on the same substrate to minimize the power amplifier's form factor and mismatches between the power tracker and the MESFET. This design has been implemented in a 45 nm CMOS SOI global foundries (GFs) process with a nominal supply voltage of 0.9 V and provides 31.8 dBm of output power, 45% peak efficiency and 24 dB maximum power gain. Measurement results at 70 MHz reveal about 10% efficiency improvement at 6 dB power back off with continuous wave and 5% for standard 16 PSK EDGE modulated signals. The amplifier shows error vector magnitude of 5% and ACPR of 56.9 dBc at 400 kHz offset from the carrier frequency without digital pre distortion, while transmitting 27 dBm of modulated output power.", "author_names": [ "Soroush Moallemi", "Payam Mehr", "Kevin Grout", "Trevor J Thornton", "Jennifer Kitchen" ], "corpus_id": 182200395, "doc_id": "182200395", "n_citations": 2, "n_key_citations": 0, "score": 1, "title": "Adaptive Power Control Using Current Adjustment for Watt Level Power Amplifiers in CMOS SOI", "venue": "IEEE Transactions on Circuits and Systems II: Express Briefs", "year": 2020 }, { "abstract": "Linear PA Design. Conventional High Efficiency Amplifier Modes. Class AB PAs at GHz Frequencies. Practical Design of Class AB PAs. Overdrive and the Class F Mode. Switching Mode Amplifiers for RF Applications. Switching PA Modes at GHz Frequencies. Signals, Modulation Systems, and PA Nonlinearities. Efficiency Enhancement Techniques. Power Amplifier Bias Circuit Design. Power Amplifier Architecture. PA Linearization Techniques.", "author_names": [ "Steve C Cripps" ], "corpus_id": 109960674, "doc_id": "109960674", "n_citations": 1846, "n_key_citations": 222, "score": 0, "title": "RF Power Amplifiers for Wireless Communications", "venue": "", "year": 1999 }, { "abstract": "Off state leakage is static power, current that leaks through transistors even when they are turned off. The other source of power dissipation in today's microprocessors, dynamic power, arises from the repeated capacitance charge and discharge on the output of the hundreds of millions of gates in today's chips. Until recently, only dynamic power has been a significant source of power consumption, and Moore's law helped control it. However, power consumption has now become a primary microprocessor design constraint; one that researchers in both industry and academia will struggle to overcome in the next few years. Microprocessor design has traditionally focused on dynamic power consumption as a limiting factor in system integration. As feature sizes shrink below 0.1 micron, static power is posing new low power design challenges.", "author_names": [ "Nam Sung Kim", "Todd M Austin", "David Blaauw", "Trevor N Mudge", "Krisztian Flautner", "Jie S Hu", "Mary Jane Irwin", "Mahmut T Kandemir", "Narayanan Vijaykrishnan" ], "corpus_id": 165990, "doc_id": "165990", "n_citations": 1219, "n_key_citations": 49, "score": 0, "title": "Leakage Current: Moore's Law Meets Static Power", "venue": "Computer", "year": 2003 }, { "abstract": "Advances in low power VLSI design, along with the potentially low duty cycle of wireless sensor nodes open up the possibility of powering small wireless computing devices from scavenged ambient power. A broad review of potential power scavenging technologies and conventional energy sources is first presented. Low level vibrations occurring in common household and office environments as a potential power source are studied in depth. The goal of this paper is not to suggest that the conversion of vibrations is the best or most versatile method to scavenge ambient power, but to study its potential as a viable power source for applications where vibrations are present. Different conversion mechanisms are investigated and evaluated leading to specific optimized designs for both capacitive MicroElectroMechancial Systems (MEMS) and piezoelectric converters. Simulations show that the potential power density from piezoelectric conversion is significantly higher. Experiments using an off the shelf PZT piezoelectric bimorph verify the accuracy of the models for piezoelectric converters. A power density of 70 @mW/cm^3 has been demonstrated with the PZT bimorph. Simulations show that an optimized design would be capable of 250 @mW/cm^3 from a vibration source with an acceleration amplitude of 2.5 m/s^2 at 120 Hz.", "author_names": [ "Shad Roundy", "Paul K Wright", "Jan M Rabaey" ], "corpus_id": 17243457, "doc_id": "17243457", "n_citations": 2561, "n_key_citations": 121, "score": 0, "title": "A study of low level vibrations as a power source for wireless sensor nodes", "venue": "Comput. Commun.", "year": 2003 }, { "abstract": "A nonlinear capacitance compensation technique is developed to help improve the linearity of CMOS class AB power amplifiers. The method involves placing a PMOS device alongside the NMOS device that works as the amplifying unit, such that the overall capacitance seen at the amplifier input is a constant, thus improving linearity. The technique is developed with the help of computer simulations and Volterra analysis. A prototype two stage amplifier employing the scheme is fabricated using a 0.5 /spl mu/m CMOS process, and the measurements show that an improvement of approximately 8 dB in both two tone intermodulation distortion (IM3) and adjacent channel leakage power (ACP1) is obtained for a wide range of output power. The linearized amplifier exhibits an ACP1 of 35 dBc at the designed output power of 24 dBm, with a power added efficiency of 29% and a gain of 23.9 dB, demonstrating the potential utility of the design approach for 3GPP WCDMA applications.", "author_names": [ "", "Mani Vaidyanathan", "Lawrence E Larson" ], "corpus_id": 33843864, "doc_id": "33843864", "n_citations": 153, "n_key_citations": 10, "score": 0, "title": "A capacitance compensation technique for improved linearity in CMOS class AB power amplifiers", "venue": "IEEE Journal of Solid State Circuits", "year": 2004 }, { "abstract": "A 45 GHz active phase shift Doherty PA is proposed and implemented in 45 nm SOI CMOS. The quarter wave length transmission line at the input of the auxiliary amplifier is replaced by an amplifier, increasing the gain and PAE by more than 1 dB and 5% while reducing the die area. Use of slow wave coplanar waveguides (S CPW) improves the PAE and gain by approximately 3% and 1 dB, and further reduces the die area. Two stack FET amplifiers are used as the main and auxiliary amplifiers, allowing a supply voltage of 2.5 V and increasing the output power. The active phase shift Doherty amplifier demonstrates a peak power gain and PAE of 8 dB and 20% at 45 GHz. It occupies 0.45 mm2, and at 6 dB back off power, the PAE is 21%", "author_names": [ "Amir Agah", "Hayg Dabag", "Bassel Hanafi", "Peter M Asbeck", "James F Buckwalter", "Lawrence E Larson" ], "corpus_id": 27291233, "doc_id": "27291233", "n_citations": 56, "n_key_citations": 2, "score": 0, "title": "Active Millimeter Wave Phase Shift Doherty Power Amplifier in 45 nm SOI CMOS", "venue": "IEEE Journal of Solid State Circuits", "year": 2013 }, { "abstract": "Recent work in field of neuroprosthetics has demonstrated that by observing the simultaneous activity of many neurons in specific regions of the brain, it is possible to produce control signals that allow animals or humans to drive cursors or prosthetic limbs directly through thoughts. As neuroprosthetic devices transition from experimental to clinical use, there is a need for fully implantable amplification and telemetry electronics in close proximity to the recording sites. To address these needs, we developed a prototype integrated circuit for wireless neural recording from a 100 channel microelectrode array. The design of both the system level architecture and the individual circuits were driven by severe power constraints for small implantable devices; chronically heating tissue by only a few degrees Celsius leads to cell death. Due to the high data rate produced by 100 neural signals, the system must perform data reduction as well. We use a combination of a low power ADC and an array of \"spike detectors\" to reduce the transmitted data rate while preserving critical information. The complete system receives power and commands (at 6.5 kb/s) wirelessly over a 2.64 MHz inductive link and transmits neural data back at a data rate of 330 kb/s using a fully integrated 433 MHz FSK transmitter. The 4.7times5.9 mm2 chip was fabricated in a 0.5 mum 3M2P CMOS process and consumes 13.5 mW of power. While cross chip interference limits performance in single chip operation, a two chip system was used to record neural signals from a Utah Electrode Array in cat cortex and transmit the digitized signals wirelessly to a receiver", "author_names": [ "Reid R Harrison", "Paul T Watkins", "Ryan J Kier", "Robert O Lovejoy", "Daniel J Black", "Bradley Greger", "Florian Solzbacher" ], "corpus_id": 2996945, "doc_id": "2996945", "n_citations": 853, "n_key_citations": 68, "score": 0, "title": "A Low Power Integrated Circuit for a Wireless 100 Electrode Neural Recording System", "venue": "IEEE Journal of Solid State Circuits", "year": 2007 }, { "abstract": "The use of the fast Fourier transform in power spectrum analysis is described. Principal advantages of this method are a reduction in the number of computations and in required core storage, and convenient application in nonstationarity tests. The method involves sectioning the record and averaging modified periodograms of the sections.", "author_names": [ "Peter D Welch" ], "corpus_id": 13900622, "doc_id": "13900622", "n_citations": 8197, "n_key_citations": 383, "score": 0, "title": "The use of fast Fourier transform for the estimation of power spectra: A method based on time averaging over short, modified periodograms", "venue": "", "year": 1967 }, { "abstract": "A linearization technique based on the phase correction is proposed for a CMOS stacked FET power amplifier (PA) The linearizer employs a phase injection circuit as a main linearizer. The phase injection circuit presents envelope reshaped capacitance to the gate of a driver amplifier to correct for phase compression near saturation. It also helps with AM AM linearization. Hybrid bias circuit consisting of a diode and a resistor is also employed for static adaptive biasing, which allows the PA to meet stringent linearity requirement across the entire power range. Two stacked FET linear PAs with the proposed linearizers have been designed using a silicon on insulator (SOI) CMOS process at 1.88 and 0.9 GHz. The fabricated PAs show adjacent channel leakage ratios (ACLRs) better than 39 dBc with peak power added efficiencies (PAEs) of 44.3 and 49.2% at 1.88 and 0.9 GHz, respectively, using 3GPP uplink W CDMA signal.", "author_names": [ "Unha Kim", "Youngwoo Kwon" ], "corpus_id": 19749264, "doc_id": "19749264", "n_citations": 10, "n_key_citations": 0, "score": 0, "title": "A High Efficiency SOI CMOS Stacked FET Power Amplifier Using Phase Based Linearization", "venue": "IEEE Microwave and Wireless Components Letters", "year": 2014 }, { "abstract": "This paper describes the key technology and circuit design issues facing the design of an efficient linear RF CMOS power amplifier for modern communication standards incorporating high peak to average ratio signals. We show that most important limitations arise from the limited breakdown voltage of nanoscale CMOS devices and the large back off requirements to achieve the required linearity, both of which result in poor average efficiency. Two fundamentally different approaches to tackle these problems are presented along with silicon prototype measurements. In the first approach, transformer power combining and bias point optimization are used to increase the output power and linearity of the \"analog\" amplifier. In the second approach, a mixed signal \"digital\" polar architecture is employed, wherein the amplitude modulation is formed through an RF DAC structure.", "author_names": [ "Ali M Niknejad", "Debopriyo Chowdhury", "Jiashu Chen" ], "corpus_id": 14737003, "doc_id": "14737003", "n_citations": 85, "n_key_citations": 5, "score": 0, "title": "Design of CMOS Power Amplifiers", "venue": "IEEE Transactions on Microwave Theory and Techniques", "year": 2012 } ]
Fundamental scaling laws in nanophotonics
[ { "abstract": "The success of information technology has clearly demonstrated that miniaturization often leads to unprecedented performance, and unanticipated applications. This hypothesis of \"smaller is better\" has motivated optical engineers to build various nanophotonic devices, although an understanding leading to fundamental scaling behavior for this new class of devices is missing. Here we analyze scaling laws for optoelectronic devices operating at micro and nanometer length scale. We show that optoelectronic device performance scales non monotonically with device length due to the various device tradeoffs, and analyze how both optical and electrical constrains influence device power consumption and operating speed. Specifically, we investigate the direct influence of scaling on the performance of four classes of photonic devices, namely laser sources, electro optic modulators, photodetectors, and all optical switches based on three types of optical resonators; microring, Fabry Perot cavity, and plasmonic metal nanoparticle. Results show that while microrings and Fabry Perot cavities can outperform plasmonic cavities at larger length scales, they stop working when the device length drops below 100 nanometers, due to insufficient functionality such as feedback (laser) index modulation (modulator) absorption (detector) or field density (optical switch) Our results provide a detailed understanding of the limits of nanophotonics, towards establishing an opto electronics roadmap, akin to the International Technology Roadmap for Semiconductors.", "author_names": [ "Ke Liu", "Shuai Sun", "Arka Majumdar", "Volker J Sorger" ], "corpus_id": 1571562, "doc_id": "1571562", "n_citations": 72, "n_key_citations": 3, "score": 1, "title": "Fundamental Scaling Laws in Nanophotonics", "venue": "Scientific reports", "year": 2016 }, { "abstract": "We show that nanophotonic device performance scales non monotonically with critical length and define the metric [Speed (Energy/bit x Footprint) to assess the quality of optoelectronic link performance based on both optical and electrical tradeoffs.", "author_names": [ "Ke Liu", "Shuai Sun", "Arka Majumdar", "Volker J Sorger" ], "corpus_id": 116113257, "doc_id": "116113257", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Fundamental Physical Scaling Laws of Nanophotonics", "venue": "", "year": 2017 }, { "abstract": "Thermal radiation plays an increasingly important role in many emerging energy technologies, such as thermophotovoltaics, passive radiative cooling and wearable cooling clothes [1] One of the fundamental constraints in thermal radiation is the Stefan Boltzmann law, which limits the maximum power of far field radiation to P0 sT4S, where s is the Boltzmann constant, S and T are the area and the temperature of the emitter, respectively (Fig. 1a) In order to overcome this limit, it has been shown that near field radiations could have an energy density that is orders ofmagnitude greater than the StefanBoltzmann law [2 7] Unfortunately, such near field radiation transfer is spatially confined and cannot carry radiative heat to the far field. Recently, a new concept of thermal extraction was proposed [8] to enhance far field thermal emission, which, conceptually, operates on a principle similar to oil immersion lenses and light extraction in light emitting diodes using solid immersion lens to increase light output [62].Thermal extraction allows a blackbody to radiate more energy to the far field than the apparent limit of the Stefan Boltzmann lawwithout breaking the second law of thermodynamics. Thermal extraction works by using a specially designed thermal extractor to convert and guide the near field energy to the far field, as shown in Fig. 1b. The same blackbody as shown in Fig. 1a is placed closely below the thermal extractor with a spacing smaller than the thermal wavelength. Thenear field coupling transfers radiative energy with a density greater than sT4. The thermal extractor, made from transparent and high index or structured materials, does not emit or absorb any radiation. It transforms the near field energy and sends it toward the far field. As a result, the total amount of far field radiative heat dissipated by the sameblackbody is greatly enhanced above SsT4, where S is the area of the emitter. This paper will review the progress in thermal extraction. It is organized as follows. In Section 1, we will discuss the theory of thermal extraction [8] In Section 2, we review an experimental implementation based on natural materials as the thermal extractor [8] Lastly, in Section 3, we review the experiment that uses structured metamaterials as thermal extractors to enhance optical density of states and far field emission [9] Figure 1: Schematic of radiative cooling. (Left) Emitter without thermal extractor. (Right) Radiation power of emitter with thermal extractor is larger than that on the left. 1 Thermal Extraction Theory We start by considering the classical construction of an ideal blackbody emitter: a small opening in a cavity as shown in Fig. 2. The cavity is filled with transparent dielectric medium that has a refractive index ni as shown in Fig. 2a. Outside the cavity is vacuum. Inside the cavity, the sidewalls are made of diffusive reflector that also absorbs light. Any light that enters through the open areawill bounce back and forth between the sidewalls and eventually get fully absorbed by the cavity. Here we assume perfect antireflection at the opening of the cavity. The cavity's temperature is T and its opening area has an area of S. The opening area is completely dark with a unity emissivity. Wewill investigate the thermal emissionwhen the cavity is filled bymaterials of different refractive indices ni. As we varyni, the thermal radiation intensity inside the cavity Yixuan Tan, Zongfu Yu: Department of Electrical and Computer Engineering, University of Wisconsin, Wisconsin, Madison, 53706, USA Baoan Liu, Sheng Shen: Department of Mechanical Engineering, Carnegie Mellon University, Pittsburgh, PA, 15213, USA 2 Yixuan Tan, Baoan Liu, Sheng Shen, and Zongfu Yu scales as ni However, the cavity always has the same farfield thermal emission with an emitted power of sT4S [37] This is because the total internal reflection at the opening of the cavity prevents a significant portion of the internal thermal radiation from leaving the cavity. The resulting thermal emission to the far field vacuum always has the same profile independent of refractive index ni. To extract more radiation power from this type of a structure, Yu, et al. [8] proposed to place a hemispherical dome to cover the cavity, as shown in Fig. 2 b. Here the dome has an index ne. It enlarges the critical angle for totally internal reflection at the cavity opening and thus enablesmore thermal radiationmodes inside the cavity to escape. Importantly, the dome itself is assumed to be transparent, so that it neither emits nor absorbs any thermal radiation. Figure 2: Schematic and actual demonstration of thermal extraction. (a) Emitter formed by an open area (dark red surface) of an absorptive cavity. The cavity can be filled with a transparent dielectric of refractive index ni. (b) Thermal extraction using a hemispherical dome placed at the opening of the cavity. The dome is transparent and does not emit or absorb any thermal radiations, and has a refractive index ne. Emission cone (white) of the thermal radiation inside the dome is shown. (c, d) [8] Experiment set up. A ray tracingmethod can be used to calculate the thermal emission from the geometry shown in Fig. 2b. It is assumed that the opening of the cavity has a circular shape of radius r and the dome has a radius R such that R ner, which ensures that any light reaching the surface of the dome can escape to the far field vacuum. Under such conditions, a light ray originating from the open area S, has an incident angle less than the total internal reflection angle ph sin 1 1 ne (Fig. 2b, solid arrows) at the curved interface between the dome and the outside vacuum. It can be shown that the total emission power is P nesTpr (if ni ne) ni sT4pr2 (if ni ne) (1) Fig. 3 shows the thermal radiation as a function of the refractive index of the dome ne. The total radiation power from an empty cavity (ni= 1 dashed line in Fig. 3) does not change as a function of ne, while the power from the filled cavity (solid line in Fig. 3) increases as ne increases until ne ni. With the assistance of the thermal extraction, the filled cavity can emit up to ni SsT4 to far field vacuum, ni times of the radiated power without the thermal extractor. The internal density of the state of the thermal body must be higher than that of the extraction device in order to achieve the maximum effect of the enhanced thermal emission. Figure 3: Enhancement of far field radiation power as a function of the refractive index of the dome ne. Enhancement is compared with sT4S. The structure for enhancement is shown in Fig. 2b. Solid line: Filled cavity with ni 3. Dashed line: Empty cavity with ni 1 [8] The distribution of thermal radiation on the surface of the dome can be calculated with a schematic shown in Fig. 4a. For a small area A on the surface of the dome, the thermal radiation power it receives from the cavity is", "author_names": [ "Yixuan Tan", "Bao'an Liu", "Sheng Shen", "Zongfu Yu" ], "corpus_id": 199370165, "doc_id": "199370165", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Smart nanophotonics for renewable energy and sustainability", "venue": "", "year": 2016 }, { "abstract": "Inertial microfluidics has attracted significant attention in recent years due to its superior benefits of high throughput, precise control, simplicity, and low cost. Many inertial microfluidic applications have been demonstrated for physiological sample processing, clinical diagnostics, and environmental monitoring and cleanup. In this review, we discuss the fundamental mechanisms and principles of inertial migration and Dean flow, which are the basis of inertial microfluidics, and provide basic scaling laws for designing the inertial microfluidic devices. This will allow end users with diverse backgrounds to more easily take advantage of the inertial microfluidic technologies in a wide range of applications. A variety of recent applications are also classified according to the structure of the microchannel: straight channels and curved channels. Finally, several future perspectives of employing fluid inertia in microfluidic based cell sorting are discussed. Inertial microfluidics is still expected to be promising in the near future with more novel designs using various shapes of cross section, sheath flows with different viscosities, or technologies that target micron and submicron bioparticles.", "author_names": [ "Ga-Yeong Kim", "Jong-in Han", "Je Kyun Park" ], "corpus_id": 91495369, "doc_id": "91495369", "n_citations": 19, "n_key_citations": 0, "score": 0, "title": "Inertial Microfluidics Based Cell Sorting", "venue": "BioChip Journal", "year": 2018 }, { "abstract": "At the National Observatory in Washington D.C. time is measured by averaging the times of an uncoupled ensemble. The measurements show a scaling law for phase error reduction as, where is the number of crystals in the ensemble. Analytical and computational works show that certain patterns of collective behavior produced by a network of nonlinear oscillators leads to optimal phase error that scales down as. In this talk we use symmetry based methods to classify all possible patterns of oscillations, and their stability properties. Then we show why, among all possible patterns, a traveling wave, in which consecutive oscillators are out of phase by, yields the best phase error reduction. Finally, we prove, analytically, that is the fundamental limit of of phase error reduction that can be obtained with a network of nonlinear oscillators of any type, not just crystals.", "author_names": [ "Antonio Palacios", "Pietro-Luciano Buono", "Visarath In", "Patrick Longhini" ], "corpus_id": 197516969, "doc_id": "197516969", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Coupled Crystal Oscillator System and Timing Device", "venue": "", "year": 2019 }, { "abstract": "Modern nanophotonic and meta optical devices utilize a tremendous number of structural degrees of freedom to enhance light matter interactions. A fundamental question is how large such enhancements can be. We develop an analytical framework to derive upper bounds to single frequency electromagnetic response, across near and far field regimes, for any materials, naturally incorporating the tandem effects of material and radiation induced losses. Our framework relies on a power conservation law for the polarization fields induced in any scatterer. It unifies previous theories on optical scattering bounds and reveals new insight for optimal nanophotonic design, with applications including far field scattering, near field local density of states engineering, optimal wavefront shaping, and the design of perfect absorbers. Our bounds predict strikingly large minimal thicknesses for arbitrarily patterned perfect absorbers, ranging from 50 100 nm for typical materials at visible wavelengths to micrometer scale thicknesses for polar dielectrics at infrared wavelengths. We use inverse design to discover metasurface structures approaching the minimum thickness perfect absorber bounds.", "author_names": [ "Zeyu Kuang", "Lang Zhang", "Owen D Miller" ], "corpus_id": 211011047, "doc_id": "211011047", "n_citations": 12, "n_key_citations": 0, "score": 0, "title": "Maximal single frequency electromagnetic response", "venue": "", "year": 2020 }, { "abstract": "Modern nanophotonic and meta optical devices utilize a tremendous number of structural degrees of freedom to enhance light matter interactions. A fundamental question is how large such enhancements can be. We develop an analytical framework to derive upper bounds to single frequency electromagnetic response, across near and far field regimes, for any materials, naturally incorporating the tandem effects of material and radiation induced losses. Our framework relies on a power conservation law for the polarization fields induced in any scatterer. It unifies previous theories on optical scattering bounds and reveals new insight for optimal nanophotonic design, with applications including far field scattering, near field local density of states engineering, optimal wavefront shaping, and the design of perfect absorbers. Our bounds predict strikingly large minimal thicknesses for arbitrarily patterned perfect absorbers, ranging from 50 100 nm for typical materials at visible wavelengths to micrometer scale thicknesses for polar dielectrics at infrared wavelengths. We use inverse design to discover metasurface structures approaching the minimum thickness perfect absorber bounds.", "author_names": [ "Zeyu Kuang", "Lang Zhang", "Owen D Miller" ], "corpus_id": 229073451, "doc_id": "229073451", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Maximal single frequency electromagnetic response", "venue": "", "year": 2020 }, { "abstract": "In this thesis, we explore the interaction of thermal radiation with nano scale structures. First, we introduce the concept of radiative energy transfer between two objects of different temperatures in the near field, and theoretically argue that the radiation tunneling of evanescent surface modes can enable energy transfer that is orders of magnitude stronger than the energy transfer in the far field. Specifically, we develop a new computational approach based on a finite difference time domain (FDTD) method that incorporates the Langevin approach to Brownian motion which enables calculations of heat transfer for arbitrary geometries and materials. Second, we study the near field heat transfer between two sheets of graphene and show that thermally excited plasmon polariton modes can strongly mediate, enhance, and tune the energy exchange in this system. We predict maximum transfer at low doping and for plasmons in two graphene sheets in resonance, with orders of magnitude enhancement over the Stefan Boltzmann law. Third, we develop the concept of a near field thermophotovoltaic (NFTPV) system, and analyze several different implementations that use plasmonic materials as thermal emitters. In particular, we quantify the properties of an optimal near field photovoltaic cell, argue that large plasmonic losses can contrary to intuition be helpful in enhancing the overall heat transfer, and propose and develop the concept of graphene as a tunable thermal emitter for a NFTPV system. Fourth, we tailor the far field thermal emission from objects at high temperatures and experimentally demonstrate a method where the emission spectrum is controlled on the cold side by implementing a nano layer structure that surrounds the hot emitter and recycles unwanted emission. We find that this approach can enable lighting sources with luminous efficiencies close to the fundamental limit for lighting applications. Finally, we study opto thermal effects in asymmetric nanoparticles. Specifically, we show that a type of metal dielectric (Janus) particle in uniform light field exhibits a new class of stable rotational dynamics. We demonstrate (in a simulation) opto thermal guiding of a composite asymmetric particle by switching the light beam frequency, without regard to the direction or the shape of the light beam.", "author_names": [ "Ognjen Ilic" ], "corpus_id": 124456914, "doc_id": "124456914", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Nanophotonics for tailoring the flow of thermal electromagnetic radiation", "venue": "", "year": 2015 }, { "abstract": "Self organized criticality emerges in dynamical complex systems driven out of equilibrium, and characterizes a wide range of classical phenomena in physics, geology and biology. However, for decades now, it remains a fundamental open question whether this broad property also finds a place in the quantum regime. In the talk, we shall present the first example of quantum self organized criticality, emerging from quantum fluctuations and controlled by quantum coherence. We shall introduce a many body quantum coherently driven nanophotonic system where heavy photons interact in the presence of active nonlinearities. In this system, we shall show how quantum self organized criticality emerges in an inherently new type of light localization, arising from two first order phase transitions and being robust to dissipation, fluctuations and many body interactions. The observed localization exhibits emergence of scale invariant power laws and absence of finely tuned control parameters. In analogy with the regime of quantum criticality at Tc 0 in equilibrium static systems, we find that for our nonequilibrium dynamical system there exists a range of parameters for which the effective critical 'temperature' drops to zero, at which point we enter a fundamentally new regime of phase transitions the quantum self organized critical regime. We shall also approach the problem from a thermodynamic and information theory perspective, deriving the multidimensional state vector Fokker Planck (FP) equation for the distribution function of our problem, applying the maximum information entropy principle to make unbiased estimates on the probability distribution of microscopic states of our active nanosystem, and finally determining and analyzing the information gain and efficiency of the complex nanosystem close to its critical points.", "author_names": [ "Kosmas L Tsakmakidis", "Pankaj Kumar Jha", "Xiang Zhang", "Hatice Altug", "Robert W Boyd", "Ganapathi S Subramania", "Stavroula Foteinopoulou" ], "corpus_id": 125455273, "doc_id": "125455273", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Quantum self organized criticality and nonequilibrium light localization (Conference Presentation)", "venue": "", "year": 2017 }, { "abstract": "This thesis describes and characterizes a setup to conduct experiments combining the fields of cold atoms and nanophotonics. Furthermore, a series of numerical simulations is employed to deepen of atom transport under experimentally realistic conditions. The study of cold atoms is usually fundamental in nature and a very clean approach to investigate the laws of physics. High purity samples of atoms are cooled down to millikelvins or microkelvins. The potential landscape for the atoms can be carefully controlled using lasers and/or magnetic fields. Since the atoms' responses to electric, magnetic or electromagnetic fields are known with very high fidelity, any deviations to the state the atoms are prepared in can be precisely measured. Nanophotonics is the study of light close to or beyond the diffraction limit. In this region the field like nature of light starts to play a role. By confining light in sub wavelength sized structures, high peak intensities can be achieved, giving rise to exciting non linear optics phenomena. The strong field gradient that can be created allows, for instance, precise spectroscopy measurements, phase sensitive sub wavelength microscopy, as well as producing highly receptive sensing devices. Combining cold atoms and nanophotonics creates strong synergy effects. It gives access to strong coupling of light and matter. The scalability of nanophotonics now extends to atomic physics. Atoms can be trapped, coupled and manipulated at very small length scales, and in large numbers. Moreover, the potential landscape for atoms is highly customizable. The rubidium isotope 87 is used in this setup. A cloud of rubidium atoms is gathered and cooled in a two dimensional magneto optical trap (MOT) Since the atoms are only cooled in two dimensions, they are free to escape in the third dimension and load a following three dimensional MOT. The atoms are now cooled further, compressed and loaded into an optical dipole trap. This dipole trap transports the atoms close to a sample inside the vacuum chamber. A moving optical lattice, or optical conveyor belt, brings the atoms then close to the sample surface. Now experiments can be conducted, studying the interaction of cold atoms and strongly confined light. The atom transport down to the sample surface shows some unexpected behaviour: the atoms seem to spread through the optical lattice during transport. A combination of microscopic and macroscopic numerical simulations, including genetic algorithms, are used to deepen our understanding of the underlying physics. This also allows us to get access to experimental variables that cannot be directly obtained.", "author_names": [ "Bernd Mussmann" ], "corpus_id": 100304752, "doc_id": "100304752", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "A Versatile Atom Transport Apparatus for Photonics", "venue": "", "year": 2016 } ]
Phys. Rev. B 20, 326 (1979).
[ { "abstract": "Quantum photoyield and secondary electron distributions are presented for an unreconstructed diamond (111) surface (type \\mathrm{II}b$ gem quality blue white semiconductor) This chemically inert surface exhibits a negative electron affinity, resulting in a stable quantum yield that increases linearly from photothreshold (5.5 eV) to \\ensuremath{\\sim}20% at 9 eV, with a very large yield of \\ensuremath{\\sim}40% 70% for $13\\ensuremath{\\lesssim}h\\ensuremath{\\nu}\\ensuremath{\\lesssim}35$ eV. For all photon energies, secondary electron energy distributions show a dominant \\ensuremath{\\sim}0.5 eV wide emission peak at the conduction band minimum \\ensuremath{\\Delta}}_{1}{min}=5.50\\ifmmode\\pm\\else\\textpm\\fi{}0.05$ eV above the valence band maximum \\ensuremath{\\Gamma}}_{25}\\ensuremath{ In contrast with recent self consistent calculations [J. Ihm, S. G. Louie, and M. L. Cohen, Phys. Rev. B 17, 769 (1978) no occupied intrinsic surface states with ionization energies in the fundamental gap (the Fermi level was 1 eV above \\ensuremath{\\Gamma}}_{25}\\ensuremath{ were observed. Likewise, the measured photothreshold {E}_{\\mathrm{vac}\\ensuremath{ \\ensuremath{\\Gamma}}_{25}\\ensuremath{ is significantly smaller than calculated (7.0\\ifmmode\\pm\\else\\textpm\\fi{}0.7 eV)", "author_names": [ "Franz J Himpsel", "James Arthur Knapp", "J A Vanvechten", "Dean Eric Eastman" ], "corpus_id": 121815232, "doc_id": "121815232", "n_citations": 892, "n_key_citations": 3, "score": 1, "title": "Quantum photoyield of diamond(111) A stable negative affinity emitter", "venue": "", "year": 1979 }, { "abstract": "The configuration space properties of the pair correlation function in the Singwi Tosi Land Sjolander approximation scheme for a two dimensional one component plasma are derived here, using the exact solution in k space in Paper I (Phys. Rev. A 20, 329 (1979) We also study in detail the effective static potential around a test particle for three cases representing weak, intermediate, and strong coupling.", "author_names": [ "Pradip M Bakshi", "R Calinon", "Kenneth I Golden", "Gabor J Kalman", "Danilo Merlini" ], "corpus_id": 121892299, "doc_id": "121892299", "n_citations": 13, "n_key_citations": 0, "score": 0, "title": "Exact two dimensional plasma pair correlation function in the Singwi Tosi Land Sjolander approximation. II. Configuration space analysis", "venue": "", "year": 1979 }, { "abstract": "Abstract We propose a new atom surface interaction potential model which is designed for use in the recent exact theory for the elastic scattering of an atom by a hard corrugated surface model which incorporates a stationary attractive potential well [N. Garcia, F.O. Goodman, V. Celli and N.R. Hill, Phys. Rev. B (1979) The model parameters are adjusted to obtain a best fit to all available experimental data on atom surface bound state energies, and comparisons are also made with the latest theoretical data, with satisfactory results.", "author_names": [ "Frank O Goodman", "Nicolas Garcia", "Vittorio Celli" ], "corpus_id": 94399985, "doc_id": "94399985", "n_citations": 10, "n_key_citations": 0, "score": 0, "title": "Model of the interaction potential of an atom with a hard corrugated surface incorporating a stationary potential well", "venue": "", "year": 1979 }, { "abstract": "Take a good look at these photographs; eventually they will probably be in many textbooks. These photographs represent visual evidence for macroscopic quantization in rotating supertluid helium and are the latest in a series of experiments by Richard Packard and his collaborators at the University of California, Berkeley. E. J. Yarmchuk, M. J. V. Gordon and Packard recently published these pictures in Phys. Rev. Lett. 43, 214 (1979) These patterns display the model structure of the stationary states of a single macroscopic wave function describing superfluidity.", "author_names": [ "Gloria B Lubkin" ], "corpus_id": 121699020, "doc_id": "121699020", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Stationary Vortex Arrays", "venue": "", "year": 1979 }, { "abstract": "AC Electroluminescence in Thin Film ZnSe:Mn. J. Shah and A. E. DiGiovanni, Appl. Phys. Lett. 33 (Dec 15, 1978) pp. 995 7. Allowed Character of the 1900AA Band Borazine. M. B. Robin and N. A. Kuebler, J. Mol. Spectrosc. 70, No. 3 (Jun 1, 1978) pp. 472 5. Aluminum Gallium Arsenide (DH) Pump Laser for Photoluminescence Lifetime Measurements. R. J. Nelson, Rev. Sc. Instnim. 49, No. 12 (Dec 1978) pp. 6103 8. Analysis of Tin Nickel Electroplate by Secondary Ion Mass Spectrometry, Ion Scattering, Spectrometry, and Rutherford Backeca tiering. R. Schubert, J. Elec trochem. Soc. 125, No. 8 (Aug 1978) pp. 1215 8. Analytic Approximations for the Fermi Energy in (Aluminum, Gallium) Arsen ide. W. B. Joyce, Appl. Phys. Lett, 32, No. 10 (May 15, 1978) pp. 680 1. Angle Resolved Photoe mission from Surfaces and Adsorbates. I. V. Smith, J. Phys. (Paris) 39, No. 4 (1978) p. 161. Cadmium Sulfide/Indium Phosphide and Cadmium Sulfide/Gallium Arsenide C etc rojunctions by Chemical Vapor Deposition of Cadmium Sulfide. M. Bettini, K. J. Bachmann, and J. L. Shay, J. Appl. Phys. 49, No. 2 (Feb 1978) pp. 865 70. Chemical Kinetics of the Reactions of SiCLSiBr< GeCL, POC1, and BC| a with Oxygen. W. G. French, L. J. Pace, and V. A. Foertmeyer, J. Phys. Chem. 82, No. 20 (Oct 1978) pp. 2191 4. Comparative Study of Annealed Neon, Argon, Krypton, Ion Implanted Damage in Silicon. A. G. Cuius, T. E. Seidel, and R. L. Meek, J. Appl. Phys. 49, No. 10 (Oct 1978) pp. 5188 98. Compton Profile of Lithium Hydride. W. A. Reed, Phys. Rev. B, 18 (1978) p. 1986. The Compton Profile of Urea. W. A. Reed, L. C. Snyder, H. J. Guggenheim, T. A. Weber, and Z. R. Wasserman, J. Chem. Phys. 69, No. 1 (Jul 1, 1978) pp. 288 96. Copper Chloride: More Facts Generate More Thoughts on High Temperature Superconductivity. J. A. Wilson, Phil. Mag. B, 38 (1978) pp. 427 44. Core Hole Screening in Lanthanide Metals. G. Crecelius, G. K. Wertheim, D. I. E. Buchanan. Phys. Rev. B. 18, No. 12 (Dec 15, 1978) pp. 6519 24.", "author_names": [ "Thomas E Seidel" ], "corpus_id": 30450951, "doc_id": "30450951", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Papers by bell laboratories authors", "venue": "The Bell System Technical Journal", "year": 1979 }, { "abstract": "", "author_names": [ "N David Mermin" ], "corpus_id": 121979718, "doc_id": "121979718", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "Erratum: Erratum: Crystalline order in two dimensions [Phys. Rev. 176, 250 (1968) [Phys. Rev. B 20, 4762 (1979)", "venue": "", "year": 2006 }, { "abstract": "In the GROMACS code modifications, instead of the nanometer unit for the distance that is standard in GROMACS, a unit of 1 A was previously assumed. This led to dipole dipole interactions between amide I vibrations at different sites and the interaction energies of the amide I vibration with the protein hydrogen bonds being overestimated, respectively, by three orders and by one order of magnitude. In addition, the quantum mechanical force terms were overestimated because, through the same error, the sites defined as hydrogen bonded in the protein were not properly identified. Because the influence of the quantum vibration on the conformation of the polypeptide is less pronouncedwhen these errors are corrected, the simulation times are increased to 10 ps. Moreover, each of the 20 simulation runs, obtained by varying the seed of the random number generator for the initial velocities, was repeated ten times in order to sample different quantumMonte Carlo paths and to yield better statistical estimates. Thus, the results presented here, for each value of kh represent averages over 200 simulation trajectories. Two other changes are made in the current modified GROMACS code. The first of these makes sure that the interaction energy term varies continuously with the length of the hydrogen bond, even when the hydrogen bond breaks. This term is calculated as", "author_names": [ "Holly Freedman", "Paulo J Martel", "Leonor Cruzeiro" ], "corpus_id": 120826599, "doc_id": "120826599", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Erratum: Mixed quantum classical dynamics of an amide I vibrational excitation in a protein a helix [Phys. Rev. B 82, 174308 (2010)", "venue": "", "year": 2011 }, { "abstract": "A. Abdel Rehim, C. Alexandrou, M. Constantinou, P. Dimopoulos, R. Frezzotti, K. Hadjiyiannakou, K. Jansen, Ch. Kallidonis, B. Kostrzewa, G. Koutsou, M. Mangin Brinet, M. Oehm, G. C. Rossi, C. Urbach, U. Wenger Computation based Science and Technology Research Center, The Cyprus Institute, 20 Kavafi Str. Nicosia 2121, Cyprus Department of Physics, University of Cyprus, P.O. Box 20537, 1678 Nicosia, Cyprus 3 Centro Fermi Museo Storico della Fisica e Centro Studi e Ricerche Enrico Fermi Compendio del Viminale, Piazza del Viminiale 1, I 00184, Rome, Italy Dipartimento di Fisica, Universita di Roma Tor Vergata Via della Ricerca Scientifica 1, I 00133 Rome, Italy 5 INFN, Sezione di Tor Vergata Via della Ricerca Scientifica 1, I 00133 Rome, Italy NIC, DESY, Platanenallee 6, D 15738 Zeuthen, Germany 7 Institut fur Physik, Humboldt Universitat zu Berlin, Newtonstr. 15, 12489 Berlin, Germany 8 Theory Group, Lab. de Physique Subatomique et de Cosmologie, 38026 Grenoble, France HISKP (Theory) Bonn University, Nussallee 14 16, Bonn, Germany 10 Albert Einstein Center for Fundamental Physics, University of Bern, CH 3012 Bern, Switzerland (Dated: January 26, 2016)", "author_names": [ "Abdou M Abdel-Rehim", "Constantia Alexandrou", "Martha Constantinou", "Petros Dimopoulos", "R Frezzotti", "K Hadjiyiannakou", "Karl Jansen", "Christos Kallidonis", "Bartosz Kostrzewa", "Giannis Koutsou", "Mariane Mangin-Brinet", "M Sc Stefan Oehm", "G C Rossi", "Carsten Urbach", "Urs Wenger" ], "corpus_id": 53556372, "doc_id": "53556372", "n_citations": 30, "n_key_citations": 0, "score": 0, "title": "Erratum: Nucleon and pion structure with lattice QCD simulations at physical value of the pion mass [Phys. Rev. D 92 114513 (2015)", "venue": "", "year": 2016 }, { "abstract": "In the published version of our paper, some of the entries in Table II are erroneous. The errors originate in a mislabeling of the D classical coupling values therein: they are too high by a factor of 2. Consequently, the assignment of the D values to the sound speed values entered in columns 3, 4, and 5 are changed. The sound speed values themselves as measured in a D units by the molecular dynamics simulations or calculated from the equation of state or from the quasilocalized charge approximation remain unchanged. On the other hand, the sound speeds converted into thermal units in columns 6, 7, and 8 are reduced by a factor of 2 via the formula a D= 2 D m. To set the record straight, the amended table below which displays slightly more accurate up to date entries in columns 2, 3, and 4 replaces the original Table II. Furthermore, in the first paragraph of Sec. V, D=100 on line 14 should read D =50 and D=20 on line 15 should read D=10. Three lines below Eq. 44 D=60 should read D=30. The other changes this rescaling of D entails relate to comparisons with the EHB liquid. The comparisons now to be made are between the entries in column 5 of Table IV of the paper and the column 3 entries in the amended Table II below. As a result, the comparisons of the QLCA sound speeds on lines 11 and 12 of the second paragraph of Sec. V show smaller differences between EHB and point dipole sound speeds: 7.6% at D=20 is replaced by 5.6% at D=10; 6.2% at D=100 is replaced by 5.5% at D=50.", "author_names": [ "Kenneth I Golden", "Gabor J Kalman", "Zoltan Donko", "Peter Hartmann" ], "corpus_id": 120476239, "doc_id": "120476239", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Erratum: Acoustic dispersion in a two dimensional dipole system [Phys. Rev. B 78, 045304 (2008)", "venue": "", "year": 2008 }, { "abstract": "We suggest a multiatom cavity quantum electrodynamics system for the weak magnetic field detection based on Faraday rot tion with intracavity electromagnetically induced transparen cy. The collective coupling between the cavity modes and the atomic ensemble ca n be used to improve the sensitivity. With single probe photon input, the sensitivity is inversely proportional to the number of atoms, and the sen sitivity with 2.3(1) nT/ Hz could be attained. With multiphoton measurement, the sensitivity can be approved to 4.7(9) fT/ Hz. (c) 2016 Optical Society of America OCIS codes: (270.5570) Quantum detectors; (230.2240) Faraday effect. References and links 1. D. Budker and M. Romalis, \"Optical magnetometry, Nature P hys.3, 227 234 (2007) 2. A. Edelstein, \"Advances in magnetometry,\" J. Phys. Cond ens. Matter19, 165217 (2007) 3. J. M. Taylor, P. Cappellaro, L. Childress, L. Jiang, D. Bud ker, P. R. Hemmer, A. Yacoby, R. Walsworth, and M. D. Lukin, \"High sensitivity diamond magnetometer with nan oscale resolution,\" Nat. Phys. 4, 810 816 (2008) 4. M. V. Romalis and H. B. Dang, \"Atomic magnetometers for mat eri ls characterization,\" Mater. Today 14, 258 (2011) 5. S. Forstner, S. Prams, J. Knittel, E. D. van Ooijen, J. D. Sw aim, G. I. Harris, A. Szorkovszky, W. P. Bowen, and H. Rubinsztein Dunlop, \"Cavity Optomechanical Magnetome ter,\" Phys. Rev. Lett. 108, 120801 (2012) 6. K. Jensen, N. Leefer, A. Jarmola, Y. Dumeige, V. M. Acosta, P. Kehayias, B. Patton, and D. Budker, \"Cavityenhanced Room Temperature Magnetometry Using Absorption by Nitrogen Cacancy Centers in Diamond,\" Phys. Rev. Lett. 112, 160802 (2014) 7. Keyu Xia, Nan Zhao, and J. Twamley, \"Detection of a weak mag netic field via cavity enhanced Faraday rotation,\" Phys. Rev. A92, 043409 (2015) 8. W. Muessel. H. Strobel, D. Linnemann, D. B. Hume, and M. K. O berthaler, \"Scalable Spin Squeezing for Quantum Enhanced Magnetometry with Bose Einstein Conden sat s,\" Phys. Rev. Lett. 113, 103004 (2014) 9. D. D. McGregor, \"High sensitivity helium resonance magn etometers,\" Rev. Sci. Instrum. 58, 1067 1076 (1987) 10. T. Wu, X. Peng, Z. Lin, and H. Guo, \"A dead zone free 4He atomic magnetometer with intensity modulated linearly polarized light and a liquid crystal polarization rotator,\" Rev. Sci. Instrum. 86, 103105 (2015) 11. M. O. Scully and M. Fleischhauer, \"High Sensitivity Mag netometer Based on Index Enhanced Media, Phys. Rev. Lett. 69, 1360 1363 (1992) 12. M. Fleischhauer, A. B. Motsko, and M. O. Scully, \"Quantum li it of optical magnetometry in the presence of ac Stark shifts,\" Phys. Rev. A62, 013808 (2000) 13. D. Petrosyan and Y. P. Malakyan, \"Magneto optical rotat i n and cross phase modulation via coherently driven four lvel atoms in a tripod configuration,\" Phys. Rev. A 70, 023822 (2004) 14. J. P. Marangos, \"Electromagnetically induced transpar ency,\" J. Mod. Opt.45, 471 503 (1998) 15. E. Paspalakis and P. L. Knight, \"Electromagnetically in duced transparency and controlled group velocity in a multilevel system,\" Phys. Rev. A66, 015802 (2002) 16. D. Budker, W. Gawlik, D. F. Kimball, S. M. Rochester, V. V. Yashchuk, and A. Weis, \"Resonant nonlinear magneto optical effects in atoms,\" Rev. Mod. Phys. 74, 1153 2101 (2002) 17. M. Atature, J. Dreiser, A. Badolato, and A. Imamoglu, \"Ob servation of Faraday rotation from a single confined spin,\" Nat. Phys. 3, 101 106 (2007) 18. S. W. Chen and R. B. Liu, \"Faraday rotation echo spectrosc opy and detection of quantum fluctuations,\" Sci. Rep. 4, 4695 (2014) 19. L. F. Yin, B. Luo, A. H. Dang, and H. Guo, \"An atomic optical filter working at 1.5mm based on internal frequency stabilized laser pumping,\" Opt. Express 22, 7416 7421 (2014) 20. H. Tanji Suzuki, Wenlan Chen, R. Landig, J. Simon, and V. Vuletic, \"Vacuum induced transparency,\" Science 333, 1266 (2011) 21. M. D. Lukin, M. Fleischhauer, M. O. Scully, and V. L. Velic hansky, \"Intracavity electromagnetically induced transparency,\" Opt. Lett. 23, 295 297 (1998) 22. H. B. Wu, J. Gea Banacloche, and M. Xiao, \"Observation of Intracavity Electromagnetically Induced Transparency and Polarization Resonances in a Dopper Broadened Medium,\" Phys. Rev. Lett. 100, 173602 (2008) 23. M. Albert, A. Dantan, and M. Drewsen, \"Cavity electromag netically induced transparency and all optical switching using ion Coulomb crystals,\" Nature Photon. 5, 633 636 (2011) 24. Bichen Zou and Yifu Zhu, \"Light controlling light in a cou pled atom cavity system, Phys. Rev. A 87, 053802, (2013) 25. D. F. Walls and G. J. Milburn, Quantum Optics(Springer Verlag, Berlin, Heidelberg, 1994) 26. M. Zwierz, C. A. Perez Delgado, and P. Kok, \"General Opt imality of the Heisenberg Limit for Quantum Metrology,\" Phys. Rev. Lett. 105 180402 (2010) 27. S. L. Braunstein and C. M. Caves, \"Statistical Distance a nd the Geometry of Quantum States,\" Phys. Rev. Lett. 72, 3439 3443 (1994)", "author_names": [ "Qiaolin Zhang", "Hui Sun", "Shuangli Fan", "Hong-ju Guo" ], "corpus_id": 32511633, "doc_id": "32511633", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Fe b 20 16 High sensitivity Faraday magnetometry with intracavity electromagnetically induced transparency", "venue": "", "year": 2017 } ]
Investigation of exciton ground state in quantum dots via Hamiltonian diagonalization method
[ { "abstract": "We analyze the electron hole (exciton) ground state associated with the first peak in the optical absorption spectra of semiconductor quantum dots. We assume the effective mass approximation and a dot radius R on the order of the exciton Bohr radius aB. A Hamiltonian diagonalization method which accounts for the exciton's kinetic, direct Coulomb, and surface polarization energies is used. We obtain a representation of the exciton ground state wavefunction and a value for its energy using a basis set consisting of only three composite infinite spherical well wavefunctions. We discuss the precision obtained by this basis set by comparing with results from a much more extended basis set. Our results are used to predict the radius dependent energy of the first peak in visible light absorption spectra for CdSe quantum dots. Our analysis accurately describes the experimental data for dots with radii in the range aB", "author_names": [ "Zachary M Schultz", "J M Essick" ], "corpus_id": 121311065, "doc_id": "121311065", "n_citations": 9, "n_key_citations": 0, "score": 1, "title": "Investigation of exciton ground state in quantum dots via Hamiltonian diagonalization method", "venue": "", "year": 2008 }, { "abstract": "We propose an implementation of a universal set of one and two quantum bit gates for quantum computation using the spin states of coupled single electron quantum dots. Desired operations are effected by the gating of the tunneling barrier between neighboring dots. Several measures of the gate quality are computed within a recently derived spin master equation incorporating decoherence caused by a prototypical magnetic environment. Dot array experiments that would provide an initial demonstration of the desired nonequilibrium spin dynamics are proposed.", "author_names": [ "Daniel Loss", "David P DiVincenzo" ], "corpus_id": 13152124, "doc_id": "13152124", "n_citations": 4621, "n_key_citations": 99, "score": 0, "title": "Quantum computation with quantum dots", "venue": "", "year": 1998 }, { "abstract": "We demonstrated coherent control of a quantum two level system based on two electron spin states in a double quantum dot, allowing state preparation, coherent manipulation, and projective readout. These techniques are based on rapid electrical control of the exchange interaction. Separating and later recombining a singlet spin state provided a measurement of the spin dephasing time, T2* of ~10 nanoseconds, limited by hyperfine interactions with the gallium arsenide host nuclei. Rabi oscillations of two electron spin states were demonstrated, and spin echo pulse sequences were used to suppress hyperfine induced dephasing. Using these quantum control techniques, a coherence time for two electron spin states exceeding 1 microsecond was observed.", "author_names": [ "Jason R Petta", "A C Johnson", "J M Taylor", "E A Laird", "Amir Yacoby", "Mikhail D Lukin", "Charles M Marcus", "Micah P Hanson", "Arthur C Gossard" ], "corpus_id": 9107033, "doc_id": "9107033", "n_citations": 2048, "n_key_citations": 67, "score": 0, "title": "Coherent Manipulation of Coupled Electron Spins in Semiconductor Quantum Dots", "venue": "Science", "year": 2005 }, { "abstract": "Transient nonlinear optical spectroscopy, performed on excitons confined to single GaAs quantum dots, shows oscillations that are analogous to Rabi oscillations in two level atomic systems. This demonstration corresponds to a one qubit rotation in a single quantum dot which is important for proposals using quantum dot excitons for quantum computing. The dipole moment inferred from the data is consistent with that directly obtained from linear absorption studies. The measurement extends the artificial atom model of quantum dot excitonic transitions into the strong field limit, and makes possible full coherent optical control of the quantum state of single excitons using optical pi pulses.", "author_names": [ "Todd H Stievater", "Xiaoqin Li", "Duncan Steel", "Daniel Gammon", "D Scott Katzer", "D Park", "Carlo Piermarocchi", "Lu J Sham" ], "corpus_id": 23421774, "doc_id": "23421774", "n_citations": 527, "n_key_citations": 9, "score": 0, "title": "Rabi oscillations of excitons in single quantum dots.", "venue": "Physical review letters", "year": 2001 }, { "abstract": "Electron transport experiments on two lateral quantum dots coupled in series are reviewed. An introduction to the charge stability diagram is given in terms of the electrochemical potentials of both dots. Resonant tunneling experiments show that the double dot geometry allows for an accurate determination of the intrinsic lifetime of discrete energy states in quantum dots. The evolution of discrete energy levels in magnetic field is studied. The resolution allows one to resolve avoided crossings in the spectrum of a quantum dot. With microwave spectroscopy it is possible to probe the transition from ionic bonding (for weak interdot tunnel coupling) to covalent bonding (for strong interdot tunnel coupling) in a double dot artificial molecule. This review is motivated by the relevance of double quantum dot studies for realizing solid state quantum bits.", "author_names": [ "Wilfred van der Wiel", "Silvano De Franceschi", "Jeroen Elzerman", "Toshimasa Fujisawa", "Seigo Tarucha", "L P Kouwenhoven" ], "corpus_id": 14416133, "doc_id": "14416133", "n_citations": 1276, "n_key_citations": 53, "score": 0, "title": "Electron transport through double quantum dots", "venue": "", "year": 2002 }, { "abstract": "The canonical example of a quantum mechanical two level system is spin. The simplest picture of spin is a magnetic moment pointing up or down. The full quantum properties of spin become apparent in phenomena such as superpositions of spin states, entanglement among spins, and quantum measurements. Many of these phenomena have been observed in experiments performed on ensembles of particles with spin. Only in recent years have systems been realized in which individual electrons can be trapped and their quantum properties can be studied, thus avoiding unnecessary ensemble averaging. This review describes experiments performed with quantum dots, which are nanometer scale boxes defined in a semiconductor host material. Quantum dots can hold a precise but tunable number of electron spins starting with 0, 1, 2, etc. Electrical contacts can be made for charge transport measurements and electrostatic gates can be used for controlling the dot potential. This system provides virtually full control over individual electrons. This new, enabling technology is stimulating research on individual spins. This review describes the physics of spins in quantum dots containing one or two electrons, from an experimentalist's viewpoint. Various methods for extracting spin properties from experiment are presented, restricted exclusively to electrical measurements. Furthermore, experimental techniques are discussed that allow for 1 the rotation of an electron spin into a superposition of up and down, 2 the measurement of the quantum state of an individual spin, and 3 the control of the interaction between two neighboring spins by the Heisenberg exchange interaction. Finally, the physics of the relevant relaxation and dephasing mechanisms is reviewed and experimental results are compared with theories for spin orbit and hyperfine interactions. All these subjects are directly relevant for the fields of quantum information processing and spintronics with single spins i.e. single spintronics.", "author_names": [ "Ronald Hanson", "L P Kouwenhoven", "Jason R Petta", "Seigo Tarucha", "Lieven M K Vandersypen" ], "corpus_id": 9107975, "doc_id": "9107975", "n_citations": 1700, "n_key_citations": 53, "score": 0, "title": "Spins in few electron quantum dots", "venue": "", "year": 2007 }, { "abstract": "Single spins trapped in self assembled quantum dots present rich opportunities for studying their quantum mechanical properties. This Review surveys their optical properties, and the techniques for initializing, manipulating and reading out single spin qubits in these structures. Self assembled quantum dots have excellent photonic properties. For instance, a single quantum dot is a high brightness, narrow linewidth source of single photons. Furthermore, the environment of a single quantum dot can be tailored relatively easily using semiconductor heterostructure and post growth processing techniques, enabling electrical control of the quantum dot charge and control over the photonic modes with which the quantum dot interacts. A single electron or hole trapped inside a quantum dot has spintronics applications. Although the spin dephasing is rather rapid, a single spin can be manipulated using optical techniques on subnanosecond timescales. Optical experiments are also providing new insights into old issues, such as the central spin problem. This Review provides a snapshot of this active field, with some indications for the future. It covers the basic materials and optical properties of single quantum dots, techniques for initializing, manipulating and reading out single spin qubits, and the mechanisms that limit the electron spin and hole spin coherence.", "author_names": [ "Richard John Warburton" ], "corpus_id": 5902324, "doc_id": "5902324", "n_citations": 201, "n_key_citations": 2, "score": 0, "title": "Single spins in self assembled quantum dots", "venue": "2008 34th European Conference on Optical Communication", "year": 2008 }, { "abstract": "The properties of quasi two dimensional semiconductor quantum dots are reviewed. Experimental techniques for measuring the electronic shell structure and the effect of magnetic fields are briefly described. The electronic structure is analyzed in terms of simple single particle models, density functional theory, and \"exact\" diagonalization methods. The spontaneous magnetization due to Hund's rule, spin density wave states, and electron localization are addressed. As a function of the magnetic field, the electronic structure goes through several phases with qualitatively different properties. The formation of the so called maximum density droplet and its edge reconstruction is discussed, and the regime of strong magnetic fields in finite dot is examined. In addition, quasi one dimensional rings, deformed dots, and dot molecules are considered. (Less)", "author_names": [ "S M Reimann", "Matti Manninen" ], "corpus_id": 12483198, "doc_id": "12483198", "n_citations": 950, "n_key_citations": 9, "score": 0, "title": "Electronic structure of quantum dots", "venue": "", "year": 2002 }, { "abstract": "We measure a dephasing time of several hundred picoseconds at low temperature in the ground state transition of strongly confined InGaAs quantum dots, using a highly sensitive four wave mixing technique. Between 7 and 100 K the polarization decay has two distinct components resulting in a non Lorentzian line shape with a lifetime limited zero phonon line and a broadband from elastic exciton acoustic phonon interactions.", "author_names": [ "Paola Borri", "Wolfgang W Langbein", "S Schneider", "Ulrike Woggon", "Roman Sellin", "D Ouyang", "Dieter H Bimberg" ], "corpus_id": 23036001, "doc_id": "23036001", "n_citations": 788, "n_key_citations": 15, "score": 0, "title": "Ultralong dephasing time in InGaAs quantum dots.", "venue": "Physical review letters", "year": 2001 }, { "abstract": "Quantum dots (QDs) are a unique type of nanocrystalline semiconductor whose electronic and optical properties are dependent on the size and shape of the dots. Diameters of these particles can range from about 2 10 nm, on the order of 10 50 atomic lengths6. The small size of the particle gives a high ratio of surface to volume, so their properties fall somewhere between that of individual molecules and bulk semiconductors Quantum dots can be single elements (such as silicon, germanium, etc. or compounds (CdSe, CdS, etc.)6.", "author_names": [ "Elliott H Lieb", "Jan philip Solovej" ], "corpus_id": 17961992, "doc_id": "17961992", "n_citations": 424, "n_key_citations": 14, "score": 0, "title": "Quantum Dots", "venue": "", "year": 1993 } ]
Gain spectrum and saturation characteristics of two-segment semiconductor optical amplifier
[ { "abstract": "Two segment semiconductor optical amplifier (SOA) is proposed and studied to manipulate the gain and saturation characteristics of SOA; this kind of SOA is separated into two segments that are electrically insulated from each other by two split electrodes; the two segments share the same active region and other internal structure. By changing the injection current density of the front segment or back segment, the saturation output power, bandwidth and gain compression can be easily controlled. Therefore it is convenient for SOA to meet various application requirements in optics communication.", "author_names": [ "Lirong Huang", "Shenghui Yu", "Dexiu Huang" ], "corpus_id": 121663383, "doc_id": "121663383", "n_citations": 3, "n_key_citations": 0, "score": 1, "title": "Gain spectrum and saturation characteristics of two segment semiconductor optical amplifier", "venue": "SPIE/OSA/IEEE Asia Communications and Photonics", "year": 2008 }, { "abstract": "Characteristics of small signal gain spectrum and static gain saturation of integrated twin guide semiconductor optical amplifier (ITG SOA) are theoretically investigated and compared with those of SOA. A comprehensive ITG SOA model is proposed to effectively extend the application range of previous models. The model considers the interaction between carrier density and photon density as well as the longitudinal variation of phase match degree induced by input power. Two kinds of ITG SOAs are expected to have different small signal amplification characteristics. The unique gain saturation characteristics of ITG SOA, which have been well explained, show great promise in wavelength conversion: enhanced extinction ratio, reduced input pump power level, and quasi digital response.", "author_names": [ "Qingyuan Miao", "Dexiu Huang" ], "corpus_id": 23286557, "doc_id": "23286557", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Theoretical study of gain spectrum and static gain saturation characteristics of integrated twin guide semiconductor optical amplifier.", "venue": "Optics express", "year": 2006 }, { "abstract": "Abstract This paper presents the pulse propagation and gain saturation characteristics for different input optical pulse shapes with different energy levels in semiconductor optical amplifiers (SOAs) A finite difference beam propagation method (FD BPM) is used to solve the modified nonlinear Schrodinger equation (MNLSE) for the simulation of nonlinear optical pulse propagation and gain saturation characteristics in the SOAs. In this MNLSE, the gain spectrum dynamics, gain saturation are taken into account those are depend on the carrier depletion, carrier heating, spectral hole burning, group velocity dispersion, self phase modulation and two photon absorption. From this simulation, we obtained the output waveforms and spectra for different input pulse shapes considering different input energy levels. It has shown that the output pulse shape has changed due to the variation of input parameters, such as input pulse shape, input pulse width, and input pulse energy levels. It also shown clearly that the peak position of the output waveforms are shifted toward the leading edge which is due to the gain saturation of the SOA. We also compared the gain saturation characteristics in the SOA for different input pulse shapes.", "author_names": [ "Suchi Barua", "Narottam Kumar Das", "Sven Erik Nordholm", "Mohammad Razaghi" ], "corpus_id": 55690839, "doc_id": "55690839", "n_citations": 8, "n_key_citations": 1, "score": 0, "title": "Comparison of pulse propagation and gain saturation characteristics among different input pulse shapes in semiconductor optical amplifiers", "venue": "", "year": 2016 }, { "abstract": "This paper presents nonlinear pulse propagation characteristics for different input optical pulse shapes with various input pulse energy levels in semiconductor optical amplifiers. For simulation of nonlinear pulse propagation, finite difference beam propagation method is used to solve the nonlinear Schrodinger equation. In this equation, gain spectrum dynamics, gain saturation are taken into account which depends on carrier depletion, carrier heating, spectral hole burning, group velocity dispersion, self phase modulation and two photon absorption. From this analysis, we obtained the output waveforms and spectra for different input pulse shapes as well as for different input energies. It shows clearly that the peak position of the output waveforms are shifted toward the leading edge which due to the gain saturation of the SOA for higher input pulse energies. We also analyzed and compared the normalized difference of full width at half maximum for different input pulse shapes in the SOA.", "author_names": [ "Suchi Barua", "Narottam Kumar Das", "Sven Erik Nordholm", "Mohammad Razaghi" ], "corpus_id": 115992551, "doc_id": "115992551", "n_citations": 1, "n_key_citations": 1, "score": 0, "title": "Analysis of nonlinear pulse propagation characteristics in semiconductor optical amplifier for different input pulse shapes", "venue": "", "year": 2015 }, { "abstract": "High power Semiconductor Optical Amplifiers (SOA) are often integrated in optical systems such as mode locked lasers, hybrid, or monolithically integrated Master Oscillator Power Amplifiers (MOPA) for applications such as LIDAR systems or free space optical communications. Both these applications require high power and signal modulation, either for data transmission or specific LIDAR adapted signals, which can be provided by either modulating the amplitude of the seed laser or using an external modulator. It is also possible to directly modulate the gain of the SOA by modulating the input current. However, as the input power of the SOA is often high in these configurations (around 1 mW) it operates under the saturation regime. In this article, we present an experimental study of the dynamic characteristics of a two section high power SOA. DC characteristics for different pump current pairs are measured. The S21 parameter is measured, and a model is proposed to understand and predict the dynamic response of the SOA. The impact of the gain saturation with regards to input power on the behavior of the SOA is investigated by pumping the two sections with different current values. Extinction ratio and eye diagrams are measured in three different configurations with the modulation applied on a seed DFB laser, on the input section, then on the output section of the SOA at 1 Gbps, 2.5 Gbps, and 5 Gbps.", "author_names": [ "Cecil Pham", "Francois Duport", "Romain Brenot", "J F Paret", "Alexandre Garreau", "Carmen Gomez", "Catherine Fortin", "Karim Mekhazni", "Frederic van Dijk" ], "corpus_id": 215740707, "doc_id": "215740707", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Modulation of a High Power Semiconductor Optical Amplifier for Free Space Communications", "venue": "Journal of Lightwave Technology", "year": 2020 }, { "abstract": "The \"spectrum slicing\" technique, employing incoherent light, has been shown to be a highly practical, cheap and hence very attractive proposal for future all optical networks. In this study, the use of semiconductor optical amplifier gain saturation for intensity noise reduction on incoherent light is further studied in terms of the Relative Intensity Noise (RIN) with a view to obtaining the optimum SOA injection current and input power conditions to achieve the best possible intensity noise reducti on, in conjunction with OSNR, BER and Q factor results. The results reported herein give designers knowledge of the best SOA operating conditions to enhance overall system performance, whilst still obtaining signal gain from the SOA.", "author_names": [ "supKanar R Tariq", "supDavid I Forsyth", "supRiyam A Johni" ], "corpus_id": 56457295, "doc_id": "56457295", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Further Investigation of Intensity Noise Reduction on an Incoherent Light Source using a Gain Saturated Semiconductor Optical Amplifier in a Spectrum sliced Channel at 2.5 Gb\\s", "venue": "", "year": 2016 }, { "abstract": "We report on high quality InAs/InP quantum dot optical amplifiers for the 1550 nm wavelength range operating over a wide temperature range of 25 to 100 degC. A temperature dependent shift of the peak gain wavelength at a rate of 0.78 nm/K is observed. Consequently, two possible modes of operation are performed for a systematic device characterization over the entire temperature range. In the first mode, the signal wavelength is tuned to always match the peak gain wavelength while in the second mode, the signal wavelength is kept constant as the gain spectrum shifts with the temperature. Static characteristics, such as gain spectra and saturation levels, as well as dynamical properties, are presented. Distortion less amplification of a single 28 Gbit/s signal and cross talk free amplification of two channels, detuned by 2 nm, were demonstrated over the entire temperature range.", "author_names": [ "Ori Eyal", "Amnon Willinger", "Saddam Banyoudeh", "F Schanbel", "Vitalii Sichkovskyi", "Vissarion Mikhelashvili", "Johann Peter Reithmaier", "Gadi Eisenstein" ], "corpus_id": 46830138, "doc_id": "46830138", "n_citations": 6, "n_key_citations": 0, "score": 0, "title": "Static and dynamic characteristics of an InAs/InP quantum dot optical amplifier operating at high temperatures.", "venue": "Optics express", "year": 2017 }, { "abstract": "We provide the numerical analysis result of the gain saturation characteristics of a semiconductor optical amplifier (SOA) using the tunnel injection structure that we proposed. The tunnel injection SOA has the features of the carrier reservoir and the control of the carrier relaxation speed. The analysis suggested that the tunnel injection SOA improves the output power by 4.9 dB at 100 Gbps PRBS without signal distortion. Such an advantage is based on the mechanism of the filling of carriers during the light amplification that reduces the carriers.", "author_names": [ "Mikio Sorimachi", "Yasutaka Higa", "Takuya Nishinome", "Hajime Iwasaki", "Tomoyuki Miyamoto" ], "corpus_id": 36922302, "doc_id": "36922302", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Numerical analysis of gain saturation characteristics of semiconductor optical amplifier using tunnel injection structure", "venue": "17th Microopics Conference (MOC)", "year": 2011 }, { "abstract": "Recirculating frequency shifter (RFS) loops can be used for electronically programmable, variable spacing multiline spectrum generation, which can benefit the development of fully flexible optical communications and other frequency comb applications. Here, we report on and explain the observation of significant performance variations between chip based gain in semiconductor optical amplifiers (SOA) and fiber based gain in erbium doped fiber amplifiers (EDFA) when used as the gain element in the RFS. Previously, SOAs and EDFAs have been demonstrated in different RFS experiments and studied separately from each other; thus, discussion mainly focused on the noise from amplified spontaneous emission. We show that SOA effects, including four wave mixing, can be measured, which impose limits to the wavelength spacing of the combs, and that this effect is mitigated by increasing the RF drive frequency of the RFS and operating SOA in deeper saturation.", "author_names": [ "Xiaoxi Wang", "Shayan Mookherjea" ], "corpus_id": 3694054, "doc_id": "3694054", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "Performance comparisons between semiconductor and fiber amplifier gain assistance in a recirculating frequency shifter.", "venue": "Optics letters", "year": 2018 }, { "abstract": "Recently, there is an increasing interest on quantum well (QW) semiconductor optical amplifier in optical communications and optical signal processing applications. This paper addresses the dependence of saturation power on QW structure parameters. Expressions are given to assess this dependency and the results indicate that the saturation power is a decreasing function of number of wells, well thickness, and amplifier length and it is almost independent of barrier thickness.", "author_names": [ "Alhuda A Al-mfrji" ], "corpus_id": 52267210, "doc_id": "52267210", "n_citations": 2, "n_key_citations": 1, "score": 0, "title": "Saturation Gain Characteristics of Quantum Well Semiconductor Optical Amplifier", "venue": "", "year": 2012 } ]
Developing high mobility emissive organic semiconductors towards integrated optoelectronic devices
[ { "abstract": "The achievement of organic semiconductors with both high mobility and strong fluorescence emission remains a challenge. High mobility requires molecules which pack densely and periodically, while serious fluorescence quenching typically occurs when fluorescent materials begin to aggregate (aggregation induced quenching (AIQ) Indeed, classical materials with strong fluorescent emission always exhibit low mobility, for example, tris(8 hydroxyquinoline) aluminium (ALQ) and phenylenevinylene based polymers with mobility only 10 6 10 5 cm2V 1s 1, and benchmark organic semiconductors with high mobility demonstrate very weak emission, for example, rubrene exhibits a quantum yield<1% in crystalline state and pentacene shows very weak fluorescence in the solid state. However, organic semiconductors with high mobility and strong fluorescence are necessary for the achievement of high efficiency organic light emitting transistors (OLETs) and electrically pumped organic lasers. Therefore, it is necessary for developing high mobility emissive organic/polymeric semiconductors towards a fast mover for the organic optoelectronic integrated devices and circuits.", "author_names": [ "Huanli Dong", "Wenping Hu", "Alan J Heeger" ], "corpus_id": 138695584, "doc_id": "138695584", "n_citations": 0, "n_key_citations": 0, "score": 1, "title": "Developing high mobility emissive organic semiconductors towards integrated optoelectronic devices (Conference Presentation)", "venue": "Organic Photonics Electronics", "year": 2016 }, { "abstract": "Simultaneously modulating the optical and electrical properties of organic semiconductors is crucial for advancing their desired applications in integrated optoelectronic devices. Here, we realize a goal of optimizing the light emission and field effect properties of an anthracene derivative, 1,4 di(anthracen 9 yl)buta 1,3 diyne (DABD) by tuning its crystal polymorphs. Two phase crystals, that is a phase rhombic sheet crystals and b phase ribbon shape crystals of DABD are controllably obtained. Compared with the a phase crystals, the b phase DABD crystals exhibit better integrated optoelectronic performances with charge carrier mobility of 0.25 cm2 V 1 s 1 and strong fluorescent emission. This work suggests that polymorph engineering would be a promising avenue to realize simultaneous modulation of the multifunctional properties of organic semiconductors towards appropriate applications in organic optoelectronics.", "author_names": [ "Dan Liu", "Chenguang Li", "Shujie Niu", "Yang Li", "Mengxiao Hu", "Qingyuan Li", "Wei-Gang Zhu", "Xiaotao Zhang", "Huanli Dong", "Wenping Hu" ], "corpus_id": 140860067, "doc_id": "140860067", "n_citations": 10, "n_key_citations": 0, "score": 0, "title": "A case study of tuning the crystal polymorphs of organic semiconductors towards simultaneously improved light emission and field effect properties", "venue": "", "year": 2019 }, { "abstract": "Solution processed n type organic semiconductor micro/nanocrystals (OSMCs) are fundamental elements for developing low cost, large area, and all organic logic/complementary circuits. However, the development of air stable, highly aligned n channel OSMC arrays for realizing high performance devices lags far behind their p channel counterparts. Herein, we present a simple one step slope coating method for the large scale, solution processed fabrication of highly aligned, air stable, n channel ribbon shaped single crystalline N,N' bis(2 phenylethyl) perylene 3,4:9,10 tetracarboxylic diimide (BPE PTCDI) arrays. The slope and patterned photoresist (PR) stripes on the substrate are found to be crucial for the formation of large area submicron ribbon arrays. The width and thickness of the BPE PTCDI submicron ribbons can be finely tuned by controlling the solution concentration as well as the slope angle. The resulting BPE PTCDI submicron ribbon arrays possess an optimum electron mobility up to 2.67 cm2*V 1*s 1 (with an average mobility of 1.13 cm2*V 1*s 1) which is remarkably higher than that of thin film counterparts and better than the performance reported previously for single crystalline BPE PTCDI based devices. Moreover, the devices exhibit robust air stability and remain stable after exposing in air over 50 days. Our study facilitates the development of air stable, n channel organic field effect transistors (OFETs) and paves the way towards the fabrication of high performance, organic single crystal based integrated circuits.", "author_names": [ "Liang Wang", "Xiujuan Zhang", "Gaole Dai", "Wei Deng", "Jiansheng Jie", "Xiaohong Zhang" ], "corpus_id": 136276413, "doc_id": "136276413", "n_citations": 21, "n_key_citations": 0, "score": 0, "title": "High mobility air stable n type field effect transistors based on large area solution processed organic single crystal arrays", "venue": "Nano Research", "year": 2017 }, { "abstract": "Organic crystals simultaneously displaying high carrier mobility and high luminescence efficiency are expected to be of interest for the fundamental research of light emitting devices, and may offer renewed hope for achieving electrical pumping of solid state organic lasers. However, high charge transport and efficient light emission have been mutually exclusive due to the different inherent natures of their aggregation states in single crystals. Here, we report the successful growth of a pentacene doped 1,4 bis(2 cyano styryl)benzene (2 CSBPc) crystal that showed a high carrier mobility of 0.51 cm2 V 1 s 1, efficient emission of red light with a quantum yield of up to 50% and a low amplified spontaneous emission (ASE) threshold of 46 kW cm 2 using a physical vapor transport (PVT) method. The achieved high carrier mobility was due to the retention of structural order after doping Pc molecules into the 2 CSB matrix, as well as being due to the extensive intermolecular p orbital overlap stacks in the crystal. The spectral matching between 2 CSB emission and Pc absorption promoted host guest energy transfer leading to the high luminescence efficiency, and meanwhile, the uniaxially oriented molecular arrangement had the benefit of producing ASE with a low energy threshold. The molecular electronic structures, reorganization energies and orbital overlap integrals were calculated to further elucidate the influences of Pc doping on the luminescence and charge transport characteristics. The primary results indicated that integrated multi functional optoelectronic crystals can be obtained via a doping strategy, which is of great significance for developing high performance organic laser materials and devices.", "author_names": [ "Yang Zhao", "Liyan Wang", "Chen Xi", "Biao Zhang", "Fangzhong Shen", "Hua Song", "Huan Wang" ], "corpus_id": 210518662, "doc_id": "210518662", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "A high mobility, high luminescence and low threshold pentacene doped cyano substituted distyrylbenzene crystal", "venue": "", "year": 2019 }, { "abstract": "Organic photodetectors with UV sensitivity are of great potential for various optoelectronic applications. Integration of high charge carrier mobility, long exciton diffusion length as well as unique UV sensitivity for active materials is crucial for construction of UV sensitive devices with high performance, however, very few organic semiconductors can integrate these properties simultaneously. Herein, two novel organic semiconductors containing large steric hindrance triphenylamine groups, 1,6 distriphenylamineethynylpyrene (1,6 DTEP) and 2,7 distriphenylamineethynylpyrene (2,7 DTEP) are designed and synthesized. It demonstrates that the single crystals of both 1,6 DTEP and 2,7 DTEP exhibit superior integrated optoelectronic properties of high charge carrier mobility, unique UV absorption, high photoluminescence quantum yields as well as small exciton binding energies. Organic phototransistors constructed using 1,6 DTEP and 2,7 DTEP single crystals show ultrasensitive performance with ultra high photoresponsivity of 2.86 x 106 and 1.04 x 105 A W 1 detectivity (D* of above 1.49 x 1018 and 5.28 x 1016 Jones under 370 nm light illumination, respectively. It indicates the great potential of 1,6 DTEP and 2,7 DTEP based phototransistors for organic UV photodetector applications and also provides a new design strategy to develop series of better performance UV photoelectric organic materials for related research in organic optoelectronics.", "author_names": [ "Jingwei Tao", "Dan Liu", "Zhengsheng Qin", "Bo Shao", "Jiangbo Jing", "Hongxiang Li", "Huanli Dong", "Bin Xu", "Wenjing Tian" ], "corpus_id": 211113325, "doc_id": "211113325", "n_citations": 13, "n_key_citations": 0, "score": 0, "title": "Organic UV Sensitive Phototransistors Based on Distriphenylamineethynylpyrene Derivatives with Ultra High Detectivity Approaching 1018.", "venue": "Advanced materials", "year": 2020 }, { "abstract": "While the field of perovskite based optoelectronics has mostly been dominated by photovoltaics, light emitting diodes and transistors, semiconducting properties peculiar to perovskites make them interesting candidates for innovative and disruptive applications in light signal detection. Perovskites combine effective light absorption in the broadband range with good photo generation yield and high charge carrier mobility, which combination provides promising potential for exploiting sensitive and fast photodetectors that are targeted for image sensing, optical communication, environmental monitoring, or chemical/biological detection. Currently, organic inorganic hybrid and all inorganic halide perovskites with controlled morphologies of polycrystalline thin films, nano particles/wires/sheets, and bulk single crystals have shown key figure of merit features in terms of their responsivity, detectivity, noise equivalent power, linear dynamic range, and response speed. The sensing region has been covered from ultraviolet visible near infrared (UV Vis NIR) to gamma photons, based on two or three terminal device architectures. Diverse photoactive materials and devices with superior optoelectronic performances have stimulated attention from researchers in multidisciplinary areas. We offer a comprehensive overview of the recent progress of perovskite based photodetectors, focusing on versatile compositions, structures, and morphologies of constituent materials, and diverse device architectures toward the superior performance metrics. Combining the advantages of both organic semiconductors (facile solution processability) and inorganic semiconductors (high charge carrier mobility) perovskites are expected to replace commercial silicon for future photodetection applications. The optical and electronic properties of noble metallic nanoparticles can be exploited to enhance the performance of inorganic/organic photodetectors. We integrated a uniformly distributed layer of Au nanorods (AuNRs) into vertically structured perovskite photoconductive photodetectors and report, as a result, perovskite AuNR hybrid photodetectors that exhibit significant photocurrent enhancements. Ultimately it achieves a responsivity of ~320 A/W at a low driving voltage of 1 V. This is an improvement of 60% compared to the responsivity of pristine devices ~200 A/W) The high responsivity and low driving voltage place this device among the highest performing perovskite based thin film photoconductive photodetectors reported. We characterized the stability and linearity of the photoresponse following repeated light/dark cycles. The hybrid device also shows a fast response (with the decay time of ~95 ns) compared to pristine devices ~230 ns) The improvements in photodetection performance are attributed to plasmon enhanced optical absorption, as well as advances in charge extraction and transport. Metal halide perovskites have rapidly advanced thin film photovoltaic performance; as a result, the materials' ob served instabilities urgently require a solution. Using density functional theory (DFT) we show that a low energy of formation, exacerbated in the presence of humidity, explains the propensity of perovskites to decompose back into their precursors. We find, also using DFT, that intercalation of phenylethylammonium between perovskite layers in troduces quantitatively appreciable van der Waals interactions; and these drive an increased formation energy and should therefore improve material stability. Here we report the reduced dimensionality (quasi 2D) perovskite films that exhibit improved stability while retaining the high performance of conventional three dimensional perovskites. Continuous tuning of the dimensionality, as assessed using photophysical studies, is achieved by the choice of stoi chiometry in materials synthesis. We achieve the first certified hysteresis free solar power conversion in a planar per ovskite solar cell, obtaining a 15.3% certified PCE, and observe greatly improved performance longevity. The same protocol was applied to develop highly stable and efficient photodectors in diverse device configurations. Organometal halide perovskites exhibit large bulk crystal domain sizes, rare traps, excellent mobilities, and carriers that are free at room temperature properties that support their excellent performance in charge separating devices. In devices that rely on the forward injection of electrons and holes, such as light emitting diodes (LEDs) excellent mobilities contribute to the efficient capture of nonequilibrium charge carriers to rare nonradiative centres. Moreover, the lack of bound excitons weakens the competition of desired radiative over undesired nonradiative recombination. Here we also report a perovskite mixed material, one comprised of a series of differently quantum size tuned grains, that funnels photoexcitations to the lowest bandgap light emitter in the mixture. The materials function as charge carrier concentrators, ensuring that radiative recombination successfully outcompetes trapping and hence nonradiative recombination. We use the new material to build devices that exhibit an external quantum efficiency (EQE) of 8.8% and a radiance of 80 Wsr 1m 2. These represent the brightest and most efficient solution processed near infrared LEDs to date. Here we show that, by concentrating photoexcited states into a small subpopulation of radiative domains, one can achieve a high quantum yield even at low excitation intensities. We tailor the composition of quasi 2D perovskites to direct the energy transfer into the lowest bandgap minority phase, and to do so faster than it is lost to non radiative centres. The new material exhibits 60% photoluminescence quantum yield at excitation intensities as low as 1.8 mW/cm2, yielding a ratio of quantum yield to excitation intensity of 0.3 cm2/mW; this represents a two orders of magnitude decrease in the excitation power required to reach high efficiency compared to the best prior reports. Using this strategy, we report LEDs with EQEs of 7.4% and a high luminescence of 8400 cd/m2.", "author_names": [ "Dong Ha Kim", "Huan Mei Wang", "Ju Won Lim", "Li Na Quan", "Ilgeum Lee", "Edward H Sargent" ], "corpus_id": 139769290, "doc_id": "139769290", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Optoelectronic hybrid perovskite materials and devices (Conference Presentation)", "venue": "", "year": 2018 }, { "abstract": "Organic light emitting transistors (OLETs) integrate the functions of light emitting diodes and field effect transistors into a unique device, opening a new door for optoelectronics. However, there is still a challenge due to the absence of high quality organic semiconductors for OLETs. Herein, we reported a novel molecule 2,6 di(anthracen 2 yl)naphthalene (2,6 DAN) which exhibited mobility of up to 19 cm 2 *V 1 *s 1 and an absolute fluorescence quantum yield of 37.09% which are good values for organic semiconductors. Moreover, OLETs based on 2,6 DAN single crystals showed bright yellowish green emission and well balanced ambipolar charge transport. The excellent ratio of hole to electron mobility can reach up to 0.86, which is superior to most single component OLETs in typical device configurations reported so far.", "author_names": [ "Lei Zheng", "Jinfeng Li", "Ke Zhou", "Xixia Yu", "Xiaotao Zhang", "Huanli Dong", "Wenping Hu" ], "corpus_id": 218864701, "doc_id": "218864701", "n_citations": 8, "n_key_citations": 0, "score": 0, "title": "Molecular scale integrated multi functions for organic light emitting transistors", "venue": "Nano Research", "year": 2020 }, { "abstract": "Organic semiconducting single crystals (OSSCs) are ideal candidates for the construction of high performance optoelectronic devices/circuits and a great platform for fundamental research due to their long range order, absence of grain boundaries, and extremely low defect density. Impressive improvements have recently been made in organic optoelectronics: the charge carrier mobility is now over 10 cm2 V 1 s 1 and the fluorescence efficiency reaches 90% for many OSSCs. Moreover, high mobility and strong emission can be integrated into a single OSSC, for example, showing a mobility of up to 34 cm2 V 1 s 1 and a photoluminescence yield of 41.2% These achievements are attributed to the rational design and synthesis of organic semiconductors as well as improvements in preparation technology for crystals, which accelerate the application of OSSCs in devices and circuits, such as organic field effect transistors, organic photodetectors, organic photovoltaics, organic light emitting diodes, organic light emitting transistors, and even electrically pumped organic lasers. In this context, an overview of these fantastic advancements in terms of the fundamental insights into developing high performance organic semiconductors, efficient strategies for yielding desirable high quality OSSCs, and their applications in optoelectronic devices and circuits is presented. Finally, an overview of the development of OSSCs along with current challenges and future research directions is provided.", "author_names": [ "Xiaotao Zhang", "Huanli Dong", "Wenping Hu" ], "corpus_id": 51710892, "doc_id": "51710892", "n_citations": 153, "n_key_citations": 0, "score": 0, "title": "Organic Semiconductor Single Crystals for Electronics and Photonics.", "venue": "Advanced materials", "year": 2018 }, { "abstract": "The large scale growth of semiconducting thin films forms the basis of modern electronics and optoelectronics. A decrease in film thickness to the ultimate limit of the atomic, sub nanometre length scale, a difficult limit for traditional semiconductors (such as Si and GaAs) would bring wide benefits for applications in ultrathin and flexible electronics, photovoltaics and display technology. For this, transition metal dichalcogenides (TMDs) which can form stable three atom thick monolayers, provide ideal semiconducting materials with high electrical carrier mobility, and their large scale growth on insulating substrates would enable the batch fabrication of atomically thin high performance transistors and photodetectors on a technologically relevant scale without film transfer. In addition, their unique electronic band structures provide novel ways of enhancing the functionalities of such devices, including the large excitonic effect, bandgap modulation, indirect to direct bandgap transition, piezoelectricity and valleytronics. However, the large scale growth of monolayer TMD films with spatial homogeneity and high electrical performance remains an unsolved challenge. Here we report the preparation of high mobility 4 inch wafer scale films of monolayer molybdenum disulphide (MoS2) and tungsten disulphide, grown directly on insulating SiO2 substrates, with excellent spatial homogeneity over the entire films. They are grown with a newly developed, metal organic chemical vapour deposition technique, and show high electrical performance, including an electron mobility of 30 cm2 V 1 s 1 at room temperature and 114 cm2 V 1 s 1 at 90 K for MoS2, with little dependence on position or channel length. With the use of these films we successfully demonstrate the wafer scale batch fabrication of high performance monolayer MoS2 field effect transistors with a 99% device yield and the multi level fabrication of vertically stacked transistor devices for three dimensional circuitry. Our work is a step towards the realization of atomically thin integrated circuitry.", "author_names": [ "Kibum Kang", "Saien Xie", "Lujie Huang", "Yimo Han", "Pinshane Y Huang", "Kin Fai Mak", "Cheol-Joo Kim", "David A Muller", "Jiwoong Park" ], "corpus_id": 205243572, "doc_id": "205243572", "n_citations": 1089, "n_key_citations": 18, "score": 0, "title": "High mobility three atom thick semiconducting films with wafer scale homogeneity", "venue": "Nature", "year": 2015 }, { "abstract": "With the rapid growth in the requirement for emerging photovoltaic technology like semitransparent solar cells applied for integrated smart windows, there is an urgent need to develop near infrared (NIR) non fullerene acceptors (NFAs) To address this issue, thieno[3,4 b]thiophene (TT) which has a stable quinoid structure to minimize the energy difference between two resonance structures corresponding to the band gap, is introduced into the push pull molecular architecture as a bridge unit to narrow the band gap of the derived acceptors. Due to the different linkage positions (4 or 6 position) of asymmetric TT, these acceptors are classified into two types of isomers, namely 4TIC, 4T4F, 6TIC and 6T4F, of which all have strong absorption in the NIR range. By incorporation with polymer donor PTB7 Th, the devices based on 6 position isomers exhibit superior photovoltaic performance, wherein a champion device based on 6T4F is obtained with a power conversion efficiency of 10.74% With detailed investigations on inherent optoelectronic properties as well as structural and morphological variation, this performance diversity induced by isomerism is determined by the evident difference in the packing order, which will impact the charge mobility and fill factor. This work presents a class of high performance NIR acceptors in which the regioisomeric backbone will significantly impact the optoelectronic properties.", "author_names": [ "Zeqi Zhang", "Tong Shan", "Yinan Zhang", "Lei Zhu", "Ling-wei Kong", "Feng Liu", "Hongliang Zhong" ], "corpus_id": 213918136, "doc_id": "213918136", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "Isomerizing thieno[3,4 b]thiophene based near infrared non fullerene acceptors towards efficient organic solar cells", "venue": "", "year": 2020 } ]
Regional Advantage: Culture and Competition in Silicon Valley
[ { "abstract": "Compares the organization of regional economies, focusing on Silicon Valley's thriving regional network based system and Route 128's declining independent firm based system. The history of California's Silicon Valley and Massachusetts' Route 128 as centers of innovation in the electronics indistry is traced since the 1970s to show how their network organization contributed to their ability to adapt to international competition. Both regions faced crises in the 1980s, when the minicomputers produced in Route 128 were replaced by personal computers, and Japanese competitors took over Silicon Valley's market for semiconductor memory. However, while corporations in the Route 128 region operated by internalization, using policies of secrecy and company loyalty to guard innovation, Silicon Valley fully utilized horizontal communication and open labor markets in addition to policies of fierce competition among firms. As a result, and despite mounting competition, Silicon Valley generated triple the number of new jobs between 1975 and 1990, and the market value of its firms increased $25 billion from 1986 to 1990 while Route 128 firms increased only $1 billion for the same time period. From analysis of these regions, it is clear that innovation should be a collective process, most successful when institutional and social boundaries dividing firms are broken down. A thriving regional economy depends not just on the initiative of individual entrepreneurs, but on an embedded network of social, technical, and commercial relationships between firms and external organizations. With increasingly fragmented markets, regional interdependencies rely on consistently renewed formal and informal relationships, as well as public funding for education, research, and training. Local industrial systems built on regional networks tend to be more flexible and technologically dynamic than do hierarchical, independent firm based systems in which innovation is isolated within the boundaries of corporations. (CJC)", "author_names": [ "Alfred Dupont Chandler", "AnnaLee Saxenian" ], "corpus_id": 147510409, "doc_id": "147510409", "n_citations": 6766, "n_key_citations": 489, "score": 1, "title": "Regional Advantage: Culture and Competition in Silicon Valley and Route 128", "venue": "", "year": 1994 }, { "abstract": "", "author_names": [ "Kevin John Morgan" ], "corpus_id": 152625238, "doc_id": "152625238", "n_citations": 315, "n_key_citations": 28, "score": 0, "title": "Regional advantage: Culture and competition in Silicon Valley and route 128: AnnaLee Saxenian, (Harvard University Press, Cambridge, MA, 1994) 226 pp; Price [UK pound]19.95, ISBN 0 674 75339 9", "venue": "", "year": 1996 }, { "abstract": "", "author_names": [ "Meric S Gertler", "Paivi Oinas", "Michael Storper", "Philip Scranton" ], "corpus_id": 156281509, "doc_id": "156281509", "n_citations": 23, "n_key_citations": 1, "score": 0, "title": "Discussion of Regional Advantage: Culture and Competition in Silicon Valley and Route 128 by AnnaLee Saxenian", "venue": "", "year": 1995 }, { "abstract": "", "author_names": [ "AnnaLee Saxenian" ], "corpus_id": 197825742, "doc_id": "197825742", "n_citations": 81, "n_key_citations": 4, "score": 0, "title": "Regional Advantage: Culture and Competition in Silicon Valley and Route 128, With a New Preface by the Author", "venue": "", "year": 1996 }, { "abstract": "", "author_names": [ "Linda Harris Dobkins" ], "corpus_id": 152591370, "doc_id": "152591370", "n_citations": 8, "n_key_citations": 0, "score": 0, "title": "Regional advantage: Culture and competition in silicon valley and route 128: AnnaLee Saxenian, (Harvard University Press, Cambridge, 1994) pp. 226, $24.95", "venue": "", "year": 1997 }, { "abstract": "", "author_names": [ "Craig Wollner" ], "corpus_id": 155419492, "doc_id": "155419492", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Regional Advantage: Culture and Competition in Silicon Valley and Route 128. By Annalee Saxenian Cambridge, Mass. Harvard University Press, 1994. xi 226 pp. Figures, tables, notes, and index. $24.95. ISBN 0 674 75339 9.", "venue": "", "year": 1994 }, { "abstract": "", "author_names": [ "Joshua S Gans" ], "corpus_id": 154146731, "doc_id": "154146731", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Regional Advantage: Culture and Competition in Silicon Valley and Route 128 by Annalee Saxenian (Harvard University Press, Cambridge, 1994) xi 226 pp. US$24.95, ISBN 0 674 75339 9", "venue": "", "year": 1995 }, { "abstract": "From classical temples to twentieth century towers, engineers have learned more about design from failure than from success. The concept of error, according to the author of Design Paradigms, is central to the design process. As a way of explaining the enduring aspects of engineering design, Henry Petroski relates stories of some of the greatest engineering successes and failures of all time. These case studies, drawn from a wide range of times and places, from Ancient Greece and Rome to modem America, serve as paradigms of error and judgment in engineering design.", "author_names": [ "Martin Nov Goldstein", "Inge F Goldstein" ], "corpus_id": 220736192, "doc_id": "220736192", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "Regional Advantage: Culture and Competition in Silicon Valley and Route 128, AnnaLee Saxenian. 1994. Harvard University Press, Cambridge, MA. 240 pages. ISBN: 0 674 75339 9. $24.95", "venue": "", "year": 1996 }, { "abstract": "", "author_names": [ "Paul Lester Robertson" ], "corpus_id": 154160932, "doc_id": "154160932", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Regional Advantage: Culture and Competition in Silicon Valley and Route 128 By Annalee Saxenian. Cambridge, MA: Harvard University Press, 1994. Pp. xiv, 226. $24.95.", "venue": "", "year": 1995 }, { "abstract": "Dix ans apres son livre remarque Regional advantage culture and competition in Silicon Valley and route 128 dans lequel elle comparait les cultures entrepreneuriales de ces deux regions, Annalee Saxenian, professeure d'economie regionale a Berkeley, corrige ce qui lui etait rapidement apparu comme une faiblesse de son ouvrage de 1996, c'est a dire une vision trop exclusivement americaine des districts de haute technologie. Apres une serie d'enquetes aupres d'entrepreneurs et ingenieurs.", "author_names": [ "Yves Boquet" ], "corpus_id": 160898311, "doc_id": "160898311", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Annalee Saxenian The New Argonauts, regional advantage in a global economy. Harvard University Press, 2006, 424 pages", "venue": "", "year": 2007 } ]
Photovoltaic materials: Present efficiencies and future challenges
[ { "abstract": "Surveying the solar cell landscape The rate of development and deployment of large scale photovoltaic systems over recent years has been unprecedented. Because the cost of photovoltaic systems is only partly determined by the cost of the solar cells, efficiency is a key driver to reduce the cost of solar energy. There are several materials systems being explored to achieve high efficiency at low cost. Polman et al. comprehensively and systematically review the leading candidate materials, present the limitations of each system, and analyze how these limitations can be overcome and overall cell performance improved. Science, this issue p. 10.1126/science.aad4424 BACKGROUND Photovoltaics, which directly convert solar energy into electricity, offer a practical and sustainable solution to the challenge of meeting the increasing global energy demand. According to the Shockley Queisser (S Q) detailed balance model, the limiting photovoltaic energy conversion efficiency for a single junction solar cell is 33.7% for an optimum semiconductor band gap of 1.34 eV. Parallel to the development of wafer based Si solar cells, for which the record efficiency has continually increased during recent decades, a large range of thin film materials have been developed with the aim to approach the S Q limit. These materials can potentially be deposited at low cost, in flexible geometries, and using relatively small material quantities. ADVANCES We review the electrical characteristics of record efficiency cells made from 16 widely studied photovoltaic material geometries and illuminated under the standard AM1.5 solar spectrum, and compare these to the fundamental limits based on the S Q model. Cells that show a short circuit current (Jsc) lower than the S Q limit suffer from incomplete light absorption or incomplete collection of generated carriers, whereas a reduced open circuit voltage (Voc) or fill factor (FF) reflects unwanted bulk or interfacial carrier recombination, parasitic resistance, or other electrical nonidealities. The figure shows the experimental values for Jsc and the Voc x FF product relative to the S Q limiting values for the different materials. This graph enables a direct identification of each material in terms of unoptimized light management and carrier collection (Jsc/JSQ 1) or carrier management (Voc x FF/VSQ x FFSQ 1) Monocrystalline Si cells (record efficiency 25.6% have reached near complete light trapping and carrier collection and are mostly limited by remaining carrier recombination losses. In contrast, thin film single crystalline GaAs cells (28.8% show only minimal recombination losses but can be improved by better light management. Polycrystalline CdTe thin film cells (21.5% offer excellent light absorption but have relatively high recombination losses; perovskite cells (21.0% and Cu(In,Ga)(Se,S)2 (CIGS) cells (21.7% have poorer light management, although CIGS displays higher electrical quality. Aside from these five materials (Si, GaAs, CdTe, CIGS, perovskite) with efficiencies of >20% a broad range of other thin film materials have been developed with efficiencies of 10 to 12% micro/nanocrystalline and amorphous Si, Cu(Zn,Sn)(Se,S)2 (CZTS) dye sensitized TiO2, organic polymer materials, and quantum dot solids. So far, cell designs based on these materials all suffer from both light management and carrier management problems. Organic and quantum dot solar cells have shown substantial efficiency improvements in recent years. OUTLOOK The record efficiency single crystalline materials (Si, GaAs) have room for efficiency improvements by a few absolute percent. The future will tell whether the high efficiency polycrystalline thin films (CdTe, CIGS, perovskite) can rival the efficiencies of Si and GaAs. Because the cost of photovoltaic systems is only partly determined by the cost of the solar cells, efficiency is a key driver to reduce the cost of solar energy, and therefore large area photovoltaic systems require high efficiency >20% low cost solar cells. The lower efficiency (flexible) materials can find applications in building integrated PV systems, flexible electronics, flexible power generation systems, and many other (sometimes niche) markets. High efficiency >20% materials find applications in large area photovoltaic power generation for the utility grid as well as in small and medium sized systems for the built environment. They will enable very large scale penetration into our energy system, starting now and growing as the cost per kilowatt hour is reduced further by a factor of 2 to 3. This can be achieved by nanophotonic cell designs, in which optically resonant and nonresonant structures are integrated with the solar cell architecture to enhance light coupling and trapping, in combination with continued materials engineering to further optimize cell voltage. Making big steps forward in these areas will require a coordinated international materials science and engineering effort. Limiting processes in photovoltaic materials. An efficient solar cell captures and traps all incident light \"light management\" and converts it to electrical carriers that are efficiently collected \"carrier management\" The plot shows the short circuit current and product of open circuit voltage and fill factor relative to the maximum achievable values, based on the Shockley Queisser detailed balance limit, for the most efficient solar cell made with each photovoltaic material. The data indicate whether a particular material requires better light management, carrier management, or both. Colors correspond to cells achieving <50% of their S Q efficiency limit eSQ (red) 50 to 75% (green) or >75% (blue) Recent developments in photovoltaic materials have led to continual improvements in their efficiency. We review the electrical characteristics of 16 widely studied geometries of photovoltaic materials with efficiencies of 10 to 29% Comparison of these characteristics to the fundamental limits based on the Shockley Queisser detailed balance model provides a basis for identifying the key limiting factors, related to efficient light management and charge carrier collection, for these materials. Prospects for practical application and large area fabrication are discussed for each material.", "author_names": [ "Albert Polman", "Mark W Knight", "Erik C Garnett", "Bruno Ehrler", "Wim C Sinke" ], "corpus_id": 5085427, "doc_id": "5085427", "n_citations": 1064, "n_key_citations": 11, "score": 1, "title": "Photovoltaic materials: Present efficiencies and future challenges", "venue": "Science", "year": 2016 }, { "abstract": "This chapter is dedicated to present the state of the art of hybrid photovoltaic thermoelectric generators based on either organic or inorganic photovoltaic cells. Present challenges and future perspectives of this approach to energy harvesting will be discussed with a special emphasis on materials issues. It will be seen that both classes of PV materials deserve attention in view of applications in hybridized converters, although absorber stability and degradation of its PV efficiency with increasing temperatures sets limitations to currently achievable efficiencies, also in view of the still low efficiency of thermoelectric stages.", "author_names": [ "Dario Narducci", "Peter Bermel", "Bruno Lorenzi", "Ning Wang", "Kazuaki Yazawa" ], "corpus_id": 116052261, "doc_id": "116052261", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Hybrid Photovoltaic Thermoelectric Generators: Materials Issues", "venue": "", "year": 2018 }, { "abstract": "Metal halide based perovskite solar cells (PSCs) are considered among the most promising photovoltaic technologies, and already present certified efficiencies that surpass 22 The high performance and low fabrication cost make this technology competitive with that of state of the art thin film photovoltaics. However, PSCs present some striking disadvantages that hinder their commercialization, including short operational lifetimes, high toxicity, and hysteresis effects, which lower both the performance and long term stability of the devices. Herein, work conducted within the last two years is summarized with regard to addressing the challenges of low temperature processed planar inverted PSCs composed of graphene based materials. In addition, critical challenges and the prospects of this field are discussed and some prospects for future research directions are proposed.", "author_names": [ "Konstantinos Petridis", "George Kakavelakis", "Minas M Stylianakis", "Emmanuel Kymakis" ], "corpus_id": 38322915, "doc_id": "38322915", "n_citations": 12, "n_key_citations": 0, "score": 0, "title": "Graphene Based Inverted Planar Perovskite Solar Cells: Advancements, Fundamental Challenges, and Prospects.", "venue": "Chemistry, an Asian journal", "year": 2018 }, { "abstract": "Organic Solar Cells (OSCs) represent a recent photovoltaic (PV) technology that uses organic semiconductor materials to convert sunlight into electric energy. OSCs have recently shown significant attention due to their technological advantages of flexibility, light weight, cost effective fabrication and significant improvement in the power conversion efficiency. But the efficiencies of OSCs are still lower than crystal or inorganic silicon solar cells. By studying the photovoltaic properties of organic solar cells it is possible to increase the cells efficiency. If the efficiency can be raises to half of the inorganic solar cell the production cost will be reduced to one tenth. This paper describes the historical back ground, present situation, future prospectus and technological breakthrough of OSCs. Also explain the long term sustainability advantages of OSCs with compared to inorganic photovoltaic technologies in terms of energy payback time and CO2 emission.", "author_names": [ "M M Rhaman", "Mohammad A Matin" ], "corpus_id": 22085224, "doc_id": "22085224", "n_citations": 5, "n_key_citations": 0, "score": 0, "title": "Organic Solar Cells: Historical developments and challenges", "venue": "2015 International Conference on Advances in Electrical Engineering (ICAEE)", "year": 2015 }, { "abstract": "Summary Despite the relevance of thermophotovoltaic (TPV) conversion to many emerging energy technologies, identifying which aspects of current TPV designs are favorable and where opportunities for improvement remain is challenging because of the experimental variability in TPV literature, including emitter and cell temperatures, cavity geometry, and system scale. This review examines several decades of experimental TPV literature and makes meaningful comparisons across TPV reports by comparing each energy conversion step to its respective, experiment specific thermodynamic limit. We find that peak reported efficiencies are nearing 50% of their thermodynamic limit. Emitter cell pairs that best manage the broad spectrum of thermal radiation exhibit the best efficiencies. Large gains in peak efficiency are expected from further suppression of sub bandgap radiative transfer, as well as improvements in carrier management that address bandgap underutilization and Ohmic losses. Furthermore, there is a noticeable practical gap between the leading material pairs and integrated devices, mainly due to a lack of scaled up high performance materials, which exposes surfaces to parasitic heat loss. Provided these challenges are overcome, TPVs may ultimately provide power on demand and near the point of use, enabling greater integration of intermittent renewables.", "author_names": [ "Tobias Burger", "Caroline Sempere", "Bosun Roy-Layinde", "Andrej Lenert" ], "corpus_id": 225429618, "doc_id": "225429618", "n_citations": 12, "n_key_citations": 0, "score": 0, "title": "Present Efficiencies and Future Opportunities in Thermophotovoltaics", "venue": "", "year": 2020 }, { "abstract": "Metal halide perovskite solar cells are emerging candidates for next generation thin film photovoltaic devices with the potential for extremely low fabrication cost and high power conversion efficiency. Perovskite solar cells have demonstrated a rapid development in device performance over the last decade, from an initial 3.81% to a most recently certified 24.2% though the challenges of long term stability and lead toxicity still remain. Carbon materials, ranging from zero dimensional carbon quantum dots to three dimensional carbon black materials, are promising candidates for the enhancement of both efficiency and stability of perovskite solar cells, offering unique advantages for incorporation into various device architectures. In this review article, we present a concise overview of important and exciting advancements of perovskite solar cells that incorporate different dimensions of carbon material in their device architectures in an effort to simultaneously improve device performance and long term stability. We also discuss the major advantages and potential challenges of each technique that has been developed in the most recent work. Finally, we outline the future opportunities toward more efficient and stable perovskite solar cells utilizing carbon materials.", "author_names": [ "Victoria Ferguson", "S Ravi P Silva", "Wuzhi Zhang" ], "corpus_id": 197626062, "doc_id": "197626062", "n_citations": 25, "n_key_citations": 0, "score": 0, "title": "Carbon Materials in Perovskite Solar Cells: Prospects and Future Challenges", "venue": "ENERGY ENVIRONMENTAL MATERIALS", "year": 2019 }, { "abstract": "Photovoltaic (PV) systems are regarded as clean and sustainable sources of energy. Although the operation of PV systems exhibits minimal pollution during their lifetime, the probable environmental impacts of such systems from manufacturing until disposal cannot be ignored. The production of hazardous contaminates, water resources pollution, and emissions of air pollutants during the manufacturing process as well as the impact of PV installations on land use are important environmental factors to consider. The present study aims at developing a comprehensive analysis of all possible environmental challenges as well as presenting novel design proposals to mitigate and solve the aforementioned environmental problems. The emissions of greenhouse gas (GHG) from various PV systems were also explored and compared with fossil fuel energy resources. The results revealed that the negative environmental impacts of PV systems could be substantially mitigated using optimized design, development of novel materials, minimize the use of hazardous materials, recycling whenever possible, and careful site selection. Such mitigation actions will reduce the emissions of GHG to the environment, decrease the accumulation of solid wastes, and preserve valuable water resources. The carbon footprint emission from PV systems was found to be in the range of 14 73 g CO2 eq/kWh, which is 10 to 53 orders of magnitude lower than emission reported from the burning of oil (742 g CO2 eq/kWh from oil) It was concluded that the carbon footprint of the PV system could be decreased further by one order of magnitude using novel manufacturing materials. Recycling solar cell materials can also contribute up to a 42% reduction in GHG emissions. The present study offers a valuable management strategy that can be used to improve the sustainability of PV manufacturing processes, improve its economic value, and mitigate its negative impacts on the environment.", "author_names": [ "Muhammad Tawalbeh", "Amani Al-Othman", "Feras Kafiah", "Emad Abdelsalam", "Fares Almomani", "Malek Alkasrawi" ], "corpus_id": 227165097, "doc_id": "227165097", "n_citations": 18, "n_key_citations": 0, "score": 0, "title": "Environmental impacts of solar photovoltaic systems: A critical review of recent progress and future outlook.", "venue": "The Science of the total environment", "year": 2020 }, { "abstract": "Porous organic polymers are materials with covalently bonded (hydro)thermally stable backbones exhibiting high and accessible surface areas, and properties which are intriguing in the field of (opto)electronics. Especially in organic photovoltaics (OPVs) the electron rich backbone of this class of materials provides exceptional light absorption properties for improved charge formation/separation. Particularly for devices operating via bulk heterojunctions, the rigid open voids along the porous skeleton are rather interesting for improved phase separation and act as an ideal host to the prospective acceptors. Furthermore, their high dimensional geometry allows them to transport electrons/holes independent of orientation issues. However, the non soluble nature of this class of materials limits their processability for forming uniform films, which is essential for device fabrication. In this review, a brief overview on the reported OPV devices fabricated by applying porous organic polymers in the active layer with the corresponding methods used for film formation will be presented, which will be followed by a discussion regarding possible improvements on the film formation methods and suggestions upon enhancement of the structural/electronic feasibility of the porous backbone.", "author_names": [ "Hakan Bildirir", "Vasilis G Gregoriou", "Apostolos Avgeropoulos", "Ullrich Scherf", "Christos L Chochos" ], "corpus_id": 136328344, "doc_id": "136328344", "n_citations": 68, "n_key_citations": 1, "score": 0, "title": "Porous organic polymers as emerging new materials for organic photovoltaic applications: current status and future challenges", "venue": "", "year": 2017 }, { "abstract": "In order to achieve photovoltaic technologies' commercial availability, high power conversion efficiency, low cost, large area, low toxicity, and long lifetime are crucial attributes. In recent years, perovskite materials have emerged as one of the most studied photovoltaic materials for its high performance and cost effectiveness. However, the development of protocols to industrialize the perovskite technology still faces several severe challenges. In this chapter, we summarize the challenges and obstacles of perovskite material research from four aspects. For successful commercialization, the high stability and long term lifetime is primary and essential. Second, Lead toxicity is also an important obstacle for practical application due to its threat to human health Third, for improving the fabrication repeatability, hysteresis and relevant measurement standards is discussed. Finally, the capacity to fabricate large area and flexible modules based on recently reported state of the art perovskite solar cells are also discussed.", "author_names": [ "Lin Fu", "Bo Li", "Shuang Li", "Longwei Yin" ], "corpus_id": 213842441, "doc_id": "213842441", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Future Challenges of the Perovskite Materials", "venue": "", "year": 2020 }, { "abstract": "Over the last decade, the research trends on PV systems are mainly focused on improving reliability, efficiency, and power quality, reducing the cost, integrating at various scales with a grid and contributing to development of microgrid and smart grid solutions. At the same time, many companies in recent years have been focusing on building integrated PV systems, solar flowers, solar roadways, solar street lights and other innovative solutions for the built environment. This paper presents a review of the past and present status, as well as the future challenges of solar photovoltaic technology in the built environment. The main focus in the paper is put on a current technology, contemporary research trends and future challenges. The various aspects related to the global renewable energy sources and the PV markets, the cost and technology of photovoltaic modules are addressed and discussed. DOI: http:/dx.doi.org/10.5755/j01.sace.23.2.21268", "author_names": [ "Rokas Valancius", "Anies Mutiari", "Anju Singh", "Cynthia Alexander", "Diana Arteta De La Cruz", "Federico E del Pozo" ], "corpus_id": 117384501, "doc_id": "117384501", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Solar Photovoltaic Systems in the Built Environment: Today Trends and Future Challenges", "venue": "", "year": 2018 } ]
Power Electronics: Converters, and Design
[ { "abstract": "Partial table of contents: Overview of Power Semiconductor Switches. Computer Simulation of Power Electronic Converters and Systems. GENERIC POWER ELECTRONIC CIRCUITS. dc dc Switch Mode Converters. Resonant Converters: Zero Voltage and/or Zero Current Switchings. POWER SUPPLY APPLICATIONS. Power Conditioners and Uninterruptible Power Supplies. MOTOR DRIVE APPLICATIONS. dc Motor Drives. Induction Motor Drives. Synchronous Motor Drives. OTHER APPLICATIONS. Residential and Industrial Applications. Optimizing the Utility Interface with Power Electronic Systems. SEMICONDUCTOR DEVICES. Basic Semiconductor Physics. Power Diodes. Power MOSFETs. Thyristors. Emerging Devices and Circuits. PRACTICAL CONVERTER DESIGN CONSIDERATIONS. Snubber Circuits. Gate and Base Drive Circuits. Design of Magnetic Components. Index.", "author_names": [ "Ned Mohan", "T M Undeland", "William P Robbins" ], "corpus_id": 109363530, "doc_id": "109363530", "n_citations": 5582, "n_key_citations": 245, "score": 1, "title": "Power Electronics: Converters, Applications and Design", "venue": "", "year": 1989 }, { "abstract": "Thank you for downloading power electronics converters applications and design. Maybe you have knowledge that, people have look numerous times for their favorite readings like this power electronics converters applications and design, but end up in harmful downloads. Rather than enjoying a good book with a cup of tea in the afternoon, instead they cope with some malicious virus inside their desktop computer.", "author_names": [ "Luca Weisz" ], "corpus_id": 114527015, "doc_id": "114527015", "n_citations": 930, "n_key_citations": 98, "score": 0, "title": "Power Electronics Converters Applications And Design", "venue": "", "year": 2016 }, { "abstract": "", "author_names": [ "F V P Robinson" ], "corpus_id": 111271032, "doc_id": "111271032", "n_citations": 59, "n_key_citations": 7, "score": 0, "title": "Power electronics converters, applications and design: Ned Mohan, Tore M. Undeland and William P. Robbins (2nd edition) John Wiley, Chichester, 1995, 802 pp. ISBN: 0 471 30576 6, PS22.50", "venue": "", "year": 1997 }, { "abstract": "Curriculum Design: from an art towards a science: S. WAKS (Tempus Publications, 1995, 304 pp. PS33.95, US$57 paperback) The sub title of this volume is From an Art Towards a Science and reflects the style of the book accurately. The author and his team at the Technion Israel Institute of Technology have carried out research into the design, implementation and evaluation of curriculum development and updating in technology and science over a twenty year period. The author adopts a systematic approach to the consideration of curriculum design and a mathematically rigorous analysis of procedures designed to evaluate the effectiveness of each curriculum adopted. This review is being written as the new session is about to commence in the universities and colleges of the northern hemisphere. Hard pressed staff in engineering departments struggling to set up or to modify existing courses would be unlikely to find much in the book under review to assist them in the short term. The author's approach is both scholarly and philosophical. In contrast, engineering and science staff would adopt, for the most part, a rather pragmatic approach based on their own experience and that of immediate colleagues. However, the book should not be dismissed. It is worthy of a place in the libraries of Departments of Education where it would be a useful reference work. It would be valuable when setting up and evaluating large scale educational projects such as are provided by the Open University in the U.K. and similar institutions elsewhere. In such situations, the time and effort required to set up the design and evaluation processes would be justified in terms of the large number of students participating in the programme. The book is available from Tempus Publications, Berliner Tor 21, 20099 Hamburg, Germany. MICHAEL G. HARTLEY Department of Electrical Engineering and Electronics. UMIST", "author_names": [ "Math H J Bollen" ], "corpus_id": 117622824, "doc_id": "117622824", "n_citations": 10, "n_key_citations": 0, "score": 0, "title": "Book Review: Power Electronics Converters, Applications and Design", "venue": "", "year": 1996 }, { "abstract": "This paper presents an overview of most promising power electronics topologies for a fuel cell hybrid power conversion system which can be utilized in many applications such as hybrid electrical vehicles (HEV) distributed generations (DG) and uninterruptible power supply (UPS) systems. Then, a multiple input power conversion system including a decoupled dual input converter and a three phase neutral point clamped (NPC) inverter is proposed. The system can operate in both stand alone and grid connected modes. Simulation and experimental results are provided to show the feasibility of the proposed system and the effectiveness of the control methods.", "author_names": [ "Zhe Zhang", "Riccardo Pittini", "Michael A E Andersen", "Ole C Thomsena" ], "corpus_id": 54501458, "doc_id": "54501458", "n_citations": 57, "n_key_citations": 0, "score": 0, "title": "A Review and Design of Power Electronics Converters for Fuel Cell Hybrid System Applications", "venue": "", "year": 2012 }, { "abstract": "The development of power electronics in the past century and the current state of the art of power electronics converters are briefly reviewed, before giving an insight into the deficiencies of the conventional current source and voltage source converters and into the superiority of impedance source converters and, then, proposing a design methodology for impedance source converters aimed to replace the traditional tedious, manual and experience dependent design methods. Some examples for their deployment in renewable energy applications are discussed, and the direction into which power electronic converters will develop in the future is indicated.", "author_names": [ "Guidong Zhang", "Zhong Li", "Bo Zhang", "Wolfgang A Halang" ], "corpus_id": 113495034, "doc_id": "113495034", "n_citations": 71, "n_key_citations": 1, "score": 0, "title": "Power electronics converters: Past, present and future", "venue": "", "year": 2018 }, { "abstract": "Abstract A large number of factors such as the increasingly stringent pollutant emission policies, fossil fuel scarcity and their price volatility have increased the interest towards the partial or total electrification of current vehicular technologies. These transition of the vehicle fleet into electric is being carried out progressively. In the last decades, several technological milestones have been achieved, which range from the development of basic components to the current integrated electric drives made of silicon (Si) based power modules. In this context, the automotive industry and political and social agents are forcing the current technology of electric drives to its limits. For example, the U.S Department of Energy's goals for 2020 include the development of power converter technologies with power densities higher than 14.1 kW/kg and efficiencies greater than 98% Additionally, target price of power converters has been set below $3.3/kW. Thus, these goals could be only achieved by using advanced semiconductor technologies. Wide bandgap (WBG) semiconductors, and, most notably, silicon carbide (SiC) based power electronic devices, have been proposed as the most promising alternative to Si devices due to their superior material properties. As the power module is one of the most significant component of the traction power converter, this work focuses on an in deep review of the state of the art concerning such element, identifying the electrical requirements for the modules and the power conversion topologies that will best suit future drives. Additionally, current WBG technology is reviewed and, after a market analysis, the most suitable power semiconductor devices are highlighted. Finally, this work focuses on practical design aspects of the module, such as the layout of the module and optimum WBG based die parallelization, placement and Direct Bonded Copper (DBC) routing.", "author_names": [ "Asier Matallana", "Edorta Ibarra", "I Lopez", "Jon Andreu", "Jose Ignacio Garate", "Xavier Jorda", "Jose Rebollo" ], "corpus_id": 201238594, "doc_id": "201238594", "n_citations": 24, "n_key_citations": 1, "score": 0, "title": "Power module electronics in HEV/EV applications: New trends in wide bandgap semiconductor technologies and design aspects", "venue": "Renewable and Sustainable Energy Reviews", "year": 2019 }, { "abstract": "This paper will revise, experimentally investigate, and discuss the main application challenges related to gallium nitride power semiconductors in switch mode power converters. Gallium Nitride (GaN) devices are inherently gaining space in the market. Due to its high switching speed and operational switching frequency, challenges related to the circuit design procedure, passive component selection, thermal management, and experimental testing are currently faced by power electronics engineers. Therefore, the focus of this paper is on low voltage <650 V) devices that are used to assemble DC DC and/or DC AC converters to, for instance, interconnect PV generation systems in the DC and/or AC grids. The current subjects will be discussed herein: GaN device structure, the advantages and disadvantages of each lateral gallium nitride technology available, design challenges related to electrical layout and thermal management, overvoltages and its implications in the driver signal, and finally, a comprehensive comparison between GaN and Si technology considering the main parameters to increase the converters efficiency.", "author_names": [ "Mauricio Dalla Vecchia", "Simon Ravyts", "Giel Van den Broeck", "Johan Driesen" ], "corpus_id": 199082935, "doc_id": "199082935", "n_citations": 15, "n_key_citations": 1, "score": 0, "title": "Gallium Nitride Semiconductor Technology and Its Practical Design Challenges in Power Electronics Applications: An Overview", "venue": "Energies", "year": 2019 }, { "abstract": "Power electronics converters use switching elements to manipulate voltage and current waveforms. This enables the interconnection of components having different requirements, e.g. when incorporating renewable energy sources into the grid. The use of switching elements may lead to high energy efficiency. However, switching dynamical systems are difficult to analyse and design. In this chapter, we outline how model predictive control concepts can be used in power electronics and electrical drives. Special emphasis is given on the finite set nature of manipulated variables and associated stability and optimization issues. For particular classes of system models, we discuss practical algorithms, which make long horizon predictive control suitable for power electronics applications.", "author_names": [ "Daniel E Quevedo", "Ricardo P Aguilera", "Tobias Geyer" ], "corpus_id": 69305301, "doc_id": "69305301", "n_citations": 7, "n_key_citations": 1, "score": 0, "title": "Model Predictive Control for Power Electronics Applications", "venue": "", "year": 2019 }, { "abstract": "The combination of multilevel inverter with renewable energy source power generation is paid more attention among the researchers, because multilevel inverters are widely accepted power converters in for high power applications. The conventional multilevel inverters are highly implemented in industries, and the success of this has motivated to create newer topologies with the reduced overall power electronics components. In this paper, recent multilevel inverter topologies with reduced number of switches are taken into account and to find a suitable topology for photovoltaic (PV) and wind energy applications. Finally, this paper gives a pathway for the upcoming researchers to consider the design issues and challenges in the development of new multilevel inverter topologies.", "author_names": [ "Jagabar Sathik Mohamed Ali", "Vijayakumar Krishnaswamy" ], "corpus_id": 116176357, "doc_id": "116176357", "n_citations": 59, "n_key_citations": 5, "score": 0, "title": "An assessment of recent multilevel inverter topologies with reduced power electronics components for renewable applications", "venue": "", "year": 2018 } ]
stabilization of broad area semiconductor lasers
[ { "abstract": "Broad Area Semiconductor edge emitting lasers typically display self focusing nonlinearity due to the refractive index dependence on the population inversion. It induces the homogeneous solution instability, multi transverse mode operation and filamentation. This behavior strongly deteriorates the beam quality and drastically reduces their applicability. We suppress this instability for semiconductors with small enough linewidth enhancement factors, aH, by the introduction of a single spatial modulation in the transverse and longitudinal directions. For large aH values, optimized multi frequency spatial modulations are needed. The proposed scheme becomes especially interesting for high nonlinearities that correspond to the normal laser operation.", "author_names": [ "Subindu Kumar", "Waqas W Ahmed", "Ramon Herrero", "Muriel Botey", "Kestutis Staliunas" ], "corpus_id": 125376807, "doc_id": "125376807", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Stabilization of broad area semiconductor lasers", "venue": "OPTO", "year": 2017 }, { "abstract": "We show that the emission of broad area semiconductor amplifiers and lasers can be efficiently stabilized by introducing two dimensional periodic modulations simultaneously on both the refractive index and the pump (gain loss) profiles in the transverse and longitudinal directions. The interplay between such index and gain loss modulations efficiently suppresses the pattern forming instabilities, leading to highly stable and bright narrow output beams from such sources. We also determine the stabilization performance of the device as a function of the pump current and linewidth enhancement factor.", "author_names": [ "Waqas W Ahmed", "Shubham Kumar", "J Medina", "Muriel Botey", "Ramon Herrero", "Kestutis Staliunas" ], "corpus_id": 46921137, "doc_id": "46921137", "n_citations": 12, "n_key_citations": 0, "score": 1, "title": "Stabilization of broad area semiconductor laser sources by simultaneous index and pump modulations.", "venue": "Optics letters", "year": 2018 }, { "abstract": "Broad Area Semiconductor Amplifiers and Lasers display instability of the homogeneous state typically related with self focusing nonlinearities, inducing multi transverse mode operation and filamentation that deteriorates the beam quality. We show that the emission of these amplifiers and lasers can be efficiently stabilized by introducing simultaneous modulations of pump and refractive index in transverse and longitudinal directions. The pattern forming instabilities are efficiently suppressed even for the case of large linewidth enhancement factors by the intertwinement between both inphase modulations leading to stable beams.", "author_names": [ "Waqas W Ahmed", "Shubham Kumar", "J Medina", "Muriel Botey", "Ramon Herrero", "Kestutis Staliunas" ], "corpus_id": 52901229, "doc_id": "52901229", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Stabilization of Broad Area Semiconductor Amplifiers and Lasers by Double Modulation of Pump and Refractive Index", "venue": "2018 20th International Conference on Transparent Optical Networks (ICTON)", "year": 2018 }, { "abstract": "A new fully integrated wavelength stabilization scheme based on grating coupled surface emitting lasers is explored. This wavelength stabilization scheme relies on two gratings. The first grating is fabricated on the p side of the semiconductor laser in close proximity to the laser waveguide such that it couples light out of the guided mode of the waveguide into a propagating mode in the substrate; this grating is known as the grating coupler. The second grating is fabricated on the n side of the substrate such that for the stabilization wavelength, this second grating operates in the Littrow condition and is known as the feedback grating. Furthermore with the proper design of the two gratings, the feedback grating will operate under total internal reflection conditions allowing a near unity retro reflection of the light of the stabilization wavelength. The grating coupler and feedback grating together comprise a dual grating reflector (DGR) The DGR wavelength stabilization scheme is investigated both theoretically by means of numerical modeling and experimentally by integration of a DGR as a wavelength selective reflector into a single quantum well semiconductor laser with a gain peak centered at 975nm. Numerical modeling predicts a peak reflection of approximately 70% including losses and a spectral width of 0.3nm. The integration of a DGR into a semiconductor laser proved both the efficacy of the scheme and also allowed us to experimentally determine the effective reflectivity to be on the order of 62% the spectral width of light output from these devices is typically on the order of 0.2nm. Furthermore, these devices had light current characteristic slopes greater than 0.84W/A operating under continuous wave conditions. The DGR was then modified to provide a reflection with two spectral peaks. A semiconductor device incorporating this dual wavelength", "author_names": [ "Jason K O'Daniel" ], "corpus_id": 137090681, "doc_id": "137090681", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Integrated Wavelength Stabilization Of Broad Area Semiconductor Lasers Using A Dual Grating Reflector", "venue": "", "year": 2006 }, { "abstract": "We propose the stabilization of the output beam of Broad Area Semiconductor (BAS) amplifiers through the introduction of a spatially periodic modulated potential. We show that a periodic modulation of the pump profile in transverse and longitudinal directions, under certain 'resonance' condition, can solve two serious problems of BAS amplifiers (and possibly lasers) which are (i) the lack of an intrinsic spatial mode selection mechanism in linear amplification regimes and (ii) the modulation instability (also called Bespalov Talanov instability) in nonlinear regimes. The elimination of these two drawbacks can significantly improve the spatial quality of the emitted beam in BAS amplifiers.", "author_names": [ "S Kumar", "Waqas W Ahmed", "Ramon Herrero", "Muriel Botey", "Mindaugas Radziunas", "Kestutis Staliunas" ], "corpus_id": 112118455, "doc_id": "112118455", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Stabilization of Broad Area Semiconductor Amplifiers by Spatially Modulated Potentials", "venue": "", "year": 2016 }, { "abstract": "AbstractA 2 1 dimensional PDE traveling wave model describing spatial lateral dynamics of edge emitting broad area semiconductor devices is considered. A numerical scheme based on a split step Fourier method is presented. The domain decomposition method is used to parallelize the sequential algorithm. The parallel algorithm is implemented by using Message Passing Interface system, results of computational experiments are presented and the scalability of the algorithm is analyzed. Simulations of the model equations are used for optimizing of existing devices with respect to the emitted beam quality, as well as for creating and testing of novel device design concepts.", "author_names": [ "Mindaugas Radziunas", "Raimondas Ciegis" ], "corpus_id": 123643862, "doc_id": "123643862", "n_citations": 21, "n_key_citations": 3, "score": 0, "title": "Effective Numerical Algorithm for Simulations of Beam Stabilization in Broad Area Semiconductor Lasers and Amplifiers", "venue": "", "year": 2014 }, { "abstract": "The simulation of spectral stabilization of broad area edge emitting semiconductor diode lasers is presented in this paper. In the reported model light temperature and charge carrier distributions are solved iteratively in frequency domain for transverse slices along the semiconductor heterostructure using wide angle finite difference beam propagation. Depending on the operating current the laser characteristics are evaluated numerically, including near and far field patterns of the astigmatic laser beam, optical output power and the emission spectra, with central wavelength and spectral width. The focus of the model lies on the prediction of influences on the spectrum and power characteristics by frequency selective feedback from external optical resonators. Results for the free running and the spectrally stabilized diode are presented.", "author_names": [ "Carlo Holly", "Stefan Hengesbach", "Martin Traub", "Dieter Hoffmann" ], "corpus_id": 8390531, "doc_id": "8390531", "n_citations": 23, "n_key_citations": 1, "score": 0, "title": "Simulation of spectral stabilization of high power broad area edge emitting semiconductor lasers.", "venue": "Optics express", "year": 2013 }, { "abstract": "Four different external resonator concepts including VBGs for spectral stabilization of HPDLs are modelled and numerically evaluated to be compared to each other with respect to stabilization efficiency and sensitivity to the \"smile error\" The coupled resonators including the external system and the diode laser are solved with a Fox Li approach. The paper gives a brief summary about the applied simulation model and proceeds with the results for the different feedback concepts. The effective reflectivity, losses in the optical system, losses due to the back coupling into the waveguide and the averaged optical confinement factor are calculated.", "author_names": [ "Carlo Holly", "Stefan Hengesbach", "Martin Traub", "Dieter Hoffmann" ], "corpus_id": 120792704, "doc_id": "120792704", "n_citations": 4, "n_key_citations": 1, "score": 0, "title": "Numerical analysis of external feedback concepts for spectral stabilization of high power broad area semiconductor lasers", "venue": "Photonics West Lasers and Applications in Science and Engineering", "year": 2014 }, { "abstract": "The lateral modes in high power broad area gain guided semiconductor lasers suffer from instabilities that can lead to self focusing of the optical modes resulting in the formation of beam filaments. The introduction of cold cavity index guiding, by means of etched holes, has been previously shown to reduce filament formation in the optical near field. In this work, a simple measurement technique is presented and utilized to characterize the behavior of local intensity fluctuations in the time domain. The use of etched holes to provide index guiding is shown to provide a significant improvement in the temporal stability of the lateral optical modes.", "author_names": [ "C Chen", "Paul O Leisher", "Steve Patterson", "P A Crump", "Yong Kwan Kim", "Kent D Choquette" ], "corpus_id": 121381462, "doc_id": "121381462", "n_citations": 6, "n_key_citations": 0, "score": 0, "title": "Stabilization of lateral mode transients in high power broad area semiconductor lasers", "venue": "", "year": 2009 }, { "abstract": "The spatial \"rocking\" is a dynamical effect converting a phase invariant oscillatory system into a phase bistable one, where the averaged phase of the system locks to one of two values differing by p. In this paper we consider theoretically the spatial rocking of irregularly operating edge emitting broad area semiconductor laser. The stabilization of the laser is realized by the injection of an optical field formed by two, coherently interfering at some angle, beams. We demonstrate that this stabilization is preserved if one or both injected beams are weakly focused, and analyze a corresponding focusing of the emitted field.", "author_names": [ "Mindaugas Radziunas", "Kestutis Staliunas" ], "corpus_id": 112841322, "doc_id": "112841322", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Spatial \"rocking\" for improving the spatial quality of the beam of broad area semiconductor lasers", "venue": "Photonics Europe", "year": 2012 } ]
Ultrathin Film Organic Transistors: Precise Control of Semiconductor Thickness via Spin‐Coating
[ { "abstract": "Construction of ultrathin film organic transistors is an important challenge towards deeper understanding of the charge transport mechanism and multifunctional applications. We report on precise thickness control of ultrathin films of several organic semiconductors by using a simple spin coating approach. Ultrathin film, n channel organic transistors with mobilities well over 1.0 cm(2) V( 1) s( 1) have been realized and their potential in high sensitivity gas sensing and other applications is demonstrated.", "author_names": [ "Fengjiao Zhang", "Chong-an Di", "Nikolai Berdunov", "Yuanyuan Hu", "Yun Hua Hu", "Xike Gao", "Qing Meng", "Henning Sirringhaus", "Daoben Zhu" ], "corpus_id": 205247990, "doc_id": "205247990", "n_citations": 173, "n_key_citations": 3, "score": 1, "title": "Ultrathin film organic transistors: precise control of semiconductor thickness via spin coating.", "venue": "Advanced materials", "year": 2013 }, { "abstract": "", "author_names": [ "Fengjiao Zhang", "Chong-an Di", "Nikolai Berdunov", "Yuanyuan Hu", "Yun Hua Hu", "Xike Gao", "Qing Meng", "Henning Sirringhaus", "Daoben Zhu" ], "corpus_id": 135912372, "doc_id": "135912372", "n_citations": 5, "n_key_citations": 0, "score": 0, "title": "Organic Electronics: Ultrathin Film Organic Transistors: Precise Control of Semiconductor Thickness via Spin Coating (Adv. Mater. 10/2013)", "venue": "", "year": 2013 }, { "abstract": "Ultrathin film with thickness below 15 nm of organic semiconductors provides excellent platform for some fundamental research and practical applications in the field of organic electronics. However, it is quite challenging to develop a general principle for the growth of uniform and continuous ultrathin film over large area. Dip coating is a useful technique to prepare diverse structures of organic semiconductors, but the assembly of organic semiconductors in dip coating is quite complicated, and there are no reports about the core rules for the growth of ultrathin film via dip coating until now. In this work, we develop a general strategy for the growth of ultrathin film of organic semiconductor via dip coating, which provides a relatively facile model to analyze the growth behavior. The balance between the three direct factors (nucleation rate, assembly rate, and recession rate) is the key to determine the growth of ultrathin film. Under the direction of this rule, ultrathin films of four organic semiconductors are obtained. The field effect transistors constructed on the ultrathin film show good field effect property. This work provides a general principle and systematic guideline to prepare ultrathin film of organic semiconductors via dip coating, which would be highly meaningful for organic electronics as well as for the assembly of other materials via solution processes.", "author_names": [ "Kunjie Wu", "Hongwei Li", "Liqiang Li", "Suna Zhang", "Xiaosong Chen", "Zeyang Xu", "Xi Zhang", "Wenping Hu", "Lifeng Chi", "Xike Gao", "Yancheng Meng" ], "corpus_id": 34230850, "doc_id": "34230850", "n_citations": 34, "n_key_citations": 0, "score": 0, "title": "Controlled Growth of Ultrathin Film of Organic Semiconductors by Balancing the Competitive Processes in Dip Coating for Organic Transistors.", "venue": "Langmuir the ACS journal of surfaces and colloids", "year": 2016 }, { "abstract": "This work demonstrates that threshold voltage (VT) of organic thin film transistors (OTFTs) can be controlled systematically by introducing new copolymer dielectrics with electropositive functionality. A series of homogeneous copolymer dielectrics are polymerized from two monomers, 1,3,5 trimethyl 1,3,5 trivinyl cyclotrisiloxane (V3D3) and 1 vinylimidazole (VI) via initiated chemical vapor deposition. The chemical composition of the copolymer dielectrics is exquisitely controlled to tune the VT of C60 OTFTs. In particular, all the copolymer dielectrics demonstrated in this work exhibit extremely low leakage current densities (lower than 2.5 x 10 8 A cm 2 at 3 MV cm 1) even with a thickness less than 23 nm. Furthermore, by introducing an ultrathin pV3D3 interfacial layer (about 3 nm) between the copolymer dielectrics and C60 semiconductor, the high mobility of the C60 OTFTs (about 1 cm2 V 1 s 1) remains unperturbed, showing that VT can be controlled independently by tuning the composition of the copolymer dielectrics. Coupled with the ultralow dielectric thickness, the independent VT controllability allows the VT to be aligned near 0 V with sub 3 V operating voltage, which enables a substantial decrease of device power consumption. The suggested method can be employed widely to enhance device performance and reduce power consumption in various organic integrated circuit applications.", "author_names": [ "Kwanyong Pak", "Hyejeong Seong", "Junhwan Choi", "Wan Sik Hwang", "Sung Gap Im" ], "corpus_id": 99981002, "doc_id": "99981002", "n_citations": 25, "n_key_citations": 0, "score": 0, "title": "Synthesis of Ultrathin, Homogeneous Copolymer Dielectrics to Control the Threshold Voltage of Organic Thin Film Transistors", "venue": "", "year": 2016 }, { "abstract": "A precise control over the film thickness is a vital requirement for achievement of high performance in thin film electronic devices. On page 2752, Y. Y. Noh and co workers develop an effective way to deposit a large area and uniform ultrathin polymer film with a molecular level precision via a simple wire wound bar coating method for high performance organic transistors and gas sensors.", "author_names": [ "Dongyoon Khim", "Gi-Seong Ryu", "Won-Tae Park", "Hyunchul Kim", "Myung Sub Lee", "Yong-Young Noh" ], "corpus_id": 10529674, "doc_id": "10529674", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Chemical Sensors: Precisely Controlled Ultrathin Conjugated Polymer Films for Large Area Transparent Transistors and Highly Sensitive Chemical Sensors (Adv. Mater. 14/2016)", "venue": "Advanced materials", "year": 2016 }, { "abstract": "We report here on the rational synthesis, processing, and dielectric properties of novel layer by layer organic/inorganic hybrid multilayer dielectric films enabled by polarizable p electron phosphonic acid building blocks and ultrathin ZrO(2) layers. These new zirconia based self assembled nanodielectric (Zr SAND) films (5 12 nm thick) are readily fabricated via solution processes under ambient atmosphere. Attractive Zr SAND properties include amenability to accurate control of film thickness, large area uniformity, well defined nanostructure, exceptionally large electrical capacitance (up to 750 nF/cm(2) excellent insulating properties (leakage current densities as low as 10( 7) A/cm(2) and excellent thermal stability. Thin film transistors (TFTs) fabricated with pentacene and PDIF CN(2) as representative organic semiconductors and zinc tin oxide (Zn Sn O) as a representative inorganic semiconductor function well at low voltages 4.0 V) Furthermore, the TFT performance parameters of representative organic semiconductors deposited on Zr SAND films, functionalized on the surface with various alkylphosphonic acid self assembled monolayers, are investigated and shown to correlate closely with the alkylphosphonic acid chain dimensions.", "author_names": [ "Young-Geun Ha", "Jonathan D Emery", "Michael J Bedzyk", "Hakan Usta", "Antonio F Facchetti", "Tobin J Marks" ], "corpus_id": 2545617, "doc_id": "2545617", "n_citations": 87, "n_key_citations": 1, "score": 0, "title": "Solution deposited organic inorganic hybrid multilayer gate dielectrics. Design, synthesis, microstructures, and electrical properties with thin film transistors.", "venue": "Journal of the American Chemical Society", "year": 2011 }, { "abstract": "For large area, printable, and flexible electronic applications using advanced semiconductors, novel dielectric materials with excellent capacitance, insulating property, thermal stability, and mechanical flexibility need to be developed to achieve high performance, ultralow voltage operation of thin film transistors (TFTs) In this work, we first report on the facile fabrication of multifunctional hybrid multilayer gate dielectrics with tunable surface energy via a low temperature solution process to produce ultralow voltage organic and amorphous oxide TFTs. The hybrid multilayer dielectric materials are constructed by iteratively stacking bifunctional phosphonic acid based self assembled monolayers combined with ultrathin high k oxide layers. The nanoscopic thickness controllable hybrid dielectrics exhibit the superior capacitance (up to 970 nF/cm2) insulating property (leakage current densities <10 7 A/cm2) and thermal stability (up to 300 degC) as well as smooth surfaces (root mean square roughness <0.35 nm) In addition, the surface energy of the hybrid multilayer dielectrics are easily changed by switching between mono and bifunctional phosphonic acid based self assembled monolayers for compatible fabrication with both organic and amorphous oxide semiconductors. Consequently, the hybrid multilayer dielectrics integrated into TFTs reveal their excellent dielectric functions to achieve high performance, ultralow voltage operation 2 V) for both organic and amorphous oxide TFTs. Because of the easily tunable surface energy, the multifunctional hybrid multilayer dielectrics can also be adapted for various organic and inorganic semiconductors, and metal gates in other device configurations, thus allowing diverse advanced electronic applications including ultralow power and large area electronic devices.", "author_names": [ "Hye-Ran Byun", "Eun-Ah You", "Young-Geun Ha" ], "corpus_id": 36382606, "doc_id": "36382606", "n_citations": 15, "n_key_citations": 0, "score": 0, "title": "Multifunctional Hybrid Multilayer Gate Dielectrics with Tunable Surface Energy for Ultralow Power Organic and Amorphous Oxide Thin Film Transistors.", "venue": "ACS applied materials interfaces", "year": 2017 }, { "abstract": "Low voltage driven organic thin film transistors (organic TFTs) with spatially controlled threshold voltages 1.2 and 0.36 V) were fabricated for the first time. Using the microcontact printing method, tetradecylphosphonic acid (HC14 PA) and pentadecylfluoro octadecylphosphonic acid (FC18 PA) were transferred to form ultrathin layers in different regions on a substrate. Together with plasma grown aluminum oxide (AlOx) layer, the stamped layers were shown to have equal insulating ability as the dipped method monolayer. The feasibility of the area selective stamping method was displayed using locally controlled inverter circuits. The shift of turn on voltage for those transistors was consistent with the threshold voltage shift of the transistors. Recently, organic thin film transistors (organic TFTs) have attracted attention because they are a key element in realizing a new class of devices such as flexible displays, radio frequency identification tags, and large area sensor arrays. As a result of organic transistor technology advancement, large scale integration such as processors and shift registers has become possible. An important prerequisite for the reliable operation of such highly complex circuits are large noise margins that are indispensable for the successful suppression of inadvertent switching events in the unavoidable presence of electronic noise. Large noise margins in turn require precise and deterministic control of the threshold voltage of the transistors. Doping is widely used in inorganic transistors to control threshold voltage; however, many dopants are unstable and diffuse into organic materials, which makes it difficult to control the threshold voltage in organic transistors. Alternatively, surface modification is a very effective method to control the threshold voltage in organic transistors. The threshold voltage can be controlled using cross linked organic insulating polymers, thin layers of hexamethyldisilazane, and self assembled monolayers (SAMs) In particular, SAMs in combination with ultrathin metal oxide dielectrics are particularly attractive, since they provide very low operation voltages of only a few volts, along with deterministic control of the threshold voltage, through the alkyl chain length and/or the electron donating or withdrawing properties of materials such as fluoroalkylphosphonic acid. Typically, SAMs are formed by dipping the entire substrate into a solution of the molecules, so that all TFTs on the substrate end up having the same threshold voltage. However, for certain applications it is highly desirable to have TFTs with two different threshold voltages in specific locations on the same substrate. For example, to control the switching voltage of a p type metal oxide semiconductor (pMOS) inverter, the threshold voltages of two transistors that consist of the inverter should be spatially controlled. In principle, this can be accomplished by a printing process such as areaselective microcontact printing, which also provides a wide patterning range of surface modification materials. However, to our knowledge this possibility has not been exploited so far. Reported herein is the fabrication of organic transistors with different threshold voltages on the substrate that are defined by area selective microcontact printing \"stamping\" using polydimethylsiloxane (PDMS) Solutions of tetradecylphosphonic acid (HC14 PA) or pentadecylfluoro octadecylphosphonic acid (FC18 PA) were printed \"stamped\" on the surface of Al gate electrodes coated with a few nanometers thick aluminum oxide (AlOx) The average thickness of the stamped ultrathin layer was one or two monolayers. Their insulating MRS Communications (2011) 1, 33 36 (c) Materials Research Society, 2011 doi:10.1557/mrc.2011.11 MRS COMMUNICATIONS VOLUME 1 ISSUE 1 www.mrs.org/mrc 33 properties were equivalent to those of single SAMs prepared by conventional dipping and the leakage current density was two or three orders of magnitude smaller than the transistors without SAMs. The difference in threshold voltage between TFTs with the HC14 PA gate dielectric and TFTs with the FC18 PA gate dielectric was 0.83 V, which is about 42% of the supply voltage (2 V) By stamping HC14 PA and FC18 PA SAMs onto different regions on the same substrate, TFTs having different threshold voltages were realized in specific locations of the substrate, allowing the availability of TFTs with different threshold voltages to be exploited for the realization of robust integrated circuits. The development of the device structure is schematically shown in Fig. 1(a) The Al gate electrodes with a thickness of 30 nm were evaporated through a shadow mask onto a Si substrate with a 500 nm thick oxidized surface. The surface of the electrode was oxidized by plasma (200 W, 30 s) to form a thin, dense AlOx layer. The SAMs were then transferred onto the Al/AlOx stack from a PDMS (Sylgard(r) 184 Silicone Elastomer Kit; Midland, MI) The stamp was first dipped into 2 propanol solution of 1 mmol/l either HC14 PA or FC18 PA solutions for 5 min and then placed onto the Si/Al/ AlOx surface for 10 min to allow a molecular monolayer to selfassemble on the AlOx surface. For comparison, SAMs formed by dipping were also prepared in which the substrate was immersed in a 2 propanol solution of 1 mmol/l of HC14 PA or FC18 PA and then rinsed with pure 2 propanol. The last step in both the stamping and dipping process was to bake the substrate on a hotplate at 100 degC for 10 min. A 30 nm thick layer of organic semiconductor dinaphtho[2,3 b:2',3' f]thieno [3,2 b]thiophene (DNTT) was evaporated at room temperature. Finally, the source and drain electrodes with a channel length of 40 mm were evaporated. An optical microscopic image of the fabricated transistor is shown in Fig. 1(b) Figure 1(c) shows the leakage current density measured on Al/AlOx/SAM/Au capacitors (without semiconductor) based on SAMs of either HC14 PA or FC18 PA prepared by either stamping or dipping, plus a control device without SAM. The top surface size for the Au electrodes was 700 x 100 mm. In Fig. 1(c) it can be seen that all the capacitors with SAMs, either dipped or stamped, exhibited a current density that was two or three orders of magnitude smaller than that without SAM, confirming the important role of SAM in suppressing gate leakage. The difference in current density demonstrates that a pinholefree thin layer was formed using the stamping process. Also note that stamped SAMs exhibited leakage currents that were smaller than dipped SAM samples, which have previously shown the ability to integrate at the circuit level (see also Supplementary information) Further integration studies using stamped SAMs will be examined at a later time. Figure 1. (a) Schematic of the stamping process, including the process to prepare SAMs of two different phosphonic acids in different regions on the same substrate by microcontact printing (stamping) (b) Photograph of a completed organic transistor. (c) Leakage current density as a function of applied voltage measured on five different SAM capacitors (red: dipped HC14 PA; blue: dipped FC18 PA; yellow: stamped HC14 PA; green: stamped FC18 PA; black: control device without SAM) The measurement was replicated ten times to assure uniformity. (d) Capacitance as a function of frequency under a bias voltage of 1 V. 34# MRS COMMUNICATIONS VOLUME 1 ISSUE 1 www.mrs.org/mrc Figure 1(d) shows the capacitance frequency response. It can be seen that the capacitances of all the devices with SAMs were in the range expected from previous reports: close to 800 nF/cm for HC14 PA and (600 100) nF/cm for FC18 PA. Note that dipped samples always exhibit larger capacitance than stamped samples. Assuming that the dielectric constant of SAMs is 2.5, the thickness of the SAM layers was evaluated from the capacitance as 0.9 and 1.2 nm for dipped and stamped HC14 PA layers, respectively, as compared to 1.4 and 2.7 nm for dipped and stamped FC18 PA layers, respectively, which were very close to the SAM molecule length of 2.3 nm. In AFM observation, the surface morphology of DNTT grains on dipped SAM is different from that on stamped SAM, which can be ascribed to the difference of the surface smoothness of SAM layers even though the average thickness was one or two monolayers for both HC14 PA and FC18 PA samples, since the grain size of the DNTT is affected by the smoothness of the SAM layer underneath (see also Supplementary Fig. 1 online) The multilayer of stamped samples is considered to be due to the excess SAM molecules, which were not rinsed. It is important to remember that the leakage current of stamped samples was smaller than that of dipped samples, as shown in Fig. 1(c) Although this is an indirect measure, it still provides evidence that the possible existence of sub monolayer coverage can be excluded. Future structural characterization is necessary. Transistor characteristic properties were measured in ambient air at room temperature using a semiconductor parameter analyzer (Agilent 4156C) Agilent Technologies (Santa Clara, CA) The representative transfer curves for transistors without SAMs and those dipped or stamped with SAMS are shown in Fig. 2 (a) The mobilities and the threshold voltages were extracted from the transfer curves. Histograms of the threshold voltages of 38 transistors are shown in Fig. 2(b) (for a summary, see also Supplementary Table 1 online) along with the field effect mobilities that were also extracted from the transfer curves. Regardless of the process and/or species of SAM, all samples with SAMs exhibited larger mobility than those without SAM, as expected. The HC14 PA samples had a higher mobility than the FC18 PA samples, which is also in line with previous reports. Furthermore, all the samples with SAMs exhibited larger on/off ratios than those samples without SAMs, as a result of smaller gate leakage currents. Most importantly,", "author_names": [ "Ikue Hirata", "Ute Zschieschang", "Frederik Ante", "Tomoyuki Yokota", "Kazunori Kuribara", "Tatsuya Yamamoto", "Kazuo Takimiya", "Masaaki Ikeda", "Hirokazu Kuwabara", "Hagen Klauk", "Tsuyoshi Sekitani", "Takao Someya" ], "corpus_id": 85555162, "doc_id": "85555162", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Rapid Communications Spatial control of the threshold voltage of low voltage organic transistors by microcontact printing of alkyl and fl uoroalkyl phosphonic acids", "venue": "", "year": 2011 }, { "abstract": "Fabrication techniques and process integration considerations for amorphous oxide semiconductor (AOS) thin film transistors (TFTs) constitute the central theme of this dissertation. Within this theme three primary areas of focus are pursued. The first focus involves formulating a general framework for assessing passivation. Avoiding formation of an undesirable backside accumulation layer in an AOS bottom gate TFT is accomplished by (i) choosing a passivation layer in which the charge neutrality level is aligned with (ideal case) or higher in energy than that of the semiconductor channel layer charge neutrality level, and (ii) depositing the passivation layer in such a manner that a negligible density of oxygen vacancies are present at the channel passivation layer interface. Two AOS TFT passivation schemes are explored. Sputter deposited zinc tin silicon oxide (ZTSO) appears promising for suppressing the effects of negative bias illumination stress (NBIS) with respect to ZTO and IGZO TFTs. Solution deposited silicon dioxide is used as a barrier layer to subsequent PECVD silicon dioxide deposition, yielding ZTO TFT transfer curves showing that the dual layer passivation process does not significantly alter ZTO TFT electrical characteristics. The second focus involves creating an adaptable back end process compatible with flexible substrates. A detailed list of possible via formation techniques is presented with particular focus on non traditional and adaptable techniques. Two of the discussed methods, \"hydrophobic surface treatment\" and \"printed local insulator,\" are demonstrated and proven effective. The third focus is printing AOS TFT channel layers in order to create an adaptable and additive front end integrated circuit fabrication scheme. Printed zinc indium aluminum oxide (ZIAO) and indium gallium zinc oxide (IGZO) channel layers are demonstrated using a SonoPlot piezoelectric printing system. Finally, challenges associated with printing electronic materials are discussed. Organic based solutions are easier to print due to their ability to \"stick\" to the substrate and form well defined patterns, but have poor electrical characteristics due to the weakness of organic bonds. Inorganic aqueous based solutions demonstrate good electrical performance when deposited by spin coating, but are difficult to print because precise control of a substrate's hydrophillic/hydrophobic nature is required. However, precise control is difficult to achieve, since aqueous based solutions either spread out or ball up on the substrate surface. Thickness control of any printed solution is always problematic due to surface wetting and the elliptical thickness profile of a dispensed solution.", "author_names": [ "Eric Steven Sundholm" ], "corpus_id": 137520153, "doc_id": "137520153", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Nontraditional amorphous oxide semiconductor thin film transistor fabrication", "venue": "", "year": 2012 }, { "abstract": "A simple and unidirectional printing of sol gel metal oxide dielectric layers via bar coating was successfully demonstrated while the nanoscale control of ultrathin film thickness (6 30 nm) and the excellent insulating performance were achieved. According to various physical and electrical characterizations, the present bar printing method enabled to fabricate smooth, dense, and uniform thin oxide films which were comparable to or slightly better than those prepared by spin coating. Finally, both semiconductor and dielectric films were successively patterned by bar printing employing the phenomenon of selective surface wetting, leading to high performance low voltage all solution processed metal oxide transistors.", "author_names": [ "Myung-Han Yoon", "Won-June Lee", "Won-Tae Park", "Sungjun Park", "Yong-Young Noh" ], "corpus_id": 137911034, "doc_id": "137911034", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "71 5: In Depth Study on Large Area Bar Printing and Selective Area Direct Patterning of Metal Oxide Dielectrics for High Performance Transistor Application", "venue": "", "year": 2016 } ]
Low-cost Biometric Recognition System based on NIR Palm Vein Image
[ { "abstract": "Palm vein recognition is motivated by the advantages of high security and liveness detection, but its popularity is prevented by the cost of palm vein capture devices. This study proposes a low cost and practical palm vein recognition system. First, the authors' system captures near infrared (NIR) palm vein image with complementary metal oxide semiconductor camera in lieu of an NIR charge coupled device camera. The goal is to reduce the cost of palm vein capture devices greatly. Second, this study adopts thenar area on the palm as the region of interest (ROI) for further palm vein recognition. The goal is to get the rich vessel and avoid the effect of palmprint. Finally, the discriminate palm vein features are extracted based on Haar wavelet decomposition and partial least squares algorithm on the ROI image. The goal is to increase the recognition accuracy, though the resolution of the image is low. A database with 1500 palm vein images from 250 samples is setup with the capture device. Experiments in the self built database and a public database show the effectiveness of the scheme.", "author_names": [ "Wei Wu", "Stephen J Elliott", "Seng-Fong Lin", "Wei-qi Yuan" ], "corpus_id": 69954994, "doc_id": "69954994", "n_citations": 10, "n_key_citations": 0, "score": 1, "title": "Low cost biometric recognition system based on NIR palm vein image", "venue": "IET Biom.", "year": 2019 }, { "abstract": "This paper proposes a low cost personal identification system that uses the combined palm vein and palmprint biometric features. The system consists of near infrared and visible light emitting diode (LED) arrays, a low cost visual sensor, a Xilinx chip, and other components. A real time image quality assessment (IQA) method for the combined palm vein and palmprint biometric features is also proposed. Two types of the LED with central frequency spectra of 890 and 680 nm are used to capture the palm vein and palmprint, respectively. The adaptive feedback control of the diode brightness is in accordance with the image quality assessed by the combined 2D entropy and local 2D entropy. The palm vein and palmprint images are acquired nearly simultaneously, and each acquired image undergoes a few preprocessing steps for extraction of the vein and print patterns. We use an image level wavelet based fusion strategy to reduce image storage requirement for the embedded platform and implement a complex wavelet based fusion strategy for the PC platform. A deep scattering convolutional network is applied for extracting the features of the fused images, and a multi class support vector machine is used for training and recognition. Characteristics of some vision based personal identification systems are discussed. The proposed real time IQA method with fusion strategy and feature extraction algorithm in our prototype system has substantially less operational requirements than that of the previous fusion strategies. It also demands less memory and yields lower equal error rate than the classical feature extraction algorithms.", "author_names": [ "Peng Chen", "Baojin Ding", "Haixia Wang", "Ronghua Liang", "Yilong Zhang", "Wei Zhu", "Yi-Peng Liu" ], "corpus_id": 61808918, "doc_id": "61808918", "n_citations": 10, "n_key_citations": 0, "score": 0, "title": "Design of Low Cost Personal Identification System That Uses Combined Palm Vein and Palmprint Biometric Features", "venue": "IEEE Access", "year": 2019 }, { "abstract": "Biometric authentication systems are a growing field of research that represent an alternative to the more common authentication systems and often offer better performances. Among the available technologies the palm vein recognition represents a non invasive, reliable and affordable solution. Thanks to its appreciated peculiarities, this technology is carving a spot in the market of biometric recognition both at the consumer and business level. In this paper we describe the development of an embedded standalone palm vein authentication system. In order to realize a contact less acquisition system, a solid ROI locating algorithm is proposed. A combination of Gabor filters and histograms calculations is investigated as a method for creating biometric templates. The prototype has been developed using the low cost single board computer Raspberry Pi 3 and it is thought to handle all the steps, from the acquisition of the image of the hand, to the recognition of the user. The entire authentication process is completed in 1.5 2s, with a FAR of 0.32% a FRR of 1.58% and an EER of 1.45% Moreover, thanks to its low cost and to the on site extraction of the biometric template, the proposed device perfectly fits as terminal of a bigger authentication system, with multiple access points and a central system where the matching and storage occur.", "author_names": [ "Pierandrea Cancian", "Guido Walter Di Donato", "Vincenzo Rana", "Marco D Santambrogio" ], "corpus_id": 10300098, "doc_id": "10300098", "n_citations": 12, "n_key_citations": 2, "score": 0, "title": "An embedded Gabor based palm vein recognition system", "venue": "2017 IEEE EMBS International Conference on Biomedical Health Informatics (BHI)", "year": 2017 }, { "abstract": "This paper describes the development of a real time system for the recognition of a real human subject using the palm dorsa subcutaneous vein pattern (PDSVP) as a physiological biometric feature. The system has been developed using a low cost, single board computer, called the Raspberry Pi Model B, in conjunction with an infrared sensitive camera, called the Raspberry Pi No Infrared camera, and other components. The camera is sensitive to near infrared (NIR) radiations and this acquisition property has been used to acquire the pattern of vascular structure present in the subcutaneous layer of the dorsum of the human palm. Moreover, an automatic two axis pan tilt mechanism has been developed on which the camera is mounted. This is a completely novel mechanism that has been developed so that the data acquisition is independent of the position where the palm dorsum is positioned, as an automatic palm dorsum self locating strategy is developed using the two axis pan tilt mechanism. Now, the NIR images of the PDSVP acquired, in the aforementioned methodology, do not represent the vein pattern with appreciable clarity and discernibility. Therefore, each image acquired undergoes few steps of image preprocessing, to extract the vein pattern, before they are subjected to testing conditions or they are incorporated into the training database. The recognition strategy has been developed using the collaborative representation based classification. In this paper, we have emphasized upon the most severe case of small sample size, which is single sample per person based training data set creation. The proposed method is tested on a well structured database, of NIR images of the PDSVP, JU NIR V1: NIR Vein Database, developed in the Electrical Instrumentation and Measurement Laboratory, Electrical Engineering Department, Jadavpur University, Kolkata, India. Subsequently, through extensive experimentation it has been proven that the proposed strategy attains substantially high and stable recognition rate. Moreover, the performance of the recognition strategy is highly robust even in the presence of artifacts, such as angular displacement and scaling, that corrupt the NIR images acquired during data acquisition.", "author_names": [ "Sandip Joardar", "Amitava Chatterjee", "Anjan Rakshit" ], "corpus_id": 24039583, "doc_id": "24039583", "n_citations": 39, "n_key_citations": 1, "score": 0, "title": "A Real Time Palm Dorsa Subcutaneous Vein Pattern Recognition System Using Collaborative Representation Based Classification", "venue": "IEEE Transactions on Instrumentation and Measurement", "year": 2015 }, { "abstract": "Palm vein based biometric authentication system aims to recognise individuals from their unique palm vein structure which is next to impossible to duplicate owing to the fact that palm veins are present in the subsurface of the skin and not apparent under visual light. The aim of the proposed work is to develop a low cost but efficient system for acquiring images of the veins, processing these images and matching using various algorithms. Images have been acquired using a web camera and an infrared LED illumination that highlights the veins. The region of interest ROI is extracted from the images and then processed. Three techniques for matching are proposed. Principal component analysis PCA, 2D wavelet based feature and template designed exclusively for palm vein ROI is applied over ROI for matching. The accuracy of the each algorithm is deduced to compare the three algorithms. The highest accuracy achieved is 93.54% using template matching technique.", "author_names": [ "Gunjan Shah", "Sagar Shirke", "Sonam Sawant", "Yogesh H Dandawate" ], "corpus_id": 7471869, "doc_id": "7471869", "n_citations": 13, "n_key_citations": 0, "score": 0, "title": "Palm vein pattern based biometric recognition system", "venue": "Int. J. Comput. Appl. Technol.", "year": 2015 }, { "abstract": "Currently, Biometrics has been utilized the top five modality of face, voice, IRIs, fingerprint, and palm to identify individuals. Comparatively, these Biometrics systems need complex computation to be slow and an easy target to hack. Alternatively, this work proposes a novel biometrics system of highly secured recognition with low computation time using specifically designed biometrics sensor. Consequently, finger vein recognition has been developed. Although, this recognition requires high point of safety measures comes with its individual experiments. The most prominent one being the vein pattern is very difficult to extract because finger vein images are constantly low in quality, seriously hampering the feature extraction and classification stages. Sophisticated algorithms need to be designed with the conventional hardware for capturing finger vein images is modified by using red Surface Mounted Diode (SMD) leds. For capturing images, Canon 750D camera is used with micro lens. The integrated micro lens gives better quality images, and with some adjustments it can also capture finger print. Results have been comparatively improvement for SDUMLA HMT database and extensively evaluated with k nearest neighbors (KNN) algorithm. The (KNN) algorithm is a simple, easy to implement supervised machine learning algorithm that can be used to solve both classification and regression problems. KNN calculations are highly accurate in test data. Using stratified 6 fold analysis on all fingers of all hands in collected database, a maximum accuracy of 100% was achieved with an EER of 0% when select right hand and middle finger, based on the analysis of the 106 persons present in the data set. Many approaches have been used to optimize vein image quality. The proposed system has optimum results as compared to existing related works. The work novelty is due to the hardware design of the sensor within the finger vein recognition system to obtain, simultaneously, finger vein and finger print at low cost, unlimited users for one device and open source.", "author_names": [ "Khamis A Zidan", "Shereen S Jumaa" ], "corpus_id": 213990032, "doc_id": "213990032", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "A highly verified biometric recognition system using an ultra speed specifically developed finger vein sensor", "venue": "Periodicals of Engineering and Natural Sciences (PEN)", "year": 2019 }, { "abstract": "Currently, Biometrics has been utilized the top five modality of face, voice, IRIs, fingerprint, and palm to identify individuals. Comparatively, these Biometrics systems need complex computation to be slow and an easy target to hack. Alternatively, this work proposes a novel biometrics system of highly secured recognition with low computation time using specifically designed biometrics sensor. Consequently, finger vein recognition has been developed. Although, this recognition requires high point of safety measures comes with its individual experiments. The most prominent one being the vein pattern is very difficult to extract because finger vein images are constantly low in quality, seriously hampering the feature extraction and classification stages. Sophisticated algorithms need to be designed with the conventional hardware for capturing finger vein images is modified by using red Surface Mounted Diode (SMD) leds. For capturing images, Canon 750D camera is used with micro lens. The integrated micro lens gives better quality images, and with some adjustments it can also capture finger print. Results have been comparatively improvement for SDUMLA HMT database and extensively evaluated with k nearest neighbors (KNN) algorithm. The (KNN) algorithm is a simple, easy to implement supervised machine learning algorithm that can be used to solve both classification and regression problems. KNN calculations are highly accurate in test data. Using stratified 6 fold analysis on all fingers of all hands in collected database, a maximum accuracy of 100% was achieved with an EER of 0% when select right hand and middle finger, based on the analysis of the 106 persons present in the data set. Many approaches have been used to optimize vein image quality. The proposed system has optimum results as compared to existing related works. The work novelty is due to the hardware design of the sensor within the finger vein recognition system to obtain, simultaneously, finger vein and finger print at low cost, unlimited users for one device and open source.", "author_names": [ "Khamis A Zidan", "Shereen S Jumaa" ], "corpus_id": 209079728, "doc_id": "209079728", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "A Highly Verified Biometric Recognition System using An Ultra speed specifically developed Finger Vein Sensor", "venue": "", "year": 2019 }, { "abstract": "Biometrics has emerged as a powerful technology for person authentication in various scenarios including forensic and civilian applications. Deployment of biometric solutions that use cues from multiple modalities enhances the reliability and robustness of authentication necessary to meet the increasingly stringent security requirements. However, there are two drawbacks typically associated with multimodal biometrics. Firstly, the image acquisition process in such systems is not very user friendly, primarily due to the time and effort required to capture biometric samples belonging to multiple modalities. Secondly, the overall cost is higher as they employ multiple biometric sensors. To overcome these drawbacks, we employ a single NIR sensor based image acquisition in the proposed approach for hand vein recognition. From the input hand image, a palm vein and four finger vein subimages are extracted. These images are then enhanced by CLAHE and transformed into illumination invariant representation using center symmetric local binary pattern (CS LBP) Further, a hierarchical non rigid matching technique inspired by the architecture of deep convolutional networks is employed for matching the CS LBP features. Finally, weighted sum rule based matching score level fusion is performed to combine the palm vein and the four finger vein modalities. A set of rigorous experiments has been performed on an in house database collected from the left and right hands of 185 subjects and the publicly available CASIA dataset. The proposed approach achieves equal error rates of 0.13% and 1.21% and rank 1 identification rates of 100% and 100% on the in house and CASIA datasets, respectively. Additionally, we compare the proposed approach with the state of the art techniques proposed for vascular biometric recognition in the literature. The important findings are (1) the proposed approach outperforms all the existing techniques considered in this study, (2) the fusion of palm vein and finger vein modalities consistently leads to better performance for all the feature extraction techniques considered in this work. (3) Furthermore, our experimental results also suggest that considering the constituent palm vein and finger vein images instead of the entire hand vein images achieves better performance.", "author_names": [ "Shruti Bhilare", "Gaurav Jaswal", "Vivek Kanhangad", "Aditya Nigam" ], "corpus_id": 53220468, "doc_id": "53220468", "n_citations": 10, "n_key_citations": 2, "score": 0, "title": "Single sensor hand vein multimodal biometric recognition using multiscale deep pyramidal approach", "venue": "Machine Vision and Applications", "year": 2018 }, { "abstract": "Biometric identification systems based on the recognition of the hands vein pattern are better than the other systems such as fingerprint identification, face, iris, retina, and hand geometry features for using not visible images to the eye, having high acceptability to users and do not require physical contact. This paper presents an identification system based on the dorsal hand vein pattern recognition, using a low cost camera to capture images with near infrared (NIR, curve let transforms for feature detection of images and random forest classification method. The proposed method was tested on a database of 1240 images captured by the authors and the system results presented classification about recognition with low Equal Error Rate (EER)", "author_names": [ "Ricardo Janes", "Augusto Ferreira Branda Junior" ], "corpus_id": 53069273, "doc_id": "53069273", "n_citations": 12, "n_key_citations": 0, "score": 0, "title": "A Low Cost System for Dorsal Hand Vein Patterns Recognition Using Curvelets", "venue": "ICONS 2014", "year": 2014 }, { "abstract": "Security and the authentication of individuals is necessary for many different areas of our lives, with most people having to authenticate their identity on a daily basis; examples include ATMs, secure access to buildings, and international travel. Biometric identification provides a valid alternative to traditional authentication mechanisms such as ID cards and passwords, whilst overcoming many of the deficits of these methods; it is possible to identify an individual based on who they are rather than what they possess or what they remember. Iris/Fingerprint/palm vein/Face recognition are some of the biometric system that can be used to reliably identify a person by analysing the patterns. The objective of the proposed system is to improve the safety of biometric recognition mechanism, by adding liveness assessment in a fast, user friendly, low cost, non disturbing manner and performance through the use of image quality assessment. Thus we propose an secure authentication by combining the above four biometric systems to identify the fake real users. Also we shall propose the best biometric system by comparing Iris/fingerprint/palm vein/face recognition techniques. Also focus on researching the system in accordance to the performance analyzing accuracy and time factors.", "author_names": [ "", "G Merlin Sheeba", "G Sivakami", "Savithri Monica J" ], "corpus_id": 212448145, "doc_id": "212448145", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Multimodal Biometric Recognition Security System", "venue": "", "year": 2015 } ]
Packaging SiC power semiconductors—Challenges, technologies and strategies
[ { "abstract": "In this paper a comprehensive review on SiC power module challenges and technology approaches is given. These challenges originate from SiC specific reduced chip area, from high power and high loss density operation, from high temperature capability, from fast switching transients and from high electric field operation. New approaches based on advanced integrated cooling, low profile integrated topside bonding and low inductance architectures are outlined and discussed.", "author_names": [ "Jurgen Schuderer", "Umamaheswara Vemulapati", "Felix Traub" ], "corpus_id": 15096937, "doc_id": "15096937", "n_citations": 16, "n_key_citations": 1, "score": 1, "title": "Packaging SiC power semiconductors Challenges, technologies and strategies", "venue": "2014 IEEE Workshop on Wide Bandgap Power Devices and Applications", "year": 2014 }, { "abstract": "This paper reports on the fabrication technology and packaging strategy for 300V 5A Silicon Carbide Schottky diodes with a wide temperature operation range capability (between 170oC and 300oC) These diodes have been designed for harsh environment space applications such as inner Solar System exploration probes. More specifically these diodes are being tested to be the blocking diodes of the solar panels for the Bepi Colombo mission. Different endurance tests have been performed to evaluate the diode behaviour when working at high temperature and under severe thermal cycling conditions (comprised from 170oC to 285 oC) The radiation hardness capability has been also tested. It has been found that the hermeticity of the package is a key aspect to avoid electrical parameters drift. Moreover, the use of gold metallization and gold wire bonds on the anode allows reducing the diode surface and bonding degradation when compared to Al containing technology. On the backside cathode contact, the Ti/Ni/Au metallization and AuGe combination has shown a very good behaviour. As a result, the manufactured diodes demonstrated high stability for a continuous operation at 285oC. The reliability test performed included mechanical test with strong levels of vibration and shocks and constant acceleration, life test at maximum operating conditions with 1500 hours at 285oC at 5A forward current and 500 hours at 250 reverse voltage and 4000 thermal cycling from 150oC till 230oC with forward current of 0.8A for temperature over 25oC while the voltage drop was being monitored. The paper includes also a description of the setup solutions and the main lessons learnt for future testing of high power devices operating at a wide temperature range.", "author_names": [ "Demetrio Lopez", "Juan Barbero", "J C Moreno", "Philippe Godignon", "Xavier Jorda" ], "corpus_id": 219570248, "doc_id": "219570248", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "SiC Schottky Diodes Reliability Testing for Bepi Colombo 6th Space Agency MOD Workshop on Wideband Gap Semiconductors and Components", "venue": "", "year": 2012 }, { "abstract": "Silicon carbide electronics technology, spurred on by the promise of higher power densities and operating temperatures, has advanced remarkably since the introduction of the first commercial substrate wafers just over a decade ago. This article reviews the use of SiC as the basis for power semiconductor devices. Devices such as Schottky diodes, bipolar junction transistors, and IGBTs are described. Also considered are SiC wafer growth material defects, packaging strategies and possible future developments.", "author_names": [ "C Mark Johnson" ], "corpus_id": 108781631, "doc_id": "108781631", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Clearing power roadblocks [SiC based power semiconductor devices]", "venue": "", "year": 2004 }, { "abstract": "This paper describes the design and verification results of two wideband class AB High Power Amplifiers (PA) encapsulated in commercial packages. Both amplifiers designed on WIN Semiconductors' 0.25 \\mu$ m GaN on SiC technology. The selected GaN process features compact common source (CS) transistor layouts with individual source grounding vias. The family spans the whole X band frequency with the first design tuned between 7 11 GHz and the second design tuned between 10 12 GHz. Both designs have saturated power output greater than 25 W throughout the whole bandwidth with peak power added efficiency at 30% A multistage power combining matching strategy to achieve a tradeoff in wideband performance and power output is given. In depth discussion of the MMIC design of the PAs is supported by a discussion of the thermal management of the packages, including the PCB design and active cooling methodology, in order to present a fully functional PA family.", "author_names": [ "Onur Memioglu", "Alper Karakuzulu", "Adnan Gundel", "Fatih Kocer", "Ozlem Aydin Civi" ], "corpus_id": 53948776, "doc_id": "53948776", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Design and Implementation of an Encapsulated GaN X Band Power Amplifier Family", "venue": "2018 13th European Microwave Integrated Circuits Conference (EuMIC)", "year": 2018 }, { "abstract": "With global drivers such as better energy consumption, energy efficiency and reduction of greenhouse gases, CO2 emission reduction has become key in every layer of the value chain. Power Electronics has definitely a role to play in these thrilling challenges. From converters down to compound semiconductors, innovation is leading to breakthrough technologies. Wide BandGap, Power Module Packaging, growth of Electric Vehicle market will game change the overall power electronic industry and supply chain. In this presentation we will review power electronics trends, from technologies to markets. INTRODUCTION The power electronics industry now deals with conversion and motion, and thus requires lighter/smaller, cheaper and more efficient systems. This evolution starts with improvements at the semiconductor level. There are four technologies which are best suited to handle new system requirements: silicon IGBT, Super Junction (SJ) MOSFETs, Gallium Nitride (GaN) and Silicon Carbide (SiC) based devices. POWER ELECTRONIC INDUSTRY 1) Last year, 2014, was a year of recovery for the power electronics market. After two tough years without seeing any growth, in 2014 the market 's size increased by 8.4% reaching $11.5B for power semiconductor devices. The outlook for the years ahead is also optimistic. Market growth will be driven by a significant increase in electric and hybrid vehicle (EV/HEV) sales, as well as the ramp up of renewable energy and more smart grid technology implementation. The market will surpass $17B by 2020, representing a compound annual growth rate (CAGR) of 6.9% for the period 2014 2020 (Figure 1) Power modules, and more precisely IGBTs, will lead this growth. Modules are expected to reach a CAGR 2014 2020 of 10.3% compared to 5.1% growth for discrete components. This growth in the demand of IGBT modules is due to their improved overall performance in terms of efficiency and thermal conductivity management. The new wide band gap device market will also drive growth, representing around 5% of the overall market by 2020, even though in terms of units their presence will still be limited. Figure 1: 2006 2020 power device market evolution 2) In this context the supply chain is evolving. The power electronics supply chain is very diverse and mostly application (and local market) dependent. European and American players will prioritize horizontal integration, keeping proven expertise in a specific level of the value chain. Therefore, partnerships and joint ventures will be preferred. This paper will review the major mergers and acquisitions of 2014, for instance International Rectifier's acquisition by Infineon, in order to understand their context and purpose. Some system manufacturers, such as Tesla or BYD, have understood the importance of developing their own power electronics and energy management systems for traction, chargers and batteries in order to offer extended addedvalue. Asian companies will prefer to expand vertically in order to be fully integrated and optimize the costs. Japanese players are already vertically integrated and involved in multiple applications simultaneously to benefit from their technologies across different markets. Chinese players are developing this vertical integration in order to create major market leaders in each application segment such as SunGrow in PV, GoldWind in wind and BYD in EV/HEV. This report focusses especially on the details of the Chinese market, which is driven by Chinese Government policies. In this changing environment, western and Japanese players need to bring high added value solutions to be able to 2 33 CS MANTECH Conference, May 18th 21st, 2015, Scottsdale, Arizona, USA compete with Chinese companies. The strategies of the main players will be presented. 3) The demand for compact products is increasing. Therefore, players are obliged to create partnerships between different industries in order to coordinate and use the synergies of integrated products to offer a smaller and higher performance solution. Several partnerships are influenced by this trend. In this direction, the concept of the power stack has recently appeared and ever more companies will take part in their development. Technologically, MOSFETs and IGBTs are and will continue to be the devices in greatest demand, covering low and medium high voltage applications respectively. New technologies have appeared in the last decade, such as Super Junction MOSFETs, which have brought the MOSFET family into higher voltage segments up to 900V, with better performance. In terms of power packaging, ongoing evolution is driven particularly by the EV/HEV industry. Figure 2: SiC and GaN device positioning among the traditional silicon based devices The charge of new wide band gap (WBG) materials is also reshaping the power electronics industry. Silicon carbide (SiC) and gallium nitride (GaN) based devices are intended for high voltage especially SiC high frequency and high temperature applications thanks to their advanced performance compared to silicon devices (Figure 2) SiC technology is more mature than GaN, and so industry segments such as the rail traction and PV inverters have already launched their WBG device systems based on it. The introduction of SiC into other high voltage segments, such as wind and high voltage direct current grids is also inevitable. But the big boost for these new markets should arrive with the implementation of SiC devices in electric cars' traction systems. GaN systems are still less present i n the market. Some consumer applications, such as laptop chargers, and just announced PV inverters are going to be the first segments incorporating GaN. Several system manufacturers are also developing further SiC and/or GaN device based prototypes and thus the next 5 years are going to be decisive for WBG devices' introduction into different markets. GAN IN POWER ELECTRONICS 1) Overall, 2020 exhibits a projected market size for devices of almost $600m, leading to approximately 580,000 x 6\" wafers to be processed. Ramp up will be quite impressive starting from 2016 with approximately 80% CAGR to 2020, based upon the scenario where EV/HEV starts adopting GaN in 20182019. From 2015, Power Supply PFC segment will dominate the business until 2018 where it should represent 50% of the device sales. Automotive will then catch up. In the UPS applications, medium power segment is probably much more in line with GaN value proposition as savings at system level is demonstrated. We think GaN technology could grab up to 15% of market shares in this field in 2020. Figure 3: Estimated accessible markets, growth rate, and time to market of main GaN applications Room for extra cost in motor drive applications is very unlikely. Therefore, the incentives to implement new technologies such as GaN have to be serious and strong. Considering the possible improvement on the conversion efficiency augmented by a predictable price parity with Si solution by 2018, we do expect GaN to start being implemented in motor control by 2015 2016, at quite a slow rate, and reach about $45m revenues by 2020 (Figure 3) PV inverters has already adopted SiC technology and products are now commercially available. GaN could possibly partially displace SiC, playing with a better price positioning. However now that SiC is in place, the qualification of GaN may be more challenging. 2) Recent announcements shows the GaN industry is shaping along mergers, acquisitions and license agreements. Latest Transphorm Fujitsu agreement in addition to Furukawa IP portfolio exclusive licensing are very positive signs that GaN technology is spreading over all the value 34 CS MANTECH Conference, May 18th 21st, 2015, Scottsdale, Arizona, USA chain, reinforcing market position of leaders but also probably leaving weakest players by the wayside of the road. Reasonably speaking, we are forecasting 2014 will only generate $10m to $12m device sales. Such a moderate business will only let the strongest survive and will probably kill several early birds who will see their cash flow quickly melting. We think that real power GaN business will only decently start by 2016, exceeding the psychological threshold of $50m revenues. The key question is now: how to survive another 1.5 to 2 years? Far from being over ly pessimistic, we are afraid some entities won't cross the chiasm and will be acquired or will go bankrupt. Today power GaN business is mainly centered on low voltage DC DC converter (typically Pointof Loads POL) using available 200V rated devices. However this business only generates a few million revenues. Thanks to 600V device introduction this year, GaN will certainly grow quite fast in power supply and PFC applications where technical added value and economics are obvious. We have simulated several case studies and came to the conclusion that even though today market price for GaN devices exceeds Silicon ones, the improvement on efficiency and savings on the electricity bill can compensate this extra cost in less than 1 year for a 300W 24/7 operation power supply (data server type) Projected in 2018, the same power supply could even be cheaper than the silicon counterpart simply thanks to the reduction on passives (capacitor, self induction) cost, along with switching frequency increase. Therefore, we envision a preliminary take off of the PFC segment by 2015 along with an 80% CAGR over 20162020. Other applications such as the PV inverter and, to a lesser extent, in motor control, we see GaN starting to capture market share by 20152016. The next big thing, will unquestionably be EV and HEV segments where GaN could definitely play a role in power systems such as low voltage (14V 200 400V) DC DC converters and later on for battery chargers (on board 3.6 7.2 kW first then off board 50kW+ However, we do not see any chance for GaN to enter in the power train inverter (60kW+ before 2019 2020, due to the lack of current capabi", "author_names": [ "Hong-Ting Lin" ], "corpus_id": 210171952, "doc_id": "210171952", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "2.3 Market and Technology Trends in WBG Materials for Power Electronics Applications", "venue": "", "year": 2015 }, { "abstract": "The ongoing development of drives for city buses is marked by an increasing hybridization and electrification. A high operation time and the utilization of new drive concepts using permanent magnet synchronous machines (PMSM) require very durable power electronic and hence power semiconductor technology. First of all the article will initially explain current and future city bus drive concepts and then look at the resultant requirements on power electronics. Starting with state of the art drives it will be shown that future drive concepts using PMSM will result in new requirements for power electronics and especially on the load cycling capability of IGBT. Strategies to fulfill these requirements will be discussed in the second part. The last chapter provides an overview of promising new packaging technologies for power semiconductors. The potential thermal cycling capability of these new technologies will be estimated. Finally the potential of SiC for the lifetime of electric drives will be briefly evaluated.", "author_names": [ "Martin Helsper", "Niklas Ruger" ], "corpus_id": 15976592, "doc_id": "15976592", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Requirements of hybrid and electric buses a huge challenge for power electronics", "venue": "2014 16th European Conference on Power Electronics and Applications", "year": 2014 }, { "abstract": "The wide bandgap (WBG) devices, like gallium nitride (GaN) and silicon carbide (SiC) devices have proven to be a driving force of the development of the power conversion technology. Thanks to their distinct advantages over silicon (Si) devices including the faster switching speed and lower switching losses, WBG based power converter can adopt a higher switching frequency and pursue higher power density and higher efficiency. As a trade off of the advantages, there also exist the high frequency oriented challenges in the adoption of the GaN HEMT under research, including narrow safe gate operating area, increased switching overshoot, increased electromagnetic interference (EMI) in the gate loop and the power stages, the lack of the modules of packages for high current application, high gate oscillation under parallel operation. The dissertation is developed to addressed the all the challenges above to fully explore the potential of the GaN HEMTs. Due to the increased EMI emission in the gate loop, a small isolated capacitor in the gate driver power supply is needed to build a high impedance barrier in the loop to protect the gate driver from interference. A 2 W dual output gate driver power supply with ultra low isolation capacitor for 650 V GaN based half bridge is presented, featuring a PCB embedded transformer substrate, achieving 85% efficiency, 1.6 pF isolation capacitor with 72 W/in3 power density. The effectiveness of the EMI reduction using the proposed power supply is demonstrated. The design consideration to build a compact 650 V GaN switching cell is presented then to address the challenges in the PCB layout and the thermal management. With the switching cell, a compact 1 kW 400 Vdc three phase inverter is built and can operate with 500 kHz switching frequency. With the inverter, the high switching frequency effects on the inverter efficiency, volume, EMI emission and filter design are assessed to demonstrate the tradeoff of the adoption of high switching frequency in the motor drive application. In order to reduce the inverter CM EMI emission above 10 MHz, an active gate driver for 650 V GaN HEMT is proposed to control the dv/dt during turn on and turn off independently. With the control strategy, the penalty from the switching loss can be reduced. To build a high current power converter, paralleling devices is a normal approach. The dissertation comes up with the switching cell design using paralleled two and four 650 V GaN HEMTs with minimized and symmetric gate and power loop. The commutation between the paralleled HEMTs is analyzed, based on which the effects from the passive components on the gate oscillation are quantified. With the switching cell using paralleled GaN HEMTs, a 10 kW LLC resonant converter with the integrated litz wire transformer is designed, achieving 97.9 efficiency and 131 W/in3 power density. The design consideration to build the novel litz wire transformer operated at 400 kHz switching frequency is also presented. In all, this work focuses on providing effective solutions or guidelines to adopt the 650 V GaN HEMT in the high frequency, high power density, high efficiency power conversion and demonstrates the advance of the GaN HEMTs in the hard switched and soft switched power converters. High Frequency Oriented Design of Gallium Nitride (GaN) Based High Power Density Converters", "author_names": [ "Bingyao Sun" ], "corpus_id": 139256476, "doc_id": "139256476", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "High Frequency Oriented Design of Gallium Nitride (GaN) Based High Power Density Converters", "venue": "", "year": 2018 }, { "abstract": "High temperature electronic packaging requires power switching devices, electrical components, and materials to withstand ambient temperatures approaching 300degC. In the case of the former, the electrical performance of traditional silicon based electronics are lacking at operating temperatures greater than 150degC. In the early 1990's, however, commercially available silicon on insulator technology (i.e. HTMOS appeared which led to parts designed to last at least five years at 225degC and operate to 300degC with reduced lifetime. For operating environments beyond 300degC, no other power device candidate appears on the technological horizon other than silicon carbide (SiC) Although SiC power devices are not yet commercially available, it is evident that this technology will have an impact on power electronics package design strategies required to operate in high temperature environments. The candidate materials providing the mechanical support for these electrical components must also be able to withstand this same hostile environment. Diamond and diamond like carbon (DLC) possess the unique combination of excellent thermal conduction, electrical insulation, and mechanical properties that make them candidate materials of choice for power packaging applications, especially those requiring high performance thermal management solutions. In this paper, a preliminary study of polyimide, diamond and DLC substrate technologies for high temperature, high power packaging applications is presented.", "author_names": [ "A Lostetter", "Kraig J Olejniczak", "Ajay P Malshe", "William D Brown", "A Elshabini" ], "corpus_id": 114551337, "doc_id": "114551337", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Silicon carbide power die packaging in diamond substrate multichip power module applications", "venue": "", "year": 2001 }, { "abstract": "Increase of power density and performance at simultaneously decreasing cost has always been the one way direction in power semiconductors world. It is shown that this will last also in foreseeable future, even more with new semiconductor materials. Common to old Si and new materials like SiC and GaN devices is that all of them head for higher current densities with a need for higher operating temperatures in steps up to 200 degC and above which nowadays assembly technologies still cannot cope with, mainly because of much higher load and temperature cycling capability needed. Also common development trend with all materials is to switch faster to reduce losses in the devices and thus increase current capability. It is also shown that a tremendous potential of about 7 times lower switching losses lies in this direction and what will be the challenges to assembly and system setup with respect to low inductive interconnect technology.", "author_names": [ "Gerhard Miller" ], "corpus_id": 11222830, "doc_id": "11222830", "n_citations": 13, "n_key_citations": 0, "score": 0, "title": "New semiconductor technologies challenge package and system setups", "venue": "2010 6th International Conference on Integrated Power Electronics Systems", "year": 2010 }, { "abstract": "With the current trend towards smaller and highly portable consumer electronics and other industrial applications, one area with a great demand for such miniaturization is the lighting industry. The main hinder has been the power supplies, due to their bulky energy storage components. Through the use of soft switching topologies, with the incorporation of the state of the art devices and combined control, circuits can operate at higher switching frequencies with smaller passive devices, allowing for miniaturized form factors towards added integration. Brief Description and Figures The legacy solution for LED drivers is a 2 stage structure comprised of a power factor correction rectifier with an energy storage capacitor, and a point of load DC DC converter. Conventionally, pulse widthmodulated converters are the primary candidates for the AC DC and DC DC stages, as they offer high efficiency and are easy to control. However, their operation is based on hard switching and typically run at low switching frequencies, resulting in the need for larger passives to store and process power. The work performed herein addresses some design considerations towards miniaturized LED drivers. Topologies: Resonant converters have the advantage of soft switching operation, and hence they have the potential for operation at higher frequencies, resulting in smaller passive components and overall less weight and size. Control: Through the combination of several control schemes, e.g. frequency control with burst mode control, high efficiency can be maintained across operational load range. Devices: The recent advancements in the wide band gap (WBG) devices promise many advantages over Silicon switches, in terms of lower gate charge, output capacitance, and on resistance for the same breakdown voltage, which can result in lower gating, conduction, as well as switching losses. On the other hand, integrated passive devices (IPDs) technologies show promising advancement towards a highly integrated system in the form of the PSiP and the more compact PwrSoC. Energy Storage: Today's LED drivers suffer from short lifetime due to the incorporation of electrolytic capacitors for energy storage. Through the use of active ripple port circuits, the size of the capacitor needed to filter out the double the line frequency ripple is reduced, and accordingly more robust capacitor technologies can be employed. Figure 1 shows measurement results of a class DE series resonant converter that can be incorporated for the AC DC and the DC DC stages in an LED driver. The converter operates up to 400V input and softswitching is achieved at high voltages through proper adjustment for the switching signals dead time. The scope image shows the switching node voltage (blue) and sinusoidal resonant inductor current (green) for 350V input voltage. The graphs following show the efficiency and output power for different output voltages, and illustrate how frequency modulation can be used for line/load regulation. The converter operates around 1 MHz and incorporates high voltage GaN switches and SiC diodes. Miniaturization of LED Drivers This project has received funding from the European Union's Horizon 2020 research and innovation programme under grant agreement No 731466 Figure 1 Measured results for Class DE Series Resonant Converter. Key Contributions There is an increasing demand for smaller, more efficient and longer living LED drivers. Resonant softswitching topologies operating at high frequencies with combined control schemes, and incorporating wide bandgap semiconductors and integrated passive devices, in a highly integrated package can promise substantial reduction in the size, weight and cost, as well as the extended lifetime of the power converters in LED drivers (Figure 2) Figure 2 Proposed Miniaturization strategy. References [1] A. Knott et al. \"Evolution of Very High Frequency Power Supplies,\" in IEEE Journal of Emerging and Selected Topics in Power Electronics, vol. 2, no. 3, pp. 386 394, Sept. 2014. [2] Y. Nour and A. Knott, \"Module integrated GaN power stage for high switching frequency operation,\" 2017 IEEE 12th International Conference on Power Electronics and Drive Systems (PEDS) Honolulu, HI, 2017, pp. 848 852.", "author_names": [ "Ahmed M Ammar", "Frederik Monrad Spliid", "Yasser Nour", "Arnold Knott" ], "corpus_id": 96421688, "doc_id": "96421688", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Miniaturization of LED Drivers", "venue": "", "year": 2018 } ]
AEROSOL MEASUREMENT Principles, Techniques, and Applications Third Edition
[ { "abstract": "In recent years, industry has become increasingly interested in modern aerosol measurement methods, not only to protect the health of their workers but also to augment productivity and thereby gain competitive advantage. Aerosol Measurement: Principles, Techniques, and Applications, Second Edition offers scientists and practitioners the fundamental principles used in deciding which aerosol properties to measure and how to interpret the results. Divided into three parts, the material reviews the physical understanding of aerosols, covers specific instrumental techniques, and explains applications in fields ranging from health care to mining and upper atmosphere research. Leading experts contribute to the review of such areas as direct reading techniques, bioaerosol sampling, indoor air evaluations, industrial aerosol processing, and measurement in semiconductor clean rooms. Plus, all the chapters in this latest edition have been updated and some have been rewritten by new authors. Two new chapters have been added: one on historical aspects of aerosol measurements and the other on real time single particle analysis.", "author_names": [ "Klaus Prof Dr Willeke", "Paul A Baron" ], "corpus_id": 93434258, "doc_id": "93434258", "n_citations": 1128, "n_key_citations": 39, "score": 1, "title": "Aerosol Measurement: Principles, Techniques, and Applications", "venue": "", "year": 2001 }, { "abstract": "OK, I admit it; I am a fan of the first edition of Aerosol Measurement: Principles, Techniques, and Applications Although I have used several chapters in the book, I have found chapters on representative sampling of aerosols and direct reading instruments particularly helpful. So, I was curious to discover if the second edition of the book would be even more useful to me. The editors assembled this book to describe aerosol measurement for purposes as diverse as evaluating pollution control devices, monitoring ambient aerosols, evaluating particles produced for industrial processes, and protecting worker health. The book contains 37 chapters divided into three parts. Part I, \"Principles,\" presents information on aerosol measurement history, basic aerosol physics and chemistry, and size distribution analysis. Part II, \"Techniques,\" describes specific instruments and methods used to measure aerosol properties. Part III, \"Applications,\" includes chapters that discuss how researchers and practitioners utilize measurement techniques in various settings. The chapters are authored by many of the world's leading aerosol experts. The book describes virtually every important aerosol measurement technique employed currently in research and monitoring applications. This includes longstanding methods such as filter collection, impaction, and optical microscopy, as well as technology developed more recently such as time of flight analyzers, electrodynamic particle levitation, and in situ sensing. The second edition covers only one entirely new topic not presented in the first edition: real time single particle analyzers, such as time of flight mass spectrometers. Although the remaining topics were covered on some level in the first edition, most", "author_names": [ "Peter Raynor" ], "corpus_id": 97677343, "doc_id": "97677343", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Book Review: Aerosol Measurement: Principles, Techniques, and Applications", "venue": "", "year": 2003 }, { "abstract": "A Sound Introduction to Radiation Detection and Measurement for Newcomers to Nuclear Science and Engineering Since the publication of the bestselling third edition, there have been advances in the field of radiation detection, most notably in practical applications. Incorporating these important developments, Measurement and Detection of Radiation, Fourth Edition provides the most up to date and accessible introduction to radiation detector materials, systems, and applications. New to the Fourth Edition New chapters on nuclear forensics and nuclear medicine instrumentation, covering basic principles and applications as well as open ended problems that encourage more in depth research Updated references and bibliographies New and expanded problems As useful to students and nuclear professionals as its popular predecessors, this fourth edition continues to carefully explain the latest radiation detector technology and measurement techniques. It also discusses the correct ways to perform measurements and analyze results following current health physics procedures.", "author_names": [ "Nicholas Tsoulfanidis", "Sheldon Landsberger" ], "corpus_id": 218171999, "doc_id": "218171999", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Measurement Detection of Radiation (4th edition)", "venue": "", "year": 2015 }, { "abstract": "This is the third edition of a book first published in 1996. It is interesting to note that the basic techniques of plant tissue culture have changed very little, but some of the applications have diversified in this time. The book is aimed at students, and as such contains some valuable material and well described practical exercises. The section on the set up of tissue culture laboratory is useful and the following chapters describe exercises in all the accepted aspects of plant tissue culture, concluding with a chapter on Agrobacterium mediated transformation. The chapter contents are rather uneven however, and there is no real need in a slim volume aimed at student practical exercises for a lengthy review on plant tissue culture history with 200 references cited. The chapter outlines at the start of each chapter are simple and informative and clear headings make the chapters easy to follow. However, some chapters have questions embedded and some do not, and this creates an inconsistent tone. The Appendices on Useful Measurements and Solution Preparation would be of some value to a beginner, and the Glossary gives clear explanations of commonly used terms. The real problem with this volume is that, while informative and easy to read, it offers little new to an already very well documented branch of plant science.", "author_names": [ "David A C Pink" ], "corpus_id": 87698370, "doc_id": "87698370", "n_citations": 19, "n_key_citations": 4, "score": 0, "title": "Principles of Plant Genetics and Breeding Second edition. By G. Acquaah. Chichester, UK: Wiley/Blackwell (2012) pp. 740, PS42.50 (pb) ISBN 978 0 470 66475 9.", "venue": "Experimental Agriculture", "year": 2013 }, { "abstract": "Preface. Preface to the Third Edition. Preface to the Second Edition. Preface to the First Edition. 1. Production of Net Magnetization. 2. Concepts of Magnetic Resonance. 3. Relaxation. 3 1. T1 Relaxation and Saturation. 3 2. T2 Relaxation, T2 Relaxation, and Spin Echoes. 4. Principles of Magnetic Resonance Imaging: Part 1. 4 1. Slice Selection. 4 2. Readout or Frequency Encoding. 4 3. Phase Encoding. 4 4. Sequence Looping. 5. Principles of Magnetic Resonance Imaging: Part 2. 5 1. Frequency Selective Excitation. 5 2. Composite Pulses. 5 3. Raw Data and Image Data Matrices. 5 4. Raw Data and k Space. 5 5. Reduced k Space Techniques. 5 6. Reordered k Space Filling Techniques. 5 7. Other k Space Filling Techniques. 5 8. Phased Array Coils. 5 9. Parallel Acquisition Methods. 6. Pulse Sequences. 6 1. Spin Echo Sequences. 6 2. Gradient Echo Sequences. 6 3. Echo Planar Imaging Sequences. 6 4. Magnetization Prepared Sequences. 7. Measurement Parameters and Image Contrast. 7 1. Intrinsic Parameters. 7 2. Extrinsic Parameters. 7 3. Parameter Trade offs. 8. Signal Suppression Techniques. 8 1. Spatial Presaturation. 8 2. Magnetization Transfer Suppression. 8 3. Frequency Selective Saturation. 8 4. Nonsaturation Methods. 9. Artifacts. 9 1. Motion Artifacts. 9 2. Sequence/Protocol Related Artifacts. 9 3. External Artifacts. 10. Motion Artifact Reduction Techniques. 10 1. Acquisition Parameter Modifi cation. 10 2. Triggering and Gating. 10 3. Flow Compensation. 10 4. Radial Based Motion Compensation. 11. Magnetic Resonance Angiography. 11 1. Time of Flight MRA. 11 2. Phase Contrast MRA. 11 3. Maximum Intensity Projection. 12. Advanced Imaging Applications. 12 1. Diffusion. 12 2. Perfusion. 12 3. Functional Brain Imaging. 12 4. Ultrahigh Field Imaging. 12 5. Noble Gas Imaging. 13. Magnetic Resonance Spectroscopy. 13 1. Additional Concepts. 13 2. Localization Techniques. 13 3. Spectral Analysis and Postprocessing. 13 4. Ultrahigh Field Spectroscopy. 14. Instrumentation. 14 1. Computer System. 14 2. Magnet System. 14 3. Gradient System. 14 4. Radio frequency System. 14 5. Data Acquisition System. 14 6. Summary of System Components. 15. Contrast Agents. 15 1. Intravenous Agents. 15 2. Oral Agents. 16. Safety. 16 1. Base Magnetic Field. 16 2. Cryogens. 16 3. Gradients. 16 4. RF Power Deposition. 16 5. Contrast Media. 17. Clinical Applications. 17 1. General Principles of Clinical MR Imaging. 17 2. Examination Design Considerations. 17 3. Protocol Considerations for Anatomical Regions. 17 4. Recommendations for Specifi c Sequences and Clinical Situations. References and Suggested Reading. Index.", "author_names": [ "Mark A Brown", "R C Semelka" ], "corpus_id": 62571274, "doc_id": "62571274", "n_citations": 240, "n_key_citations": 14, "score": 0, "title": "MRI: Basic Principles and Applications", "venue": "", "year": 1995 }, { "abstract": "This is an almost literary book based on concepts used in biostatistics and statistics applied to medical uncertainty contexts. With this, the book bases its scientific research on the random observation of data and statistical methods with mathematical explanations but avoiding complicated definitions and mathematical developments. The book contains 21 chapters with an applied statistical basis: Medical uncertainties, Basics of medical studies, Sampling methods, Designs for observational studies, Medical experiments, Clinical trials, Numerical methods for representing variation, Presentation of variation by figures, Some quantitative aspects of medicine, Clinimetrics and evidence based medicine, Measurement of community health, Confidence intervals, principles of tests of significance, and sample size, Inference from proportions, Relative risk and odds ratio, Inference from means, Relationships: quantitative data, Relationships: qualitative dependent, Survival analysis, Simultaneous consideration of several variables, Quality considerations and Statistical fallacies. The book has also three appendices: Statistical software, Some statistical tables and Software illustrations. Two possible ways to improve this book would be (1) The inclusion of mathematical proofs of the suggested methods and (2) The recompilation of philosophical and bioethical essays or master lines and protocols of them for the correct application of statistical methods for human populations taking also into account moral direction. The author's style uses many examples of medical situations to illustrate the proposed statistical methods. However, the book does not indicate the veracity of these methods in practice with objective philosophical analysis. It provides a classical viewpoint of statistics but without critical considerations of its real utility. The book exhibits a careful presentation as it is usual in this series. It is an encyclopedic book which informs the reader about some of the classic statistical techniques applied to real health data. Each chapter has a list of references both for the statistical and medical content. Many figures and tables illustrate the explanations. I recommend this book to all readers interested in the basic classic statistical methods useful in the area of medical biostatistics as an overview of such methods. But to do statistical studies in medicine, the book must be complemented by other additional formation in morals, bioethics, objective inference and sampling of finite populations.", "author_names": [ "Mariano Ruiz Espejo" ], "corpus_id": 216149771, "doc_id": "216149771", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Medical biostatistics, third edition", "venue": "", "year": 2014 }, { "abstract": "The book is divided into four parts: `Systems' `System Components' `Measurements' and `Microprocessor Based Systems' The first part of the book introduces Measurement Systems, Performance Terminology, Errors, Dynamic Characteristics, Loading Effects, Noise and Reliability. The `Measurement Systems' subsection is not sufficiently concise, as only a small number of derived units have been listed. The National Physical Laboratory (NPL) has been publishing posters that provide an excellent description of physical and chemical quantities followed by a small abstract stating their derivation from fundamental quantities. Such description is not only of importance to metrologists; it also assists engineers in seeking innovative solutions to everyday problems. A more systematic approach along these lines would be far more appropriate for this subsection. An introduction to dimensional analysis would also be useful here. Dimensionless quantities and their units or important constants have been omitted, limiting the possible uses of the book. With regards to the subsection describing `Measurement Errors' I find both the NPL report on the treatment of errors and the pocket book by N C Barford Experimental Measurements: Precision, Error and Truth far more useful and informative than the description found in this pocket book. The subsection describing `Dynamic Characteristics' of instruments is well written and concise, as would be expected from the author's other publications, although a z transforms table could also be introduced in a separate section. I found the subsection describing `Loading Effects' to be useful in summarizing important concepts, especially the part that describes loading of elements in a measurement system. The subsection on `Noise' is seriously deficient, as there is no mention of voltage and current noise associated with op amp circuits. Important instruments to measurement science such as phase sensitive detectors and box car averagers are also not mentioned. A more useful practical approach can be found in the following books: P J Fish's Electronic Noise and Low Noise Design, F R Connor's pocket book series and T H Wilmshurst's book on Signal Recovery and Noise in Electronic Systems. In addition, digital phase noise is not mentioned at all a serious omission as many systems are digital nowadays. I was pleased to find a subsection on the `Reliability of Instruments' as this is a topic that is not sufficiently covered in many classic Measurement and Instrumentation textbooks, an exception being Bentley's Principles of Measurement Systems. My final criticism of the first part of this pocket book is that in the entire `Systems Section' the notion of feedback instruments could not be found. This is a serious shortfall, bearing in mind the distinct advantages that can be gained with the use of feedback methods. The second part of the book, `System Components' describes Transducers, Signal Converters and Display Systems. Resistive, capacitive and inductive transducers have been covered but not to the extent found in other books, e.g. Sensors and Transducers by Usher and Keating. I found the tabulated thermoelectric emf values with reference junction at 0 degC useful, although the descriptions of photovoltaic and electrochemical transducers were seriously deficient. My favorite part of the book is the section describing AC bridges, which clearly distinguishes between the Owen, Maxwell, Hay, De Souty, Wien and Schering configurations. These can trigger the engineer's imagination for a variety of measurement schemes. Unfortunately, the subsection on frequency measurements and digital frequency counters would require significant expansion. The subsection on `Signal Converters' could also be significantly expanded. For example, the three amplifier differential input instrumentation amplifier configuration featuring high input impedance and adjustable gain is not mentioned at all. The subsection describing `Display Systems' may be considered obsolete and of limited use to current practising engineers, as most systems nowadays interface directly with microprocessors. The third part of the book, `Measurements' covers Chemical Composition, Density, Displacement, Electrical Quantities, Flow, Force, Level, Pressure, Radiation, Stress and Strain, Temperature and Vacuum Sensors. The `Chemical Composition' subsection is too much of an overview to be of any use to a practising engineer. I found the general diagrams of atomic emission/absorption spectrometry, fluorimetry and mass spectrometry lacking in detail, and of no practical use whatsoever. In contrast, the section on `Electrical Quantities' was sufficiently detailed. The subsection on `Density' is useful, but that describing `Displacement' can even be misleading. For example, a heterodyne interferometer is described whereas homodyne or superheterodyne systems are not mentioned at all. A description of optical fibre `point' `distributed' or `quasi distributed' sensors should have also been included in this subsection. Fast pulse systems are also commonly used in measurement science with applications in chemistry, physics and biology. Their general principle of operation should also have been included. In addition, a disproportionate amount of detail (8% of the entire book) has been dedicated to the description of the Measurement of Flow, whereas only five pages are devoted to the Force and Radiation topics. This is not justifiable if the author's goal is to give a balanced account of the techniques used in instrumentation and measurement science. Finally, in part four, `Microprocessor Based Systems' are described. There are two subsections describing `Intelligent Instruments' and `Interfacing' The former is an overview of Microprocessor Systems, Microcontrollers, Data Acquisition Systems and Data Logging. The `Interfacing' subsection briefly describes a Standard Bus, Centronics and Serial Ports, the I2C Bus, as well as Interfacing Peripherals and Programmable Interfaces. Here again, my criticism is that feedback instruments where the analogue loop has been replaced by a digital one have not been analysed in detail. Most PID controllers nowadays can easily be implemented in a digital form using microprocessor systems, eliminating possible drifts of analogue components. In addition, oversampling methods for A/D and D/A conversion are not described in sufficient detail; see for example the books Oversampling Delta Sigma Data Converters edited by Candy and Temes and Advanced Instrumentation and Computer I/O Design by Garrett (both by IEEE Press) I have found the PC Based Instrumentation and Control by Tooley and The Art of Digital Audio by Watkinson far more useful for practical applications. A paragraph on sensor fusion and a mention of the latest advances in commercially available digital sampling oscilloscopes such as the Agilent Technology `Infiniium' family or the Tektronix 8000 Series, which should be soon compatible with LABVIEW software, would have also been useful. Overall, I found this pocket book of limited practical use, even to first year undergraduate students or technicians at the start of their careers, when selecting components from RS or Farnell catalogues for small projects. Although many measurement techniques are based on the interaction of light with matter, electromagnetism and microwave techniques are not covered in this pocket book. Furthermore, astrophysical techniques, or techniques used in particle physics, instrumentation for biology, plasma physics and nuclear science are not mentioned at all. Currently, there is a plethora of practical books on analogue electronics that a practising engineer or technician may consult, such as Horowitz and Hill's Art of Electronics, the book A Practical Introduction to Electronic Circuits by M H Jones or the series of pocket books by R M Marston, which will provide solutions to most practical problems. More detailed engineering solutions can be found in most Application Notes published by semiconductor companies such as Analog Devices, National Semiconductors etc, or instrumentation companies such as Hewlett Packard, Anritsu Wiltron and Tektronix at the component or system level respectively. These are far more comprehensive and useful to engineers and practising technicians. A pocket book on `Instrumentation Measurement' should be a distillation of existing measurement techniques described in a concise and practical way. My concern is that this pocket book tries to cover too many topics in a very short space. Although not pocket books, the Concise Encyclopedia of Measurement Instrumentation edited by Finkelstein and Grattan (Pergamon Press) the Electrical Engineering Handbook edited by Dorf (CRC Press) the Electronic Instrument Handbook edited by Coombs, and Doebelin's Measurement Systems are excellent examples of complete and useful reference books available at the moment. Sillas Hadjiloucas", "author_names": [ "William Bolton" ], "corpus_id": 121509976, "doc_id": "121509976", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Instrumentation Measurement Pocket Book, Third Edition", "venue": "", "year": 2001 }, { "abstract": "2.1 At least three different types of instruments are, or have been, commercially available for performing this measurement. One type measures radiant energy reflected from the specimen (Test Method A) a second type measures radiant energy emitted from the specimen (Test Method B) and a third type measures the near normal spectral reflectance (that is, the radiant energy reflected from the specimen as a function of wavelength) and converts that to total near normal emittance (Test Method C) A brief description of the principles of operation of each test method follows. 2.1.1 Test Method A Test Method A can best be described as the reflectance method. When a surface is irradiated, the flux is either reflected, transmitted or absorbed. The normalized expression is r t a 1, where r is reflectance, t is transmittance and a is absorptance. For opaque surfaces, transmittance is zero (t 0) and the expression reduces to r a 1. Kirchhoff's Law states that for similar angular and spectral regions, a e. This enables the conversion of normal hemispherical reflectance to normal hemispherical emittance for a given temperature, or eN= 1 rN. For this to be strictly valid, the spectral range must be that of the blackbody at that temperature. 2.1.1.1 Utilizing Test Method A places two important requirements on the instrument. The first is that the optical system must measure reflectance over a complete hemisphere. The second is that the spectral response of the instrument must match closely with the radiance of a blackbody at that temperature; usually 300degK, but in principle other temperatures are possible. 2.1.1.2 One instrument available for Test Method A utilizes an absolute type reflectance method. The instrument aperture is placed against the test specimen. The instrument illuminates the specimen with infrared radiance at a near normal incident angle and collects and measures the reflected radiance over the complete hemisphere. A measurement is then performed on the same illuminating radiance beam, providing a 100 reference. Since the radiance source, path length, and number of reflecting surfaces and detector are the same, the ratio of the two signals provides an absolute reflectance measurement of the specimen, obviating the need for frequent calibrations to known standards. A second instrument for testing to Test Method A utilizes a relative type reflectance technique wherein the sample is tested as above, but instead of a 100 reference measurement the device collects the signal off a reference sample with known reflectance (usually vacuum deposited gold on a silica substrate) to determine the reflectance of the sample. 1 These test methods are under the jurisdiction of ASTM Committee E21 on Space Simulation and Applications of Space Technology and are the direct responsibility of Subcommittee E21.04 on Space Simulation Test Methods. Current edition approved June 1, 2013. Published June 2013. Originally approved in 1971. Last previous edition approved in 2008 as E408 71(2008) DOI: 10.1520/E0408 13.", "author_names": [], "corpus_id": 231741887, "doc_id": "231741887", "n_citations": 11, "n_key_citations": 1, "score": 0, "title": "Total Normal Emittance of Surfaces Using Inspection Meter Techniques", "venue": "", "year": 2013 }, { "abstract": "The updated and much expanded Third Edition of the \"Handbook of Radioactivity Analysis\" is an authoritative reference providing the principles, practical techniques, and procedures for the accurate measurement of radioactivity from the very low levels encountered in the environment to higher levels measured in radioisotope research, clinical laboratories, biological sciences, radionuclide standardization, nuclear medicine, nuclear power, fuel cycle facilities and in the implementation of nuclear forensic analysis and nuclear safeguards. The Third Edition contains seven new chapters providing a reference text much broader in scope than the previous Second Edition, and all of the other chapters have been updated and expanded many with new authors. The book describes the basic principles of radiation detection and measurement, the preparation of samples from a wide variety of matrices, assists the investigator or technician in the selection and use of appropriate radiation detectors, and presents state of the art methods of analysis. Fundamentals of radiation properties, radionuclide decay, the calculations involved, and methods of detection provide the basis for a thorough understanding of the analytical procedures. The Handbook of Radioactivity Analysis, Third Edition, is suitable as a teaching text for university and professional training courses. NEW TO THIS EDITION: Expanded chapter on nuclear and cosmic radiation physics covers all the essentials on radiation physics needed to help the reader select the proper detector for the analysis of nuclear radiation. New material to cover adequately alpha and gamma spectrometry, sample preparation and detector selection, including new chapters on Nuclear Forensic Analysis and Analytical Techniques in Nuclear Safeguards. New material on low level environmental analysis of radioactivity including new chapters on the mass spectrometry of radionuclides, radioactive aerosol measurements as well as new chapters on environmental radioactivity monitoring and marine radioactivity analysis. Updated material on the liquid and solid scintillation analysis and sample preparation techniques for liquid scintillation analysis and Cherenkov counting. The only comprehensive reference book that describes the principles of detection and practical applications of every type of radioactivity detector currently used. A comprehensive reference for teaching and research. New 3rd edition is broader in scope including seven additional chapters on Alpha Spectrometry, Radionuclide Standardization, Radioactive Aerosol Measurements, Environmental Radioactivity Monitoring, Marine Radioactivity Analysis, Nuclear Forensic Analysis and Analytical Techniques in Nuclear Safeguards. Discusses in detail the principles, theory and practice applied to all types of radiation detection and measurement providing a reference text useful for teaching as well as a reference guide for the practitioner.", "author_names": [ "Michael F L'annunziata" ], "corpus_id": 189697043, "doc_id": "189697043", "n_citations": 18, "n_key_citations": 2, "score": 0, "title": "Handbook of Radioactivity Analysis Ed. 3", "venue": "", "year": 2012 }, { "abstract": "The presence of a neuro trained anesthesiologist and intensivist offers potential benefit in optimizing patient safety and reducing neuronal insults not only in the neurosurgical operating room and intensive care unit but in orthopedic, ear/nose/throat, and cardiovascular surgical settings. Furthermore, with plans moving forward on establishing an accredited training program in perioperative neuroscience as part of a neuroanesthesiology fellowship program, the second edition of the Monitoring the Nervous System for Anesthesiologists and Other Health Care Professionals comes close to being considered a mandatory basic textbook. This comprehensive, 700 page tome leads the reader through each current intraoperative neuro monitoring modality in an easy to read format and well structured chapters. Professionals from numerous specialties anesthesiologists, orthopedic surgeons, neurosurgeons, neurophysiologists, neurologists collaborate in convincing the student that not only well known applied technology, but also teamwork, are what improve patient care and ensure good outcomes. The present edition appears five years after its first edition, with updated references and some new chapters. Similar to the first edition, the 2017 textbook is structured in four sections. The first section 'Monitoring Techniques, describes each modality in length, with essential clinical details, including indications for the utilization of each measurement, contraindications, and possible complications. With rapid progress in the performance of complex technologies, I would have liked to have seen references regarding the reliability and validity of each measuring instrument, as well as data from the literature about the specificity and sensitivity of each measurement. A novel chapter regarding electrical interference with intraoperative neuro monitoring instruments explains, with illustrative videos, the usually forgotten physics of electrical interactions that occur routinely in the operating room. The second section, 'Anesthetic Considerations, includes a chapter that synthesizes the information on anesthetic management during intraoperative electrophysiological monitoring, including influences of each anesthetic drug on the monitor's readings. Although the content of this chapter slightly overlaps descriptions in Sections 1 and 3, it, in fact, is useful for highlighting the principles of neurological monitoring and helps the reader retain information. The added videos of performing regional anesthesia techniques for awake neurosurgical procedures are valuable adjuncts to the text. The third section, 'Clinical Applications, the largest section of the textbook, starts with a basic algorithm for detecting the cause of deterioration observed during intraoperative neuro monitoring. The algorithm synthesizes knowledge acquired from previous sections of the book. With its 'learning key points' at the beginning of each chapter and its well chosen, relevant clinical cases, explanatory videos, and review questions at the end of each subject, the Clinical Applications section deepens the understanding of anesthetic considerations. It accomplishes it by reinforcing the importance not only of the scientific, theoretical aspects of intraoperative monitoring but also the data acquisition and interpretation challenges in each particular context. It O. Predescu, MD, MSc, FRCPS McGill University, Montreal, QC, Canada e mail: [email protected]", "author_names": [ "Oana Madalina Predescu" ], "corpus_id": 4624372, "doc_id": "4624372", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Monitoring the Nervous System for Anesthesiologists and Other Health Care Professionals, Second Edition", "venue": "Canadian Journal of Anesthesia/Journal canadien d'anesthesie", "year": 2018 } ]
insulator detect classification
[ { "abstract": "Topological insulators are new states of quantum matter which cannot be adiabatically connected to conventional insulators and semiconductors. They are characterized by a full insulating gap in the bulk and gapless edge or surface states which are protected by time reversal symmetry. These topological materials have been theoretically predicted and experimentally observed in a variety of systems, including HgTe quantum wells, BiSb alloys, and Bi2Te3 and Bi2Se3 crystals. Theoretical models, materials properties, and experimental results on two dimensional and three dimensional topological insulators are reviewed, and both the topological band theory and the topological field theory are discussed. Topological superconductors have a full pairing gap in the bulk and gapless surface states consisting of Majorana fermions. The theory of topological superconductors is reviewed, in close analogy to the theory of topological insulators.", "author_names": [ "Xiao-liang Qi", "Shou-Cheng Zhang" ], "corpus_id": 118373714, "doc_id": "118373714", "n_citations": 7037, "n_key_citations": 128, "score": 0, "title": "Topological insulators and superconductors", "venue": "", "year": 2011 }, { "abstract": "Topological insulators are electronic materials that have a bulk band gap like an ordinary insulator but have protected conducting states on their edge or surface. These states are possible due to the combination of spin orbit interactions and time reversal symmetry. The two dimensional (2D) topological insulator is a quantum spin Hall insulator, which is a close cousin of the integer quantum Hall state. A three dimensional (3D) topological insulator supports novel spin polarized 2D Dirac fermions on its surface. In this Colloquium the theoretical foundation for topological insulators and superconductors is reviewed and recent experiments are described in which the signatures of topological insulators have been observed. Transport experiments on \\mathrm{Hg}\\mathrm{Te}\\mathrm{Cd}\\mathrm{Te} quantum wells are described that demonstrate the existence of the edge states predicted for the quantum spin Hall insulator. Experiments on \\mathrm{Bi}}_{1\\ensuremath{ }x}\\mathrm{Sb}}_{x} \\mathrm{Bi}}_{2}\\mathrm{Se}}_{3} \\mathrm{Bi}}_{2}\\mathrm{Te}}_{3} and \\mathrm{Sb}}_{2}\\mathrm{Te}}_{3} are then discussed that establish these materials as 3D topological insulators and directly probe the topology of their surface states. Exotic states are described that can occur at the surface of a 3D topological insulator due to an induced energy gap. A magnetic gap leads to a novel quantum Hall state that gives rise to a topological magnetoelectric effect. A superconducting energy gap leads to a state that supports Majorana fermions and may provide a new venue for realizing proposals for topological quantum computation. Prospects for observing these exotic states are also discussed, as well as other potential device applications of topological insulators.", "author_names": [ "M Zahid Hasan", "C L Kane" ], "corpus_id": 16066223, "doc_id": "16066223", "n_citations": 10078, "n_key_citations": 176, "score": 0, "title": "Colloquium Topological insulators", "venue": "", "year": 2010 }, { "abstract": "Topological insulators represent a new quantum state of matter which is characterized by peculiar edge or surface states that show up due to a topological character of the bulk wave functions. This review presents a pedagogical account on topological insulator materials with an emphasis on basic theory and materials properties. After presenting a historical perspective and basic theories of topological insulators, it discusses all the topological insulator materials discovered as of May 2013, with some illustrative descriptions of the developments in materials discoveries in which the author was involved. A summary is given for possible ways to confirm the topological nature in a candidate material. Various synthesis techniques as well as the defect chemistry that are important for realizing bulk insulating samples are discussed. Characteristic properties of topological insulators are discussed with an emphasis on transport properties. In particular, the Dirac fermion physics and the resulting peculiar qu.", "author_names": [ "Yoichi Ando" ], "corpus_id": 55912821, "doc_id": "55912821", "n_citations": 970, "n_key_citations": 22, "score": 0, "title": "Topological Insulator Materials", "venue": "", "year": 2013 }, { "abstract": "Certain insulators have exotic metallic states on their surfaces. These states are formed by topological effects that also render the electrons travelling on such surfaces insensitive to scattering by impurities. Such topological insulators may provide new routes to generating novel phases and particles, possibly finding uses in technological applications in spintronics and quantum computing.", "author_names": [ "Joel E Moore" ], "corpus_id": 1911343, "doc_id": "1911343", "n_citations": 1799, "n_key_citations": 11, "score": 0, "title": "The birth of topological insulators", "venue": "Nature", "year": 2010 }, { "abstract": "This graduate level textbook is the first pedagogical synthesis of the field of topological insulators and superconductors, one of the most exciting areas of research in condensed matter physics. Presenting the latest developments, while providing all the calculations necessary for a self contained and complete description of the discipline, it is ideal for graduate students and researchers preparing to work in this area, and it will be an essential reference both within and outside the classroom. The book begins with simple concepts such as Berry phases, Dirac fermions, Hall conductance and its link to topology, and the Hofstadter problem of lattice electrons in a magnetic field. It moves on to explain topological phases of matter such as Chern insulators, two and three dimensional topological insulators, and Majorana p wave wires. Additionally, the book covers zero modes on vortices in topological superconductors, time reversal topological superconductors, and topological responses/field theory and topological indices. The book also analyzes recent topics in condensed matter theory and concludes by surveying active subfields of research such as insulators with point group symmetries and the stability of topological semimetals. Problems at the end of each chapter offer opportunities to test knowledge and engage with frontier research issues. Topological Insulators and Topological Superconductors will provide graduate students and researchers with the physical understanding and mathematical tools needed to embark on research in this rapidly evolving field.", "author_names": [ "Bogdan Andrei Bernevig" ], "corpus_id": 118044316, "doc_id": "118044316", "n_citations": 931, "n_key_citations": 65, "score": 0, "title": "Topological Insulators and Topological Superconductors", "venue": "", "year": 2013 }, { "abstract": "We trained a large, deep convolutional neural network to classify the 1.2 million high resolution images in the ImageNet LSVRC 2010 contest into the 1000 different classes. On the test data, we achieved top 1 and top 5 error rates of 37.5% and 17.0% respectively, which is considerably better than the previous state of the art. The neural network, which has 60 million parameters and 650,000 neurons, consists of five convolutional layers, some of which are followed by max pooling layers, and three fully connected layers with a final 1000 way softmax. To make training faster, we used non saturating neurons and a very efficient GPU implementation of the convolution operation. To reduce overfitting in the fully connected layers we employed a recently developed regularization method called \"dropout\" that proved to be very effective. We also entered a variant of this model in the ILSVRC 2012 competition and achieved a winning top 5 test error rate of 15.3% compared to 26.2% achieved by the second best entry.", "author_names": [ "Alex Krizhevsky", "Ilya Sutskever", "Geoffrey E Hinton" ], "corpus_id": 195908774, "doc_id": "195908774", "n_citations": 71253, "n_key_citations": 9147, "score": 0, "title": "ImageNet classification with deep convolutional neural networks", "venue": "Commun. ACM", "year": 2012 }, { "abstract": "Kondo insulators are a particularly simple type of heavy electron material, where a filled band of heavy quasiparticles gives rise to a narrow band insulator. Starting with the Anderson lattice Hamiltonian, we develop a topological classification of emergent band structures for Kondo insulators and show that these materials may host three dimensional topological insulating phases. We propose a general and practical prescription of calculating the Z(2) topological indices for various lattice structures. Experimental implications of the topological Kondo insulating behavior are discussed.", "author_names": [ "Maxim Dzero", "Kai Sun", "Victor M Galitski", "Piers Coleman" ], "corpus_id": 15794370, "doc_id": "15794370", "n_citations": 361, "n_key_citations": 10, "score": 0, "title": "Topological Kondo insulators.", "venue": "Physical review letters", "year": 2010 }, { "abstract": "The status of the insulators in power line can directly affect the reliability of the power transmission systems. Computer vision aided approaches have been widely applied in electric power systems. Inspecting the status of insulators from aerial images has been challenging due to the complex background and rapid view changing under different illumination conditions. In this paper, we propose a novel approach to inspect the insulators with Deep Convolutional Neural Networks (CNN) A CNN model with multi patch feature extraction method is applied to represent the status of insulators and a Support Vector Machine (SVM) is trained based on these features. A thorough evaluation is conducted on our insulator status dataset of six classes from real inspection videos. The experimental results show that a pre trained model for classification is more accurate than the shallow features by hand crafted. Our approach achieves 98.7095% mean Average Precision (mAP) in status classification. We also study the behavior of the neural activations of the convolutional layers. Different results vary with different fully connected layers, and interesting findings are discussed.", "author_names": [ "Zhenbing Zhao", "Guozhi Xu", "Yincheng Qi", "Ning Liu", "Tiefeng Zhang" ], "corpus_id": 12442948, "doc_id": "12442948", "n_citations": 46, "n_key_citations": 2, "score": 1, "title": "Multi patch deep features for power line insulator status classification from aerial images", "venue": "2016 International Joint Conference on Neural Networks (IJCNN)", "year": 2016 }, { "abstract": "We discuss a topological classification of insulators and superconductors in the presence of both (non spatial) discrete symmetries in the Altland Zirnbauer classification and spatial reflection symmetry in any spatial dimensions. By using the structure of bulk Dirac Hamiltonians of minimal matrix dimensions and explicit constructions of topological invariants, we provide the complete classification, which still has the same dimensional periodicities with the original Altland Zirnbauer classification. The classification of reflection symmetry protected topological insulators and superconductors depends crucially on the way reflection symmetry operation is realized. When a boundary is introduced, which is reflected into itself, these non trivial topological insulators and superconductors support gapless modes localized at the boundary.", "author_names": [ "Ching-Kai Chiu", "Hong Yao", "Shinsei Ryu" ], "corpus_id": 118534107, "doc_id": "118534107", "n_citations": 175, "n_key_citations": 1, "score": 0, "title": "Classification of topological insulators and superconductors in the presence of reflection symmetry", "venue": "", "year": 2013 }, { "abstract": "Insulators are DNA sequences that control the interactions among genomic regulatory elements and act as chromatin boundaries. A thorough understanding of their location and function is necessary to address the complexities of metazoan gene regulation. We studied by ChIP chip the genome wide binding sites of 6 insulator associated proteins dCTCF, CP190, BEAF 32, Su(Hw) Mod(mdg4) and GAF to obtain the first comprehensive map of insulator elements in Drosophila embryos. We identify over 14,000 putative insulators, including all classically defined insulators. We find two major classes of insulators defined by dCTCF/CP190/BEAF 32 and Su(Hw) respectively. Distributional analyses of insulators revealed that particular sub classes of insulator elements are excluded between cis regulatory elements and their target promoters; divide differentially expressed, alternative, and divergent promoters; act as chromatin boundaries; are associated with chromosomal breakpoints among species; and are embedded within active chromatin domains. Together, these results provide a map demarcating the boundaries of gene regulatory units and a framework for understanding insulator function during the development and evolution of Drosophila.", "author_names": [ "Nicolas N Negre", "Christopher D Brown", "Parantu K Shah", "Pouya Kheradpour", "Carolyn A Morrison", "Jorja G Henikoff", "Xin Feng", "K Ahmad", "Steven Russell", "Robert A H White", "Lincoln Stein", "Steven Henikoff", "Manolis Kellis", "Kevin P White" ], "corpus_id": 2320036, "doc_id": "2320036", "n_citations": 317, "n_key_citations": 45, "score": 0, "title": "A Comprehensive Map of Insulator Elements for the Drosophila Genome", "venue": "PLoS genetics", "year": 2010 } ]
An application of fuzzy Delphi and fuzzy AHP on evaluating wafer supplier in semiconductor industry
[ { "abstract": "Because of the pressure of globalization in the last two decades, professional services has become an important strategic decision so that supplier selection is a prime concern. In the semiconductor industry, the prior researches worked on analyzing and improving the process, and evaluating the equipment manufacturers. Therefore, being the semiconductor industry applying a wide huge of advanced technologies, wafer suppliers and foundry and DRAM manufacturers acquire a large volume of critical materials and components. Consequently, this study is to identify critical factors related to the wafer supplier selection. It also has become a new subject by how to prompt current position of semiconductor industry and their wafer supplier in Taiwan. Primary criteria to evaluate supplier selection is acquired by the literatures survey, wafer manufacturers' data and applying fuzzy Delphi method (FDM) and then fuzzy analytic hierarchy process (FAHP) is employed to calculate the weights of these criteria, so as to establish the fuzzy multi criteria model of wafer supplier selection. The results indicated a greatest weight on the dimension of wafer supplier selection, and seven critical criteria related to wafer supplier selection were: (1) wafer quality, (2) delivery time, (3) service, (4) price, (5) process capability, (6) reputation, and (7) past performance.", "author_names": [ "Jao-Hong Cheng", "Chih-ming Lee", "Chih-Huei Tang" ], "corpus_id": 28397747, "doc_id": "28397747", "n_citations": 48, "n_key_citations": 5, "score": 1, "title": "An application of fuzzy Delphi and fuzzy AHP on evaluating wafer supplier in semiconductor industry", "venue": "", "year": 2009 }, { "abstract": "Because of the pressure of globalization in the last two decades, professional services has become an important strategic decision so that supplier selection is a prime concern. In the semiconductor industry, the prior researches worked on analyzing and improving the process, and evaluating the equipment manufacturers. Consequently, this study is to identify critical factors related to the wafer supplier selection. Primary criteria to evaluate supplier selection is acquired by the literatures survey, wafer manufacturers' data and applying fuzzy Delphi method (FDM) and then fuzzy analytic hierarchy process (FAHP) is employed to calculate the weights of these criteria, so as to establish the fuzzy multi criteria model of wafer supplier selection. The results indicated a greatest weight on the dimension of wafer supplier selection, and seven critical criteria related to wafer supplier selection were: wafer quality, delivery time, service, process capability, price, reputation, and past performance.", "author_names": [ "Jao-Hong Cheng", "Chih-Huei Tang", "Chih-ming Lee" ], "corpus_id": 17485879, "doc_id": "17485879", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Development of the supplier selection criteria on evaluating wafer supplier: an application of fuzzy Delphi and fuzzy AHP", "venue": "", "year": 2009 }, { "abstract": "We present a new method of finding the fuzzy weights in fuzzy hierarchical analysis which is the direct fuzzification of the original method used by Saaty (1980) in the analytic hierarchy process. We test our new procedure in two cases where there are formulas for the crisp weights. An example is presented where there are five criteria and three alternatives.", "author_names": [ "James J Buckley", "Thomas Feuring", "Yoichi Hayashi" ], "corpus_id": 45932499, "doc_id": "45932499", "n_citations": 2391, "n_key_citations": 211, "score": 0, "title": "Fuzzy hierarchical analysis", "venue": "FUZZ IEEE'99. 1999 IEEE International Fuzzy Systems. Conference Proceedings (Cat. No.99CH36315)", "year": 1999 }, { "abstract": "Silicon wafer slicing is an increasingly complex manufacturing process. This involves high purity levels, crystallographic perfection and precise mechanical tolerances, thus 12in. wafer slicing is the most difficult in terms of semiconductor manufacturing yield. As silicon wafer slicing directly impacts production costs, semiconductor manufacturers are especially concerned with increasing and maintaining the yield, as well as identifying why yields decline. The criteria for establishing the proposed algorithm are derived from literature review and modified Delphi method in semiconductor manufacturing. The main objective of this paper is to propose a new approach within the AHP framework for tackling the uncertainty and imprecision of silicon wafer slicing evaluations during manufacturing process stages, where the decision maker's comparison judgments are represented as fuzzy triangular numbers. Additionally, the proposed algorithm can select the evaluation outcomes to identify the worst machine of precision. Finally, results of EWMA control chart demonstrate the feasibility of the proposed fuzzy AHP based algorithm in effectively selecting the evaluation outcomes and evaluating the precision of the worst performing machines. So, through collect data (the quality and quantity) to judge the result by fuzzy AHP, it will the key to help the engineer can find out the manufacturing process yield quickly effectively.", "author_names": [ "Che-Wei Chang", "Cheng-Ru Wu", "Huang-Chu Chen" ], "corpus_id": 41030339, "doc_id": "41030339", "n_citations": 95, "n_key_citations": 6, "score": 0, "title": "Using expert technology to select unstable slicing machine to control wafer slicing quality via fuzzy AHP", "venue": "Expert Syst. Appl.", "year": 2008 }, { "abstract": "Due to globalization and saturated domestic markets, information service firms, upon growing to a certain size, gradually focus their business efforts on reaching global markets. In order to reduce business risk in developing international markets, using the alliance model is a key strategy for information service firms. On the other hand, firms should handle more accurate business information to support their business intelligence (BI) system to make better business decisions. This research uses a hybrid fuzzy Delphi AHP approach to propose a more comprehensive framework with specific business elements, and also points out six performance indices for firms to adjust business strategy. Results of this study could have considerable value for the information services industry to develop international markets.", "author_names": [ "Mingkong Chen", "Shih-Ching Wang" ], "corpus_id": 5299745, "doc_id": "5299745", "n_citations": 57, "n_key_citations": 0, "score": 0, "title": "The use of a hybrid fuzzy Delphi AHP approach to develop global business intelligence for information service firms", "venue": "Expert Syst. Appl.", "year": 2010 }, { "abstract": "Marine accidents, particularly those that involve pollution and large fatalities, bring into question the safety of shipping and the quality of ships and their crews. Whether or not such questions are justified, it is marine accidents that provide a poor image of the industry, which attract considerable attention. Incidents that particularly attract attention are those causing loss of life, pollution of the environment and the loss of ship and or cargo. Usually, People have a tendency to focus on the consequences of an accident rather than its root causes, Kristiansen S, (2005) so MCDM could improve to find the root cause elements by providing more precise decision parameters.Due to the complexity of Marine accident investigation, this study aims to provide a systematic approach to determine the degree of most influence parameters (cause and effect) in accident occurrence, in order to improve marine safety in direction of Good Governance; in the study two phase procedures are proposed. The first stage utilizes Fuzzy Delphi Method to obtain the critical factors of the Marine Accident Investigation by interviewing the related connoisseurs. In the second stage, Fuzzy Analytic Hierarchy Process is applied to pair fuzzy numbers as the measurable indices and finally to rank degree of each influence criterion within accident investigation. This study considers 1 Goal, 4 Aspects and 31 Criteria (Parameters) and establishes a ranking model that provides decision makers to assess the prior ordering of reasons and sorts by most effective parameter involved Marine Accident occurrence. The empirical study indicates that the \" People, Working and living conditions, Effect \" is the high ranking aspect and \"Ability, Skills and knowledge of workers\" is the most important evaluation criterion considered in overall experts view derived from Fuzzy Delphi Analytical Hierarchy Processing (FDAHP) The demonstration of how the prior order of accident maker parameters of connoisseurs is addressed as well. Therefore, ranking the priority of every influencing criterion (parameter) shall help the decision makers in marine transportation, to emphasize the area to improve and act accordingly to prevent future marine accidents. Key Words: Marine Accident, Accident Investigation, Good Governance, Analytic Hierarchy Process (AHP) Fuzzy Delphi Method (FDM) Fuzzy Analytic Hierarchy Process (FAHP) 1 Multiple Criteria Decision Making", "author_names": [ "Akbar Etebarian", "Alireza Shirvani", "Iraj Soltani", "Ali Reza Moradi" ], "corpus_id": 207762838, "doc_id": "207762838", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "The application of Fuzzy Delphi Method (FDM) and Fuzzy Analytic Hierarchy Process (FAHP) for Evaluating Marine Casualties", "venue": "", "year": 2013 }, { "abstract": "The select location of Hypermarket is regarding as the case study in this paper. The proposed hybrid fuzzy MCDM approach is applied to the location choice. There are five criteria and six alternatives (locations) in our model. This paper solves a factor rating system facility location allocation problem defined as follows: 1) Determine alternatives, criteria and decision makers; Define linguistic values and their corresponding fuzzy number and Aggregate the decision maker's estimation by F Delphi and F AHP; 2) Compute the average fuzzy weight and construct the fuzzy decision matrix by F LLSM and LINGO software; 3) Construct the fuzzy preference function; Define the multi criteria preference index to decide the valued outranking relation and Calculate the aa outranking flow and the net flow to pre order the alternatives by F PROMETHEE; 4) Defuzzification by the Chen's maxima and minima sets and Ranking of alternatives by Excel.", "author_names": [ "Hossein Safari", "Mansour Soufi", "Ermia Aghasi" ], "corpus_id": 18861698, "doc_id": "18861698", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Select a Hypermarket Location Based on Fuzzy Multi Criteria Decision Making F MCDM Techniques Hybrid of F Delphi F AHP F LLSM and F PROMTHEE", "venue": "", "year": 2014 }, { "abstract": "Abstract Because of the pressure of globalization in the last two decades, outsourcing activities has become an important strategic decision so that supplier selection is a prime concern. In fact, the selection problem is more crucial for the manufacturers of sophisticated semiconductor assembly equipments, as it is a multi item, multi person and multi criterion decision problem. Among the reported literature, the analytic hierarchy process (AHP) has been recognized as an appropriate tool to tackle the said problem. In this paper, a case study is reported to illustrate an innovative model which adopts AHP and quality management system principles in the development of the supplier selection model. The proposed model can provide not only a framework for the organization to select supplier but also capability to deploy the company strategy to suppliers. Added to this, it also has flexibility to respond to the changing needs of the organization due to dynamic business environment. Through an illustration of the proposed model, it is found that the supplier selection problem can be solved in a structural and timely manner.", "author_names": [ "Felix T S Chan", "Hing Kai Chan" ], "corpus_id": 110607054, "doc_id": "110607054", "n_citations": 181, "n_key_citations": 15, "score": 0, "title": "Development of the supplier selection model a case study in the advanced technology industry", "venue": "", "year": 2004 }, { "abstract": "Selecting an appropriate six sigma project is one of the most important problems for a manufacturing company because it impacts the manufacturing costs. Besides, an appropriate choice of Six Sigma projects is very important as it helps to improve quality, reduce variation, and eliminate waste of a manufacturing system. Therefore, the main purpose of this study is to provide a good insight into the use of an integrated decision making methodology in the evaluation of Six Sigma projects. For this purpose, we integrate three multi criteria decision making (MCDM) methods by applying a modified Delphi method, Analytical Hierarchy Process (AHP) and Fuzzy Technique for Order Preference by Similarity to Ideal Solution (FTOPSIS) methodologies. After the evaluation criteria of Six Sigma projects are determined by a Modified Delphi method, the weights of criteria are calculated by applying the AHP method. The FTOPSIS method is then employed to achieve the final ranking results. A real case application along with a s.", "author_names": [ "Selcuk Percin", "Cengiz Kahraman" ], "corpus_id": 205937674, "doc_id": "205937674", "n_citations": 24, "n_key_citations": 1, "score": 0, "title": "An Integrated Fuzzy Multi Criteria Decision Making Approach for Six Sigma Project", "venue": "Int. J. Comput. Intell. Syst.", "year": 2010 }, { "abstract": "Abstract An ever increasing trend in today's industrial firms is to exploit outsourcing for those products and activities deemed to be outside the company's core business. Given the financial importance and the multi objective nature of supplier selection decision, in this paper an effort is made to highlight those aspects that are crucial to process qualitative and quantitative performance measures. In this paper, the contribution of a multi criteria decision aid method promethee/gaia to such problems is investigated, together with how to allow for a simultaneous change of the weights (importance of performance criteria) generating results that can be easily analysed statistically, performing an innovative sensitivity analysis. By way of example, the model is applied to a mid sized Italian firm operating in the field of public road and rail transportation. The whole suppliers selection model presented promethee/gaia techniques plus high dimensional sensitivity analysis) seems to be a useful additional tool inside the final choice phase of a supplier selection process. Finally, potential issues for future research are presented.", "author_names": [ "Riccardo Dulmin", "Valeria Mininno" ], "corpus_id": 145029923, "doc_id": "145029923", "n_citations": 315, "n_key_citations": 13, "score": 0, "title": "Supplier selection using a multi criteria decision aid method", "venue": "", "year": 2002 } ]
Semiconductor composites: Strategies for enhancing charge carrier separation to improve ph
[ { "abstract": "The formation of semiconductor composites comprising multicomponent or multiphase heterojunctions is a very effective strategy to design highly active photocatalyst systems. This review summarizes the recent strategies to develop such composites, and highlights the most recent developments in the fi eld. After a general introduction into the different strategies to improve photocatalytic activity through formation of heterojunctions, the three different types of heterojunctions are introduced in detail, followed by a historical introduction to semiconductor heterojunction systems and a thorough literature overview. Special chapters describe the highly investigated carbon nitride heterojunctions as well as very recent developments in terms of multiphase heterojunction formation, including the latest insights into the anatase rutile system. When carefully designed, semiconductor composites comprising two or three different materials or phases very effectively facilitate charge separation and charge carrier transfer, substantially improving photocatalytic and photoelectrochemical effi ciency.", "author_names": [ "Roland Marschall" ], "corpus_id": 137267873, "doc_id": "137267873", "n_citations": 939, "n_key_citations": 4, "score": 1, "title": "Semiconductor Composites: Strategies for Enhancing Charge Carrier Separation to Improve Photocatalytic Activity", "venue": "", "year": 2014 }, { "abstract": "", "author_names": [ "Roland Marschall" ], "corpus_id": 95750581, "doc_id": "95750581", "n_citations": 23, "n_key_citations": 0, "score": 0, "title": "Photocatalysis: Semiconductor Composites: Strategies for Enhancing Charge Carrier Separation to Improve Photocatalytic Activity (Adv. Funct. Mater. 17/2014)", "venue": "", "year": 2014 }, { "abstract": "Water contamination by dyes is a matter of concern for human health and the environment. Various methods (membrane separation, coagulation and adsorption) have been explored to remove/degrade dyes. However, now the exploitation of semiconductor assisted materials using renewable solar energy has emerged as a potential candidate to resolve the issue. Although, single component photocatalysts (ZnO, TiO2, ZrO2) were experimented, due to their low efficiency and stability due to the high recombination rate electron hole pair and inefficient visible light absorption, composites of semiconductor materials are being used. Semiconductor heterojunction systems are developed by coupling two or more semiconductor components. The synergistic effect of their properties, such as adsorption and improved charge carrier migration, is observed to increase overall stability. This review covers recent progress in advanced nanocomposite materials based on g C3N4, TiO2 and ZnO used as photocatalysts with details of enhancing the photocatalytic properties by heterojunctions, crystallinity and doping. The conclusion at the end displays a summary, research gaps and future outlook. A holistic analysis of recent progress to demonstrate the efficient heterojunctions for photodegradation with optimal conditions, this review will be helpful for the development of efficient heterostructured systems for photodegradation. This review covers references from the year 2017 2020.", "author_names": [ "Meena Nemiwal", "Tian Cheng Zhang", "Dinesh Kumar" ], "corpus_id": 232066689, "doc_id": "232066689", "n_citations": 14, "n_key_citations": 0, "score": 0, "title": "Recent progress in g C3N4, TiO2 and ZnO based photocatalysts for dye degradation: Strategies to improve photocatalytic activity.", "venue": "The Science of the total environment", "year": 2021 }, { "abstract": "Abstract Tantalum nitride (Ta3N5) is one of the most promising photocatalysts for overall water splitting owing to its suitable band structure and high solar to hydrogen conversion efficiency. However, photocatalytic performance of pristine Ta3N5 is still restricted by its high recombination rate of photo induced carriers. Building up a heterojunction at the semiconductor/semiconductor interface is an effective way to reduce the recombination rate of photoexcited carriers. In this work, a novel type II hetero structured photocatalyst (denoted as Ta3N5/STON) composed of one dimensional (1D) Ta3N5 nanorods and zero dimensional (0D) SrTaO2N (STON) nanoparticles has been developed via a simple one step nitridation of 1D Sr2KTa5O15 (SKTO) nanorods. Compared with pristine Ta3N5, STON and Ta3N5/STON (mix) composite, Ta3N5/STON (1D/0D) heterojunctions exhibit a remarkably enhanced hydrogen production rate (77.31 umol h 1 g 1) under visible light irradiation, which is 386.6, 11.9 and 8.8 times higher than that of Ta3N5, STON and Ta3N5/STON (mix) composite, respectively. The unique 1D/0D structure in Ta3N5/STON heterojunction, as well as the intimate interface contact between Ta3N5 and SrTaO2N, fosters the spatial charge separation and transfer efficiency to a large extent, leading to an improved hydrogen evolution rate. Our work suggests a new strategy toward the rational design of 1D/0D heterojunction photocatalyst for efficient solar to hydrogen conversion.", "author_names": [ "Xiaowei Jia", "Wenjing Chen", "Yunfeng Li", "Xuanbo Zhou", "Xiaodan Yu", "Yan Xing" ], "corpus_id": 216391256, "doc_id": "216391256", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Enhanced photoexcited carrier separation in Ta3N5/SrTaO2N (1D/0D) heterojunctions for highly efficient visible light driven hydrogen evolution", "venue": "", "year": 2020 }, { "abstract": "Extending the absorption to the visible region by tuning the optical band gap of semiconductors and preventing charge carrier recombination are important parameters to achieve a higher efficiency in the field of photocatalysis. The inclusion of reduced graphene oxide (rGO) support in photocatalysts is one of the key strategies to address the above mentioned issues. In this study, rGO supported AgI mesoTiO2 photocatalysts were synthesized using a sonochemical approach. The physical effects of ultrasound not only improved the crystallinity of AgI mesoTiO2 but also increased the surface area and loading of the AgI mesoTiO2 nanocomposite on rGO sheets. The low intense oxygen functionalities (C O C and COOH groups) peak observed in the high resolution C1s spectrum of a hybrid AgI mesoTiO2 rGO photocatalyst clearly confirmed the successful reduction of graphene oxide (GO) to rGO. The interfacial charge transfer between the rGO and the p n junction of heterostructured photocatalysts has decreased the band gap of the photocatalyst from 2.80 to 2.65 eV. Importantly, the integration of rGO into AgI mesoTiO2 composites serves as a carrier separation centre and provides further insight into the electron transfer pathways of heterostructured nanocomposites. The individual effects of photo generated electrons and holes over rGO on the photocatalytic degradation efficiency of rhodamine (RhB) and methyl orange (MO) using AgI mesoTiO2 rGO photocatalysts were also studied. Our experimental results revealed that photo generated superoxide (O2( radicals are the main reactive species for the degradation of MO, whereas photo generated holes (h( are responsible for the degradation of RhB. As a result, 60% enhancement in MO degradation was observed in the presence of rGO in comparison to that of the pure AgI mesoTiO2 photocatalyst. This is due to the good electron acceptor and the ultrafast electron transfer properties of rGO that can effectively reduce the molecular oxygen to produce a large amount of reactive O2( radicals. However, in the case of RhB degradation, h( is the main reactive species which showed a slightly increased photocatalytic activity (12% in the presence of rGO support where the role of rGO is almost negligible. This study suggests the effective roles of rGO for the degradation of organics, i.e. the rate of photocatalytic degradation also depends on the nature of compound rather than rGO support.", "author_names": [ "Ramalingam Vinoth", "P Karthik", "Chellamuthu Muthamizhchelvan", "Bernaurdshaw Neppolian", "Muthupandian Ashokkumar" ], "corpus_id": 12349199, "doc_id": "12349199", "n_citations": 60, "n_key_citations": 0, "score": 0, "title": "Carrier separation and charge transport characteristics of reduced graphene oxide supported visible light active photocatalysts.", "venue": "Physical chemistry chemical physics PCCP", "year": 2016 }, { "abstract": "Tantalum nitride (Ta3N5) is one of the most promising photoresponsive semiconductor materials for efficient solar energy conversion, but its fast carrier recombination has hindered research progress severely. Fabricating a heterojunction structure is an effective strategy to promote the charge separation efficiency and thus enhance solar conversion efficiency. Herein, we designed a p n heterojunction photoanode consisting of n type Ta3N5 nanorod arrays (NRAs) that grew along the a axis (light electron effective mass) and p type Cu2O nanoparticles. This NRA heterojunction shortens the hole diffusion distance, transfers electrons along the a axis effectively, and enlarges the space charge region. The heterojunction improves the charge separation efficiency of Ta3N5 NRAs significantly, and Ta3N5 Cu2O exhibits a photocurrent density of 9.19 mA cm 2 at 1.6 V vs normal hydrogen electrode (VNHE, pH 0) an onset potential of 0.326 VNHE, and a maximum incident photon to current efficiency of 60% at 380 nm. Our results demonstrated a potential crystal oriented growth strategy of nanostructured heterojunctions for overcoming the short carrier diffusion distance and fast carrier recombination.", "author_names": [ "Hongxu Wang", "Zhan Shi", "Shicheng Yan", "Zhi-gang Zou" ], "corpus_id": 132681153, "doc_id": "132681153", "n_citations": 6, "n_key_citations": 0, "score": 0, "title": "Enhanced charge separation by oriented growth of Ta3N5 Cu2O n p array heterojunction", "venue": "Applied Physics Letters", "year": 2019 }, { "abstract": "Abstract La2Ti2O7, a layered perovskite material, has attracted much attention in photocatalytic hydrogen production due to its high stability and non toxic. Combing La2Ti2O7 with narrow band gap semiconductors to construct 2D/2D heterojunction is a facile strategy to improve photocatalytic activity. In this work, we first report a novel 2D/2D N La2Ti2O7/ZnIn2S4 heterojunction via in situ growth of abundant well dispersed ZnIn2S4 nanosheets on the N La2Ti2O7 nanosheets surface. Experimental results show the optimized 2D/2D N La2Ti2O7/ZnIn2S4 sample exhibits the best light driven H2 evolution activity, which is nearly 5.2 and 1.7 times higher than that of pristine ZnIn2S4 and 2D/2D La2Ti2O7/ZnIn2S4 samples, respectively. This is due to the unique 2D/2D heterojunction produces high speed charge transfer channels, thus significantly enhancing photo carriers separation and migration efficiency. Meanwhile, DFT calculations confirm nitrogen doping forms impurity energy states at the top of valence band, thus narrowing the band gap of La2Ti2O7, considerably inhibiting electron hole pair recombination. Further the microstructures and charge separation properties are analyzed by SEM, PL, and DFT calculations to reveal the structure activity relationship of hetero structure and the synergistic mechanism of each functional component. This report will hopefully provide a practical strategy for designing heterojunction composite for high light driven hydrogen evolution rate.", "author_names": [ "Mengyang Xia", "Xiaoqin Yan", "He Li", "Nathan P Wells", "Guidong Yang" ], "corpus_id": 224982512, "doc_id": "224982512", "n_citations": 14, "n_key_citations": 0, "score": 0, "title": "Well designed efficient charge separation in 2D/2D N doped La2Ti2O7/ZnIn2S4 heterojunction through band structure/morphology regulation synergistic effect", "venue": "", "year": 2020 }, { "abstract": "As an alternative to the gold standard TiO2 photocatalyst, the use of zinc oxide (ZnO) as a robust candidate for wastewater treatment is widespread due to its similarity in charge carrier dynamics upon bandgap excitation and the generation of reactive oxygen species in aqueous suspensions with TiO2. However, the large bandgap of ZnO, the massive charge carrier recombination, and the photoinduced corrosion dissolution at extreme pH conditions, together with the formation of inert Zn(OH)2 during photocatalytic reactions act as barriers for its extensive applicability. To this end, research has been intensified to improve the performance of ZnO by tailoring its surface bulk structure and by altering its photogenerated charge transfer pathways with an intention to inhibit the surface bulk charge carrier recombination. For the first time, the several strategies, such as tailoring the intrinsic defects, surface modification with organic compounds, doping with foreign ions, noble metal deposition, heterostructuring with other semiconductors and modification with carbon nanostructures, which have been successfully employed to improve the photoactivity and stability of ZnO are critically reviewed. Such modifications enhance the charge separation and facilitate the generation of reactive oxygenated free radicals, and also the interaction with the pollutant molecules. The synthetic route to obtain hierarchical nanostructured morphologies and study their impact on the photocatalytic performance is explained by considering the morphological influence and the defect rich chemistry of ZnO. Finally, the crystal facet engineering of polar and non polar facets and their relevance in photocatalysis is outlined. It is with this intention that the present review directs the further design, tailoring and tuning of the physico chemical and optoelectronic properties of ZnO for better applications, ranging from photocatalysis to photovoltaics.", "author_names": [ "Siva G Kumar", "K S R Koteswara Rao" ], "corpus_id": 97468144, "doc_id": "97468144", "n_citations": 517, "n_key_citations": 5, "score": 0, "title": "Zinc oxide based photocatalysis: tailoring surface bulk structure and related interfacial charge carrier dynamics for better environmental applications", "venue": "", "year": 2015 }, { "abstract": "Designing an efficient hybrid structure photocatalyst for photocatalytic decomposition and hydrogen (H2) evolution has been considered a great choice intended to develop renewable technologies for clean energy and environmental remediation. Enhanced charge transfer (CT) based on the interaction between a noble metal and a semiconductor is a crucial factor influencing the movement of photogenerated electron hole pairs. Herein, we focus on the recent advances in related to plasmon enhanced noble metals and semiconductor nature to drive photocatalytic H2 production and the organic dye Rhodamine B's (RhB) photodegradation under UV and visible light irradiation. Specifically, the combination of concerted catalysis and green nano engineering strategies to design ZnO based composite photocatalysts and their decoration with metallic Ag has been realized by the RF sputtering technique at room temperature. This simultaneity enhances the interface coupling between Ag and ZnO and reduces the energy threshold. The creation of charge transfer in the heterojunction and Schottky barrier changes in the photo electronic properties of the as synthesized AZO; afterwards, these effects promote the migration, transportation and separation of photoinduced charge carriers and enhance the light harvesting efficiency. As a result, the as synthesized AZO 20 hybrid nanostructure exhibits a photocurrent density of 2.5 mA/cm2 vs. Ag/AgCl, which is improved by almost 12 times compared with that of bare ZnO (0.2 mA/cm2) The hydrogen evolution rates of AZO 20 were 38 and 24 mol.h 1 under UV and visible light exposure, which are almost 5 and 10 fold higher than those of pristine ZnO. Additionally, the RhB degradation efficacy of the obtained AZO 20 was greater than almost 97 and 82% under the illumination of UV and visible light, respectively. The achieved apparent rate constant for the photocatalytic RhB decomposition was 0.014 min 1, indicating that it is 14 fold as much as that in pristine ZnO (0.001 min 1) Heterostructure AZO photocatalysts possess excellent practical stability in the water splitting reaction and photocatalytic RhB decomposition, posing as promising candidates in practical works for pollution and energy challenges.", "author_names": [ "Ton Nu Quynh Trang", "Thang Bach Phan", "Nguyen Dang Nam", "Vu Thi Hanh Thu" ], "corpus_id": 211025952, "doc_id": "211025952", "n_citations": 38, "n_key_citations": 0, "score": 0, "title": "In situ charge transfer at the Ag@ZnO photoelectrochemical interface toward the high photocatalytic performance of H2 evolution and RhB degradation.", "venue": "ACS applied materials interfaces", "year": 2020 }, { "abstract": "The charge transfer from the main catalyst to the cocatalyst is a key factor to enhance catalytic activity for photocatalytic nanocomposite materials. In order to enhance the charge transfer between Bi2WO6 and graphene, we inlet MoS2 as a \"stepping stone\" into Bi2WO6 and graphene. Here, we report an effective strategy to synthesize ternary Bi2WO6@MoS2/graphene nanocomposite photocatalyst by a facile two step hydrothermal method, which is afforded by assembling two cocatalysts, graphene and MoS2, into the Bi2WO6 matrix with a nanoparticle morphology as a visible light harvester. Compared with Bi2WO6/graphene, Bi2WO6/MoS2 and pure Bi2WO6, the Bi2WO6@MoS2/graphene ternary composites exhibit superior photocatalytic activity owing to an enhanced charge carrier separation via gradual charge transferred pathway. This work indicates a promising cocatalyst strategy for designing a more efficient graphene based semiconductor photocatalyst toward degradation of organic pollutants.", "author_names": [ "Ming Liu", "Xin Xue", "Shansheng Yu", "Xiaoyi Wang", "Xiaoying Hu", "Hongwei Tian", "Hong Chen", "Weitao Zheng" ], "corpus_id": 7198170, "doc_id": "7198170", "n_citations": 42, "n_key_citations": 0, "score": 0, "title": "Improving Photocatalytic Performance from Bi2WO6@MoS2/graphene Hybrids via Gradual Charge Transferred Pathway", "venue": "Scientific Reports", "year": 2017 } ]
Simulation based multiobjective schedule optimization in semiconductor manufacturing
[ { "abstract": "In semiconductor manufacturing, it requires more than one objective such as cycle time, machine utilization and due date accuracy to be kept in focus simultaneously, while developing an effective scheduling. In this paper, a near optimal solution, which is not inferior to any other feasible solutions in terms of all objectives, is generated with a combination of the analytically optimal and simulation based scheduling approach. First, the job shop scheduling problem is modeled using the discrete event simulation approach and the problem is divided in to simulation clock based lot selection sub problems. Then, at each decision instant in simulated time, a Pareto optimal lot is selected using the various techniques to deal with multiobjective optimization such as weighted aggregation approach, global criterion method, minimum deviation method, and compromise programming. An illustration shows how these techniques work effectively in solving the multiobjective scheduling problem using discrete event simulation.", "author_names": [ "Amit Kumar Gupta", "Appa Iyer Sivakumar" ], "corpus_id": 13472979, "doc_id": "13472979", "n_citations": 42, "n_key_citations": 1, "score": 1, "title": "Simulation based multiobjective schedule optimization in semiconductor manufacturing", "venue": "Proceedings of the Winter Simulation Conference", "year": 2002 }, { "abstract": "This paper proposes a two stage joint optimization problem of green manufacturing and maintenance for semiconductor wafer (TSGMM SW) considering manufacturing stage, inspection, and repair stage simultaneously, which is a typical NP hard problem with practical research significance and value. Aiming at this problem, a green scheduling model with the objective of minimizing makespan, total carbon emissions, and total preventive maintenance (PM) costs is constructed, and an improved hybrid multiobjective multiverse optimization (IHMMVO) algorithm is proposed in this paper. The joint optimization of green manufacturing and maintenance is realized by designing synchronous scheduling and maintenance strategy for wafer manufacturing and equipment PM. The diversity of the population is expanded and the optimization performance of IHMMVO is improved by designing the initial population fusion strategy and subpopulation evolution strategy. In the experimental phase, we perform the simulation experiments of 900 test cases randomly generated from 90 parameter combinations. The IHMMVO algorithm is compared with other existing algorithms to verify the effectiveness and feasibility for TSGMM SW.", "author_names": [ "Jun Dong", "Chunming Ye" ], "corpus_id": 213075606, "doc_id": "213075606", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Research on Two Stage Joint Optimization Problem of Green Manufacturing and Maintenance for Semiconductor Wafer", "venue": "", "year": 2020 }, { "abstract": "As an important and challenging problem, the scheduling of semiconductor manufacturing is a hot topic in both engineering and academic fields. Its purpose is to satisfy production constraints on both production process and resources, as well as optimizing some performance indexes like cycle time, movement, etc. However, due to its complexities, it is hard to describe the scheduling process with a mathematical model, or to use conventional methods to optimize its scheduling problem. A Simulation approach is proposed to optimize the scheduling of a semiconductor manufacturing system, i.e. a simulation based optimization (SBO) approach. Because the high computational cost of SBO approach could hinder its application in the real production line, a parallel/distributed architecture is discussed to improve its efficiency. Using genetic algorithm (GA) as an optimization algorithm, the proposed parallel SBO based scheduling approach for semiconductor manufacturing system is tested for its feasibility and effectiveness.", "author_names": [ "Yumin Ma", "Fei Qiao", "Wei Yu", "Jianfeng Lu" ], "corpus_id": 13407421, "doc_id": "13407421", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "Parallel simulation based optimization on scheduling of a semiconductor manufacturing system", "venue": "Proceedings of the Winter Simulation Conference 2014", "year": 2014 }, { "abstract": "As an important and challenging problem, the scheduling of semiconductor manufacturing is a hot topic in both engineering and academic field. Its purpose is to satisfy production constraints on time, cost and quality while optimizing some performance indexes like cycle time, movement, WIP and etc. However, due to complexities of semiconductor manufacturing system, conventional technologies and/or methods are hard to solve this kind of scheduling problem. A new scheduling approach based on simulation based optimization (SBO) is proposed in this paper. For the issue of the high computational cost including both CPU time and memory space which could hinder the application of SBO scheduling in practice, a distributed/parallel architecture is discussed. With genetic algorithm as an optimization algorithm, the proposed SBO based scheduling approach for semiconductor manufacturing system is tested on its feasibility and effectiveness.", "author_names": [ "Hai Gao", "Fei Qiao", "Yumin Ma", "Ling-zhi Kong" ], "corpus_id": 6268235, "doc_id": "6268235", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "A simulation based optimization approach for scheduling of a semiconductor manufacturing system", "venue": "2014 IEEE International Conference on System Science and Engineering (ICSSE)", "year": 2014 }, { "abstract": "This article implements for the first time a numerical semiconductor device simulation based multiobjective evolutionary algorithm (MOEA) for the characteristic optimization of amorphous silicon thin film solar cells, based upon a unified optimization framework (UOF) To calculate the device's characteristic, a set of coupled solar cell transport equations consisting of the Poisson equation, the electron hole current continuity equations, and the photo generation model is solved numerically. Electrical characteristics, the short circuited current, the open circuited voltage, and the conversion efficiency are calculated to analyze the properties of the explored solar cells. The aforementioned device simulation results are used to evaluate the fitness score and access the evolutionary quality of designing parameters via the implemented non dominating sorting genetic algorithm (NSGA II) in the UOF. Notably, designing parameters including the material and structural parameters, and the doping concentrations are simultaneously optimized for the explored solar cells. The simulation based MOEA methodology is useful in optimal structure design and manufacturing of semiconductor solar cells.", "author_names": [ "Yiming Li", "Yu-Yu Chen", "Chieh-Yang Chen", "Cheng-Han Shen", "Hui-Wen Cheng", "I-Hsiu Lo", "Chun-Nan Chen" ], "corpus_id": 51793209, "doc_id": "51793209", "n_citations": 7, "n_key_citations": 0, "score": 0, "title": "Device Simulation Based Multiobjective Evolutionary Algorithm for Process Optimization of Semiconductor Solar Cells", "venue": "", "year": 2013 }, { "abstract": "The increasing customization of products, which leads to greater variances and smaller lot sizes, requires highly flexible manufacturing systems. These systems are subject to dynamic influences and demand increasing effort for the generation of feasible production schedules and process control. This paper presents an approach for dealing with these challenges. First, production scheduling is executed by coupling an optimization heuristic with a simulation model. Second, real time system state data, to be provided by forthcoming cyber physical systems, is fed back, so that the simulation model is continuously updated and the optimization heuristic can either adjust an existing schedule or generate a new one. The potential of the approach was tested by means of a use case embracing a semiconductor manufacturing facility, in which the simulation results were employed to support the selection of better dispatching rules, improving flexible manufacturing systems performance regarding the average production cycle time.", "author_names": [ "Mirko Kuck", "Jens Ehm", "Torsten Hildebrandt", "Michael J Freitag", "Enzo Morosini Frazzon" ], "corpus_id": 8258516, "doc_id": "8258516", "n_citations": 24, "n_key_citations": 2, "score": 0, "title": "Potential of data driven simulation based optimization for adaptive scheduling and control of dynamic manufacturing systems", "venue": "2016 Winter Simulation Conference (WSC)", "year": 2016 }, { "abstract": "In semiconductor manufacturing we face many intricate scheduling problems. Simulation based scheduling is a promising approach to deal with them. In conjunction with a metaheuristic we can solve many problem instances in a satisfactory manner. Nevertheless the quality of the results varies across the range of diverse challenges. Instead of performing extensive tests to determine the best metaheuristic and the optimal parameter setting for each case we propose the use of hyper heuristics. A hyper heuristic manages multiple metaheuristics to generate a solution for a broad field of applications. This paper will introduce two hyper heuristics, one is an extended particle swarm algorithm the other is an integrated approach based on an evolutionary algorithm.", "author_names": [ "Tobias Uhlig", "Falk Stefan Pappert", "Oliver Rose" ], "corpus_id": 7484634, "doc_id": "7484634", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Simulation based optimization for semiconductor manufacturing using hyper heuristics", "venue": "WSC '12", "year": 2012 }, { "abstract": "Simulation based optimization is an established approach to handle complex scheduling problems. The problem examined in this study is scheduling jobs for groups of cluster tools in semiconductor manufacturing including a combination of sequencing, partitioning, and grouping of jobs with additional constraints. We use a specialized fast simulator to evaluate the generated schedules which allows us to run a large number of optimization iterations. For optimization we propose a simulated annealing algorithm to generate the schedules. It is implemented as a special instance of our adaptable evolutionary algorithm framework. As a consequence it is easy to adapt and extend the algorithm. For example, we can make use of various already existing problem representations that are geared to excel at certain aspects of our problem. Furthermore, we are able to parallelize the algorithm by using a population of optimization runs.", "author_names": [ "Tobias Uhlig", "Oliver Rose" ], "corpus_id": 1370879, "doc_id": "1370879", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "Simulation based optimization for groups of cluster tools in semiconductor manufacturing using simulated annealing", "venue": "Proceedings of the 2011 Winter Simulation Conference (WSC)", "year": 2011 }, { "abstract": "This paper presents initial results on the application of a simulation based Approximate Dynamic Programming (ADP) approach for the optimization of Preventive Maintenance (PM) scheduling decisions in semiconductor manufacturing systems. In particular, the so called Intel Mini Fab benchmark is used as an illustrative example. Our approach is based on an actor critic architecture in which the critic corresponds to a parametric estimation of the optimal differential cost for an infinite horizon average cost criterion based optimization model. The actor is defined using post decision state variables and a heuristic approach. Our algorithm also utilizes a temporal difference learning algorithm with a gradient descent approach to tune a linear parametric structure that approximates the optimal differential cost function. Simulation experiments validated the applicability of our algorithm in the Intel Mini Fab by showing a significant reduction in average cycle time when compared with a series of fixed baseline PM schedules.", "author_names": [ "Jose A Ramirez-Hernandez", "Emmanuel Fernandez" ], "corpus_id": 18791728, "doc_id": "18791728", "n_citations": 18, "n_key_citations": 2, "score": 0, "title": "Optimization of Preventive Maintenance scheduling in semiconductor manufacturing models using a simulation based Approximate Dynamic Programming approach", "venue": "49th IEEE Conference on Decision and Control (CDC)", "year": 2010 }, { "abstract": "Semiconductor manufacturing fab is one of the most sophisticated man made system, consisting of hundreds of very expensive equipment connected by highly automated material handling system. Operation schedule has huge impact on the productivity of the fab. Obtaining efficient schedule for numerous equipment is a very complex problem, which cannot be solved by conventional optimization techniques. Hence, heuristic dispatching rules combined with fab simulation is often used for generating fab operation schedule. In this paper, we formulate the fab scheduling problem as a semi Markov decision process and propose a reinforcement learning method used in conjunction with the fab simulator to obtain the (near )optimal dispatching policy. Resulting schedule obtained by the proposed method shows better performance than heuristic rules whose parameters are tuned by human experts.", "author_names": [ "Won-jun Lee", "Byung-Hee Kim", "Keyhoon Ko", "Hayong Shin" ], "corpus_id": 211243695, "doc_id": "211243695", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "Simulation Based Multi Objective Fab Scheduling by Using Reinforcement Learning", "venue": "2019 Winter Simulation Conference (WSC)", "year": 2019 } ]
R H Friend
[ { "abstract": "Organic light emitting diodes (OLEDs)1 5, quantum dot based LEDs6 10, perovskite based LEDs11 13 and micro LEDs14,15 have been championed to fabricate lightweight and flexible units for next generation displays and active lighting. Although there are already some high end commercial products based on OLEDs, costs must decrease whilst maintaining high operational efficiencies for the technology to realise wider impact. Here we demonstrate efficient action of radical based OLEDs16, whose emission originates from a spin doublet, rather than a singlet or triplet exciton. While the emission process is still spin allowed in these OLEDs, the efficiency limitations imposed by triplet excitons are circumvented for doublets. Using a luminescent radical emitter, we demonstrate an OLED with maximum external quantum efficiency of 27 per cent at a wavelength of 710 nanometres the highest reported value for deep red and infrared LEDs. For a standard closed shell organic semiconductor, holes and electrons occupy the highest occupied and lowest unoccupied molecular orbitals (HOMOs and LUMOs) respectively, and recombine to form singlet or triplet excitons. Radical emitters have a singly occupied molecular orbital (SOMO) in the ground state, giving an overall spin 1/2 doublet. If as expected on energetic grounds both electrons and holes occupy this SOMO level, recombination returns the system to the ground state, giving no light emission. However, in our very efficient OLEDs, we achieve selective hole injection into the HOMO and electron injection to the SOMO to form the fluorescent doublet excited state with near unity internal quantum efficiency.Organic light emitting devices containing radical emitters can achieve an efficiency of 27 per cent at deep red and infrared wavelengths based on the excitation of spin doublets, rather than singlet or triplet states.", "author_names": [ "Xin Ai", "E W Evans", "Shengzhi Dong", "Alexander J Gillett", "Haoqing Guo", "Yingxin Chen", "Timothy J H Hele", "Richard H Friend", "Feng Li" ], "corpus_id": 53719327, "doc_id": "53719327", "n_citations": 170, "n_key_citations": 2, "score": 1, "title": "Efficient radical based light emitting diodes with doublet emission", "venue": "Nature", "year": 2018 }, { "abstract": "Within the framework of the radical pair mechanism, magnetic fields may alter the rate and yields of chemical reactions involving spin correlated radical pairs as intermediates. Such effects have been studied in detail in a variety of chemical systems both experimentally and theoretically. In recent years, there has been growing interest in whether such magnetic field effects (MFEs) also occur in biological systems, a question driven most notably by the increasing body of evidence for the involvement of such effects in the magnetic compass sense of animals. The blue light photoreceptor cryptochrome is placed at the centre of this debate and photoexcitation of its bound flavin cofactor has indeed been shown to result in the formation of radical pairs. Here, we review studies of MFEs on free flavins in model systems as well as in blue light photoreceptor proteins and discuss the properties that are crucial in determining the magnetosensitivity of these systems.", "author_names": [ "E W Evans", "Charlotte A Dodson", "Kiminori Maeda", "Till Biskup", "Christopher J Wedge", "Christiane R Timmel" ], "corpus_id": 10754066, "doc_id": "10754066", "n_citations": 35, "n_key_citations": 1, "score": 0, "title": "Magnetic field effects in flavoproteins and related systems", "venue": "Interface Focus", "year": 2013 }, { "abstract": "With their unusual electronic structures, organic radical molecules display luminescence properties potentially relevant to lighting applications; yet, their luminescence quantum yield and stability lag behind those of other organic emitters. Here, we designed donor acceptor neutral radicals based on an electron poor perchlorotriphenylmethyl or tris(2,4,6 trichlorophenyl)methyl radical moiety combined with different electron rich groups. Experimental and quantum chemical studies demonstrate that the molecules do not follow the Aufbau principle: the singly occupied molecular orbital is found to lie below the highest (doubly) occupied molecular orbital. These donor acceptor radicals have a strong emission yield (up to 54% and high photostability, with estimated half lives reaching up to several months under pulsed ultraviolet laser irradiation. Organic light emitting diodes based on such a radical emitter show deep red/near infrared emission with a maximal external quantum efficiency of 5.3% Our results provide a simple molecular design strategy for stable, highly luminescent radicals with non Aufbau electronic structures. Organic radical molecules violating the Aufbau principle are shown to reach high luminescence quantum yield and photostability, with potential applications for deep red and near infrared light emitting sources.", "author_names": [ "Haoqing Guo", "Qiming Peng", "Xian-Kai Chen", "Qinying Gu", "Shengzhi Dong", "E W Evans", "Alexander J Gillett", "Xin Ai", "Ming Zhang", "Dan Credgington", "Veaceslav Coropceanu", "Richard H Friend", "Jean-Luc Bredas", "Feng Li" ], "corpus_id": 198172946, "doc_id": "198172946", "n_citations": 48, "n_key_citations": 1, "score": 0, "title": "High stability and luminescence efficiency in donor acceptor neutral radicals not following the Aufbau principle", "venue": "Nature Materials", "year": 2019 }, { "abstract": "Magnetic fields as weak as the Earth's can change the yields of radical pair reactions even though the energies involved are orders of magnitude smaller than the thermal energy, kBT, at room temperature. Proposed as the source of the light dependent magnetic compass in migratory birds, the radical pair mechanism is thought to operate in cryptochrome flavoproteins in the retina. Here we demonstrate that the primary magnetic field effect on flavin photoreactions can be amplified chemically by slow radical termination reactions under conditions of continuous photoexcitation. The nature and origin of the amplification are revealed by studies of the intermolecular flavin tryptophan and flavin ascorbic acid photocycles and the closely related intramolecular flavin tryptophan radical pair in cryptochrome. Amplification factors of up to 5.6 were observed for magnetic fields weaker than 1 mT. Substantial chemical amplification could have a significant impact on the viability of a cryptochrome based magnetic compass sensor.", "author_names": [ "Daniel R Kattnig", "E W Evans", "Victoire Dejean", "Charlotte A Dodson", "Mark Ian Wallace", "Stuart R Mackenzie", "Christiane R Timmel", "P J Hore" ], "corpus_id": 5083810, "doc_id": "5083810", "n_citations": 56, "n_key_citations": 2, "score": 0, "title": "Chemical amplification of magnetic field effects relevant to avian magnetoreception.", "venue": "Nature chemistry", "year": 2016 }, { "abstract": "Covalent organic frameworks (COFs) are an emerging class of highly tuneable crystalline, porous materials. Here we report the first COFs that change their electronic structure reversibly depending on the surrounding atmosphere. These COFs can act as solid state supramolecular solvatochromic sensors that show a strong colour change when exposed to humidity or solvent vapours, dependent on vapour concentration and solvent polarity. The excellent accessibility of the pores in vertically oriented films results in ultrafast response times below 200 ms, outperforming commercially available humidity sensors by more than an order of magnitude. Employing a solvatochromic COF film as a vapour sensitive light filter, we demonstrate a fast humidity sensor with full reversibility and stability over at least 4000 cycles. Considering their immense chemical diversity and modular design, COFs with fine tuned solvatochromic properties could broaden the range of possible applications for these materials in sensing and optoelectronics.Covalent organic frameworks (COFs) find increasing application as sensor material, but fast switching solvatochromism was not realized. Here the authors demonstrate that combination of electron rich and deficient building blocks leads to COFs which fast and reversibly change of their electronic structure depending on the surrounding atmosphere.", "author_names": [ "Laura Ascherl", "E W Evans", "Matthias Hennemann", "Daniele Di Nuzzo", "Alexander G Hufnagel", "Michael Beetz", "Richard H Friend", "Timothy B Clark", "Thomas Bein", "Florian Auras" ], "corpus_id": 52292826, "doc_id": "52292826", "n_citations": 71, "n_key_citations": 0, "score": 0, "title": "Solvatochromic covalent organic frameworks", "venue": "Nature Communications", "year": 2018 }, { "abstract": "Even though the interaction of a <1 mT magnetic field with an electron spin is less than a millionth of the thermal energy at room temperature (kBT) it still can have a profound effect on the quantum yields of radical pair reactions. We present a study of the effects of sub millitesla magnetic fields on the photoreaction of flavin mononucleotide with ascorbic acid. Direct control of the reaction pathway is achieved by varying the rate of electron transfer from ascorbic acid to the photo excited flavin. At pH 7.0, we verify the theoretical prediction that, apart from a sign change, the form of the magnetic field effect is independent of the initial spin configuration of the radical pair. The data agree well with model calculations based on a Green's function approach that allows multinuclear spin systems to be treated including the diffusive motion of the radicals, their spin selective recombination reactions, and the effects of the inter radical exchange interaction. The protonation states of the radicals are uniquely determined from the form of the magnetic field dependence. At pH 3.0, the effects of two chemically distinct radical pair complexes combine to produce a pronounced response to ~500 mT magnetic fields. These findings are relevant to the magnetic responses of cryptochromes (flavin containing proteins proposed as magnetoreceptors in birds) and may aid the evaluation of effects of weak magnetic fields on other biologically relevant electron transfer processes.", "author_names": [ "E W Evans", "Daniel R Kattnig", "Kevin B Henbest", "P J Hore", "Stuart R Mackenzie", "Christiane R Timmel" ], "corpus_id": 7459269, "doc_id": "7459269", "n_citations": 10, "n_key_citations": 0, "score": 0, "title": "Sub millitesla magnetic field effects on the recombination reaction of flavin and ascorbic acid radicals.", "venue": "The Journal of chemical physics", "year": 2016 }, { "abstract": "Electrically injected charge carriers in organic light emitting devices (OLEDs) undergo recombination events to form singlet and triplet states in a 1:3 ratio, representing a fundamental hurdle for achieving high quantum efficiency. Dopants based on thermally activated delayed fluorescence (TADF) have emerged as promising candidates for addressing the spin statistics issue in OLEDs. In these materials, reverse singlet triplet intersystem crossing (rISC) becomes efficient, thereby activating luminescence pathways for weakly emissive triplet states. However, despite a growing consensus that torsional vibrations facilitate spin orbit coupling (SOC driven ISC in these molecules, there is a shortage of experimental evidence. We use transient electron spin resonance and theory to show unambiguously that SOC interactions drive spin conversion and that ISC is a dynamic process gated by conformational fluctuations for benchmark carbazolyl dicyanobenzene TADF emitters.", "author_names": [ "E W Evans", "Yoann Olivier", "Yuttapoom Puttisong", "William K Myers", "Timothy J H Hele", "S Matthew Menke", "Tudor H Thomas", "Dan Credgington", "David Beljonne", "Richard H Friend", "Neil C Greenham" ], "corpus_id": 49617760, "doc_id": "49617760", "n_citations": 32, "n_key_citations": 0, "score": 0, "title": "Vibrationally Assisted Intersystem Crossing in Benchmark Thermally Activated Delayed Fluorescence Molecules.", "venue": "The journal of physical chemistry letters", "year": 2018 }, { "abstract": "Traditionally, the properties and functions of covalent organic frameworks (COFs) are defined by their constituting building blocks, while the chemical bonds that connect the individual subunits have not attracted much attention as functional components of the final material. We have developed a new series of dual pore perylene based COFs and demonstrated that their imine bonds can be protonated reversibly, causing significant protonation induced color shifts toward the near infrared, while the structure and crystallinity of the frameworks are fully retained. Thin films of these COFs are highly sensitive colorimetric acid vapor sensors with a detection limit as low as 35 mg L 1 and a response range of at least 4 orders of magnitude. Since the acidochromism in our COFs is a cooperative phenomenon based on electronically coupled imines, the COFs can be used to determine simultaneously the concentration and protonation strength of nonaqueous acid solutions, in which pH electrodes are not applicable, and to distinguish between different acids. Including the imine bonds as function determining constituents of the framework provides an additional handle for constructing multifunctional COFs and extending the range of their possible applications.", "author_names": [ "Laura Ascherl", "E W Evans", "Jeffrey Gorman", "Sarah Orsborne", "Derya Bessinger", "Thomas Bein", "Richard H Friend", "Florian Auras" ], "corpus_id": 202760722, "doc_id": "202760722", "n_citations": 59, "n_key_citations": 0, "score": 0, "title": "Perylene Based Covalent Organic Frameworks for Acid Vapor Sensing.", "venue": "Journal of the American Chemical Society", "year": 2019 }, { "abstract": "Efficient vacuum processed organic light emitting diodes are fabricated using a carbene metal amide material, CMA1. An electroluminescence (EL) external quantum efficiency of 23% is achieved in a host free emissive layer comprising pure CMA1. Furthermore external quantum efficiencies of up to 26.9% are achieved in host guest emissive layers. EL spectra are found to depend on both the emissive layer doping concentration and the choice of host material, enabling tuning of emission color from mid green (Commission Internationale de l'Eclairage co ordinates [0.24, 0.46] to sky blue [0.22 0.35] without changing dopant. This tuning is achieved without compromising luminescence efficiency >80% while maintaining a short radiative lifetime of triplets <1 ms)", "author_names": [ "Patrick J Conaghan", "S Matthew Menke", "Alexander S Romanov", "Saul T E Jones", "Andrew J Pearson", "E W Evans", "Manfred Bochmann", "Neil C Greenham", "Dan Credgington" ], "corpus_id": 51600307, "doc_id": "51600307", "n_citations": 40, "n_key_citations": 0, "score": 0, "title": "Efficient Vacuum Processed Light Emitting Diodes Based on Carbene Metal Amides.", "venue": "Advanced materials", "year": 2018 }, { "abstract": "Cis(or trans) [RuCl2(CO)2(PPh3)2] react with two and one equivalents of AgBF4 to give the recently reported [Ru(CO)2(PPh3)2][BF4]2*CH2Cl2 (1) and novel [RuCl(CO)2(PPh3)2][BF4] 12 CH2Cl2 (2) respectively. Cis [RuCl2(CO)2(PPh3)2] also reacts with two equivalents of AgBF4 in the presence of CO to give [Ru(CO)3(PPh3)2][BF4]2 (3) Reactions of 1 and 2 with NaOMe and CO at 1 atm produce the carbomethoxy species [Ru(COOMe)2(CO)2(PPh3)2] (4) and [RuCl(COOMe)(CO)2(PPh3)2] (5) respectively. Complex 4 can also be formed from the reaction of 3 with NaOMe and CO. Alternatively, 4 is formed from cis [RuCl2(CO)2(PPh3)2] with NaOMe and CO at elevated pressure (10 atm) if these reactants are refluxed under 1 atm of CO, [Ru(CO)3(PPh3)2] is the product. The reaction of [RuCl(CO)3(PPh3)2][AlCl4] with NaOMe provides an alternative route to the preparation of 5, but the product is contaminated with [RuCl2(CO)2(PPh3)2] Compounds 1. 2, 4 and 5 have been characterised by IR, 1H NMR and analysis, whilst the formulation of 3 is proposed from spectroscopic data only. This account also examines the reactivity of [Ru(CO)2(PPh3)2][BF4]2 CH2Cl2 with NaBH4, conc. HCl, KI and, finally, MeCOONa in the presence of CO. The products of these reactions, namely cis [RuH2(CO)2(PPh3)2] cis [RuCl2(CO)2(PPh3)2] cis [RuI2(CO)2(PPh3)2] and [Ru(OOCMe)2(CO)2(PPh3)2] have been identified by comparison of their spectra with previous literature.", "author_names": [ "E W Evans", "Mohammed B H Howlader", "Mark T Atlay" ], "corpus_id": 97921321, "doc_id": "97921321", "n_citations": 6, "n_key_citations": 0, "score": 0, "title": "Reactivity of cationic carbonyl/phosphine ruthenium(II) compounds", "venue": "", "year": 1995 } ]
A Deep Ultraviolet Mode-locked Laser Based on a neural network
[ { "abstract": "Deep ultraviolet lasers based on the phenomenon of mode locking have been used widely in many areas in recent years, for example, in semiconductors, the environment and biomedicine. In the development of a mode locked deep ultraviolet laser, one of the most important aspects is to optimize the multiple parameters of the complex system. Traditional optimization methods require experimenters with more optimization experience, which limits the wide application of the lasers. In this study, we optimize the deep ultraviolet mode locked laser system using an online neural network to solve this problem. The neural network helps us control the position of the crystal, the length of the cavity, the position of the focusing lens and the temperature of the frequency doubling crystal. We generate a deep ultraviolet mode locked laser with a power of 18 mW and a spectral center at 205 nm. This result is greatly improved compared to previous results with the same pump power. This technology provides a universal solution to multiparameter problems in the optimization of lasers.", "author_names": [ "Haoyuan Lu", "Hao Xu", "Jianye Zhao", "Dong Hou" ], "corpus_id": 210123262, "doc_id": "210123262", "n_citations": 5, "n_key_citations": 0, "score": 1, "title": "A Deep Ultraviolet Mode locked Laser Based on a Neural Network", "venue": "Scientific Reports", "year": 2020 }, { "abstract": "Both observational and perturbational technologies are essential for advancing the understanding of brain function and dysfunction. But while observational techniques have greatly advanced in the last century, techniques for perturbation that are matched to the speed and heterogeneity of neural systems have lagged behind. The technology of optogenetics represents a step toward addressing this disparity. Reliable and targetable single component tools (which encompass both light sensation and effector function within a single protein) have enabled versatile new classes of investigation in the study of neural systems. Here we provide a primer on the application of optogenetics in neuroscience, focusing on the single component tools and highlighting important problems, challenges, and technical considerations.", "author_names": [ "Ofer Yizhar", "Lief E Fenno", "Thomas J Davidson", "Murtaza Z Mogri", "Karl Deisseroth" ], "corpus_id": 15380177, "doc_id": "15380177", "n_citations": 1437, "n_key_citations": 94, "score": 0, "title": "Optogenetics in Neural Systems", "venue": "Neuron", "year": 2011 }, { "abstract": "With few exceptions biological tissues strongly scatter light, making high resolution deep imaging impossible for traditional including confocal fluorescence microscopy. Nonlinear optical microscopy, in particular two photon excited fluorescence microscopy, has overcome this limitation, providing large depth penetration mainly because even multiply scattered signal photons can be assigned to their origin as the result of localized nonlinear signal generation. Two photon microscopy thus allows cellular imaging several hundred microns deep in various organs of living animals. Here we review fundamental concepts of nonlinear microscopy and discuss conditions relevant for achieving large imaging depths in intact tissue.", "author_names": [ "Fritjof Helmchen", "Winfried Denk" ], "corpus_id": 3339971, "doc_id": "3339971", "n_citations": 3327, "n_key_citations": 107, "score": 0, "title": "Deep tissue two photon microscopy", "venue": "Nature Methods", "year": 2005 }, { "abstract": "A compact, electrically driven light source integrated on silicon is a key component for large scale integration of electronic and photonic integrated circuits. Here we demonstrate electrically injected continuous wave lasing in InP based microdisk lasers coupled to a sub micron silicon wire waveguide, fabricated through heterogeneous integration of InP on silicon on insulator (SOI) The InP based microdisk has a diameter of 7.5 mum and a thickness of 1 mum. A tunnel junction was incorporated to efficiently contact the p side of the pn junction. The laser emits at 1.6 mum, with a threshold current as low as 0.5 mA under continuous wave operation at room temperature, and a threshold voltage of 1.65 V. The SOI coupled laser slope efficiency was estimated to be 30 muW/mA, with a maximum unidirectional output power of 10 muW.", "author_names": [ "Joris Van Campenhout", "Pedro Rojo Romeo", "P Regreny", "Christian Seassal", "Dries van Thourhout", "Steven Verstuyft", "Lea Di Cioccio", "Jean-Marc Fedeli", "Chrystelle Lagahe", "Roel Baets" ], "corpus_id": 14248232, "doc_id": "14248232", "n_citations": 486, "n_key_citations": 9, "score": 0, "title": "Electrically pumped InP based microdisk lasers integrated with a nanophotonic silicon on insulator waveguide circuit.", "venue": "Optics express", "year": 2007 }, { "abstract": "Molecular excitation by the simultaneous absorption of two photons provides intrinsic three dimensional resolution in laser scanning fluorescence microscopy. The excitation of fluorophores having single photon absorption in the ultraviolet with a stream of strongly focused subpicosecond pulses of red laser light has made possible fluorescence images of living cells and other microscopic objects. The fluorescence emission increased quadratically with the excitation intensity so that fluorescence and photo bleaching were confined to the vicinity of the focal plane as expected for cooperative two photon excitation. This technique also provides unprecedented capabilities for three dimensional, spatially resolved photochemistry, particularly photolytic release of caged effector molecules.", "author_names": [ "Winfried Denk", "James H Strickler", "Watt W Webb" ], "corpus_id": 18431535, "doc_id": "18431535", "n_citations": 6830, "n_key_citations": 109, "score": 0, "title": "Two photon laser scanning fluorescence microscopy.", "venue": "Science", "year": 1990 }, { "abstract": "Author(s) Vogel, Alfred; Venugopalan, Vasan Abstract: The mechanisms of pulsed laser ablation of biological tissues were studied. The transiently empty space created between the fiber tip and the tissue surface improved the optical transmission to the target and thus increased the ablation efficiency. It was found that the structure and morphology also affect the energy transport among tissue constituents.", "author_names": [ "Alfred Vogel", "Vasan Venugopalan" ], "corpus_id": 31550621, "doc_id": "31550621", "n_citations": 1564, "n_key_citations": 72, "score": 0, "title": "Mechanisms of pulsed laser ablation of biological tissues.", "venue": "Chemical reviews", "year": 2003 }, { "abstract": "In the following, the first results on ultraviolet laser desorption (UVLD) of bioorganic compounds in the mass range above 10000 daltons are reported. Strong molecular ion signals were registered by use of an organic matrix with strong absorption at the wavelength used for controlled energy deposition and soft desorption (7)", "author_names": [ "Michael Karas", "F Hillenkamp" ], "corpus_id": 10873255, "doc_id": "10873255", "n_citations": 4900, "n_key_citations": 176, "score": 0, "title": "Laser desorption ionization of proteins with molecular masses exceeding 10,000 daltons.", "venue": "Analytical chemistry", "year": 1988 }, { "abstract": "Solid State Laser Engineering is written from an industrialperspective and discusses in detail the characteristics, design, construction and practical problems of solid statelasers. Emphasis is placed on engineering and practicalconsiderations, with a phenomenological treatment usingmodelsbeing preferred to abstract mathematical derivations.This new edition has been updated and revised to includeimportant developments, concepts and technologies that haveemerged since the publication of the first edition.", "author_names": [ "Walter Koechner" ], "corpus_id": 111013814, "doc_id": "111013814", "n_citations": 3347, "n_key_citations": 194, "score": 0, "title": "Solid State Laser Engineering", "venue": "", "year": 1976 }, { "abstract": "RATIONALE AND OBJECTIVES To examine a statistical validation method based on the spatial overlap between two sets of segmentations of the same anatomy. MATERIALS AND METHODS The Dice similarity coefficient (DSC) was used as a statistical validation metric to evaluate the performance of both the reproducibility of manual segmentations and the spatial overlap accuracy of automated probabilistic fractional segmentation of MR images, illustrated on two clinical examples. Example 1: 10 consecutive cases of prostate brachytherapy patients underwent both preoperative 1.5T and intraoperative 0.5T MR imaging. For each case, 5 repeated manual segmentations of the prostate peripheral zone were performed separately on preoperative and on intraoperative images. Example 2: A semi automated probabilistic fractional segmentation algorithm was applied to MR imaging of 9 cases with 3 types of brain tumors. DSC values were computed and logit transformed values were compared in the mean with the analysis of variance (ANOVA) RESULTS Example 1: The mean DSCs of 0.883 (range, 0.876 0.893) with 1.5T preoperative MRI and 0.838 (range, 0.819 0.852) with 0.5T intraoperative MRI (P .001) were within and at the margin of the range of good reproducibility, respectively. Example 2: Wide ranges of DSC were observed in brain tumor segmentations: Meningiomas (0.519 0.893) astrocytomas (0.487 0.972) and other mixed gliomas (0.490 0.899) CONCLUSION The DSC value is a simple and useful summary measure of spatial overlap, which can be applied to studies of reproducibility and accuracy in image segmentation. We observed generally satisfactory but variable validation results in two clinical applications. This metric may be adapted for similar validation tasks.", "author_names": [ "Kelly H Zou", "S Warfield", "Aditya Bharatha", "Clare M Tempany", "Michael R Kaus", "Steven Haker", "William M Wells", "Ferenc A Jolesz", "Ron Kikinis" ], "corpus_id": 15398302, "doc_id": "15398302", "n_citations": 1125, "n_key_citations": 108, "score": 0, "title": "Statistical validation of image segmentation quality based on a spatial overlap index.", "venue": "Academic radiology", "year": 2004 }, { "abstract": "", "author_names": [ "R E Stenkamp Prof", "D C Teller Prof", "Korrespondenzadresse Prof" ], "corpus_id": 11945139, "doc_id": "11945139", "n_citations": 36, "n_key_citations": 0, "score": 0, "title": "Crystal structure of rhodopsin: a G protein coupled receptor. Palczewski K,(1) kumasaka T, hori T, behnke CA, motoshima H, fox BA, trong IL, teller DC, okada T, stenkamp RE, yamamoto M, miyano M. Science 2000;289:739 745", "venue": "American journal of ophthalmology", "year": 2000 } ]
finfet TEM FEI
[ { "abstract": "Advanced CMOS technology for the sub 22nm nodes has seen the introduction of new device architectures (FINFET, FDSOI) and new materials, and requires characterization techniques capable of performing atomic scale 2D or 3D imaging, chemical composition or strain measurements. We will present several examples of how transmission electron microscopy techniques are being used to meet the current needs of strain, chemical or dopant (Boron, Phosphorus and Arsenic) characterization in the field of advanced microelectronics: The use of precession electron diffraction (PED) dedicated to the strain measurement has been introduced in 2013 by J L. Rouviere [1] at CEA Grenoble and developed in collaboration with ThermoFisher Scientific (formerly FEI) This technique provides an excellent strain precision of around 5x10 4 due to the increased number of diffracted disks and the removal of intensity fluctuations (mostly due to dynamical effects) within each disk. An additional benefit of precession is the use of higher convergence angles providing electron beams smaller than 2 nm. In the framework of the 3DAM project, PED has been applied to Si SiGe heterostructures to quantitatively determine the Ge content in SiGe layers and the degree of relaxation in embedded multilayer fins. We will also show how PED can be performed in combination with mechanical modelling using finite element simulations to determine the developed stresses in a stressor Si3N4 film, which cannot be directly measured using conventional TEM techniques due to the amorphous state of Si3N4 [2]", "author_names": [ "V Delaye", "Nicolas Bernier", "Zineb Saghi", "Tony Printemps", "G Audoit", "", "David Cooper" ], "corpus_id": 208069807, "doc_id": "208069807", "n_citations": 0, "n_key_citations": 0, "score": 1, "title": "2D 3D Advanced Transmission Electron Microscopy for Semiconductor Characterization", "venue": "", "year": 2018 }, { "abstract": "Transmission electron microscopy (TEM) specimens are typically prepared using the focused ion beam (FIB) due to its site specificity, and fast and accurate thinning capabilities. However, TEM and high resolution TEM (HRTEM) analysis may be limited due to the resulting FIB induced artifacts. This work identifies FIB artifacts and presents the use of argon ion milling for the removal of FIB induced damage for reproducible TEM specimen preparation of current and future fin field effect transistor (FinFET) technologies. Subsequently, high quality and electron transparent TEM specimens of less than 20 nm are obtained.", "author_names": [ "Cecile Semana Bonifacio" ], "corpus_id": 197617084, "doc_id": "197617084", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Low energy Ar ion milling of FIB TEM specimens from 14 nm and future FinFET technologies", "venue": "", "year": 2018 }, { "abstract": "Seeking improved accuracy in an enhanced timely fashion is a constant task facing all physical failure analysis (PFA) and transmission electron microscopy (TEM) teams at wafer foundries [1~3] For FinFET semiconductor devices of 14nm and beyond, the intrinsic complexity of 3D FinFET structures becomes escalated. Inevitably, some features at a nanometer scale are thinner than a thickness of a TEM lamella (e.g. around 50~100nm typically, depending on the orientation) This adds to additional complications in straightforward interpretation of the TEM images and sometimes even puzzles integration teams for quick root cause identification of major detractors impacting wafer yield.", "author_names": [ "Wayne W Zhao", "Bianzhu Fu", "Yong Wei", "Irene Brooks" ], "corpus_id": 136351209, "doc_id": "136351209", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "A Novel Strategy to Effectively Characterize FinFET Device by Multidirectional Comprehensive Analytical TEM in Semiconductor Wafer foundries", "venue": "Microscopy and Microanalysis", "year": 2017 }, { "abstract": "Abstract We report on the mitigation of curtaining artifacts during transmission electron microscopy (TEM) lamella preparation by means of a modified ion beam milling approach, which involves altering the incident angle of the Ga ions by rocking of the sample on a special stage. We applied this technique to TEM sample preparation of a state of the art integrated circuit based on a 14 nm technology node. Site specific lamellae with a thickness <15 nm were prepared by top down Ga focused ion beam polishing through upper metal contacts. The lamellae were analyzed by means of high resolution TEM, which showed a clear transistor structure and confirmed minimal curtaining artifacts. The results are compared with a standard inverted thinning preparation technique.", "author_names": [ "Andrey I Denisyuk", "Tomas Hrncir", "Jozef Vincenc Obona", "", "Martin Petrenec", "Jan Michalicka" ], "corpus_id": 30383845, "doc_id": "30383845", "n_citations": 7, "n_key_citations": 0, "score": 0, "title": "Mitigating Curtaining Artifacts During Ga FIB TEM Lamella Preparation of a 14 nm FinFET Device", "venue": "Microscopy and Microanalysis", "year": 2017 }, { "abstract": "FEI Titan TEM Upgrades Accessories Designed to update and enhance the capabilities of your Titan TEM true standard true gridFull text Since the introduction of Titan in 2005, FEI has continuously increased the capabilities of the Titan product family We can offer to each Titan u", "author_names": [ "Gina Garaventa" ], "corpus_id": 146484016, "doc_id": "146484016", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "FEI Titan TEM Upgrades", "venue": "", "year": 2017 }, { "abstract": "The FEI Titan 80 300 TEM is a high resolution transmission electron microscope equipped with a field emission gun and a corrector for the spherical aberration C S of the imaging lens system. The instrument is designed for the investigation of a wide range of solid state phenomena taking place on the atomic scale, which requires true atomic resolution capabilities. Under optimum optical settings of the image C S corrector (CEOS CETCOR) the point resolution is extended up to the information limit of well below 100 pm with 200 keV and 300 keV electrons. A special piezo stage design allows ultra precise positioning of the specimen in all 3 dimensions. Digital images are acquired with a Gatan 2k x 2k slow scan charged coupled device camera.", "author_names": [ "Andreas Thust", "Juri Barthel", "Karsten Tillmann" ], "corpus_id": 57062098, "doc_id": "57062098", "n_citations": 53, "n_key_citations": 0, "score": 0, "title": "FEI Titan 80 300 TEM", "venue": "", "year": 2016 }, { "abstract": "In early 2011 FEI Company launched the \"Falcon\" its first commercial direct electron detector product intended for application in 3 D electron microscopy in the life sciences. In this paper we discuss the principle of direct electron detection and its implementation in Falcon cameras. We describe the signal formation in the sensor and its impact on the detection quantum efficiency (DQE) of the sensor. Insights into the signal formation led us to improved camera designs. Three significant improvements are discussed. (1) Back thinning of the sensor. This is implemented in the second generation Falcon (Falcon 2) where the sensor thickness is reduced to 50 mm, and in the latest generation Falcon 3 detector with further back thinning down to 30 mm. (2) The introduction of electron counting, a signal processing technology implemented in Falcon 3. (3) Dose fractionation mode, which allows the user to access intermediate results during the illumination of the sample.", "author_names": [ "Maarten Kuijper", "Gerald van Hoften", "B Janssen", "Rudolf Geurink", "Sacha De Carlo", "Matthijn R J Vos", "Gijs van Duinen", "B van Haeringen", "Marc M H Storms" ], "corpus_id": 24692393, "doc_id": "24692393", "n_citations": 57, "n_key_citations": 4, "score": 0, "title": "FEI's direct electron detector developments: Embarking on a revolution in cryo TEM.", "venue": "Journal of structural biology", "year": 2015 }, { "abstract": "This document describes the installation, performance, and early results from the FCRD funded acquisition of a new advanced analytical scanning transmission electron microscopy (S/TEM) at the Oak Ridge National Laboratory Low Activation Materials Development and Analysis (LAMDA) facility.", "author_names": [ "Chad M Parish" ], "corpus_id": 111627892, "doc_id": "111627892", "n_citations": 15, "n_key_citations": 0, "score": 0, "title": "MT3FT 15OR0204122: Report on the acquisition and installation of FEI Talos F200X S/TEM", "venue": "", "year": 2015 }, { "abstract": "FEI launched the new Talos Arctica(tm) cryo transmission electron microscope (TEM) for high resolution, three dimensional (3D) analysis of biological molecules or macro molecular complexes in their native, fully hydrated state. The ease of use of the system's automated cryo TEM workflow makes is useful to scientists that do not have an extensive background in electron microscopy (EM)", "author_names": [ "Mark T Anderson" ], "corpus_id": 88979033, "doc_id": "88979033", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "FEI Unveils New Talos Arctica Cryo TEM for Integrated Structural Biology Research", "venue": "", "year": 2015 }, { "abstract": "Introductions of 3 Dimentional FinFET transistors in semiconductor device open a new era for continuous shrinkage of semiconductor transistor nodes. One big challenge for physical failure analysis (PFA) and transmission electron microscopy (TEM) is that, for device of 20nm, 14nm, an beyond, features of interests (FOI) are mostly thinner than a thickness of a TEM lamella (say, around 50~150nm) depending on the orientation. This adds in ambiguity in interpretation of the TEM imaging straightforward. Combined with intrinsic complexity from the 3D FinFET structures themselves, PFA/TEM teams at waferfoundries truly have to constantly think out of box for novel approaches to effectively and timely isolate faults and conclusively identify root causes of yield detractors [1~4]", "author_names": [ "Wayne W Zhao", "Stephen Mongeon", "Bianzhu Fu", "Esther Chen", "Daniel Flatoff", "Nicolas LaManque", "J D Russell" ], "corpus_id": 4260853, "doc_id": "4260853", "n_citations": 12, "n_key_citations": 0, "score": 0, "title": "3D Analytical TEM Approach to Effectively Characterize 3D FinFET Device Features in Semiconductor Wafer foundries", "venue": "", "year": 2014 } ]
Ab initio search for novel bipolar
[ { "abstract": "Very recently, the newest class of spintronic materials, where reversible spin polarization can be controlled by applying gate voltage: so called bipolar magnetic semiconductors (Xingxing Li et al. arXiv:1208.1355) was proposed. In this work, a novel way to creation of bipolar magnetic semiconductors by doping of non magnetic semiconducting 1111 phases with magnetic dn 10 atoms is discussed using ab initio calculations of layered YZnAsO doped with Fe and Mn. In addition, more complex materials with several spectral intervals with opposite 100% spin polarization where multiple gate controlled spin polarization can be expected are proposed.", "author_names": [ "V V Bannikov", "Alexander L Ivanovskii" ], "corpus_id": 121603545, "doc_id": "121603545", "n_citations": 9, "n_key_citations": 0, "score": 1, "title": "Ab initio search for novel bipolar magnetic semiconductors: Layered YZnAsO doped with Fe and Mn", "venue": "", "year": 2013 }, { "abstract": "We sampled potential energy surfaces of neutral and anionic B3Hy clusters using the Gradient Embedded Genetic Algorithm (GEGA) program at the B3LYP/3 21G level of theory. The lowest energy isomers were recalculated at the B3LYP/6 311++G* MP2/6 311++G* and CCSD(T)/6 311++G* levels of theory. We found a diverse set of global minimum structures and low lying isomers for the studied clusters. The Adaptive Natural Density Partitioning (AdNDP) method was then used for chemical bonding analysis for all global minimum structures and low lying isomers. The chemical bonding patterns revealed by the AdNDP analysis can easily explain the geometric structure of even very exotic isomers and global minima. 2", "author_names": [ "Jared K Olson" ], "corpus_id": 97000563, "doc_id": "97000563", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Ab Initio Search for Novel BxHy Building Blocks with Potential for Hydrogen Storage", "venue": "", "year": 2010 }, { "abstract": "To solve protein structure prediction (PSP) problems computationally, a plethora of template based methods exist. However, there are very few ab initio models for PSP. Template based modeling relies on the existing structures and therefore is not effective for non homologous sequence based structure prediction. Thus, ab initio modeling is indispensable in such cases, even though it is a challenging optimization problem. To cope, we utilize an effective energy function (called 3DIGARS) and an advanced search algorithm (called KGA) based ab initio PSP, called 3DIGARS PSP. To address critical search, the proposed genetic algorithm deploys two effective operators: angle rotation and segment translation. Further, propensities of torsion angle and secondary structure distribution have been utilized to guide the conformation search. Crucial features, such as sequence specific accessibility, hydrophobic hydrophilic properties and torsion angles of protein residues are mined to formulate an optimized energy function, which is then combined with the advanced sampling algorithm to explore critical conformational space. Consequently, 3DIGARS PSP performed well compared to the state of the art method for a set of low TMscore models from CASP data.", "author_names": [ "Avdesh Mishra", "Md Tamjidul Hoque" ], "corpus_id": 214595770, "doc_id": "214595770", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "3DIGARS PSP: A Novel Statistical Energy Function and Effective Conformational Search Strategy based ab initio Protein Structure Prediction", "venue": "2019 22nd International Conference on Computer and Information Technology (ICCIT)", "year": 2019 }, { "abstract": "To solve protein structure prediction (PSP) problems computationally, a plethora of template based methods exist. However, there are very few ab initio models exist. Template based modeling relies on the existing structures and therefore is not effective for non homologous sequence based structure prediction. Thus, ab initio modeling is indispensable in such cases, even though it is a challenging optimization problem. To cope, we utilize an effective energy function (called 3DIGARS) and advanced search algorithm (called KGA) based ab initio PSP, called 3DIGARS PSP. The proposed approach deploys a memory assisted genetic algorithm to sample the energy hypersurface of the protein folding process to search the native fold of the protein. To address critical search, the proposed genetic algorithm deploys two effective operators: angle rotation and segment translation. Further, propensities of torsion angle and secondary structure distribution have been utilized to guide the conformation search. Crucial features, such as sequence specific accessibility, hydrophobic hydrophilic properties and torsion angles of protein residues are mined to formulate an optimized energy function, which is then combined with the advanced sampling algorithm to explore critical conformational space. Consequently, 3DIGARS PSP performed well compared to the state of the art methods for a set of low TMscore models from CASP data and E. coli proteins.", "author_names": [ "Avdesh Mishra", "Md Tamjidul Hoque" ], "corpus_id": 49570639, "doc_id": "49570639", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "DIGARS PSP A Novel Statistical Energy Function and Effective Conformational Search Strategy based ab initio Protein Structure Prediction", "venue": "", "year": 2018 }, { "abstract": "Background MicroRNAs (miRNAs) play a vital role as post transcriptional regulators in gene expression. Experimental determination of miRNA sequence and structure is both expensive and time consuming. The next generation sequencing revolution, which facilitated the rapid accumulation of biological data has brought biology into the \"big data\" domain. As such, developing computational methods to predict miRNAs has become an active area of inter disciplinary research. Objective The objective of this systematic review is to focus on the developments of ab initio plant miRNA identification methods over the last decade. Data sources Five databases were searched for relevant articles, according to a well defined review protocol. Study selection The search results were further filtered using the selection criteria that only included studies on novel plant miRNA identification using machine learning. Data extraction Relevant data from each study were extracted in order to carry out an analysis on their methodologies and findings. Results Results depict that in the last decade, there were 20 articles published on novel miRNA identification methods in plants of which only 11 of them were primarily focused on plant microRNA identification. Our findings suggest a need for more stringent plant focused miRNA identification studies. Conclusion Overall, the study accuracies are of a satisfactory level, although they may generate a considerable number of false negatives. In future, attention must be paid to the biological plausibility of computationally identified miRNAs to prevent further propagation of biologically questionable miRNA sequences.", "author_names": [ "Buwani Manuweera", "Gillian Reynolds", "Indika Kahanda" ], "corpus_id": 207996385, "doc_id": "207996385", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Computational methods for the ab initio identification of novel microRNA in plants: a systematic review", "venue": "PeerJ Comput. Sci.", "year": 2019 }, { "abstract": "To address the searching problem of protein conformational space in ab initio protein structure prediction, a novel method using abstract convex underestimation (ACUE) based on the framework of evolutionary algorithm was proposed. Computing such conformations, essential to associate structural and functional information with gene sequences, is challenging due to the high dimensionality and rugged energy surface of the protein conformational space. As a consequence, the dimension of protein conformational space should be reduced to a proper level. In this paper, the high dimensionality original conformational space was converted into feature space whose dimension is considerably reduced by feature extraction technique. And, the underestimate space could be constructed according to abstract convex theory. Thus, the entropy effect caused by searching in the high dimensionality conformational space could be avoided through such conversion. The tight lower bound estimate information was obtained to guide the searching direction, and the invalid searching area in which the global optimal solution is not located could be eliminated in advance. Moreover, instead of expensively calculating the energy of conformations in the original conformational space, the estimate value is employed to judge if the conformation is worth exploring to reduce the evaluation time, thereby making computational cost lower and the searching process more efficient. Additionally, fragment assembly and the Monte Carlo method are combined to generate a series of metastable conformations by sampling in the conformational space. The proposed method provides a novel technique to solve the searching problem of protein conformational space. Twenty small to medium structurally diverse proteins were tested, and the proposed ACUE method was compared with It Fix, HEA, Rosetta and the developed method LEDE without underestimate information. Test results show that the ACUE method can more rapidly and more efficiently obtain the near native protein structure.", "author_names": [ "Xiao-hu Hao", "Gui-jun Zhang", "Xiao-gen Zhou", "Xufeng Yu" ], "corpus_id": 1289349, "doc_id": "1289349", "n_citations": 10, "n_key_citations": 2, "score": 0, "title": "A Novel Method Using Abstract Convex Underestimation in Ab Initio Protein Structure Prediction for Guiding Search in Conformational Feature Space", "venue": "IEEE/ACM Transactions on Computational Biology and Bioinformatics", "year": 2016 }, { "abstract": "By means of ab initio computations and the global minimum structure search method, we have investigated structural, mechanical, and electronic properties of D carbon, a crystalline orthorhombic sp3 carbon allotrope (space group Pmma D2h5 with 6 atoms per cell) Total energy calculations demonstrate that D carbon is energetically more favorable than the previously proposed T6 structure (with 6 atoms per cell) as well as many others. This novel phase is dynamically, mechanically, and thermally stable at zero pressure and more stable than graphite beyond 63.7 GPa. D carbon is a semiconductor with a bandgap of 4.33 eV, less than diamond's gap (5.47 eV) The simulated X ray diffraction pattern is in satisfactory agreement with previous experimental data in chimney or detonation soot, suggesting its possible presence in the specimen.", "author_names": [ "Dong Fan", "Shaohua Lu", "Andrey A Golov", "Artem A Kabanov", "Xiaojun Hu" ], "corpus_id": 52812590, "doc_id": "52812590", "n_citations": 12, "n_key_citations": 0, "score": 0, "title": "D carbon: Ab initio study of a novel carbon allotrope.", "venue": "The Journal of chemical physics", "year": 2018 }, { "abstract": "Intermetallic compounds made of alkali metals and gold have intriguing electronic and structural properties that have not been extensively explored. We perform a systematic study of the phase diagram of one binary system belonging to this family, namely NaxAu1?x, using the ab?initio minima hopping structural prediction method. We discover that the most stable composition is NaAu2, in agreement with available experimental data. We also confirm the crystal structures of NaAu2 and Na2Au, that were fully characterized in experiments, and identify a candidate ground state structure for the experimental stoichiometry NaAu. Moreover, we obtain three other stoichiometries, namely Na3Au2, Na3Au and Na5Au, that could be thermodynamically stable. We do not find any evidence for the existence of the experimentally proposed composition NaAu5. Finally, we perform phonon calculations to check the dynamical stability of all reported phases and we simulate x ray diffraction spectra for comparison with future experimental data.", "author_names": [ "Rafael Sarmiento-Perez", "Tiago F T Cerqueira", "Irais Valencia-Jaime", "Maximilian Amsler", "Stefan Goedecker", "Silvana Botti", "Miguel A L Marques", "Aldo H Romero" ], "corpus_id": 122754017, "doc_id": "122754017", "n_citations": 29, "n_key_citations": 0, "score": 0, "title": "Sodium gold binaries: novel structures for ionic compounds from an ab initio structural search", "venue": "", "year": 2013 }, { "abstract": "While the ongoing search to discover new high entropy systems is slowly expanding beyond metals, a rational and effective method for predicting \"\\textit{in silico}\" the solid solution forming ability of multi component systems remains yet to be developed. In this article, we propose a novel high throughput approach for estimating the transition temperature of a solid solution: \\textit{ab initio} energies are incorporated into a mean field statistical mechanical model where the relative entropy, Kullback Leibler divergence, is the key order parameter following the evolution of disorder. The method is corroborated by Monte Carlo simulations and the results from the current most reliable data for binary, ternary, quaternary and quinary systems (96.6% 90.7% 100% and 100% of correct solid solution predictions, respectively) By scanning through the many thousands of systems available in the \\small{\\mathrm{AFLOW} consortium repository, it is possible to predict a plethora of potential previously unknown quaternary and quinary solid solutions for future experimental validation.", "author_names": [ "Yoav Lederer", "Cormac Toher", "Kenneth S Vecchio", "Stefano Curtarolo" ], "corpus_id": 119473356, "doc_id": "119473356", "n_citations": 60, "n_key_citations": 1, "score": 0, "title": "The search for high entropy alloys: a high throughput \\textit{ab initio} approach", "venue": "", "year": 2017 }, { "abstract": "Understanding magnetic anisotropy and specifically how to tailor it is crucial in the search for high temperature single ion magnets. Herein, we investigate the magnetic anisotropy in a six coordinated cobalt(II) compound that has a complex geometry and distinct triaxial magnetic anisotropy from the perspective of the electronic structure, using electronic spectra, ab initio calculations, and an experimental charge density, of which the latter two provides insight into the d orbital splitting. The analysis showed that the d orbital splitting satisfactorily predicted the complex triaxial magnetic anisotropy exhibited by the compound. Furthermore, a novel method to directly compare the ab initio results and the d orbital populations obtained from the experimental charge density was developed, while a topological analysis of the density provided insights into the metal ligand bonding. This work thus further establishes the validity of using d orbitals for predicting magnetic anisotropy in transition metal compounds while also pointing out the need for a more frequent usage of the term triaxial anisotropy in the field of single molecule magnetism.", "author_names": [ "Emil Damgaard-Moller", "Lennard Krause", "Helene Lassen", "Lorraine A Malaspina", "Simon Grabowsky", "Heiko Bamberger", "Jake McGuire", "Haralampos N Miras", "Stephen Sproules", "Jacob Overgaard" ], "corpus_id": 221404555, "doc_id": "221404555", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Investigating Complex Magnetic Anisotropy in a Co(II) Molecular Compound: A Charge Density and Correlated Ab Initio Electronic Structure Study.", "venue": "Inorganic chemistry", "year": 2020 } ]
Simulation von Lichtbeugung an Kreuzgitter-Strukturen und deren Anwendung in der
[ { "abstract": "Diese Arbeit behandelt sowohl die Simulation von Lichtbeugung an Kreuzgitterstrukturen als auch deren Anwendung in der Scatterometrie, einer Messtechnik fur die Prozesskontrolle in der Halbleiterfertigung. Kreuzgitterstrukturen weisen eine Periodizitat entlang von zwei Koordinatenachsen auf. Bei der Verwendung von bekannten Fourier basierten Methoden erhoht sich hierdurch die Komplexitat von numerischen Beugungssimulationen auf O(M^6) im Vergleich zu Gittern mit nur einer Richtung der periodischen Fortsetzung, bei denen sich lediglich ein Wert von O(M^3) ergibt. Hierbei ist M die Anzahl der berucksichtigten Fourier Koeffizienten oder Moden. Die Fourier Reihen der unbekannten elektrischen und magnetischen Felder konvergieren mit wachsendem M gegen die wahren Werte. Dieser enorme Anstieg der Rechenzeit als Funktion der erwunschten Genauigkeit fuhrt zu einem hohen Mas an Forschungsaktivitaten, die auf eine Reduktion der Rechenzeit abzielen. Fur viele Simulationsaufgaben, speziell im Bereich der Prozesskontrolle in der Halbleiterfertigung, ist die Anwendung optimierter Algorithmen ausschlaggebend und entscheidet oft daruber, ob ein gegebenes Problem uberhaupt mit der augenblicklich verfugbaren Rechenleistung zu bewaltigen ist. Diese Arbeit liefert einen Betrag zur Reduzierung der Rechenzeiten, indem eine Verbesserung einer der verbreitetsten Methoden vorgeschlagen wird, der Rigorous Coupled Wave Analysis (RCWA) Mit dieser Verbesserung ist die Konvergenz des RCWA Algorithmus fur die meisten betrachteten Beispiele besser als bei alternativen Formulierungen der Methode. In manchen Fallen, bei denen andere Formulierungen optimal an die Symmetrie der Struktur angepasst sind, ist das Konvergenzverhalten lediglich vergleichbar. In keinem Fall wird aber schlechtere Konvergenz beobachtet. Den zweite Themenschwerpunkt der Arbeit neben den Simulationsalgorithmen stellt deren Anwendung in der Scatterometrie dar. Im Gegensatz zu vielen anderen optischen Messtechniken zur Prozesskontrolle handelt es sich hierbei um eine nicht abbildende Methode. Licht, das an typischerweise >10^6 gleichformigen Nanostrukturen in perdiodischer Anordnung reflektiert oder gebeugt wird, wird insbesondere im Hinblick auf die Anderung seines Polarisationszustandes untersucht. So wird ein Satz von primaren Messdaten ausgezeichnet, der aber noch keinen direkten Ruckschluss auf die gesuchten Dimensions und Strukturparameter der Nanostrukturen erlaubt. Letztere konnen als sekundare Messgrosen identifiziert werden und konnen nur durch Losen des inversen Beugungsproblems ermittelt werden. Der einfachste Weg hierfur besteht in einer Bibliothekssuche, die die primaren Messdaten mit einer grosen Bibliothek vorab berechneter simulierter Datensatze mit sinnvoller Variation aller interessierender Parameter vergleicht. Diese Arbeit untersucht verschiedene Losungsansatze fur gegenwartige Herausforderungen an die Scatterometrie. Erstens werden unterschiedliche Varianten der Scatterometrie, speziell solche, die noch nicht in kommerziellen Geraten erhaltlich sind, im Hinblick auf die Untersuchung komplexer 3D Strukturen bewertet. Zweitens wird Scatteromatrie an Strukturen mit Seitenwand und Kantenrauheit betrachtet. Hierbei wird die Messbarkeit von Mittelwerten geometrischer Abmessungen einerseits und deren Standardabweichungen andererseits untersucht. Weiterhin wird der Einfluss von Kantenrauheit auf die Genauigkeit von rekonstruierten Grosen studiert. This thesis deals with both the simulation of light diffraction from crossed grating structures and its application in the field of scatterometry, a measurement technique for process control in semiconductor manufacturing. Crossed grating structures feature a periodicity along two coordinate axes. Using common Fourier based methods, the complexity of the diffraction simulation is O(M^6) instead of O(M^3) as in the case of singly periodic structures, M being the number of retained Fourier modes. The Fourier series of the unkown electric and magnetic fields converge to the true values as M is increased. This enormous rise in computation time as a function of the desired precision gives rise to quite a lot of recent research activities to reduce the simulation durations. For many simulation tasks, particularly in the field of process control in semiconductor manufacturing, the application of optimized algorithms is crucial and often decides whether or not some computation task is feasible at all with the presently available computation power. This thesis contributes to the activities to reduce computation times by proposing an improvement of one of the most widely used numerical methods, the rigorous coupled wave analysis (RCWA) With this improvement the convergence of the RCWA algorithm is found to be better than that of alternative formulations for most of the investigated examples. In some cases, however, where other formulations are perfectly adapted to the respective structure symmetries, comparable convergence but never a poorer one has been observed. The second main issue of this thesis besides the simulation algorithms is their application in the field of scatterometry. In contrast to many other optical measurement techniques for process control, scatterometry is a non imaging method. Light reflected or diffracted from an extended array of typically >10^6 identical nano structures in a periodic arrangement is investigated with a particular focus on the change of the polarization state due to the interaction of the light with the structure. This way a set of primary measurement data is collected which does, however, not allow for a direct conclusion on the desired dimension and shape parameters of the nano structures. The latter can be identified as the secondary measurands and can only be determined by solving the inverse diffraction problem. The simplest way to do so consists of a library search which compares the primary measurement data to a large library of pre computed simulated data sets which comprise a variation of all dimension and shape parameters within reasonable boundaries. This thesis investigates different approaches concerning current challenges in the field of scatterometry. Firstly, the capabilities of different variants, particularly those which are not yet available in commercial scatterometers, are investigated with regard to a complex 3D structure. Secondly, scatterometry from structures featuring sidewall roughness and line edge roughness is considered. Here the respective measurability of mean values of geometric admeasurements on the one hand and their fluctuations of the other hand is analyzed. Furthermore, the influence of line edge roughness on the precision of reconstructed quantities is examined.", "author_names": [ "Thomas Schuster" ], "corpus_id": 106431038, "doc_id": "106431038", "n_citations": 5, "n_key_citations": 0, "score": 1, "title": "Simulation von Lichtbeugung an Kreuzgitter Strukturen und deren Anwendung in der Scatterometrie", "venue": "", "year": 2010 }, { "abstract": "ZusammenfassungDie vorliegende Arbeit stellt eine Studie zur rechnergestutzten Modellierung stationarer Fliessverhaltnisse an und in horizontal durchstromten Bohrbrunnen vor. Basierend auf einem neuartigen 3D Navier Stokes Brinkman Gleichungsloser wird ein Losungsansatz fur das interaktive System Porengrundwasserleiter/Filterkies/Filterrohr/Brunnenraum vorgestellt. Er ermoglicht es, stationare Stromungsszenarien gekoppelt aus freiem und porosem Fliessen am Computer zu simulieren. Fur die Anwendung des Gleichungslosers wurde das Expertensystem PHREASIM entwickelt, mit dem Modellgeometrien erstellt und im Anschluss an die Simulation stationare Fliessszenarien visualisiert werden konnen. Zur Validierung wurden in Laborexperimenten beispielhaft verschiedene Brunnenmodellkonstruktionen untersucht und anschliessend mit PHREASIM simuliert. Der Abgleich zwischen Ergebnissen aus Laborexperimenten und Simulationen zeigt, dass mit PHREASIM quasistationare Fliessszenarien bei identischen Randbedingungen und gleichen Geometrien realitatsnah abgebildet werden konnen. Bei genauer Kenntnis der Brunnengeometrien und der stromungsmechanischen Randbedingungen kann mit PHREASIM die Fliesssituation an beliebigen Stellen innerhalb sowie ausserhalb eines horizontal durchstromten Brunnenabschnitts am Computer simuliert werden. Ein Einsatzbereich von PHREASIM ist die Unterstutzung der Interpretation von Fliessmessdaten, die beispielsweise mit dem PHREALOG Fliessmesssystem gewonnen werden.AbstractIn this study, a new numerical solver based on a coupling of the Navier Stokes and Brinkman equations is presented. The solver can model 3D horizontal steady state flow conditions with interactions between the aquifer, gravel pack, well screen, and open borehole at the interface between the borehole and the surrounding porous filter and aquifer, thus solving the critical transition from porous to free flow. The solver is embedded in the workflow of the PHREASIM expert system. It allows for designing a broad variety of well designs and flow scenarios while considering the permeabilities and geometries of the involved microstructures. Stationary flow scenarios can be visualized in any cross section and at any discrete point within the model. In order to verify the validity of the numerical approach, a variety of flow scenarios were investigated in sand tank model experiments and simulated with PHREASIM. By comparing the results of both simulations and experimental measurements performed using identical boundary conditions, PHREASIM proved to be viable for accurately replicating virtually steady state flow conditions. PHREASIM aims to support the interpretation and significance of flow data gained by borehole flow measuring systems like PHREALOG.", "author_names": [ "Joachim Driessen", "Marc Schottler", "Frieder Enzmann", "Zahra Lakdawala", "Konrad Steiner", "Peter Popov", "O Iliev", "M Drews", "Georg Wieber", "Michael Kersten" ], "corpus_id": 126448594, "doc_id": "126448594", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "PHREASIM Ein Expertensystem zur Simulation von Fliessverhaltnissen in Grundwassermessstellen und deren unmittelbarem Nahfeld", "venue": "Grundwasser", "year": 2015 }, { "abstract": "Zur High Performance Simulation von Prozessorarchitekturen und deren Modellierung auf Befehlssatzebene kommen haufig Verfahren zur Anwendung, die den auszufuhrenden Zielcode mittels Dynamic Binary Translation (DBT) direkt auf den Prozessor des Simulationshosts abbilden. Wahrend fur Standardoperationen eine gute Abbildbarkeit des Befehlssatzmodells auf den Prozessor des Simulationshosts gegeben ist, lassen sich Modelle komplexer Spezialbefehle oder Peripherie nur ineffizient durch die Befehlssatzarchitektur des Simulationshosts nachbilden. In unserem Beitrag stellen wir die Modellintegration und Co Simulation dieser anwendungsspezifischen Komponenten auf Basis von FPGA Technologie vor. Als Hardwareplatform anwendungsspezifischer Funktionalitat fungiert dabei ein FPGA Accelerator, der mittels PCIe Schnittstelle an das von uns entwickelte RUBICS Simulationsframework (Retargetable Universal Binary Instruction Conversion Simulator) angebunden wird. RUBICS stellt ein flexibles Werkzeug zur Modellierung und Evaluation kompletter, eingebetteter Prozessorarchitekturen (SoC) auf einem hohen Abstraktionsniveau (Befehlsebene, IO Verhalten) basierend auf der ECMA CLR Plattform dar. Ausgehend von einem in einer dedizierten Architekturbeschreibungssprache (ADL) vorliegenden ARMv7 Strukturund Verhaltensmodell wird die exemplarische Modellierung eines FPGA basierten FFT Prozessors als anwendungsspezifisches Peripheriegerat der ARMv7 Architektur aufgezeigt und analysiert.", "author_names": [ "Steffen Kohler", "Rainer G Spallek" ], "corpus_id": 39801920, "doc_id": "39801920", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Modellierung anwendungsspezifischer Hardware und deren Einbettung in die DBT basierte Prozessor Verhaltenssimulation", "venue": "GI Jahrestagung", "year": 2017 }, { "abstract": "Within this project methods for the preparation of the unnatural nucleic acids 3' O Methyl pRNA and pDNA (3' Desoxy pRNA) were developed. The pairing properties of these two systems have been examined and compared with that of pRNA. All of the three systems do follow the same pairing rules. Pairing has been observed only on the Watson Crick side forming duplexes in antiparallel direction. All three systems are autonomous pairing systems that do not interact with RNA or DNA. In this series pRNA forms the most stable duplexes. Nucleobase 9H purin 2,6 diamin instead of adenin and 5 methyl cytosine instead of cytosine were introduced to increase the stability of pDNA duplexes. A solution structure of the self complementary pDNA sequence [MGAATTMG]2 was elucidated by NMR. It shows an antiparallel duplex twisted against the major groove with sligthly lefthanded helicity. In analogy to pRNA the interstrand stacking predominates with a inclination angel of approximately 45deg. Proceeding form the NMR structure a MD simulation was performed, in which the duplex has strongly bent after a regeneration time of 1.5 ns; this bent shape was conserved during the remaining simulation time of 4.0 ns. Using automated solid phase synthesis pDNA/RNA hybrid sequences were prepared. Examining the pairing strength, the RNA 2' >4' pDNA linkage at the pDNA/RNA interface was observed to lead to more stable duplexes than the RNA 3' >4' pDNA linkage did. This kind of pDNA/RNA structures have been used to replace structure defining elements like hairpins or stem motifs by pDNA duplexes in complex RNA structures. From the FMN binding RNA aptamer an analogous pDNA/RNA construct has been prepared, that was built of two hybrid sequences. The binding of FMN in the pDNA/RNA construct was shown by fluorescence spectroscopy and the dissociation constant K0 2.6*10 7 M was calculated. Using the same strategy a pDNA/RNA construct of the hammerhead ribozyme was prepared, having substrate cleavage activity in analogy to the natural ribozyme. Cleavage properties of the pDNA/RNA construct at different Mg2+ and Mn2+ concentrations and at different reaction temperatures showed the same trend as those from the natural ribozyme did. Under all measured conditions, the natural ribozyme was about 1.5 times as active as the pDNA/RNA construct. Additional functional groups were introduced at different positions of the pDNA/RNA hybrid sequences using a pre functionalized 6' bromopentyl allofuranosyl building bloc on which the bromide was substituted with MeNH2 resp. EtSH after solid phase synthesis. 25 different functionalized pDNA/RNA ribozyme constructs could be built up in a combinatorial way. From 17 out of the 25 constructs, the cleavage kinetics was measured and the cleavage rate evaluated. The substitution pattern of the most active pDNA/RNA ribozyme analogue was applied on the hammerhead RNA sequence and the doubly methylamino substituted construct prepared. Compared to the natural hammerhead ribozyme, the cleavage rates of this construct were higher with a factor of 2.0 3.3 under all measured conditions.", "author_names": [ "Damian Ackermann" ], "corpus_id": 91520997, "doc_id": "91520997", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Synthese und Paarungseigenschaften von pDNA und deren Anwendung bei der Entwicklung funktionalisierter Ribozyme", "venue": "", "year": 2004 }, { "abstract": "Die Unabhangigkeit zeitlich aufeinanderfolgender Beobachtungen ist viel fach eine implizite Eigenschaft von Risikomodellen Dabei zeigt sich die postulierte Unabhangigkeit und Trendfreiheit einer Zeitreihe als metho disch recht komplex. Vereinfachend kann daher auch von der Reprasenta tivitat der historischen (ex post) Beobachtungen fur die zukunftigen (ex ante) Beobachtungen gesprochen werden. Kann die statistische Trendfreiheit in der Praxis vielfach nicht gehalten werden, so sind Modellierer und Anwender gehalten, sich mit den hieraus folgenden Konsequenzen auseinanderzusetzen. Die kritische Analyse der Ergebnisse wie auch der Risikomodelle erweist sich regelmasig, wenn nicht gar weiter zunehmend, als Nucleus des (Risiko )Controllings Die adaquate wie auch proportionale Verwendung der Historischen Simulation fuhrt in SS 44er Prufungen immer wieder zu ungeklarten Fragen und stellt Geprufte und Prufer vor eine zentrale Fragestellung: Mindern Autokorrelationseffekte die Prognosegute in der Starke, dass die Anwendung der Historischen Simulation die Sicherstellung der Risikotrag fahigkeit in Frage stellt? Im Zuge der Beantwortung werden hierfur zunachst die retrograden Mo dellvorteile der Historischen Simulation vorgestellt. Nachfolgend erfolgt eine Erlauterung der Autokorrelation bzw. deren Wirkungsweise. Im An schluss wird die Wirkung von konstruktionsbedingten Autokorrelations effekten in der Historischen Simulation empirisch uberpruft. Hierbei werden auch verschiedene Dimensionen, wie z. B. die Verwendung unterschiedli cher Zinskurven, Haltedauern und Berechnungen der Zinsveranderungen, in die Untersuchung miteinbezogen. Weiterhin sollen Handlungsimplikatio nen fur Kreditinstitute zum Umgang mit Autokorrelationseffekten in der Historischen Simulation, im Sinne der jahrlichen Uberprufung der Ange messenheit vorgestellt werden. Der Beitrag schliest mit einer abschliesen den Zusammenfassung und einem Ausblick auf anverwandte Fragestellun gen zur Zinsrisikomessung mit der Historischen Simulation.", "author_names": [ "Noel Boka" ], "corpus_id": 171837498, "doc_id": "171837498", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Zur Sinnhaftigkeit der Historischen Simulation in der Zinsbuchsteuerung", "venue": "", "year": 2018 }, { "abstract": "Die Untersuchung von Ultraschallausbreitung in periodischen Strukturen ist aktuell von grosem Interesse fur eine zielgerichtete Beeinflussung der Schallausbreitung. Die bekanntesten Vertreter periodischer Strukturen sind die sogenannten phononischen Kristalle. Als phononischen Kristall bezeichnet man eine periodische Struktur bestehend aus einer Matrix, in die Storungen aus einem zweiten Material eingebracht worden ist. Dabei unterscheiden sich Matrixmaterial und das eingebrachte Material sehr stark in ihren akustischen Eigenschaften. Ein phononischer Kristall erzeugt Bandlucken, in denen die Schalltransmission durch den Kristall vollstandig unterbunden ist. Auserhalb der Bandlucken hingegen findet eine ungehinderte Schallausbreitung statt. Diese speziellen Eigenschaften konnen beispielsweise dazu genutzt werden, frequenzselektive Wellenleiter zu konzipieren oder Schallfelder zu fokussieren. Die Kristallstrukturen konnen anhand der Anzahl der Dimensionen, in welchen eine Schallausbreitung unterbunden wird, unterteilt werden. So verhindert ein eindimensionaler Kristall nur die Schallausbreitung in eine Raumrichtung, wohingegen ein zwei oder dreidimensionaler Kristall die Schallausbreitung in mehreren Raumrichtungen unterbindet. Fur technische Anwendungen sind aufgrund ihrer einfachen Fertigung insbesondere ein und zweidimensionale Strukturen von Interesse. In den vorgestellten Arbeiten werden ein und zweidimensionale Kristalle theoretisch und experimentell untersucht. Fur den eindimensionalen Fall wurde eine entartete Form des phonischen Kristalls gewahlt, wobei ein Vollzylinder mit periodischer Querschnittsanderung betrachtet wurde. Ziel ist es dabei, die Schallausbreitung in axialer Richtung zu verhindern. Inspiriert wurde diese Anordnung durch die in [1] vorgestellte Tubular Bell Geometrie und dient als Vorarbeit fur die Entwicklung eines Sensorsystems. Im Falle des zweidimensionalen Kristalls wird die Schallausbreitung in einer Platte mit regelmasigen Bohrungen untersucht. Hier soll keine Schallausbreitung in der Ebene stattfinden. Als Voruntersuchungen werden zunachst Berechnungen mit Hilfe der Finiten Elementen Methode (FEM) und der Scaled Boundary Finite Element Method (SBFEM) durchgefuhrt. Fur die Berechnung der Dispersionskurven der Strukturen wurde COMSOL Multiphysics genutzt. Die hierbei gewonnenen Erkenntnisse liefern eine Vorhersage der Ubertragungseigenschaften der Strukturen. Zur Simulation der Schallausbreitung in der eindimensionalen Struktur wird ein effizienter, achsensymmetrischer Ansatz der SBFEM verwendet. Hierbei entsteht ein numerisches Modell mit einer sehr geringen Anzahl an Freiheitsgraden. Diese effiziente Beschreibung ermoglicht eine zielgerichtete Optimierung der Geometrie der Struktur in Hinblick auf die geforderten akustischen Eigenschaften. Anhand der Simulationsergebnisse werden Testkorper fur beide Strukturen gefertigt und deren Ubertragungsverhalten im Vergleich mit den numerischen Ergebnissen experimentell uberpruft. [1] Lucklum R. Zubtsov M. Pennec Y. Tubular Bell Ein neuartiger Ultraschall Rohrsensor, 3. Workshop des Fachausschusses der DEGA e.V. Messtechnische Anwendung von Ultraschall 20.06 22.06.2016, Kloster Drubeck", "author_names": [ "Paul Wasmer" ], "corpus_id": 133531138, "doc_id": "133531138", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Ultraschallausbreitung in periodischen Strukturen Simulation und Experiment", "venue": "", "year": 2018 }, { "abstract": "6 Zusammenfassung und Ausblick Die hydrothermal induzierte Phasentransformation konnte fur ATZ Keramik mit tiefenge mittelten und tiefenaufgelosten Methoden charkterisiert und quantifiziert werden. Die zeit und temperaturabhangige Alterungskinetik von ATZ wurde durch neun Tempera turstufen in einem Temperaturbereich von 50 degC bis 134 degC untersucht und die kinetischen Parameter nummerisch bestimmt. Fur 3Y TZP wurde diese Prozedur bei drei Temperaturen im Temperaturbereich von 70 degC bis 134 degC angewendet. Aufgrund des ARRHENIUS Verhaltens der Umwandlungskinetik konnte der zeitliche Verlauf der isotherm stattfinden den hydrothermal induzierten Phasentransformation bei Korpertemperatur simuliert wer den. Die Simulation dient zur Bewertung der Langzeitstabilitat von medizinischen Implanta ten aus ATZ bzw. 3Y TZP. Die Untersuchungen wurden in Wasser und in Wasserdampf bzw. wasserdampfgesattigter Luft durchgefuhrt. Die Langzeitsimulation fur 3Y TZP wurde an hand von Explantat Untersuchungen verifiziert. ATZ zeigt gegenuber 3Y TZP eine hohere Alterungsstabilitat bezogen auf die zeitliche Ent wicklung der monoklinen Phase. Im Hinblick auf die Oberflachenharte, die durch die Pha senumwandlung stark beeinflusst wird, erweist sich ATZ uber einen langen Alterungszeit raum stabiler als 3Y TZP. Bis zu einem monoklinen Gehalt von 40 beweist ATZ einen deutlichen Hartevorteil gegenuber 3Y TZP, dieser entspricht in der Langzeitsimulation fur die Wasserlagerung ca. 35 Jahre. Das wirkt sich insbesondere bei Verschleispaarungen wie beim kunstlichen Huftgelenk positiv aus. Verschleisuntersuchungen an einer neu entwickelten Kugel auf Scheibe Geometrie mit li nearer Kinematik, die dem Huftgelenk nachempfunden wurde, belegen die vorteilhaften Verschleiseigenschaften von ATZ in Form von sehr geringen Abtragsraten und einer intak ten Oberflache nach 720 000 absolvierten Zyklen. Dabei wurde sogar eine Aufhartung der Oberflache durch die Verschleisbeanspruchung um bis zu 8 nachgewiesen. Bei der tiefengemittelten Charakterisierung der hydrothermalen Alterung wurde in beiden Materialtypen festgestellt, dass die Geschwindigkeit der Phasentransformation neben der Temperatur merklich von der Anderung der H2O Stoffmengenkonzentrantion an der Ober flache der Keramik abhangig ist, was sich mit den unterschiedlichen Aktivierungsenergien fur Wasser bzw. Wasserdampflagerung belegen lasst. Die Aktivierungsenergie Ea der hyd rothermalen Phasentransformation wurde mit Hilfe der ARRHENIUS Beziehung ermittelt und betragt fur ATZ bei Wasserdampflagerung 102 kJ/mol und bei Wasserlagerung 92 kJ/mol. Fur Y TZP betragt die Aktivierungsenergie 114 kJ/mol bei Wasserdampflagerung und 102 kJ/mol bei Wasserlagerung. Der resultierende praexponentielle Faktor k0 unterscheidet sich fur Wasserlagerung und Wasserdampflagerung um eine Grosenordnung, was auf einen leicht andersartigen thermisch aktivierten Gesamtprozess hinweist. Der Avrami Exponent n, der einen Hinweis auf den Mechanismus der Keimbildung sowie deren geometrische Ordnung geben kann, zeigte keine signifikante Abhangigkeit von der Temperatur und vom Umgebungsmedium. Er ist dagegen zeitabhangig und fallt mit zuneh mender Alterungszeit, d.h. mit zunehmendem monoklinem Gehalt von ca. 4 auf 0,5 ab, was auf eine abnehmende Keimbildungsrate hindeutet. In Verbindung mit weiteren Untersu chungen durch unabhangige und zum Teil tiefenauflosende Methoden wie GIXRD, NRA und Knoop Mikroharte Messungen lasst sich der Alterungsmechanismus, bzw. sein zeitlicher und ortlicher Ablauf, durch die drei Stadien A, B und C beschreiben: A 0 5 ma. m ZrO2 Quasi homogene Keimbildung an bevorzugten Orten wie Kornkan ten und Kornecken (n4) Wassertransport wahrscheinlich via Korngrenzendiffusion, Aufhartung der Oberflache B 5 40 ma. m ZrO2 Keimbildung an den Korngrenzflachen bis zur Keimsattigung (n2) monokline Randschicht wachst zeitlich linear, Wassertransport konvektiv uber Mikrorisse, deutlicher Harteverlust der Oberflache C 40 ma. m ZrO2 Wachstum der monoklinen Kristallite von den Korngrenzflachen in die tetragonalen Kristallite unter starker Verzwillingung (n0,5) Abnahme der tetragonalen Kristallitgrose, starke Mikrorissbildung, dramatischer Ruckgang der Oberflachenharte Die Kristallitgrose der monoklinen Phase verbleibt im ATZ uber alle drei Abschnitte bei 30 5 nm. Ein Anwachsen der Kristallite ist mechanische behindert. Kleinere monokline Kristallite sind im ATZ thermodynamisch instabil. Die Kristallitgrose der tetragonalen Phase fallt in den Abschnitten A und B sehr langsam und in C sehr schnell bis auf 25 nm ab. Bei dieser Kristallitgrose ist die tetragonale Phase gegenuber der monoklinen Phase thermody namisch stabil. Diese residualen tetragonalen Kristallite weisen nach vollstandigem Reakti onsablauf einem Anteil von 7 ma. auf. Der Sattigungsgehalt der monoklinen Phase betrug in beiden Materialen unabhangig von der Temperatur bzw. dem Umgebungsmedium 75 der ZrO2 Phase. In Abschnitt C besitzt die residuale tetragonale Phase eine starke Orientierung. Dadurch wird die geometrische Bedingtheit der hydrothermal induzierten Phasenumwandlung ver deutlicht. Die monokline Phase ist uber den gesamten Alterungsprozess stark nach m(1 1 1) orientiert, was mit einer bevorzugten Umklapprichtung der c Achse zur freien Oberflache hin verbunden ist. Mit Hilfe der tiefenaufgelosten Phasenanalyse konnte die Wachstumsgeschwindigkeit der monoklinen Randschicht von der Oberflache in das Volumen untersucht werden. Die Ge schwindigkeit des Schichtwachstums ist in Abschnitt B nicht zeit und tiefenabhangig, son dern konstant mit ausgepragtem ARRHENIUS Verhalten (Temperaturabhangigkeit) Die Akti vierungsenergie der Schichtwachstumsgeschwindigkeit km liegt in der gleichen Grosenord nung wie die der Transformationskonstante k. Die Umwandlungszone schreitet also mit konstanter Geschwindigkeit in das Volumen fort und hinterlasst ein verzweigtes Mikro und Nanoriss System. FESEM Aufnahmen bestatigen das Vorhandensein einer porosen Randschicht, durch die das Wasser nahezu ungehindert eindringen kann. NRA Untersuchungen deuten in Stadium A auf Korngrenzendiffusion hin und bestatigen in Stadium B einen konvektiven Transport des Wassers an die Transformationszone. Eine Dif fusion uber Sauerstoffleerstellen im Gitter konnte anhand von Proben aus 8YSZ nicht nach gewiesen werden. Dagegen kommt es in dem verzweigten Riss und Porensystem in der gealterten Randschicht zum Rucktransport des Wassers an die Oberflache, sobald die Pro ben aus der hydrothermalen Atmosphare genommen, an Luft gelagert oder in die Hochva kuumkammer der NRA Messapparatur eingeschleust werden. Mikrostrukturelle Untersuchungen an eigens entwickelten Verschleispaarungen zeigten nach 720000 Zyklen ahnliche Oberflacheneigenschaften wie im Alterungsstadium A. Man kann daher davon ausgehen, dass die Stadien B und C aus Stabilitatsgrunden in der tribolo gischen Kontaktzone nicht existieren konnen und es dass sich im Falle einer gleichzeitigen, hydrothermalen und tribologischen Beanspruchung um einen stationaren Alterungs und Verschleisprozess handelt. Durch quasiplastische Deformation der monoklinen und tetra gonalen Kristallite wird die Verschleisrate und die Abriebpartikel bei einer hart /hart Paa rung aus ATZ deutlich minimiert, so dass ATZ fur die Huftendoprothetik ein durchaus geeig neten Werkstoff darstellt, der sich auf der Grundlage der in dieser Arbeit gewonnenen Daten uber eine Imlantationsdauer von .mehr als 15 Jahre stabil verhalten kann.", "author_names": [ "Jens Schneider" ], "corpus_id": 102484979, "doc_id": "102484979", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Untersuchungen zum Alterungsverhalten und zur Langzeitstabilitat von Y TZP/Al2O3 Dispersionskeramiken (ATZ) fur die Anwendung in der Huft Endoprothetik", "venue": "", "year": 2012 }, { "abstract": "Das masgeschneiderte Material fur eine Anwendung zu finden oder ein Material auf seine Anwendung hin zu optimieren, ist Inhalt zahlreicher Forschungsvorhaben. Dort wo Produktionskosten eine grose Rolle spielen, wird so versucht die Effizienz eines Prozesses weiter zu erhohen. Ein Beispiel hierfur ist die Produktion von Presswerkzeugen in der Automobilindustrie. Die Presswerkzeuge sollten zum Beispiel einen hohen Verschleiswiderstand aufweisen, um die Lebenszeit eines Automobilmodells ohne Nacharbeiten uberdauern zu konnen. Gleichzeitig sollten die Werkzeuge moglichst kostengunstig in der Produktion sein. Der Werkstoff der hier, in der Regel, verwendet wird, ist ein perlitischer Grauguss mit globularen Graphitspharolitausscheidungen. Dieser entspricht dem Anforderungsprofil, das an einen Werkstoff fur ein Presswerkzeug gestellt wird: hohe Verschleisfestigkeit bei geringen Produktionskosten. Ein neuer Weg um den Herstellungsprozess von Umformwerkzeugen effektiver zu gestalten hat sich in den letzten Jahren in der Industrie durchgesetzt. Hierbei wird das zeitraubende manuelle Polieren der Werkzeugoberflachen durch einen maschinellen Einglattungsprozess, dem Maschinellen Oberflachenhammern, ersetzt. Das Oberflachenhammern ein zyklisches Schlagen einer Hartmetallkugel auf die Werkstoffoberflache wird bereits heute in der Serienproduktion der Automobilindustrie verwendet. Durch Fuhrung des Hammerkopfes mit einem Roboter konnen so Frasriefen der Oberflache vollautomatisiert eingeglattet werden. Neben der Einglattung tritt auch eine Kaltverfestigung der Oberflache auf. Vor Verwendung dieses Verfahrens wurde die Oberflache der Umformwerkzeuge, welche oft mehrere Quadratmeter gros ist, per Hand poliert. Um Gewicht im Automobil einzusparen werden zunehmend hoherfeste Bleche verwendet. Dies hat zur Folge, dass die Belastung der Oberflache der Presswerkzeuge ansteigt. Der herkommlich verwendete Grauguss weist hierfur nicht mehr die notigen Materialeigenschaften auf. Es kommt zur Schadigung der Werkzeugoberflache beim Abpressen hoherfester Bleche. Um dieses Problem zu losen werden bisher zwei Wege verfolgt. Zum einen wird an hochbelasteten Stellen des Presswerkzeugs ein hoherfester (lasergeharteter) Stahl verwendet. Zum anderen wird der Grauguss durch Laserharten an der Oberflache in den martensitischen Zustand uberfuhrt. Beide Wege weisen dabei Nachteile auf. Erstens sind Stahle um ein vielfaches teurer als der bisher verwendete Grauguss und zweitens macht das Laserharten, welches einen zusatzlichen Prozessschritt darstellt, den Gesamtherstellungsprozess der Umformwerkzeuge weniger effektiv. Ein weiterer Prozessschritt bedeutet teurere Produktionskosten und des Weiteren kann es zum thermischen Verzug der Werkzeugoberflache kommen. Die durch das Hammern eingebrachte Kaltverfestigung der Oberflache ist alleine jedoch nicht ausreichend, um auf die erwahnten Hartungsprozesse verzichtet zu konnen. Hier setzt diese Arbeit an. Ziel ist es die Mikrostruktur des bisher verwendeten Gusswerkstoffes EN JS2070 in der Weise anzupassen, dass durch das Maschinelle Oberflachenhammern, eine glatte und gleichzeitig ausreichend harte Oberflache erzeugt wird. Diese Oberflache sollte dabei deutlich harter als das Grundmaterial sein, so dass das Tiefziehen hoherfester Bleche moglich wird. Die Mikrostruktur von EN JS2070 soll in der Weise angepasst werden, dass diese auf die ausere Belastung des Hammerns eine martensitische Oberflache bildet. Dafur erfolgt eine zweistufige Warmebehandlung, um das Gefuge von EN JS2070 in ein austenitisch ferritisches (ADI) Gefuge umzuwandeln. Es entsteht metastabiler Austenit, welcher unter Verformung in harten Martensit umwandeln kann. Die notige Verformung soll durch das Oberflachenhammern eingebracht werden. Dabei ergibt sich unter anderem die wissenschaftliche Fragestellung nach dem Einfluss der Warmebehandlungsparameter (Austenitisierungstemperatur, zeit, Ausferritisierungs temperatur, zeit) auf die ADI Mikrostruktur. Der Fokus liegt hier auf dem Anteil an metastabilem Austenit und dessen Kohlenstoffgehalt, da diese beiden Parameter zum einen den Anteil an erzeugbarem Martensit und zum anderen dessen Harte bestimmen. Hieraus soll eine Strategie zur optimalen thermomechanischen Behandlung des Ausgangswerkstoffes abgeleitet werden. Eine detaillierte Analyse des Einflusses von Kohlenstoff auf die Austenitstabiltitat soll durch Kombination aus mikromechanischer Untersuchung und Simulation des Hammerprozesses erreicht werden.", "author_names": [ "Jan Scheil" ], "corpus_id": 193384387, "doc_id": "193384387", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Entwicklung von Austenitisch Ferritischem Gusseisen (ADI) aus EN JS2070: Mikrostruktur, mechanische Eigenschaften und deren Auswirkung auf die Oberflachenbearbeitung durch das Maschinelle Oberflachenhammern", "venue": "", "year": 2016 }, { "abstract": "Stahlbetontragwerke sind eine wichtige Konstruktionsweise im Bauwesen und ein wachsendes Anwendungsfeld fur die Zerstorungsfreie Prufung (ZfP) Zur Sicherung der Tragsicherheit, strukturellen Integritat, Bestimmung von Geometrien und zur Detektion konstruktiver Elemente wie z.B. Bewehrung, Verankerungen und Spannkanalen besitzen zerstorungsfreie Prufverfahren in diesem Bereich inzwischen grose Relevanz. Durch den bislang erreichten Fortschritt in der Forschung und Entwicklung haben moderne akustische und elektromagnetische Verfahren eine beachtliche Leistungsfahigkeit erreicht. Von Gerateherstellern sind diese in kommerziellen Produktserien umgesetzt worden und finden eine zunehmend breite Anwendung in der Praxis. In einigen anderen Anwendungsgebieten, in denen die ZfP bereits fester Bestandteil von Uberwachungen ist, wie z.B. im Maschinenbau und insbesondere in der Kerntechnik und der Luftfahrt, existieren umfangreiche Qualitatssicherungssysteme fur die konforme Anwendung und zuverlassige Einbeziehung der ZfP. Dem relativ jungen Entwicklungsstadium moderner ZfP fur Stahlbetonbauteile entsprechend mussen solche Konzepte im Bauwesen erst noch entwickelt bzw. implementiert werden. Dementsprechend hat sich der Unterausschuss Qualitatssicherung (UA S) des Fachausschusses ZfP im Bauwesen (ZfPBau) die Definition und Verbesserung der Qualitat von ZfP Anwendungen sowie insbesondere die verfahrensunabhangige Standardisierung von Prufprozeduren und statistische Absicherung der Prufergebnisse zum Ziel gemacht. Die aktuellen Arbeitsfelder beinhalten die Entwicklung praxisgerechter Konzepte fur Prufprozeduren und deren Erprobung in einem Ringversuch sowie die Konzeption von Testkorpern hinsichtlich unterschiedlicher Aspekte wie Parameterstudien, Validierung, Kalibrierung und Simulation. Dabei werden Ansatze anderer Branchen durchaus einbezogen, die Anpassung an die spezifischen Bedurfnisse und Gegebenheiten des Bauwesens wird jedoch als wesentlich angesehen und stellt somit eine wichtige Vorgabe dar. Da die Tatigkeiten nicht auf einzelne Verfahren begrenzt sind, mussen generelle Vorgehensweisen entwickelt werden, die sich auf die jeweiligen Verfahren konkretisieren lassen. Der Vortrag gibt hierzu erste Einblicke in die Arbeit des Ausschusses.", "author_names": [ "", "Daniel Walther", "A Denzel", "W Ebsen", "B Feistkorn", "Sebastian Friese", "Martin Grosse", "C Kathage", "Stephan Kessler", "Stephan Kopp", "Christina M Krause", "Magdalena Maack", "S Schickert", "M Taffe", "A Timofeev", "J Wilcke", "Marcus Wolf" ], "corpus_id": 201319530, "doc_id": "201319530", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Qualitatssicherung und Validierung der Anwendung zerstorungsfreier Prufungen von Stahlbetonbauteilen im Bauwesen", "venue": "", "year": 2018 }, { "abstract": "Eines der zentralen Probleme der Automatisierungs Kontroll und Sicherheitstechnik stellt die schnelle und prazise Erfassung von bewegten dreidimensionalen Objekten und ihrer raumlichen Orientierung dar. Herkommliche optische Bildsensoren, die lediglich 2D Aufnahmen mit Farbinformation liefern, stosen hierbei schnell an ihre Grenzen. Bei konventionellen nach dem Prinzip der Stereoskopie arbeitenden Verfahren, mit deren Hilfe aus zwei oder mehr 2D Bildern Tiefeninformation gewonnen werden kann, lasst sich das Korrespondenzproblem oftmals nur mit hohem Rechenaufwand oder nur teilweise losen. PMD Sensoren, deren Funktionsprinzip auf Lichtlaufzeitverfahren basiert, liefern dagegen vektorielle dreidimensionale Messwerte eines 3D Raums und ermoglichen somit direkt die 3D Darstellung und Lokalisierung von Objekten. Sie sind selbstbeleuchtend im Infrarotbereich und konnen daher auch bei schlechteren Sichtverhaltnissen (Nebel, Regen, Dunkelheit) im Gegensatz zu konventionellen optischen Systemen weitgehend zuverlassig arbeiten. Dank dieser Eigenschaften bieten PMD Bildsensoren einen wesentlichen Vorteil gegenuber anderen Bildsensoren. Obwohl die neueren Generationen der PMD Sensoren bezuglich der Messgenauigkeit stark verbessert wurden, zeigen dennoch die zahlreichen Untersuchungen der letzten Jahre, dass die gemessenen Tiefenwerte, teilweise prinzipbedingt, von mehreren systematischen Fehlern verfalscht werden (Rauschen, Bewegungsartefakte, fliegende Pixel, Messfehler aufgrund des multistatischen Aufbaus der Kamera usw. Ein sehr effektives Werkzeug bei den Untersuchungen solcher Fehler stellen Simulationen dar. Mit ihrer Hilfe konnen den Anwendern, Algorithmenentwicklern und Systemplanern weitgehend unabhangig vom Entwicklungsstand und fortschritt der PMD Sensorhardware synthetische Referenzdaten erstellt sowie Erkenntnisse gewonnen werden, die in den Bereichen der Entwicklung dazu beitragen konnen, die Fehler zu klassifizieren und die existierenden Prozessierungs und Koregistrierungsansatze weiterhin zu verbessern und zu optimieren. Mit synthetischen Testdaten konnen Algorithmen vorab auf ihre Funktionstuchtigkeit untersucht, Systemkonfigurationen auf ihre Eignung fur eine spezielle Anwendung uberpruft und Anwendern der PMD Technologie vor dem realen Einsatz bereits ein Bild von der Leistungsfahigkeit, bzw. den Leistungsgrenzen einer bestimmten PMD Konfiguration vermittelt werden. Diese Arbeit befasst sich mit der Modellierung und Entwicklung eines solchen universell einsetzbaren PMD Simulators. One of the central problems of automation, control and safety technology is fast and accurate detection of moving objects and their spatial orientation. Conventional optical sensors providing only 2D images with color information are not fully suitable for this. In conventional methods, according to the principle of stereoscopy, two images from the field of interest from different viewpoints are required to capture the object surface. An efficient real time capable solution of the correspondence problem associates with high computational costs and is for some object types only partially solved. In contrast, PMD sensors, whose operating principle is based on the measurement of the light propagation time, provide without further processing three dimensional vector measurements of the environment and thus allow a direct 3D visualization and localization of objects. Another advantage over other image sensors is due to the self illuminating construction the ability to suppress the most of the background light coming from artificial lighting or the sun and to increase thus the dynamic range. The newer generations of PMD sensors have been greatly improved in terms of the measurement accuracy. However, the numerous studies have shown that the measured distance values are superposed with systematic errors (noise, motion artifacts, flying pixels, measurement error due to the multistatic constellation of the camera, etc. Simulations are a very effective tool in the investigation of such errors. They can support algorithm developers and system designers for generating synthetic reference data of the PMD sensor hardware. The results can help to classify the errors and to improve the existing processing and coregistration algorithms. By using synthetic test data algorithms can previously be tested for their ability to function and system designs can be appraised for their suitability for a particular application. This work deals with the modeling and development of such a universal PMD simulator.", "author_names": [ "Valerij Peters" ], "corpus_id": 70110023, "doc_id": "70110023", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Phanomenologische Modellierung und multistatische Simulation von Time of Flight 3D PMD Kameras", "venue": "", "year": 2013 } ]
reactive power compensator
[ { "abstract": "This paper discusses reactive power compensators from the point of stored energy in the capacitor, and proposes a single phase full bridge configuration of semiconductor switches to be used with reduced equipped capacitance for reactive power compensation. By applying this concept to shunt type static var compensator, a static synchronous compensator can be achieved with reduced sized capacitor. Additionally, the switching loss can be reduced due to its distinctive capacitor voltage waveform, which swings at the double of the line frequency. Modulation technique and capacitor voltage control method based on the reduced capacitance and high voltage ripple in the capacitor are proposed. The concept and control method were confirmed with small scale experiments.", "author_names": [ "Takanori Isobe", "Daisuke Shiojima", "Kyohei Kato", "Yoel Raul Rosales Hernandez", "Ryuichi Shimada" ], "corpus_id": 35292314, "doc_id": "35292314", "n_citations": 40, "n_key_citations": 5, "score": 1, "title": "Full Bridge Reactive Power Compensator With Minimized Equipped Capacitor and Its Application to Static Var Compensator", "venue": "IEEE Transactions on Power Electronics", "year": 2016 }, { "abstract": "This paper presents a thyristor controlled LC (TCLC) compensator for dynamic reactive power compensation in a smart grid system. Compared with the traditional static var compensators like a fixed capacitor thyristor controlled reactor (FC TCR) which generates low order harmonic currents, the proposed TCLC can significantly mitigate the injection of harmonic currents. In this paper, the design of the TCLC parameters is investigated with the considerations of its reactive power compensation range and harmonic currents rejection. And a control method based on the generalized instantaneous reactive power theory is proposed. Moreover, representative simulation and experimental results of the proposed three phase three wire TCLC are presented to show its effectiveness in dynamic reactive power compensation in comparison with the traditional FC TCR and parallel combination of FC TCR and passive power filter.", "author_names": [ "Lei Wang", "Chi-Seng Lam", "Man-Chung Wong" ], "corpus_id": 3755199, "doc_id": "3755199", "n_citations": 29, "n_key_citations": 4, "score": 0, "title": "Design of a Thyristor Controlled LC Compensator for Dynamic Reactive Power Compensation in Smart Grid", "venue": "IEEE Transactions on Smart Grid", "year": 2017 }, { "abstract": "Efficient use of fuel and energy resources is a high priority area in the energy development strategy of railway transport up to 2030 adopted by OAO Russian Railways. Currently, ac electric locomotives with power rectifier inverter transformers make up the majority of the usable fleet, in the range of 0.65 to 0.85. This is a cause of not only significant energy losses in the network of traction energy supply, but also restrictions affecting the speed of the locomotive. The energy characteristics of ac electric locomotives can be imptoved via compensation of the reactive power component. The reactive power compensator consists of series connected capacitor C and inductance L connected to the secondary winding of the transformer. The LC circuit parameters are calculated for reactive power compensation of an electric locomotive in the nominal operation mode. In this article, a reactive power compensation device for electric locomotive made based on a regulated passive compensator was considered. The management of reactive power compensator is carried out by means of extreme control method. It is shown that the extreme control method for a reactive power compensator does not provide for direct calculation of the reactive power of an electric locomotive and significantly simplifies the management structure of a reactive power compensator.", "author_names": [ "Yu M Kulinich", "S A Shukharev" ], "corpus_id": 114897355, "doc_id": "114897355", "n_citations": 9, "n_key_citations": 0, "score": 0, "title": "Application of an extreme control system to operate the reactive power compensator of an electric locomotive", "venue": "", "year": 2016 }, { "abstract": "This paper describes about control and design principles for SVC MERS, a new reactive power compensator using MERS (magnetic energy recovery switch) Low capacitance of dc capacitor and reduced loss and electromagnetic noise due to line frequency switching are advantages of this system. The capacitor voltage waveform generated by SVC MERS changes according to operating points because of the small capacitor. Operation mode is separated into three types by its waveform. This paper suggests control method for each mode. By using all waveform modes, SVC MERS obtains wide variation of reactive power generation. Then characteristics of each mode are described and evaluated to discuss the design principle including available operation range. Finally, results of experiments to confirm the concept including control and design principles are shown.", "author_names": [ "Daisuke Shiojima", "MiaoMiao Cheng", "Takanori Isobe", "Ryuichi Shimada" ], "corpus_id": 40967157, "doc_id": "40967157", "n_citations": 12, "n_key_citations": 0, "score": 0, "title": "Control and design principle of SVC MERS A new reactive power compensator with line frequency switching and small capacitor", "venue": "2012 IEEE Energy Conversion Congress and Exposition (ECCE)", "year": 2012 }, { "abstract": "The role of the transmission network in the Power System is to transmit the power generated in the power plants to the load centers and the interconnected power systems. The transmission of electric power has to take place in the most efficient way in addition to providing flexibility in the process. Flexible A.C. Transmission System (FACTS) promotes the use of static controllers to enhance the controllability and increase the power transfer capability. Providing reactive shunt compensation with shunt connected capacitors and reactors is a well established technique to get a better voltage profile in a power system. Shunt capacitors are inexpensive but lack dynamic capabilities, thus some form of dynamically controlled reactive power compensation becomes essential. This feature is provided by Static VAR Compensator (SVC) The work presented here also compares SVC with fixed capacitor compensation and documents the superiority of SVC using Computer Simulation and its performance for reactive power management and better voltage control.", "author_names": [ "Pravin Chopade", "Marwan U Bikdash", "Ibraheem Kateeb", "A D Kelkar" ], "corpus_id": 25551507, "doc_id": "25551507", "n_citations": 37, "n_key_citations": 0, "score": 0, "title": "Reactive power management and voltage control of large Transmission System using SVC (Static VAR Compensator)", "venue": "2011 Proceedings of IEEE Southeastcon", "year": 2011 }, { "abstract": "This paper proposes a wireless power transfer system using a series compensator GCSC as a primary side capacitor. The GCSC is a circuit module that equivalently functions as a series variable capacitor by controlling semiconductor switches. The advantage of applying the GCSC to a primary side capacitor is giving a controllability of power factor for a high frequency inverter. Therefore, the optimum operation of the high frequency inverter can be achieved regardless of the coil parameters. Experimental results with an 1 kW laboratory prototype confirmed that the proposed system can achieve an optimum operation and high efficiencies of the high frequency inverter.", "author_names": [ "Junichiro Osawa", "Takanori Isobe", "Hiroshi Tadano" ], "corpus_id": 19937525, "doc_id": "19937525", "n_citations": 9, "n_key_citations": 0, "score": 0, "title": "Efficiency improvement of high frequency inverter for wireless power transfer system using a series reactive power compensator", "venue": "2017 IEEE 12th International Conference on Power Electronics and Drive Systems (PEDS)", "year": 2017 }, { "abstract": "The dynamic result of static synchronous series compensator is presented in this paper. A voltage source inverter equipped with a dc source is used as an SSSC (static synchronous series compensator) to examine the effect of this device in controlling the power flow through transmission line. This device works not only as a reactive power compensator but has the ability of supplying and absorbing active power from the transmission line. In control system of SSSC two closed loops are used for both active and reactive power. In addition to reactive power compensation, SSSC also provides voltage profile improvement in system. Simulation results for different conditions are also described.", "author_names": [ "Poojan Nagar", "Shawet Mittal" ], "corpus_id": 41661545, "doc_id": "41661545", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "Reactive Power Compensation by Static Synchronous Series Compensator", "venue": "2016 International Conference on Micro Electronics and Telecommunication Engineering (ICMETE)", "year": 2016 }, { "abstract": "The time varying nature of electric arc furnace (EAF) gives rise to voltage fluctuations which produce the effect known as flicker. The ability of static VAr compensator (SVC) is limited by delays in reactive power measurements and thyristor ignition. In order to improve the SVC performance, this paper presents a technique for prediction of EAF reactive power for a half cycle ahead. This technique is based on Artificial Neural Networks (ANNs) The procedure uses huge field data, collected from eight arc furnaces in Mobarakeh Steel Industry in Iran. About 90% of the recorded data are used for training the ANN and the rest are used in the test procedure. The performance of the compensator under the case of employing the predicted fundamental reactive power of EAF is compared with that for conventional method by using four indices which are defined based on concepts of flicker frequencies and power spectral density.", "author_names": [ "Haidar Samet", "Mahdi Farhadi", "Mohammad Reza Banaeian Mofrad" ], "corpus_id": 22538679, "doc_id": "22538679", "n_citations": 15, "n_key_citations": 1, "score": 0, "title": "Employing Artificial Neural Networks for prediction of electrical arc furnace reactive power to improve compensator performance", "venue": "2012 IEEE International Energy Conference and Exhibition (ENERGYCON)", "year": 2012 }, { "abstract": "Semiconductors have many applications in industry environment. In power systems they are used as switching devices. This paper concentrates on one of the widely used switching device Thyristor and its application for the analysis of Thyristor Controlled Reactor TCR as a reactive power compensator. The TCR circuit will be investigated by carrying out theoretical mathematical analysis, software simulation and practical work. The results will be compared and discussed and conclusions will be delivered.", "author_names": [ "Md Imran", "S Azeez" ], "corpus_id": 12269107, "doc_id": "12269107", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Analysis of TCR as a reactive power compensator", "venue": "2015 IEEE Asia Pacific Conference on Postgraduate Research in Microelectronics and Electronics (PrimeAsia)", "year": 2015 }, { "abstract": "A new approach for static reactive power compensation has been presented in this paper. Conventional thyristor controlled Static VAr Compensators (SVC) have inherent disadvantages like slow response times and poor harmonic performance as these FACTS devices are based on slow switching power electronics devices. Alternately, Pulse Width Modulated (PWM) dc ac inverters and direct ac ac converter structures offer higher bandwidth and push the spectral content to higher switching frequencies that are easier to filter. However, the application space of this approach is limited by the low voltage blocking capability of power devices employed in these converters. A center point clamped ac ac direct power converter has been reported recently in literature which operates on the principle of neutral point clamped dc ac inverter. By clamping the grid voltage to its mid point, the center point clamped converter structure reduces voltage stress on the bi directional switches by 50% Compared to the conventional two level and multilevel dc ac inverters, the proposed compensator based on direct ac ac conversion has a simpler structure and control. The operating principle as well as dynamic analysis for the proposed VAr compensation approach has been presented in the paper. A feedback controller has been designed for closed loop control. Simulation results presented in the paper verify that proposed converter offers better control of reactive power, retrofit capability, and reduced voltage stress on the bi directional switches. Furthermore, it has been shown that leading and lagging reactive compensation can be accomplished with a smooth control of the reactance through duty cycle modulation.", "author_names": [ "Pankaj Bhowmik", "Somasundaram Essakiappan", "Madhav D Manjrekar" ], "corpus_id": 19917059, "doc_id": "19917059", "n_citations": 6, "n_key_citations": 0, "score": 0, "title": "State space analysis and duty cycle control of a switched reactance based center point clamped reactive power compensator", "venue": "2016 IEEE Applied Power Electronics Conference and Exposition (APEC)", "year": 2016 } ]
An on-chip near-field terahertz probe and detector
[ { "abstract": "Here researchers report an integrated detection device for terahertz near field imaging in which all the necessary detection components, that is, an aperture, a probe and a terahertz detector, are integrated on one cryogenically cooled, semiconductor chip. This scheme enables highly sensitive, high resolution detection of the evanescent field and promises new capabilities for high resolution terahertz imaging.", "author_names": [ "Yukio Kawano", "Koji Ishibashi" ], "corpus_id": 120312558, "doc_id": "120312558", "n_citations": 96, "n_key_citations": 1, "score": 1, "title": "An on chip near field terahertz probe and detector", "venue": "", "year": 2008 }, { "abstract": "We report on a high resolution terahertz (THz) imaging beyond the diffraction limit by using a two dimensional electron gas (2DEG) in a GaAs/AlGaAs heterostructure. In this imaging device, all components: an aperture, a probe, and a detector are integrated on one GaAs/AlGaAs chip. Calculations based on a finite element method show that the presence of the probe changes the distribution profile of the evanescent field just behind the aperture, enhancing the coupling of the evanescent field to the 2DEG THz detector. THz transmission measurements demonstrate that the present device works as a near field THz imaging detector. A near field image of THz cyclotron emission in another 2DEG sample is also presented.", "author_names": [ "Yukio Kawano", "Koji Ishibashi" ], "corpus_id": 121210202, "doc_id": "121210202", "n_citations": 12, "n_key_citations": 0, "score": 0, "title": "On chip near field terahertz detection based on a two dimensional electron gas", "venue": "", "year": 2010 }, { "abstract": "In the terahertz (THz) regime, the active region for a solid state detector usually needs to be implemented accurately in the near field region of an on chip antenna. Mapping of the near field strength could allow for rapid verification and optimization of new antenna/detector designs. Here, we report a proof of concept experiment in which the field mapping is realized by a scanning metallic probe and a fixed AlGaN/GaN field effect transistor. Experiment results agree well with the electromagnetic wave simulations. The results also suggest a field effect THz detector combined with a probe tip could serve as a high sensitivity THz near field sensor.", "author_names": [ "Lu Li", "Sun Jiandong", "Roger A Lewis", "Sun Yunfei", "Wu Dong-min", "Cai Yong", "Qin Hua" ], "corpus_id": 120454719, "doc_id": "120454719", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Mapping an on chip terahertz antenna by a scanning near field probe and a fixed field effect transistor", "venue": "", "year": 2015 }, { "abstract": "We present novel terahertz (THz) detectors, using nanoelectronic devices, such as 2 D semiconductor and carbon nanotube (CNT) Our detector is useful for high resolution THz imaging, which requires highly sensitive detection. We have developed a frequency tunable THz photon detector and an on chip near field imaging detector. The detection mechanism of the former is that the CNT transistor detects electrical polarization generated by THz excited electron hole pairs in the 2 D semiconductor. In the latter, we have achieved THz imaging beyond the diffraction limit with an all integrated device, including an aperture, a probe, and a detector. We further show applications of the THz imaging to semiconductor research. We have successfully visualized and clarified spatial properties of electrons in the 2 D semiconductors, which have not been revealed by conventional transport measurements.", "author_names": [ "Yukio Kawano" ], "corpus_id": 20441059, "doc_id": "20441059", "n_citations": 22, "n_key_citations": 0, "score": 0, "title": "Highly Sensitive Detector for On Chip Near Field THz Imaging", "venue": "IEEE Journal of Selected Topics in Quantum Electronics", "year": 2011 }, { "abstract": "We present an all integrated near field terahertz imaging probe on a semiconductor. This robust and easy to use scheme enables us to detect only the evanescent field very efficiently. We obtain a spatial resolution corresponding to lambda/24.", "author_names": [ "Yukio Kawano", "Koji Ishibashi" ], "corpus_id": 28962940, "doc_id": "28962940", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "On chip near field THz imaging probe integrated with a detector", "venue": "2008 33rd International Conference on Infrared, Millimeter and Terahertz Waves", "year": 2008 }, { "abstract": "In the terahertz regime, the active region for a solid state detector usually needs to be implemented accurately in the near field region of an on chip antenna. Mapping of the near field strength could allow for rapid verification and optimization of new antenna/detector designs. Here, we report a proof of concept experiment in which the field mapping is realized by a scanning metallic probe and a fixed AlGaN/GaN field effect transistor. Experiment results agree well with the electromagnetic wave simulations. The results also suggest that a field effect terahertz detector combined with a probe tip could serve as a high sensitivity terahertz near field sensor.", "author_names": [ "Jiandong Sun" ], "corpus_id": 138530465, "doc_id": "138530465", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Scanning Near Field Probe for Antenna Characterization", "venue": "", "year": 2016 }, { "abstract": "A highly sensitive and frequency tunable terahertz detector based on carbon nanotube quantum dots is the main ingredient for a near field terahertz imaging system in which all components an aperture, a probe, and a detector are integrated on one semiconductor chip.", "author_names": [ "Yukio Kawano" ], "corpus_id": 114927117, "doc_id": "114927117", "n_citations": 12, "n_key_citations": 0, "score": 0, "title": "Quantum dots enable integrated terahertz imager", "venue": "", "year": 2009 }, { "abstract": "We present terahertz (THz) sensing and imaging technologies based on a carbon nanotube (CNT) and a two dimensional electron gas (2DEG) in a GaAs/AlGaAs heterostructure. The unique properties of CNT quantum dots have led to the development of a highly sensitive and frequency selective THz detector. Using the 2DEG THz detector, we have developed a THz near field imager in which all the components: an aperture, a probe, and a THz detector are integrated on one GaAs/AlGaAs chip. This scheme allows highly sensitive, high resolution detection of the evanescent field alone.", "author_names": [ "Yukio Kawano" ], "corpus_id": 27463609, "doc_id": "27463609", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Semiconductor and carbon devices for innovative nanoscale THz sensors and imagers", "venue": "2012 IEEE/MTT S International Microwave Symposium Digest", "year": 2012 }, { "abstract": "THz bandwidth pulses are generated, transmitted along a gold plated stainless steel metallic slit waveguide, and detected with a 1.5 THz bandwidth and 60 dB dynamic range. The source and detector were edge pumped slotlines on LT GaAs placed within the near field region of the waveguide entrance and exit aperture. The motivation for this work was to develop a complete dispersion free THz system which was simple to manufacture and could be utilized for free space waveguide experimentation. (c) 2017 Optical Society of America OCIS codes: (300.6495) Spectroscopy, terahertz; (250.3140) Integrated optoelectronic circuits; (250.5530) Pulse propagation and temporal solitons; (250.6715) Switching. References and links 1. D. Auston, \"Impulse response of photoconductors in transmission lines,\" IEEE J. Quantum Electron. 19, 639 648 (1983) 2. D. Auston, Picosecond Photoconductors: Physical Properties and Applications (Academic Press, 1984) 3. M. B. Ketchen, D. Grischkowsky, T. C. Chen, C. C. Chi, I. N. Duling, N. J. Halas, J. M. Halbout, J. A. Kash, and G. P. Li, \"Generation of subpicosecond electrical pulses on coplanar transmission lines,\" Appl. Phys. Lett. 48, 751 753 (1986) 4. D. Grischkowsky, M. Ketchen, C. C. Chi, I. Duling, N. Halas, J. M. Halbout, and P. May, \"Capacitance free generation and detection of subpicosecond electrical pulses on coplanar transmission lines,\" IEEE J. Quantum Electron. 24, 221 225 (1988) 5. D. Krokel, D. Grischkowsky, and M. B. Ketchen, \"Subpicosecond electrical pulse generation using photoconductive switches with long carrier lifetimes,\" Appl. Phys. Lett. 54, 1046 1047 (1989) 6. D. Rutledge, D. Neikirk, and D. Kasilingam, Integrated Circuit Antennas (Academic Press, 1983) 7. J. Nees, S. Williamson, and G. Mourou, \"100 GHz traveling wave electro optic phase modulator,\" Appl. Phys. Lett. 54, 1962 1964 (1989) 8. H. Cheng, J. Whitaker, T. Weller, and L. Katehi, \"Terahertz bandwidth pulse propagation on a coplanar stripline fabricated on a thin membrane,\" IEEE Microw. Guided Wave Lett. 4, 89 91 (1994) 9. M.Wachter,M. Nagel, andH. Kurz, \"Metallic slit waveguide for dispersion free low loss terahertz signal transmission,\" Appl. Phys. Lett. 90, 061111 (2007) 10. M. Wachter, M. Nagel, and H. Kurz, \"Low loss terahertz transmission through curved metallic slit waveguides fabricated by spark erosion,\" Appl. Phys. Lett. 92, 161102 (2008) 11. R. Smith, F. Ahmed, A. Jooshesh, J. Zhang, M. Jun, and T. Darcie, \"THz field enhancement by antenna coupling to a tapered thick slot waveguide,\" J. Lightwave Technol. 32, 15878 (2014) 12. R. Smith, A. Jooshesh, J. Zhang, and T. Darcie, \"THz TDS using a photoconductive free space linear tapered slot antenna transmitter,\" Opt. Express 25, 10118 (2017) 13. H. Zhan, R. Mendis, and D. M. Mittleman, \"Superfocusing terahertz waves below l/250 using plasmonic parallel plate waveguides,\" Opt. Express 18, 9643 (2010) 14. M. Wachter, M. Nagel, and H. Kurz, \"Tapered photoconductive terahertz field probe tip with subwavelength spatial resolution,\" Appl. Phys. Lett. 95, 041112 (2009) 15. S. Sawallich, B. Globisch, C. Matheisen, M. Nagel, R. Dietz, and T. Gobel, \"Photoconductive terahertz near field detectors for operation with 1550 nm pulsed fiber lasers,\" IEEE Trans. Terahertz Sci. Technol. 6, 365 370 (2016) 16. M. Nagel, A. Michalski, and H. Kurz, \"Contact free fault location and imaging with on chip terahertz time domain reflectometry,\" Opt. Express 19, 12509 12514 (2011) 17. G. Veronis and S. Fan, \"Modes of subwavelength plasmonic slot waveguides,\" J. Lightwave Technol. 25, 2511 2521 (2007) 18. J. Xiao, Q. Q. Wei, D. G. Yang, P. Zhang, N. He, G. Q. Zhang, and X. P. Chen, \"Hybrid plasmonics slot THz waveguide for subwavelength field confinement and crosstalk between two waveguides,\" IEEE J. Sel. Top. Quantum Vol. 25, No. 22 30 Oct 2017 OPTICS EXPRESS 26492 #304582 https:/doi.org/10.1364/OE.25.026492 Journal (c) 2017 Received 10 Aug 2017; revised 4 Oct 2017; accepted 5 Oct 2017; published 16 Oct 2017 Electron. 23, 1 5 (2017) 19. S. Gupta,M. Y. Frankel, J. A. Valdmanis, J. F.Whitaker, G. A.Mourou, F.W. Smith, andA. R. Calawa, \"Subpicosecond carrier lifetime in GaAs grown by molecular beam epitaxy at low temperatures,\" Appl. Phys. Lett. 59, 3276 3278 (1991) 20. Y. H. Lee, Principles of Terahertz Science and Technology (Springer, 2009) 21. L. Duvillaret, F. Garet, J. F. Roux, and J. L. Coutaz, \"Analytical modeling and optimization of terahertz time domain spectroscopy experiments, using photoswitches as antennas,\" IEEE J. Sel. Top. Quantum Electron. 7, 615 623 (2001)", "author_names": [ "" ], "corpus_id": 222117581, "doc_id": "222117581", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Photoconductive generation and detection of THz bandwidth pulses using near field coupling to a free space metallic slit waveguide", "venue": "", "year": 2019 }, { "abstract": "Compared to the visible range, nano scale material research in the infrared or terahertz wavelength is seriously limited due to a lack of useful microscope which can overcome diffraction limit and achieve spatial resolution down to the nanometers. For this purpose, we constructed a new terahertz scattering type scanning near field optical microscope (THz s SNOM, Fig. 1(a) By equipping an ultra high sensitive detector (15 mm) in a well designed confocal microscope system, and modulating a sharp tungsten tip close to sample surface, thermally excited near field evanescent waves of room temperature objects can be picked up (without external illumination) therefore we term the system as a passive type THz s SNOM. Fig. 1(b) shows a SiO2 sample covered with sub wavelength Au patterns (SEM image) which can be passively imaged by our microscope (Fig. 1(c) The estimated spatial resolution is 50 nm, which is already far beyond the diffraction limit (l/300) Various other samples (sub wavelength plasmonic structures, semiconductor nano devices, carbon nanotubes, et al. have been studied, and many interesting new phenomenon has been revealed. The introduced THz s SNOM can be a powerful tool for various material research.", "author_names": [ "Qianchun Weng", "Le Yang", "Zhenghua An", "Pingping Chen", "Bo Zhang", "Susumu Komiyama", "Ziqiang Zhu", "Wei Lu" ], "corpus_id": 45363229, "doc_id": "45363229", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Probing surface evanescent waves with an ultra high sensitive terahertz near field microscope", "venue": "2016 Progress in Electromagnetic Research Symposium (PIERS)", "year": 2016 } ]
Novel design for highpower single-lateral-mode lasers
[ { "abstract": "Summary form only given. Today's rising power requirement for semiconductor lasers has created much interest in the research of high power single lateral mode lasers. Single lateral mode lasers are generally narrow in width in order to cut off propagation of higher order modes. Several problems arise from the narrow geometry such as catastrophic optical mirror damage (COMD) a large diffraction angle, and limited power due to the small gain volume. Recently, flared waveguides have been studied for increased gain volume and increased spot size on the front facet. The larger gain volume increases the peak output power of the diode. The increased spot size will raise the COMD power level by lowering the power density at the facet. The increased spot size will also decrease the diffraction angle. A problem with flaring the waveguide is that as the waveguide increases in width, it can support propagation of higher order modes. Our design addresses this by utilizing selective area epitaxy (SAE) to create a ridge that gets thinner as its width increases. The result is a flared ridge that is tapered along the length of the laser.", "author_names": [ "Anne E Huber", "T S Yeoh", "Reuel B Swint", "C Y Woo", "K E Lee", "Sungwon David Roh", "J J Coleman", "Brian O Faircloth", "M S Zediker" ], "corpus_id": 120911848, "doc_id": "120911848", "n_citations": 6, "n_key_citations": 0, "score": 1, "title": "Novel design for high power single lateral mode lasers", "venue": "CLEO 2001", "year": 2001 }, { "abstract": "A new laser design for single mode high power applications is reported. The waveguide is a laterally flaring and transversely tapering GaAs buried ridge fabricated by selective area epitaxy. Single lateral mode powers of 200 mW were achieved.", "author_names": [ "Anne E Huber", "T S Yeoh", "Reuel B Swint", "C Y Woo", "Kenneth Eng-Kian Lee", "Sungwon David Roh", "J J Coleman", "Brian O Faircloth", "M S Zediker" ], "corpus_id": 37224229, "doc_id": "37224229", "n_citations": 6, "n_key_citations": 0, "score": 1, "title": "Novel design for high power single lateral mode lasers", "venue": "IEEE Photonics Technology Letters", "year": 2001 }, { "abstract": "Slightly flared lasers are investigated in order to minimize the longitudinal spatial hole burning impact on the output power saturation of 1480 nm pump lasers. In such a device, the stripe width is narrow at the rear facet for strong single lateral mode operation whereas at the front facet the stripe widens in order to reduce the photon density and as a consequence decrease gain saturation through hole burning effect. We proposed a slightly flared lasers design in order to maintain a uniform photon density along the active stripe. Experimentally, this novel structure exhibits single transverse mode operation and a 30% output power improvement is obtained compared to the straight active waveguide.", "author_names": [ "Ali Guermache", "Vincent Voiriot", "R M Capella", "Philippe Gallion", "Joel Jacquet" ], "corpus_id": 3091884, "doc_id": "3091884", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "New design rules and experimental study of slightly flared 1480 nm pump lasers", "venue": "IEEE Photonics Technology Letters", "year": 2006 }, { "abstract": "In this work, we present a novel and practical method for generating optical vortices in highpower laser systems. Off axis spiral phase mirrors are used at oblique angles of incidence in the beam path after amplification and compression allowing for the generation of high power optical vortices in almost any laser system. An off axis configuration is possible via modification of the azimuthal gradient of the spiral phase helix and is demonstrated with a simple model using a discrete spiral staircase. This work presents the design, fabrication, and implementation of off axis spiral phase mirrors in both low and high power laser systems. (c) 2020 Optical Society of America under the terms of the OSA Open Access Publishing Agreement", "author_names": [ "", "H -", "K M" ], "corpus_id": 210939561, "doc_id": "210939561", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Off Axis Spiral Phase Mirrors for Generating High Intensity Optical Vortices", "venue": "", "year": 2020 }, { "abstract": "The `Laser hybrid Accelerator for Radiobiological Applications' LhARA, is conceived as a novel, uniquely flexible facility dedicated to the study of radiobiology. The technologies demonstrated in LhARA, which have wide application, will be developed to allow particle beam therapy to be delivered in a completely new regime, combining a variety of ion species in a single treatment fraction and exploiting ultra high dose rates. LhARA will be a hybrid accelerator system in which laser interactions drive the creation of a large flux of protons or light ions that are captured using a plasma (Gabor) lens and formed into a beam. The laser driven source allows protons and ions to be captured at energies significantly above those that pertain in conventional facilities, thus evading the current space charge limit on the instantaneous dose rate that can be delivered. The laser hybrid approach, therefore, will allow the vast `terra incognita' of the radiobiology that determines the response of tissue to ionising radiation to be studied with protons and light ions using a wide variety of time structures, spectral distributions, and spatial configurations at instantaneous dose rates up to and significantly beyond the ultra high dose rate `FLASH' regime. It is proposed that LhARA be developed in two stages. In the first stage, a programme of in vitro radiobiology will be served with proton beams with energies between 10MeV and 15MeV. In stage two, the beam will be accelerated using a fixed field accelerator (FFA) This will allow experiments to be carried out in vitro and in vivo with proton beam energies of up to 127MeV. In addition, ion beams with energies up to 33.4MeV per nucleon will be available for in vitro and in vivo experiments. This paper presents the conceptual design for LhARA and the R&D programme by which the LhARA consortium seeks to establish the facility.", "author_names": [ "Galen Aymar", "T Becker", "Stewart Boogert", "Marco Borghesi", "Robert Bingham", "Ceri M Brenner", "Philip Nicholas Burrows", "Titus-Stefan Dascalu", "Oliver C Ettlinger", "Stephen Gibson", "Tim Greenshaw", "S Gruber", "Dorothy M Gujral", "Claire Hardiman", "Jonathan R Hughes", "W G Jones", "Karen J Reeson Kirkby", "Ajit Kurup", "Jean-Baptiste Lagrange", "Kenneth Richard Long", "W Luk", "J P Matheson", "Paul McKenna", "Ruth Mclauchlan", "Z Najmudin", "H T Lau", "Jason L Parsons", "Jaroslaw Pasternak", "Jurgen Pozimski", "Kevin M Prise", "Monika Puchalska", "Peter Ratoff", "Giuseppe Schettino", "W Shields", "S L Smith", "J W G Thomason", "S Towe", "Peter Weightman", "Colin G Whyte", "Rutherford Appleton Laboratory", "Harwell Oxford", "", "OX11 0QX", "Maxeler Technologies Limited", "3 Hammersmith Grove", "London W6 0ND", "John Adams Institute", "Royal Holloway", "University of London", "TW20 0EX", "Queen's University of Belfast", "University Road", "BT7 1NN", "Northern Ireland", "Department of Engineering Physics", "University of Strathclyde", "16 Richmond Street", "G1 1XQ", "University of Oxford", "Denys Wilkinson Building", "Keble Road", "Oxford OX1 3RH", "Imperial College London", "Exhibition Road", "SW7 2AZ", "University of Liverpool", "Liverpool L3 9TA", "Christian Doppler Laboratory for Medical Radiation Research Oncology", "Medical University of Vienna", "23 Spitalgasse", "1020 Vienna", "Imperial College Nhs Healthcare Trust", "The Bays", "South Road", "St Mary's Hospital", "London W2 1NY", "University of Manchester", "Oxford Road", "M13 9PL", "Technische Universitat Wien", "2 Stadionallee", "Cockcroft Institute", "Daresbury Laboratory", "Sci-Tech Daresbury", "WA4 4AD", "National Physical Laboratory", "Hampton Road", "TW11 0LW", "Stfc Daresbury Laboratory", "Leo Care", "Windmill Hill", "East Sussex", "BN27 4RY", "Corerain Technologies", "Changfu Jinmao Building", "Trade-free Zone", "Futian District", "University of Surrey", "388 Stag Hill", "GU2 7XH", "Imperial Patient", "Public Involvement Group", "The Clatterbridge Cancer Centre", "CH63 4JY" ], "corpus_id": 219177030, "doc_id": "219177030", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "The Laser hybrid Accelerator for Radiobiological Applications.", "venue": "", "year": 2020 }, { "abstract": "This thesis introduces three different novel conceptual approaches to development of high power, high brightness semiconductor lasers and is composed of three parts. The first part deals with the vertical concept of photonic band crystal (PBC) lasers. An ultra broad vertical waveguide structure composed of multiple epitaxial layers with different index of refraction exclusively supports the fundamental mode while all higher order modes are effectively suppressed. Since the field diameter is large, the maximum output power is high and the far field divergence is very narrow. Multi mode lasers emitting at 850 nm show a vertical divergence of only 7* while the maximum pulsed output power is as high as 20 W. The corresponding brightness is 300 MWcm 2sr 1, presenting an ultra high value in the 850 nm range. Devices with lateral single mode operation emitting at 980 nm exhibit a lateral divergence of 5* and a corresponding vertical divergence of 6* Their maximum continuous wave output power exceeds 2 W, limited by thermal effects. In pulsed operation the maximum output power and brightness are increased to 3.5 W and 87 MWcm 2sr 1 respectively. Even higher output powers are achieved for devices operating in gain switching mode. 100 ps wide optical pulses with peak output power of 10.7 W are emitted. Superior brightness values of 400 MWcm 2sr 1 and a pulse energy of 3 nJ are achieved. The highest degree of development is reached with PBC lasers emitting at 1060 nm. For the first time, the internal optical losses are reduced from 3.6 cm 1 to 1.3 cm 1 which leads to a significant enhancement in output power in continuous wave operation mode. The output power of 100 mm broad area devices increases from 3.9 W to 9.5 W. Single mode ridge waveguide lasers with a width of 9 mm and a length of 2.64 mm show a very high output power of 2.4 W and a lateral divergence of 4* and 6* in vertical direction respectively. Beam quality measurements revealed excellent M2 values of less than 2 up to an output power of 1.6 W. A record high brightness of 72 MWcm 2sr 1 is deduced. Quantum dots are employed as active material of PBC lasers for the first time, enabling outstanding properties of PBC lasers, like lower threshold currents and lower internal optical losses. In pulsed mode 100 mm broad and 1 mm long quantum dot based PBC lasers with not yet passivated facets, generate a much higher output power of 17.7 W compared to 8.8 W provided by quantum well based PBC lasers. Both maximum output powers are limited by sudden irreversible breakdown. Facets of PBC lasers with quantum dots indicate a lower susceptibility to degradation. An alternative approach to generation of high power and high brightness laser emission is investigated in the second part of this thesis. A vertical waveguide design based on the leaky wave laser concept is used to emit 30* tilted lobes with ultra narrow vertical beam divergence. Optimized tilted wave lasers (TWL) show a single dominating emission lobe with an extremely low diver", "author_names": [ "Kristijan Posilovic" ], "corpus_id": 74750761, "doc_id": "74750761", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Neuartige Wellenleiterkonzepte fur brillante Halbleiterlaser", "venue": "", "year": 2015 }, { "abstract": "Diffractive and micro optics have had fast growth in the last 20 years since microlithography technology began to be used for fabrication of optical elements. Nowadays, micro and diffractive optical element ~DOE! fabrication has reached a certain maturity. A wide range of applications has been developed. New applications and new integrated diffractive optical systems continue to emerge. The 22 papers from 16 countries published in this special section on diffractive optics reflect the recent trends and progress in DOE applications, fabrications, optical system design and implementations, and subwavelength structures. Both of us have witnessed and contributed to the progress of diffractive optics technology and have been co chairing SPIE conferences on diffractive optics at Photonics Asia and the SPIE Annual Meeting, respectively. It is a real pleasure for us to jointly edit the first special section on diffractive optics inOptical Engineering. The recent advances in nanoscale lithography and holography techniques provide a huge potential to create new subwavelength structures that efficiently manipulate light through diffraction and propagation. We believe that the new nanofabrication technologies will once again push diffractive optics and its natural extensions, including subwavelength structures, photonic crystals, near field optics and surface plasmon polariton optics, etc. to a new fast advance path. The impact will be even more important than what has happened in the last 20 years by the application of microlithography to fabrication of DOEs. In this special section on diffractive optics, new DOE applications include surface plasmon resonance sensors, ultrashort pulse processing, solar energy systems, interferometric testing, high power laser beam shaping, dynamic lenses, fiber Bragg grating fabrication, 3 D chipscale optical interconnections, and Talbot array illumination. Pedersen et al. design and imprint near field surface relief diffractive gratings for beam deflection and focusing in an injection moulded polymer chip for the new integrated surface plasmon resonance sensor, which is lowcost, compact, and robust with high performance. Lohokare et al. develop a novel conductive polymer based flip chip integration process for packaging arrayed VCSELs and microlenses, and demonstrate a 3 D chip scale optical interconnection system. Grunwald et al. present the spatiotemporal processing of an ultrashort pulse laser beam by exploiting specific advantages of thin film micro optical arrays. Gombert et al. address the design and the whole experimental process for the fabrication of surface relief microstructures on large areas that are useful in solar energy systems for antireflective surfaces, displays, light trapping in polymer solar cells, and facade protection from sun radiation. Pruss et al. apply computer generated holograms, which are able to generate several aspheric wave fronts, in interferometer metrology. The system is used for absolute testing of aspheric surfaces and diffractive transmission spheres. For highpower laser beam shaping applications, Liu et al. develop a modified iterative Fourier transform design algorithm. They design the beam shaping DOE and the DOE that combines beam conditioning and fan out functions for coupling the beam into multimode optical fibers. Ripoll t al. present a review and compare some useful iterative Fourier transform algorithms for the design of continuous and multiphase level DOEs for beam shaping. In the next three papers, Fresnel near field diffraction is studied for new applications. Onural discusses mathematical properties of the sampled quadratic phase function ~chirp! mainly that the Fourier transform of a sampled chirp function is also a sampled chirp function. The results are used in computer simulation of digital Special Section Guest Editorial", "author_names": [ "Yunlong Sheng" ], "corpus_id": 202708450, "doc_id": "202708450", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Diffractive Optics", "venue": "", "year": 2019 }, { "abstract": "Recently, there has been growing interest in photonic crystal surface emitting lasers (PC SELs) (1 4) The lasing principle exploited by the lasers is based on the band edge effect in a two dimensional (2D) PC, where the group velocity of light becomes zero and a 2D cavity mode is formed. The output power is coupled to the vertical direction by the PC itself, which gives rise to the surface emitting function. Based upon this novel lasing mechanism, PC SELs possess the following important features: first, perfect, single longitudinal, and lateral mode oscillation can be achieved even when the lasing area becomes very large (for example, devices >300 um in diameter) (1, 2, 4) and second, the polarization mode (2) and the beam pattern (4) can be controlled by appropriate design of the unit cell and/or lattice phase in the 2D PC (as a result, a range of vector beams (5, 6) can be directly generated) third, the on chip controllability of the beam direction (7) can be realized using a composite photonic crystal composed of square and rectangular lattices. In this report, we will overview the lasing principle, the device structures and these important features of PC SELs. The lasing oscillation in blue violet regime based on GaN PC SELs (8) will also be discussed.", "author_names": [ "Kyosuke Sakai", "Susumu Noda" ], "corpus_id": 42620144, "doc_id": "42620144", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Photonic crystal surface emitting laser", "venue": "22nd IEEE International Semiconductor Laser Conference", "year": 2010 }, { "abstract": "Recently, there has been growing interest in photonic crystal surface emitting lasers (PC SELs) (1 4) The lasing principle exploited by the lasers is based on the band edge effect in a two dimensional (2D) PC, where the group velocity of light becomes zero and a 2D cavity mode is formed. The output power is coupled to the vertical direction by the PC itself, which gives rise to the surface emitting function. Based upon this novel lasing mechanism, PC SELs possess the following important features: first, perfect, single longitudinal, and lateral mode oscillation can be achieved even when the lasing area becomes very large (for example, devices >300 um in diameter) (1, 2, 4) and second, the polarization mode (2) and the beam pattern (4) can be controlled by appropriate design of the unit cell and/or lattice phase in the 2D PC (as a result, a range of vector beams (5, 6) can be directly generated) third, the on chip controllability of the beam direction (7) can be realized using a composite photonic crystal composed of square and rectangular lattices. In this report, we will overview the lasing principle, the device structures and these important features of PC SELs. The lasing oscillation in blue violet regime based on GaN PC SELs (8) will also be discussed.", "author_names": [ "Kyosuke Sakai", "Susumu Noda" ], "corpus_id": 122009156, "doc_id": "122009156", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Photonic crystal surface emitting laser", "venue": "", "year": 2010 }, { "abstract": "Semiconductor lasers offer significant operational advantages due to their high efficiency, compactness, and flexibility of pulse repetition rates. Their major drawback which limits quite severely the scope of their applicability is the relatively low emission power put out by a single semiconductor laser. To overcome this drawback, we propose a compact, high efficiency, highpower light source based on a synchronized broad area laser array. A variety of techniques have been proposed to synchronize laser arrays and coherently combine beams from separate lasers [1 10] They involve primarily optical engineering efforts such as MOPA injection locking, evanescent coupling, the use of Talbot cavities, and spectral beam combining. In some instances, optical engineering techniques have indeed produced phase locking in arrays of lasers (mostly, using low to moderate power lasers) However, these techniques are not easily scalable. The fundamental difficulty in realizing a scalable design is the complexity of the underlying dynamics. Indeed, lasers are inherently highly nonlinear systems that are capable of producing varieties of complex behaviors that depend quite sensitively on parameter values and initial conditions. One possible consequence of nonlinearity in lasers is that synchronization techniques that are suitable for low power, single mode lasers may not be applicable to high power, single or multimode lasers, because of the possibility of chaotic behavior that high power lasers usually display. Therefore, thorough understanding of this complex behavior is essential for the design of stable, scalable, and robust high power sources. We designed a novel scalable setup and technique to synchronize high power both CW and pulsed semiconductor laser arrays. Our design combines the major important features necessary to synchronize a nonlinear, possibly chaotic, dynamical system, namely: (a) injection locking and/or reflection from the gratings as a mechanism of mode locking and (b) laser coupling to induce the collective dynamics in the array. We have experimentally demonstrated several designs of synchronization broad area semiconductor laser arrays using commercially available high power laser arrays. The key advances of our synchronization experiments include:", "author_names": [ "Yehuda Braiman", "Yaohua Liu", "Vassili A Kireev", "Vladimir A Protopopescu", "Jacob Barhen" ], "corpus_id": 110993459, "doc_id": "110993459", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Synchronization of Arrays of High Power Lasers", "venue": "", "year": 2003 } ]
Carbon nanotube demonstration 1-GHz
[ { "abstract": "Along with ultralow energy delay products and symmetric complementary polarities, carbon nanotube field effect transistors (CNT FETs) are expected to be promising building blocks for energy efficient computing technology. However, the work frequencies of the existing CNT based complementary metal oxide semiconductor (CMOS) integrated circuits (ICs) are far below the requirement (850 MHz) in state of art wireless communication applications. In this work, we fabricated deep submicron CMOS FETs with considerably improved performance of n type CNT FETs and hence significantly promoted the work frequency of CNT CMOS ICs to 1.98 GHz. Based on these high speed and sensitive voltage controlled oscillators, we then presented a wireless sensor interface circuit with working frequency up to 1.5 GHz spectrum. As a preliminary demonstration, an energy efficient wireless temperature sensing interface system was realized combining a 150 mAh flexible Li ion battery and a flexible antenna (center frequency of 915 MHz) In general, the CMOS logic high speed CNT ICs showed outstanding energy efficiency and thus may potentially advance the application of CNT based electronics.", "author_names": [ "Lijun Liu", "Li Ding", "Donglai Zhong", "Jie Han", "Shuo Wang", "Qinghai Meng", "Chenguang Qiu", "Xingye Zhang", "Lian-Mao Peng", "Zhiyong Zhang" ], "corpus_id": 59410729, "doc_id": "59410729", "n_citations": 31, "n_key_citations": 1, "score": 0, "title": "Carbon Nanotube Complementary Gigahertz Integrated Circuits and Their Applications on Wireless Sensor Interface Systems.", "venue": "ACS nano", "year": 2019 }, { "abstract": "Due to their excellent electrical properties, metallic carbon nanotubes are promising materials for interconnect wires in future integrated circuits. Simulations have shown that the use of metallic carbon nanotube interconnects could yield more energy efficient and faster integrated circuits. The next step is to build an experimental prototype integrated circuit using carbon nanotube interconnects operating at high speed. Here, we report the fabrication of the first stand alone integrated circuit combining silicon transistors and individual carbon nanotube interconnect wires on the same chip operating above 1 GHz. In addition to setting a milestone by operating above 1 GHz, this prototype is also a tool to investigate carbon nanotubes on a silicon based platform at high frequencies, paving the way for future multi GHz nanoelectronics.", "author_names": [ "Gael F Close", "Shinichi Yasuda", "Bipul Chandra Paul", "Shinobu Fujita", "H -S Philip Wong" ], "corpus_id": 22544688, "doc_id": "22544688", "n_citations": 184, "n_key_citations": 3, "score": 1, "title": "A 1 GHz integrated circuit with carbon nanotube interconnects and silicon transistors.", "venue": "Nano letters", "year": 2008 }, { "abstract": "In this study, to evaluate the electromagnetic shielding effect of UHPC/CNT composites by the content of CNTs, electromagnetic shielding effectiveness (SE) test was performed based on MIL STD 188 125 1 using specimens similar to actual size of exterior material. Then, experimental results were verified by applying Antenna theory of Schelkunoff. As the CNT contents increased, the SE at the same frequencies increased. However, contrary to the theory, the SE in the magnetic field was decreased with increase in frequency regardless of content of CNTs. The reason was due to the electromagnetic coupling effect and proved by using formula. Percolation threshold of CNT used in this experiment was 0.8~1 wt. of cement. In this case, the SE was maximized while maintaining the compressive strength and workability at levels similar to reference proportion. The SE when considering the electromagnetic coupling effect in the magnetic field was 18 dB at 10 kHz. And the SE in the plane wave (1 GHz) was about 22 dB. In conclusion, this composite could be used as a shielding material.", "author_names": [ "Myungjun Jung", "Young-soon Lee", "Sung-gul Hong" ], "corpus_id": 139790413, "doc_id": "139790413", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "Study on Improvement in Electromagnetic Interference Shielding Effectiveness of Ultra High Performance Concrete (UHPC) Carbon Nanotube (CNT) Composites", "venue": "Journal of the Korea Concrete Institute", "year": 2019 }, { "abstract": "The energy efficiency demands of future abundant data applications, e.g. those which use inference based techniques to classify large amounts of data, exceed the capabilities of digital systems today. Field effect transistors (FETs) built using nanotechnologies, such as carbon nanotubes (CNTs) can improve energy efficiency significantly. However, carbon nanotube FETs (CNFETs) are subject to process variations inherent to CNTs: variations in CNT type (semiconductor or metallic) CNT density, or CNT diameter, to name a few. These CNT variations can degrade CNFET benefits at advanced technology nodes. One path to overcome CNT variations is to co optimize CNT processing and CNFET circuit design; however, the required CNT process advancements have not been achieved experimentally. We present a new design approach (TRIG, Technique for Reducing errors using Iterative Gray code) to overcome process variations in hardware accelerators targeting inference based applications that use serial matrix operations (serial: accumulated over at least 2 clock cycles) We demonstrate that TRIG can retain the major energy efficiency benefits (quantified using Energy Delay Product or EDP) of CNFETs despite CNT variations that exist in today's CNFET fabrication without requiring further CNT processing improvements to overcome CNT variations. As a case study, we analyze the effectiveness of TRIG for a binary neural network hardware accelerator that classifies images. Despite CNT variations that exist today, TRIG can maintain 99% (90% of projected EDP benefits of CNFET digital circuits for 90% (99% image classification accuracy target. We also demonstrate experimentally fabricated CNFET circuits to compute scalar product (a common matrix operation, also called dot product) with and without TRIG: TRIG reduces the mean difference between the expected result (no errors) and the experimentally computed result by 30 x in the presence of CNT variations, shown experimentally.", "author_names": [ "Gage Hills", "Daniel Bankman", "Bert Moons", "Lita Yang", "Jake Hillard", "Alex Kahng", "Rebecca Park", "Marian Verhelst", "Boris Murmann", "Max M Shulaker", "H -S Philip Wong", "Subhasish Mitra" ], "corpus_id": 49304558, "doc_id": "49304558", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "TRIG: Hardware Accelerator for Inference Based Applications and Experimental Demonstration Using Carbon Nanotube FETs", "venue": "2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC)", "year": 2018 }, { "abstract": "", "author_names": [ "Gage Hills", "Daniel Bankman", "Bert Moons", "Lita Yang", "Jake Hillard", "Alex Kahng", "Rebecca Park", "Marian Verhelst", "Boris Murmann", "Max M Shulaker", "H -S Philip Wong", "Subhasish Mitra" ], "corpus_id": 52810990, "doc_id": "52810990", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "TRIG: hardware accelerator for inference based applications and experimental demonstration using carbon nanotube FETs", "venue": "DAC", "year": 2018 }, { "abstract": "Abstract Iron nanoparticles, iron nanochains and composite materials of iron nanoparticles and carbon nanotubes were prepared using a simple reduction method. The complex permittivity and complex permeability in the frequency range of 1 18 GHz were measured, upon which the reflection loss was calculated. Iron nanochains have achieved effective absorption of electromagnetic waves in the frequency range of 6 14 GHz when the thickness varies from 1.5 to 2.5 mm. Moreover, the reflection loss reaches 40 dB when the thickness is 2 mm, indicating that the absorption rate is almost 100% Composite materials of iron nanoparticles and carbon nanotubes have a better absorption performance in low frequency range (2.36 7.8 GHz) Iron nanochains are expected to become an excellent absorbent with strong absorption ability, wide band, small thickness and low cost. Composite materials of iron nanoparticles and carbon nanotubes are expected to be a light absorbent with good absorption of S and C bands (2 8 GHz, IEEE Std 521TM 2002) By analyzing the relationship between attenuation constant, impedance matching, and reflection loss, we verified that for optimal absorption, the attenuation constant and the impedance matching must be perfectly matched.", "author_names": [ "Hongzhe Tang", "Yuejuan Chai", "Dong Han" ], "corpus_id": 104396466, "doc_id": "104396466", "n_citations": 6, "n_key_citations": 0, "score": 0, "title": "Controllable preparation of iron nanostructure/carbon nanotube composite materials and their microwave absorption properties", "venue": "Vacuum", "year": 2019 }, { "abstract": "Abstract Ceramic nanocomposites have been developed to provide a feasible and effective strategy in realizing extraordinary electromagnetic properties, such as negative permittivity. Here, copper calcium titanate CaCu3Ti4O12 (CCTO) nanocomposites incorporated with multiwall carbon nanotube (MWCNT) were prepared by spark plasma sintering (SPS) technology. It was found that MWCNT clusters were randomly embedded in CCTO matrix, which destroyed the microstructures of internal barrier layer capacitors in CCTO. The composites with low MWCNT content presented a hopping conduction behavior, while a metal like conduction behavior was observed in the ceramic with 18 wt% MWCNT content. Interestingly, weakly negative permittivity 103) was obtained in the ceramic consisting of interconnected MWCNT networks, as a great number of free electrons in the MWCNTs formed significantly collective oscillation state over 10 MHz 1 GHz range. Macroscopically, leakage current among MWCNT clusters or networks caused strong conduction loss at low frequencies. Equivalent circuit analysis manifested the correlation between low frequency plasmonic state and inductive character in the composite with negative permittivity. This work could extend the potential applications of ceramic nanocomposites to metamaterials.", "author_names": [ "Chuanbing Cheng", "Yulin Wu", "Yunpeng Qu", "Rongwei Ma", "Run-Hua Fan" ], "corpus_id": 204293304, "doc_id": "204293304", "n_citations": 21, "n_key_citations": 0, "score": 0, "title": "Radio frequency negative permittivity of carbon nanotube/copper calcium titanate ceramic nanocomposites fabricated by spark plasma sintering", "venue": "", "year": 2020 }, { "abstract": "Abstract Up till now, metals, conductive polymers and carbon materials have been widely applied in electromagnetic interference (EMI) shielding. However, EMI shielding materials that are light weight, flexible, ultra thin, and mechanically robust are strongly needed for many civilian and military applications. In this study, a carbon nanotube (CNT)/polymer composite film of only 1 mm thick is prepared by continuous winding and deposition of a cylinder like CNT assembly impregnated with a polymer solution. The in situ impregnation leads to homogeneous mixing and strong tube tube interfacial bonding. Further optimization of the CNT content and alignment to high levels endows the thin composite film with a high tensile strength of 1250 MPa as well as a high EMI shielding effectiveness of 30 dB in the frequency range from 1 GHz to 18 GHz. Such a combination of mechanical and shielding properties surpasses all previous observations, and thus provides a new strategy for developing novel shielding materials for wide applications.", "author_names": [ "Guang Na Wu", "Yun Chen", "Hang Zhan", "Hai-tao Chen", "Jia Lin", "J N Wang", "Li Qiang Wan", "Farong Huang" ], "corpus_id": 210287713, "doc_id": "210287713", "n_citations": 8, "n_key_citations": 0, "score": 0, "title": "Ultrathin and flexible carbon nanotube/polymer composite films with excellent mechanical strength and electromagnetic interference shielding", "venue": "", "year": 2020 }, { "abstract": "Electromagnetic interference (EMI) shielding properties in the 1 18 GHz frequency range for multi walled carbon nanotube (MWNT) poly(vinylidene fluoride) (PVDF) composites are reported. A simple and gentle acid treatment of MWNT showed a percolation threshold (PT) of 0.15 wt% in the PVDF matrix as against 0.35 wt% for unfunctionalized MWNT. Acid treatment of MWNT significantly improves dispersion, interfacial adhesion with the matrix and the EMI shielding properties of PVDF composites. Further, the EMI shielding properties are correlated with the electrical properties. Using composite films of 0.3 mm thickness, the maximum shielding effectiveness (SET) values for 4 wt% unfunctionalized MWNT composites are found to be about 110, 45, 30, 26, and 58 dB for L (1 2 GHz) S (2 4 GHz) C (4 5.8 GHz) J (5.8 8 GHz) and X (8 12 GHz) bands, while the corresponding values for only 0.5 wt% acid functionalized MWNT composites are about 98, 45, 26, 19, and 47 dB, respectively. The electrical conductivity for both the cases is ~10( 3) S cm( 1) and the weight contents of CNTs are higher than the PT for the respective composites. The comparable EMI SE and electrical conductivity values for both the composites at different weight fractions of CNTs suggest that there is a critical electrical conductivity above which the composites attain improved EMI shielding properties. Further, the shielding mechanism was found to be dominated by absorption loss. Therefore, the composites may also serve as a radar absorbing material.", "author_names": [ "G Sudheer Kumar", "D Vishnupriya", "Anupam Joshi", "Suwarna Datar", "T Umasankar Patro" ], "corpus_id": 23925628, "doc_id": "23925628", "n_citations": 58, "n_key_citations": 1, "score": 0, "title": "Electromagnetic interference shielding in 1 18 GHz frequency and electrical property correlations in poly(vinylidene fluoride) multi walled carbon nanotube composites.", "venue": "Physical chemistry chemical physics PCCP", "year": 2015 }, { "abstract": "", "author_names": [ "Rasel Das" ], "corpus_id": 98930948, "doc_id": "98930948", "n_citations": 12, "n_key_citations": 0, "score": 0, "title": "Nanohybrid Catalyst based on Carbon Nanotube: A Step By Step Guideline from Preparation to Demonstration", "venue": "", "year": 2017 } ]
Visible and mid-IR output using a fibre laser pump source
[ { "abstract": "Results are presented for generation of visible and mid IR output using a common fibre based laser pump source. This source comprised a master oscillator power amplifier (MOPA) configuration incorporating a semiconductor seed source. Operation in the nanosecond and picosecond range is possible via use of the appropriate seed source. The MOPA is capable of generating 100 W average power in an output beam with an M2 of 1.1. Here the MOPA was operated in the nanosecond regime, using 100 ns seed pulses at a pulse repetition frequency of 100 kHz. 40 W each of pump power was available for a frequency doubling and an OPO stage. 9.8 W of green light was generated in an output beam with an M2 of 1.2; using a degenerate PPLN OPO 12.7 W of broadband mid IR output, with a FWHM linewidth in excess of 170 nm, was generated.", "author_names": [ "James Beedell", "Ian F Elder", "Kang Kang Chen", "Shaif-ul Alam", "David John Richardson", "Duncan P Hand" ], "corpus_id": 110687757, "doc_id": "110687757", "n_citations": 1, "n_key_citations": 0, "score": 1, "title": "Visible and mid IR output using a fibre laser pump source", "venue": "Security Defence", "year": 2009 }, { "abstract": "Mid infrared (mid IR) imaging and spectroscopic techniques have been rapidly evolving in recent years, primarily due to a multitude of applications within diverse fields such as biomedical imaging, chemical sensing, and food quality inspection. Mid IR upconversion detection is a promising tool for exploiting some of these applications. In this paper, various characteristics of mid IR upconversion imaging in the femtosecond regime are investigated using a 4f imaging setup. A fraction of the 100 fs, 80 MHz output from a Ti:sapphire laser is used to synchronously pump an optical parametric oscillator, generating 200 fs mid IR pulses tunable across the 2.7 4.0 mm wavelength range. The signal carrying mid IR pulses are detected by upconversion with the remaining fraction of the original pump beam inside a bulk LiNbO3 crystal, generating an upconverted field in the visible/near IR range, enabling silicon based CCD detection. Using the same pump source for generation and detection ensures temporal overlap of pulses inside the nonlinear crystal used for upconversion, thus resulting in high conversion efficiency even in a single pass configuration. A theory is developed to calculate relevant acceptance parameters, considering the large spectral bandwidths and the reduced interaction length due to group velocity mismatch, both associated with ultrashort pulses. Furthermore, the resolution of this ultrashort pulsed upconversion imaging system is described. It is demonstrated that the increase in acceptance bandwidth leads to increased blurring in the upconverted images. The presented theory is consistent with experimental observations.", "author_names": [ "Ashik A S", "Callum Francis O'Donnell", "S Chaitanya Kumar", "Majid Ebrahim-Zadeh", "Peter Tidemand-Lichtenberg", "Christian Pedersen" ], "corpus_id": 197625919, "doc_id": "197625919", "n_citations": 10, "n_key_citations": 0, "score": 0, "title": "Mid infrared upconversion imaging using femtosecond pulses", "venue": "Photonics Research", "year": 2019 }, { "abstract": "Fundamental properties of pure silica microstructured fibres (MSFs) can be determined by their geometrical crosssection design. Investigation of nonlinear effects was widely evaluated in diverse types of MSFs with exactly defined dispersive properties. Proper design of Zero Dispersion Wavelength (ZDW) strongly influences generation of nonlinear processes resulting especially in supercontinuum generation (SC) ZDW shift to short wavelengths together with high nonlinearity (small effective mode area) can be obtained by dramatic decrease of microstructured fibre pitch and increase of air filling ratio. Fibre geometry must be properly scaled preserving technological tolerances to obtain precisely defined position of ZDW near visible range. Additionally, higher air filling ratio results in multimode guiding regime. Therefore, in the paper we present studies of novel type of fibre geometry with ZDW near visible range together with endlessly single mode propagation regime. Chromatic dispersion measurements and ZDW analysis are performed with use of interferometric method. Presented MSFs series (the same structure type, but different ZDW position) is manufactured by stack and draw method. Proposed MSFs geometry enables fabrication of desired chromatic dispersion characteristic while respecting all technological tolerances, which is very difficult in case of manufacturing typical photonic crystal fibres for supercontinuum generated with 780 nm wavelength pulses from titanium sapphire laser. Additionally, proposed endlessly single mode operation provides high quality white light output beam, simultaneously with stable and flat SC source. Paper also reports on the SC generation with pumping in the anomalous and normal side of chromatic dispersion with femtoseconds and picoseconds pulses.", "author_names": [ "Zbyszek Holdynski", "Marek Napierala", "Urszula A Laudyn", "Miroslaw A Karpierz", "Michal Szymanski", "Michal Murawski", "Pawel Mergo", "Pawel Marc", "Leszek R Jaroszewicz", "Tomasz Nasilowski" ], "corpus_id": 120713638, "doc_id": "120713638", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Analysis of supercontinuum generated with endlessly single mode new type of microstructured fibre series with near visible zero dispersion wavelength", "venue": "Photonics West Lasers and Applications in Science and Engineering", "year": 2014 }, { "abstract": "We propose a model for resonantly pumped Pr3+ doped chalcogenide fiber amplifiers which includes excited state absorption and the full spectral amplified spontaneous emission spanning from 2 mm to 6 mm. Based on this model, the observed nearand midinfrared photoluminescence generated from Pr3+ doped chalcogenide fiber is explained. Then the output properties of a 4.1 mm resonantly pumped Pr3+ doped chalcogenide fiber amplifier are simulated in both coand counter pumping schemes. Results show that the 4.1 mm counterpumped fiber amplifier can achieve a power conversion efficiency (PCE) of over 62.8 for signal wavelengths ranging from 4.5 mm to 5.3 mm. This is, to our best knowledge, the highest simulated PCE for a Pr3+ doped chalcogenide fiber amplifier. (c) 2018 Optical Society of America OCIS codes: (060.2360) Fiber optics amplifiers and oscillators; (140.3070) infrared and far infrared lasers; (160.5690) Rare earth doped material. References and links 1. B. Behzadi, M. Aliannezhadi, M. Hossein Zadeh, and R. K. Jain, \"Design of a new family of narrow linewidth mid infrared lasers,\" J. Opt. Soc. Am. B 34(12) 2501 2513 (2017) 2. F. Kuhnemann, K. Schneider, A. Hecker, A. Martis, W. Urban, S. Schiller, and J. Mlynek, \"Photoacoustic tracegas detection using a cw single frequency parametric oscillator,\" Appl. Phys. B 66(6) 741 745 (1998) 3. M. Van Herpen, S. Bisson, A. Ngai, and F. Harren, \"Combined wide pump tuning and high power of a continuous wave, singly resonant optical parametric oscillator,\" Appl. Phys. B 78(3 4) 281 286 (2004) 4. M. S. Vitiello, G. Scalari, B. Williams, and P. De Natale, \"Quantum cascade lasers: 20 years of challenges,\" Opt. Express 23(4) 5167 5182 (2015) 5. J. Li, U. Parchatka, and H. Fischer, \"A formaldehyde trace gas sensor based on a thermoelectrically cooled CWDFB quantum cascade laser,\" Anal. Methods 6(15) 5483 5488 (2014) 6. Y. Yao, A. J. Hoffman, and C. F. Gmachl, \"Mid infrared quantum cascade lasers,\" Nat. Photonics 6(7) 432 439 (2012) 7. V. V. Fedorov, S. B. Mirov, A. Gallian, D. V. Badikov, M. P. Frolov, Y. V. Korostelin, V. I. Kozlovsky, A. I. Landman, Y. P. Podmar'kov, and V. A. Akimov, \"3.77 5.05 mm tunable solid state lasers based on Fe2+ doped ZnSe crystals operating at low and room temperatures,\" J. Quantum Electron. 42(9) 907 917 (2006) 8. S. B. Mirov, V. Fedorov, D. Martyshkin, I. Moskalev, M. Mirov, and V. Gapontsev, \"Progress in mid IR Cr2+ and Fe2+ doped II VI materials and lasers,\" Opt. Mater. Express 1(5) 898 910 (2011) 9. M. Bernier, V. Fortin, N. Caron, M. El Amraoui, Y. Messaddeq, and R. Vallee, \"Mid infrared chalcogenide glass Raman fiber laser,\" Opt. Lett. 38(2) 127 129 (2013) 10. M. Bernier, V. Fortin, M. El Amraoui, Y. Messaddeq, and R. Vallee, \"3.77 mm fiber laser based on cascaded Raman gain in a chalcogenide glass fiber,\" Opt. Lett. 39(7) 2052 2055 (2014) 11. M. R. Majewski and S. D. Jackson, \"Highly efficient mid infrared dysprosium fiber laser,\" Opt. Lett. 41(10) 2173 2176 (2016) 12. O. Henderson Sapir, S. D. Jackson, and D. J. Ottaway, \"Versatile and widely tunable mid infrared erbium doped ZBLAN fiber laser,\" Opt. Lett. 41(7) 1676 1679 (2016) 13. O. Henderson Sapir, A. Malouf, N. Bawden, J. Munch, S. D. Jackson, and D. J. Ottaway, \"Recent advances in 3.5 mm erbium doped mid infrared fiber lasers,\" J. Sel. Top. Quantum Electron. 23(3) 1 9 (2017) 14. V. Fortin, M. Bernier, S. T. Bah, and R. Vallee, \"30 W fluoride glass all fiber laser at 2.94 mm,\" Opt. Lett. 40(12) 2882 2885 (2015) 15. F. Maes, V. Fortin, M. Bernier, and R. Vallee, \"5.6 W monolithic fiber laser at 3.55 mm,\" Opt. Lett. 42(11) 20542057 (2017) 16. R. Woodward, M. Majewski, G. Bharathan, D. Hudson, A. Fuerbach, and S. Jackson, \"Watt level dysprosium fiber laser at 3.15 mm with 73% slope efficiency,\" Opt. Lett. 43(7) 1471 1474 (2018) 17. R. M. Almeida and J. D. Mackenzie, \"Vibrational spectra and structure of fluorozirconate glasses,\" J. Chem. Phys. 74(11) 5954 5961 (1981) 18. A. B. Seddon, Z. Tang, D. Furniss, S. Sujecki, and T. M. Benson, \"Progress in rare earth doped mid infrared fiber lasers,\" Opt. Express 18(25) 26704 26719 (2010) 19. L. Shaw, B. Cole, P. Thielen, J. Sanghera, and I. Aggarwal, \"Mid wave IR and long wave IR laser potential of rare earth doped chalcogenide glass fiber,\" J. Quantum Electron. 37(9) 1127 1137 (2001) 20. J. S. Sanghera, L. B. Shaw, and I. D. Aggarwal, \"Chalcogenide glass fiber based mid IR sources and applications,\" J. Sel. Top. Quantum Electron. 15(1) 114 119 (2009) 21. L. Sojka, Z. Tang, D. Furniss, H. Sakr, A. Oladeji, E. Beres Pawlik, H. Dantanarayana, E. Faber, A. Seddon, and T. Benson, \"Broadband, mid infrared emission from Pr3+ doped GeAsGaSe chalcogenide fiber, optically clad,\" Opt. Mater. 36(6) 1076 1082 (2014) 22. B. J. Park, H. S. Seo, J. T. Ahn, Y. G. Choi, D. Y. Jeon, and W. J. Chung, \"Mid infrared (3.5 5.5 mm) spectroscopic properties of Pr3+ doped Ge Ga Sb Se glasses and optical fibers,\" J. Luminescence 128(10) 1617 1622 (2008) 23. I. D. Aggarwal and J. S. Sanghera, \"Development and applications of chalcogenide glass optical fibers at NRL,\" J. Optoelectron. Adv. Mater. 4(3) 665 678 (2002) 24. M. C. Falconi, G. Palma, F. Starecki, V. Nazabal, J. Troles, J. L. Adam, S. Taccheo, M. Ferrari, and F. Prudenzano, \"Dysprosium doped chalcogenide master oscillator power amplifier (MOPA) for mid IR emission,\" J. Lightwave Technol. 35(2) 265 273 (2017) 25. M. C. Falconi, G. Palma, F. Starecki, V. Nazabal, J. Troles, S. Taccheo, M. Ferrari, and F. Prudenzano, \"Design of an efficient pumping scheme for Mid IR Dy3+ Ga5Ge20Sb10S65 PCF fiber laser,\" Photon. Technol. Lett. 28(18) 1984 1987 (2016) 26. Z. Tang, D. Furniss, M. Fay, H. Sakr, L. Sojka, N. Neate, N. Weston, S. Sujecki, T. M. Benson, and A. B. Seddon, \"Mid infrared photoluminescence in small core fiber of praseodymium ion doped selenide based chalcogenide glass,\" Opt. Mater. Express 5(4) 870 886 (2015) 27. A. B. Seddon, D. Furniss, Z. Tang, T. Benson, R. Caspary, and S. Sujecki, \"True mid infrared Pr3+ absorption cross section in a selenide chalcogenide host glass,\" in 18th International Conference on Transparent Optical Networks (ICTON) (IEEE, 2016) paper 7550709. 28. L. Sojka, Z. Tang, H. Zhu, E. Beres Pawlik, D. Furniss, A. Seddon, T. Benson, and S. Sujecki, \"Study of midinfrared laser action in chalcogenide rare earth doped glass with Dy3+ Pr3+ and Tb3+\" Opt. Mater. Express 2(11) 1632 1640 (2012) 29. J. Hu, C. R. Menyuk, C. Wei, L. B. Shaw, J. S. Sanghera, and I. D. Aggarwal, \"Highly efficient cascaded amplification using Pr3+ doped mid infrared chalcogenide fiber amplifiers,\" Opt. Lett. 40(16) 3687 3690 (2015) 30. M. Khamis and K. Ennser, \"Design of highly efficient Pr3+ doped chalcogenide fiber laser,\" Photon. Technol. Lett. 29(18) 1580 1583 (2017) 31. L. Sojka, Z. Tang, D. Furniss, H. Sakr, E. Beres Pawlik, A. Seddon, T. Benson, and S. Sujecki, \"Numerical and experimental investigation of mid infrared laser action in resonantly pumped Pr3+ doped chalcogenide fibre,\" Opt. Quantum Electron. 49(1) s11082 016 0827 0 (2017) 32. P. Sourkova, B. Frumarova, M. Frumar, P. Nemec, M. Kincl, V. Nazabal, V. Moizan, J. L. Doualan, and R. Moncorge, \"Spectroscopy of infrared transitions of Pr3+ ions in Ga Ge Sb Se glasses,\" J. Luminescence 129(10) 1148 1153 (2009) 33. R. Quimby, L. Shaw, J. Sanghera, and I. Aggarwal, \"Modeling of cascade lasing in Dy: chalcogenide glass fiber laser with efficient output at 4.5 mm,\" Photon. Technol. Lett. 20(2) 123 125 (2008) 34. P. Becker, N. Olsson, and J. Simpson, Erbium Doped Fiber Amplifiers Fundamentals and Technology, (Academic 1999) Chap. 6. 35. A. A. Hardy and R. Oron, \"Amplified spontaneous emission and Rayleigh backscattering in strongly pumped fiber amplifiers,\" J. Lightwave Technol. 16(10) 1865 1873 (1998) 36. E. Yahel and A. Hardy, \"Modeling high power Er3+ Yb3+ codoped fiber lasers,\" J. Lightwave Technol. 21(9) 2044 2052 (2003) 37. E. Karaksina, V. Shiryaev, M. Churbanov, E. Anashkina, T. Kotereva, and G. Snopatin, \"Core clad Pr(3+ doped Ga(In) Ge As Se (I) glass fibers: preparation, investigation, simulation of laser characteristics,\" Opt. Mater. 72, 654 660 (2017) 38. X. Zhu and R. Jain. \"Numerical analysis and experimental results of high power Er/Pr: ZBLAN 2.7 mm fiber lasers with different pumping designs,\" Appl. Opt. 45(27) 7118 7125 (2006)", "author_names": [ "", "M T", "B A" ], "corpus_id": 223695961, "doc_id": "223695961", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Shen, M. and Furniss, David and Tang, Z. and Barney, Emma R. and Sojka, L. and Sujecki, S. and Benson, Trevor M. and Seddon, Angela B. (2018) Modeling of resonantly pumped mid infrared Pr3+ doped chalcogenide fiber amplifier with different pumping", "venue": "", "year": 2018 }, { "abstract": "We report continuous wave powers up to 704 mW and quasi cw powers up to 1574 mW (50% duty cycle) at 588 nm from a diode pumped Nd:GdVO4 laser with intracavity Raman shifting (in KGd(WO4)2) and intracavity frequencydoubling (in LBO) with diode to 588 nm conversion efficiencies of up to 7% We also present a rate equation model of the laser which is used to outline the key design issues for cw intracavity doubled Raman lasers, in particular the roles played by thermal loading in the Nd:GdVO4 laser crystal, resonator mode sizes in the gain and nonlinear media and passive resonator losses. Introduction There is significant current interest in continuous wave (cw) solid state yellow laser sources for a diverse range of applications including ophthalmology, biomedicine and visual displays, and a variety of approaches have been taken to develop promising devices. These include an extra cavity, frequency doubled diode pumped Yb fibre laser generating 40 mW at 575 nm with a diode yellow conversion efficiency of 7% [1] a 3 W, 589 nm source based on extracavity frequency doubling of the 1179 nm output of a Raman fibre laser pumped by a diode pumped Yb fibre laser (estimated overall optical efficiency ~3% [2] and a 0.75 W, 593.5 nm laser source based on sum frequency mixing of the 1064 and 1342 nm lines of two Nd:YVO4 lasers with a diode yellow conversion efficiency ~11% [3] Our approach to developing yellow sources uses diode end pumped Nd lasers incorporating intracavity Raman shifting and second harmonicgeneration in crystalline materials; we believe this approach could yield practical, cost effective devices at low medium powers (up to ~1 W) due to the small number of components, small physical size, and the low complexity to assemble. Crystalline Raman lasers, based on a variety of Nd doped pump sources, have significantly increased the number of devices that can access the spectral region between 1.1 and 1.5 mm and also to the yellow orange spectral region through frequency doubling of the Stokes output (or by direct pumping the Raman material with frequency doubled Nd lasers [4] A wide variety of experimental configurations have been employed to achieve Raman conversion, including intracavity Raman generation, external resonator based lasers and Raman generators (primarily used with ultrashort pulse pump lasers) A recent review [5] provides an overview of the field. Prior to 2004, all reported crystalline Raman lasers had been pulsed devices, and it was believed that the high peak powers required to reach threshold for SRS necessitated a Q switched fundamental laser as the pump source for SRS. The first continuous wave (cw) crystalline Raman laser was reported by Grabitchikov, using an external Raman resonator pumped by an Ar laser at 514 nm [6] Three subsequent papers [7,8,9] reported cw Raman laser action using intracavity resonator configurations, and for the first time diode pumped laser systems. In this paper, we report a 704 mW cw intracavity frequency doubled Raman laser which operates in the yellow at 588 nm. To the best of our knowledge this is the first demonstration of an all solid state cw yellow laser based on crystalline media. We also outline the key design considerations required for efficient cw intracavity Raman laser action, and use numerical modelling to illustrate the interplay and trade offs between the various design parameters. Laser Design The Raman laser configuration is illustrated in Figure 1. The resonator was bounded by a pair of flat mirrors having high transmission at the diode (808 nm) and yellow (588 nm) wavelengths, and high reflectivity at fundamental (1063 nm) and Stokes (1176 nm) wavelengths. Two sets of mirrors were used in the experiments. Mirror set A, for operation at the first Stokes wavelength, and also for the yellow, had coatings with 85%T at 808 nm, 0.09%T at 1063 nm, 0.4%T at 1176 nm and 93%T at 588 nm) Mirror set B, used to obtain the highest yellow powers, had coatings with 96%T at 808 nm, 0.006%T at 1063 nm, 0.004%T at 1176 nm and 95%T at 588 nm) Resonator stability was achieved by way of the strong positive thermal lens in the laser gain medium (the thermal lens in the Nd:GdVO4 laser crystal for a incident pump power of 21 W had focal length estimated from measurements of resonator stability to be +66 mm) Cavity length was kept to a minimum, and was ~45 mm for operation at 1176 nm (no LBO in cavity) and ~62 mm for operation at 588 nm. Australian Institute of Physics 17th National Congress 2006 Brisbane, 3 8 December 2006 RiverPhys Paper No. WC016 2 The pump source was a 30 W fiber coupled 808 nm diode laser (ph=400 mm, NA 0.22) imaged with unity magnification onto an AR coated (1064 1200 nm) a cut 0.3at. Nd:GdVO4 crystal (3x3x10 mm) Raman shifting was obtained using a KGd(WO4)2 (KGW) crystal with dimensions of 5x5x25 mm, AR coated for the near infrared and cut and oriented for propagation along the Np axis with the plane of polarization parallel to Nn. KGW was selected for its superior thermal properties, good Raman gain coefficient and high damage threshold [10] Second harmonic generation (SHG) of the 1176 nm Stokes line was obtained using a temperature controlled ~45 degC) 3x3x10 mm non critically phase matched (NCPM, th=90deg, ph=0deg) LBO crystal coated AR at 1064 1200 nm. CW Laser Operation at 1176 nm (mirror set A) Threshold for lasing at the fundamental occurred for 0.7 W power from the laser diode, and we obtained Raman threshold for 6.6 W of incident pump power. Figure 2 shows the Stokes output as a function of pump power, together with the residual fundamental which becomes strongly depleted above threshold for SHG. Above threshold the 1176 nm firstStokes Raman power increased linearly with pump power, reaching 1563 mW from the output mirror for a maximum pump power of 20.4 W, limited by the onset of coating damage. Note also that the transmission of the input mirror was equal to that of the output mirror (0.4%T at 1176nm) thus approximately 1.5 W Raman power was lost from the input mirror. The low output coupler transmission at 1176 nm (0.4% in comparison with the other resonator losses (estimated to be at least 1% substantially limited the Raman laser output that could be obtained; clearly optimization of output coupling in relation to other losses would increase the output powers. CW Laser Operation at 588 nm (mirror set B) Nonlinear output coupling through frequency doubling of the Stokes optical field is particularly well suited to extracting the Stokes optical field efficiently. Low thresholds are possible due to the high Q cavity at both the fundamental and Stokes wavelengths) while at higher circulating fundamental and Stokes powers, losses are dominated by the nonlinear conversion to the visible, which is coupled from the resonator through a dichroic end mirror. The cw yellow output power at 588 nm as a function of diode pump power incident on the laser crystal in shown in Figure 3 (solid squares) At 15.5 W pump power the cw visible output was stable at 704 mW, with amplitude noise of approximately 12% At higher pump powers, the visible output decreased and became unstable as the resonator approached the stability limit. We note a similar yellow power would have been lost either through the input mirror or would have been absorbed in the Nd:GdVO4 laser crystal (a588nm>2cm 2 4 6 8 10 12 14 16 18 20 22 0.0 0.2 0.4 0.6 0.8 1.0 1.2 1.4 1.6 O ut pu t p ow er W Incident pump power W Residual 1063nm Raman 1176nm 2 4 6 8 10 12 14 16 18 20 22 24 26 0 200 400 600 80", "author_names": [ "Peter Dekker", "Helen Pask", "David J Spence", "James A Piper" ], "corpus_id": 133706378, "doc_id": "133706378", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "CONTINUOUS WAVE, ALL SOLID STATE, YELLOW LASER SOURCE AT 588 nm", "venue": "", "year": 2006 }, { "abstract": "Black Light or Wood's lamp refers to sources that emit long wavelength ultraviolet radiation (UV A) from 315 nm and little visible light till 410 nm (blue) In this paper, we present a new fibre based source of \" black light \" a source that emits broadband ultraviolet radiation but only small amounts of visible light and no infrared light. We made this source by pumping a specially designed silica photonic crystal fibre (PCF) with 355 nm light pulses from a Q switched frequency tripled Nd:YAG laser. Four wave mixing and cascaded Raman generation combine in the fibre to provide a supercontinuum output that spans from around 350 nm to 390 470 nm, with the exact spectral width dependent on the pump power, as shown in Fig. 1. This broadband black light source can find potential applications for diagnostic and therapeutic uses in medicine and in dermatology, for the detection of substances tagged with fluorescents and minerals, for counterfeit money, for absorption spectroscopy, and for military applications [1,2] Fig. 1. Output spectra for increasing input power from 0.5 to 4 mW showing black light continuum generation from 350 nm up to the blue at 470 nm in a 30 m long silica PCF pumped at 355 nm with 300 ps Q switched pulses. The inset shows the optical mode output.", "author_names": [ "Thibaut Sylvestre", "M W Lee", "A R Ragueh", "Birgit Stiller", "Gil Fanjoux", "Benoit Barviau", "Arnaud Mussot", "Alexandre Kudlinski" ], "corpus_id": 136238175, "doc_id": "136238175", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Fiber based broadband black light source", "venue": "", "year": 2012 }, { "abstract": "We demonstrate a simple module for octave spanning continuous wave supercontinuum generation using standard telecom fiber. This module can accept any high power Ytterbium doped fiber laser as input. The input light is transferred into the anomalous dispersion region of the telecom fiber through a cascade of Raman shifts. A recently proposed Raman laser architecture with distributed feedback efficiently performs these Raman conversions. A spectrum spanning over 1000nm(>1 octave) from 880 1900nm is demonstrated. The average power from the supercontinuum is ~34W with a high conversion efficiency of 44% Input wavelength agility is demonstrated with similar supercontinua over a wide input wavelength range. References and links 1. Jinendra K. Ranka, Robert S. Windeler, and Andrew J. Stentz, \"Visible continuum generation in air silica microstructure optical fibers with anomalous dispersion at 800 nm,\" Opt. Lett. 25, 25 27 (2000) 2. Dylan M. Owen, Egidijus Auksorius, Hugh B. Manning, Clifford B. Talbot, Pieter A. A. de Beule, Christopher Dunsby, Mark A. A. Neil, and Paul M. W. French, \"Excitation resolved hyperspectral fluorescence lifetime imaging using a UV extended supercontinuum source,\" Opt. Lett. 32, 3408 3410 (2007) 3. Pei Lin Hsiung, Yu Chen, Tony H. Ko, James G. Fujimoto, Christiano J.S. de Matos, Sergei V. Popov, James R. Taylor, and Valentin P. Gapontsev, \"Optical coherence tomography using a continuous wave, high power, Raman continuum light source,\" Opt. Express 12, 5287 5295 (2004) 4. T. Morioka, H. Takara, S. Kawanishi, O. Kamatani, K. Takiguchi, K. Uchiyama, M. Saruwatari, H. Takahashi, M. Yamada, T. Kanamori, and H. Ono, \"1Tbit/s (100 Gbit/sx10 channel) OTDM/WDM transmission using a single supercontinuum WDM source,\" Electron. Lett. 32, 906 907 (1996) 5. J. M. Dudley, G. Genty, and S. Coen, \"Supercontinuum generation in photonic crystal fiber,\" Rev. Mod. Phys. 78(4) 1135 1184 (2006) 6. B. A. Cumberland, J. C. Travers, S. V. Popov, and J. R. Taylor, \"29 W High power CW supercontinuum source,\" Opt. Express 16, 5954 5962 (2008) 7. J. C. Travers, A. B. Rulkov, B. A. Cumberland, S. V. Popov, and J. R. Taylor, \"Visible supercontinuum generation in photonic crystal fibers with a 400 W continuous wave fiber laser,\" Opt. Express 16, 14435 14447 (2008) 8. A. Kudlinski and A. Mussot, \"Visible CW pumped supercontinuum,\" Opt. Lett. 33, 2407 2409 (2008) 9. A. K. Abeeluck, C. Headley, C. G. Jorgensen, \"High power supercontinuum generation in highly nonlinear, dispersion shifted fibers by use of a continuous wave Raman fiber laser\" Optics Letters 29, 18 (2004) 10. B. H. Chapman, S. V. Popov, R. Taylor, \"Continuous Wave Supercontinuum Generation through Pumping in the Normal Dispersion Region for Spectral Flatness\" IEEE Photonics Technology Letters 24, 15 (2012) 11. V. Choudhury, S. Arun, R. Prakash and V. R. Supradeepa, \"High power, equalized, continuous wave supercontinuum generation using cascaded Raman fiber amplifiers,\" 2017 Conference on Lasers and ElectroOptics Europe European Quantum Electronics Conference (CLEO/Europe EQEC) 2017, pp. 1 1. 12. Thibaut Sylvestre, Armand Vedadi, Herve Maillotte, Frederique Vanholsbeeck, and Stephane Coen, \"Supercontinuum generation using continuous wave multiwavelength pumping and dispersion management,\" Opt. Lett. 31, 2036 2038 (2006) 13. G. P. Agrawal, Nonlinear Fiber Optics (Academic, 2007) 14. V. R. Supradeepa, Y. Feng and J. W. Nicholson, \"Raman fiber lasers,\" J. Opt. 19, 023001 (2017) 15. S. A. Babin, I. D. Vatnik, A. Yu. Laptev, M. M. Bubnov, and E. M. Dianov, \"High efficiency cascaded Raman fiber laser with random distributed feedback,\" Opt. Express 22, 24929 24934 (2014) 16. Lei Zhang, Huawei Jiang, Xuezong Yang, Weiwei Pan, and Yan Feng, \"Ultra wide wavelength tuning of a cascaded Raman random fiber laser,\" Opt. Lett. 41, 215 218 (2016) 17. S. Arun, V. Balaswamy, S. Aparanji and V. R. Supradeepa, \"High power, grating free, cascaded Raman fiber lasers,\" 2017 Conference on Lasers and Electro Optics Europe European Quantum Electronics Conference (CLEO/Europe EQEC) 2017, pp. 1 1. 18. V. Balaswamy, S. Arun, Santosh Aparanji, Vishal Choudhury and V. R. Supradeepa, \"High Power, Fixed and Tunable Wavelength, Grating Free Cascaded Raman Fiber Lasers,\" arXiv:1711.10966 [physics.optics] (2017) 19. S. K. Turitsyn, S. A. Babin, A. E. El Taher, P. Harper, D. V. Churkin, S. I. Kablukov, J. D. Ania Castanon, V. Karalekas, and E. V. Podivilov, \"Random distributed feedback fibre laser,\" Nat. Photonics 4, 231 235 (2010) 20. J. W. Nicholson, M. F. Yan, P. Wisk, J. Fleming, F. DiMarcello, E. Monberg, T. Taunay, C. Headley, and D. J. DiGiovanni, \"Raman fiber laser with 81 W output power at 1480 nm,\" Opt. Lett. 35(18) 3069 3071 (2010) 21. Skryabin, D. V. and A. V. Yulin, \"Theory of generation of new frequencies by mixing of solitons and dispersive waves in optical fibers,\" Phys. Rev. E 72, 016619 (2005) 22. V. Balaswamy, S. Aparanji, G. Chayran, and V. R. Supradeepa, \"Tunable Wavelength, Tunable Linewidth, High Power Ytterbium Doped Fiber Laser,\" in 13th International Conference on Fiber Optics and Photonics, OSA Technical Digest (online) (Optical Society of America 2016) paper Tu3E.4. (2016)", "author_names": [ "A S", "", "B V", "R V" ], "corpus_id": 171088345, "doc_id": "171088345", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "High power, high efficiency, continuous wave supercontinuum generation using standard telecom fibers", "venue": "", "year": 2018 }, { "abstract": "We have developed a fibre based source of \"black light\" a source that emits broadband ultraviolet radiation but only small amounts of visible light and no infrared light. We made this source by pumping a specially designed silica photonic crystal fibre with 355 nm light pulses from a Q switched frequency tripled Nd:YAG laser. Four wave mixing and cascaded Raman generation combine in the fibre to provide a broadband continuum output that spans from around 350 nm to 390 470 nm, with the exact spectral width dependent on the pump power. We discuss the main limitations in terms of bandwidth and power due to temporal walk off, fiber attenuation and solarization and we suggest simple solutions for further progress. This broadband black light source could be useful for performing gas absorption spectroscopy or exciting various fluorescent proteins used in biological studies.", "author_names": [ "Thibaut Sylvestre", "A R Ragueh", "M W Lee", "Birgit Stiller", "Gil Fanjoux", "Benoit Barviau", "Arnaud Mussot", "Alexandre Kudlinski" ], "corpus_id": 122351156, "doc_id": "122351156", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Supercontinuum generation in the black light region by pumping at 355 nm a silica photonic crystal fiber", "venue": "Photonics Europe", "year": 2012 }, { "abstract": "El proceso de soldadura por laser desarrollado en los ultimos anos ha puesto de manifiesto las posibilidades de aplicacion de esta tecnologia en diferentes sectores productivos, principalmente en la industria automovilistica, en la cual se han demostrado sus ventajas en terminos de productividad, eficiencia y calidad. El uso de la tecnologia laser, ya sea hibrida o pura, reduce el input termico al limitar la zona afectada por el calor, sin crear deformaciones y, por tanto, disminuye los re trabajos post soldadura necesarios para eliminarlas. Asimismo, se aumenta la velocidad de soldadura, incrementando la productividad y calidad de las uniones. En la ultima decada, el uso de laseres hibridos, (laser arco) de gran potencia de Neodimio YAG, (Nd: YAG) ha sido cada vez mas importante. La instalacion de este tipo de fuentes de laser solido de gran potencia ha sido posible en construccion naval debido a sus ventajas con respecto a las instalaciones de laser de C02 existentes en los astilleros que actualmente utilizan esta tecnologia. Los laseres de C02 estan caracterizados por su gran potencia y la transmision del haz a traves de espejos. En el caso de las fuentes de Nd:YAG, debido a la longitud de onda a la cual se genera el haz laser, su transmision pueden ser realizada a traves de fibra optica haciendo posible la utilizacion del cabezal laser a gran distancia de la fuente, aparte de la alternativa de integrar el cabezal en unidades robotizadas. El proceso laser distribuye el calor aportado de manera uniforme. Las caracteristicas mecanicas de dichas uniones ponen de manifiesto la adecuacion de la soldadura por laser para su uso en construccion naval, cumpliendo los requerimientos exigidos por las Sociedades de Clasificacion. La eficiencia energetica de los laseres de C02, con porcentajes superiores al 20% aparte de las ya estudiadas tecnicas de su instalacion constituyen las razones por las cuales este tipo de laser es el mas usado en el ambito industrial. El laser de gran potencia de Nd: YAG esta presente en el mercado desde hace poco tiempo, y por tanto, su precio es relativamente mayor que el de C02, siendo sus costes de mantenimiento, tanto de lamparas como de diodos necesarios para el bombeo del solido, igualmente mayores que en el caso del C02. En cambio, el efecto de absorcion de parte de la energia en el plasma generado durante el proceso no se produce en el caso del laser de Nd: YAG, utilizando parte de esa energia en estabilizar el arco, siendo necesaria menos potencia de la fuente, reduciendo el coste de la inversion. En funcion de la aplicacion industrial, se debera realizar el analisis de viabilidad economica correspondiente. Dependiendo de la potencia de la fuente y del tipo de laser utilizado, y por tanto de la longitud de onda a la que se propaga la radiacion electromagnetica, pueden existen riesgos para la salud. El laser de neodimio se propaga en una longitud de onda, relativamente cercana al rango visible, en la cual se pueden producir danos en los ojos de los operadores. Se deberan establecer las medidas preventivas para evitar los riesgos a los que estan expuestos dichos operadores en la utilizacion de este tipo de energia. La utilizacion del laser de neodimio: YAG ofrece posibilidades de utilizacion en construccion naval economicamente rentables, debido su productividad y las buenas caracteristicas mecanicas de las uniones. Abstract The laser welding process development of the last years shows broad application possibilities in many sectors of industry, mostly in automobile production. The advantages of the laser beam process produce higher productivity, increasing the quality and thermal efficiency. Laser technology, arc hybrid or pure laser welding, reduces thermal input and thus a smaller heat affected zone at the work piece. This means less weldment distortion which reduces the amount of subsequent post weld straightening work that needs to be done. A higher welding speed is achieved by use of the arc and the laser beam, increasing productivity and quality of the joining process. In the last decade use of hybrid technology (laser GMA hybrid method) with high power sources Nd:YAG lasers, gained in importance. The installation of this type of higher power solid state laser is possible in shipbuilding industrial applications due to its advantages compare with the C02 laser sources installed in the shipyards which use this technology. C02 lasers are characterised by high power output and its beam guidance is via inelastic system of mirrors. In the case of Nd:YAG laser, due to its wavelength, the laser beam can be led by means of a flexible optical fibre even across large distances, which allows three dimensional welding jobs by using of robots. Laser beam welding is a process during which the heat is transferred to the welded material uniformly and the features of the process fulfilled the requirements by Classification Societies. So that, its application to the shipbuilding industry should be possible. The high quantum efficiency of C02 laser, which enabled efficiency factors up to 20% and relative simple technical possibilities of implementation are the reasons for the fact that it is the most important laser in industrial material machining. High power Nd: YAG laser is established on the market since short time, so that its price is relatively high compared with the C02 laser source and its maintenance cost, lamp or diode pumped solid state laser, is also higher than in the case of C02 lasers. Nevertheless effect of plasma shielding does not exist with Nd:YAG lasers, so that for the gas shielding welding process the optimal gases can be used regarding arc stability, thus power source are saved and the costs can be optimised. Each industrial application carried out needs its cost efficiency analysis. Depending on the power output and laser type, the dangerousness of reflected irradiation, which even in some meters distance, affects for the healthy operators. For the YAG laser process safety arrangements must be set up in order to avoid the laser radiation being absorbed by the human eye. Due to its wavelength of radiation, being relatively close to the visible range, severe damage to the retina of the eye is possible if sufficient precautions are not taken. Safety aspects are of vital importance to be able to shield the operator as well as other personal. The use of Nd:YAG lasers offers interesting and economically attractive applications in shipbuilding industry. Higher joining rates are possible, and very good mechanical/technological parameters can be achieved.", "author_names": [ "A Abecia" ], "corpus_id": 128816468, "doc_id": "128816468", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Analisis de la aplicacion de Soldadura de acero de calidad \"A\"mediante laser de Neodimio YAG en construccion naval", "venue": "", "year": 2013 }, { "abstract": "We characterize a cw OPO featuring narrow linewidth and the possibility of phase locking to optical frequency standards. We present a novel scheme for transferring frequency stability between the IR and visible. The mid IR spectral range around 3 mm is of great interest to high resolution spectroscopy and metrology since it contains fundamental vibrational modes of molecular bonds including hydrogen. Accessing these transitions provides vast opportunities for fundamental research and industrial applications. Their spectroscopic investigation, however, is hindered by the lack of appropriate tunable laser sources operating in this spectral region. Continuous wave optical parametric oscillators (cw OPOs) are one of the few viable options. We present a practical cw OPO device that offers several advantages over analogous systems. Its detailed description and the first application for Doppler free spectroscopy of methane are reported in [1] The singly resonant OPO with resonated pump is based on a periodically poled lithium niobate (PPLN) crystal pumped by a monolithic Nd YAG laser. The OPO contains a specially designed intracavity etalon, which allows controlled access to any desired frequency in a wide emission range: 1.5 1.9 mm (signal) and 2.4 3.7 mm (idler) The output radiation has a linewidth less than 15 kHz and power levels of 10 50 mW. We have shown that the OPO output frequencies can be easily phase locked to independent laser sources in the visible and IR ranges. These features of the OPO combined with the recently developed optical frequency combs based on femtosecond mode locked lasers [2] open up a series of new opportunities in optical metrology. We propose a scheme that allows to phase lock the OPO output frequencies and a femtosecond laser frequency comb to each other. Our idea is based on the fact that our OPO emits not only the signal (S) and idler (I) waves (with pump frequency P=S+I) but also a variety of (not necessarily phase matched) linear combinations of the two frequencies. Some of these frequencies (P+S, P+I, 2S) are located in the emission range of a mode locked femtosecond Ti:Sapphire laser and can be used for the mutual frequency stabilization of the two sources. In this arrangement the OPO serves as a bridge that transfers the stability of frequency standards operating in the nearand mid IR into the visible and vice versa. This paves the way for developing a precise frequency synthesizer for the entire optical range. One can also use the OPO frequency comb tandem to compare frequency standards of different physical nature and thus carry out fundamental tests of physics.", "author_names": [ "Evgeny V Kovalchuk", "A I Lvovsky" ], "corpus_id": 107987356, "doc_id": "107987356", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Spectral Properties and Frequency Control of Optical Parametric Oscillators for Applications in Metrology", "venue": "", "year": 2002 } ]
Light emitting diodes reliability review
[ { "abstract": "The increasing demand for light emitting diodes (LEDs) has been driven by a number of application categories, including display backlighting, communications, medical services, signage, and general illumination. The construction of LEDs is somewhat similar to microelectronics, but there are functional requirements, materials, and interfaces in LEDs that make their failure modes and mechanisms unique. This paper presents a comprehensive review for industry and academic research on LED failure mechanisms and reliability to help LED developers and end product manufacturers focus resources in an effective manner. The focus is on the reliability of LEDs at the die and package levels. The reliability information provided by the LED manufacturers is not at a mature enough stage to be useful to most consumers and end product manufacturers. This paper provides the groundwork for an understanding of the reliability issues of LEDs across the supply chain. We provide an introduction to LEDs and present the key industries that use LEDs and LED applications. The construction details and fabrication steps of LEDs as they relate to failure mechanisms and reliability are discussed next. We then categorize LED failures into thirteen different groups related to semiconductor, interconnect, and package reliability issues. We then identify the relationships between failure causes and their associated mechanisms, issues in thermal standardization, and critical areas of investigation and development in LED technology and reliability.", "author_names": [ "Moon-Hwan Chang", "Diganta Das", "P V Varde", "Michael G Pecht" ], "corpus_id": 1741153, "doc_id": "1741153", "n_citations": 551, "n_key_citations": 13, "score": 1, "title": "Light emitting diodes reliability review", "venue": "Microelectron. Reliab.", "year": 2012 }, { "abstract": "Abstract This paper reviews the rapid progress being made in the developments of organic/inorganic blue light emitting diodes (LEDs) Blue LEDs exhibits outstanding electrical and optical properties such as low forward driving voltage, high light output power, high brightness and high internal quantum efficiency (IQE) This article highlights the rapid advancements being made in the developments of organic/inorganic blue LEDs over the last five decades, efficiency enhancement techniques, efficiency droop in blue LEDs and the techniques to alleviate efficiency droop, recent developments in flexible blue LEDs, degradation mechanisms and reliability issues in blue LEDs, challenges in fabrication and packaging of blue LEDs and it also throw light on the applications of blue LEDs. Their uniqueness in terms of low forward driving voltage, high light output power and brightness and large modulation bandwidth has fuelled the incorporation of blue LEDs in a wide variety of applications such as visible light communication (VLC) solid state lighting (SSL) cellular phone displays, liquid crystal display backlights, flexible flat panel displays, outdoor full colour displays, indicators, smart TVs, projection displays and implantable biomedical devices.", "author_names": [ "Maidhily Manikandan", "D Nirmal", "J Ajayan", "P MohanKumar", "P Prajoon", "L Arivazhagan" ], "corpus_id": 209988273, "doc_id": "209988273", "n_citations": 6, "n_key_citations": 0, "score": 0, "title": "A review of blue light emitting diodes for future solid state lighting and visible light communication applications", "venue": "", "year": 2019 }, { "abstract": "This chapter presents an extensive review of the literature on the degradation processes of GaN based UV A, UV B, and UV C LEDs. For the state of the art devices, the main open issue is the increase in Shockley Read Hall non radiative recombination inside the quantum well, originating from local generation of defects or from diffusion processes of dopant atoms or foreign impurities. Temperature acts as a significant acceleration factor, especially in lower wavelength devices, affected by a higher turn on voltage. Changes in the chemical structure of the package and of the encapsulant, induced by the high energy of the photons, may lead to a lower reflectivity and transmittance, thus limiting the overall reliability of the devices.", "author_names": [ "Carlo De Santi", "Desiree Monti", "Pradip Dalapati", "Matteo Meneghini", "Gaudenzio Meneghesso", "Enrico Zanoni" ], "corpus_id": 139655471, "doc_id": "139655471", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Reliability of Ultraviolet Light Emitting Diodes", "venue": "", "year": 2019 }, { "abstract": "Abstract Currently, the major commercial white light emitting diode is the phosphor converted LED made of blue emitting chip and Y 3 Al 5 O 12 :Ce 3+ yellow phosphor dispersed in organic silicone. However, the organic binder in high power device ages easily and turns yellow due to accumulated heat emitted from chip, which adversely affects the device properties such as luminous efficacy and color coordination, and therefore reduces its long term reliability as well as lifetime. In this mini review article, we provide an overview of recent progresses in developing transparent inorganic glass ceramics phosphors excitable by blue chip, as an alternative to conventional polymer based phosphor converter, for construction of high power white light emitting diodes. Two kinds of synthesis routes, glass crystallization and low temperature co sintering, are discussed in detail. Afterwards, the materials design, structure/property optimization as well as glass ceramic based WLED devices construction are summarized. Finally, challenges and future advances for the realization of transparent glass ceramics in commercial applications will be presented.", "author_names": [ "Daqin Chen", "Weidong Xiang", "Xiaojuan Liang", "Jiasong Zhong", "Hua Yu", "Mingye Ding", "Hongwei Lu", "Zhen-guo Ji" ], "corpus_id": 136588252, "doc_id": "136588252", "n_citations": 354, "n_key_citations": 0, "score": 0, "title": "Advances in Transparent Glass Ceramic Phosphors for White Light Emitting Diodes A Review", "venue": "", "year": 2015 }, { "abstract": "<jats:p><jats:fig position=\"anchor\"<jats:graphic xmlns:xlink=\"http:/www.w3.org/1999/xlink\" mime subtype=\"png\" mimetype=\"image\" position=\"float\" xlink:href=\"S0884291419003315_figAb.png\" /jats:fig>/jats:p>", "author_names": [ "Yun Li", "Kun Cao", "Rong Chen" ], "corpus_id": 210255915, "doc_id": "210255915", "n_citations": 7, "n_key_citations": 0, "score": 0, "title": "Thin film encapsulation for the organic light emitting diodes display via atomic layer deposition", "venue": "Journal of Materials Research", "year": 2019 }, { "abstract": "Organic light emitting diode (LED) technology has reached a point where performance levels are adequate for a number of applications. This review examines the key scientific issues that underlie the operation of such LEDs. The most advanced LEDs are multilayer devices, with the different layers possessing specialized carrier transport/optical properties. A combination of these materials results in the highly efficient devices that have now been reported by several laboratories. The important issue of reliability and some possible applications for organic LEDs are surveyed.", "author_names": [ "Ananth Dodabalapur" ], "corpus_id": 221894468, "doc_id": "221894468", "n_citations": 156, "n_key_citations": 0, "score": 0, "title": "Organic light emitting diodes", "venue": "", "year": 1997 }, { "abstract": "A review is presented of the operation and characteristics of electroluminescent p n junctions which are specifically designed for incoherent emission in the visible region of the optical spectrum. Recombination mechanisms in direct and indirect bandgap semiconductors, optical losses and reliability, efficiency and brightness considerations, and material synthesis are treated in sufficient depth to understand their role in the over all performance of visible light emitting diodes (LEDs) The paper is concluded with a summary of the state of the art for each of the various types of LEDs presently under development or commercially available.", "author_names": [ "Charles J Nuese", "Henry Kressel", "Ivan Ladany" ], "corpus_id": 97850512, "doc_id": "97850512", "n_citations": 8, "n_key_citations": 0, "score": 0, "title": "Light Emitting Diodes and Semiconductor Materials for Displays", "venue": "", "year": 1973 }, { "abstract": "Lead halide perovskites are a remarkable class of materials that have emerged over the past decade as being suitable for application in a broad range of devices, such as solar cells, light emitting diodes, lasers, transistors, and memory devices, among others. While they are often solution processed semiconductors deposited at low temperatures, perovskites exhibit properties one would only expect from highly pure inorganic crystals that are grown at high temperatures. This unique phenomenon has resulted in fast paced progress toward record device performance; unfortunately, the basic science behind the remarkable nature of these materials is still not well understood. This review assesses the current understanding of the photoluminescence (PL) properties of metal halide perovskite materials and highlights key areas that require further research. Furthermore, the need to standardize the methods for characterization of PL in order to improve comparability, reliability and reproducibility of results is emphasized.", "author_names": [ "Katelyn P Goetz", "Alexander D Taylor", "Fabian Paulus", "Yana Vaynzof" ], "corpus_id": 216506997, "doc_id": "216506997", "n_citations": 26, "n_key_citations": 0, "score": 0, "title": "Shining Light on the Photoluminescence Properties of Metal Halide Perovskites", "venue": "", "year": 2020 }, { "abstract": "Reliability issues in solid state lighting (SSL) devices based on light emitting diodes (LED) is of major concern as it is a limiting factor to promote these optoelectronic devices for general lighting purposes. This postulate is even truer for high power devices in which high current and thus high thermal load are involved. In order to increase reliability and lighting efficacy, LED designs related to thermal management are evolving parallel to LED research and development. However there are still some issues mainly related to the degradation of LED's constituents with time involving a faster decay of the lightning efficacy. In order to increase reliability of SSL devices, components presenting self repairing properties could be implemented. In this review we will first briefly expose the state of the art on inorganic semiconductor based LED research and development, trends and challenges that lead to an increase of lighting efficiency. In a second part the different failure mode occurring for SSL devices have been compiled highlighting what are the main mechanism influencing and limiting LED reliability. Strong from this knowledge, in the last part, self healing concepts will be proposed to further improve LED's reliability.", "author_names": [ "Ugo Lafont", "Henk W van Zeijl", "Sybrand van der Zwaag" ], "corpus_id": 9548399, "doc_id": "9548399", "n_citations": 98, "n_key_citations": 1, "score": 0, "title": "Increasing the reliability of solid state lighting systems via self healing approaches: A review", "venue": "Microelectron. Reliab.", "year": 2012 }, { "abstract": "We review the failure modes and mechanisms of gallium nitride (GaN) based light emitting diodes (LEDs) A number of reliability tests are presented, and specific degradation mechanisms of state of the art LED structures are analyzed. In particular, we report recent results concerning the following issues: 1) the degradation of the active layer induced by direct current stress due to the increase in nonradiative recombination; 2) the degradation of LEDs submitted to reverse bias stress tests; 3) the catastrophic failure of advanced LED structures related to electrostatic discharge events; 4) the degradation of the ohmic contacts of GaN based LEDs; and 5) the degradation of the optical properties of the package/phosphors system of white LEDs. The presented results provide important information on the weaknesses of LED technology and on the design of procedures for reliability evaluation. Results are compared with literature data throughout the text.", "author_names": [ "Matteo Meneghini", "Augusto Tazzoli", "Giovanna Mura", "Gaudenzio Meneghesso", "Enrico Zanoni" ], "corpus_id": 22899725, "doc_id": "22899725", "n_citations": 216, "n_key_citations": 8, "score": 0, "title": "A Review on the Physical Mechanisms That Limit the Reliability of GaN Based LEDs", "venue": "IEEE Transactions on Electron Devices", "year": 2010 } ]
densely packed arrays of metallic nanoparticles
[ { "abstract": "For the application of single walled carbon nanotubes (SWNTs) in nanoelectronic devices, techniques to obtain horizontally aligned semiconducting SWNTs (s SWNTs) with higher densities are still in their infancy. We reported herein a rational approach for the preferential growth of densely packed and well aligned s SWNTs arrays using oxygen deficient TiO2 nanoparticles as catalysts. Using this approach, a suitable concentration of oxygen vacancies in TiO2 nanoparticles could form by optimizing the flow rate of hydrogen and carbon sources during the process of SWNT growth, and then horizontally aligned SWNTs with the density of 10 tubes/mm and the s SWNT percentage above 95% were successfully obtained on ST cut quartz substrates. Theoretical calculations indicated that TiO2 nanoparticles with a certain concentration of oxygen vacancies have a lower formation energy between s SWNT than metallic SWNT (m SWNT) thus realizing the preferential growth of s SWNT arrays. Furthermore, this method can also be extended to other semiconductor oxide nanoparticles (i.e. ZnO, ZrO2 and Cr2O3) for the selective growth of s SWNTs, showing clear potential to the future applications in nanoelectronics.", "author_names": [ "Lixing Kang", "Yue-hui Hu", "Lili Liu", "Juanxia Wu", "Shucheng Zhang", "Qiuchen Zhao", "Feng Ding", "Qingwen Li", "Jin Zhang" ], "corpus_id": 45403403, "doc_id": "45403403", "n_citations": 44, "n_key_citations": 1, "score": 0, "title": "Growth of close packed semiconducting single walled carbon nanotube arrays using oxygen deficient TiO2 nanoparticles as catalysts.", "venue": "Nano letters", "year": 2015 }, { "abstract": "This chapter is an overview of size and concentration effects on electrodynamic coupling in two dimensional densely packed arrays of metallic nanospheres in the frequency range of the surface plasmon resonance (SPR) Our theoretical analysis is based on the statistical theory of multiple scattering of waves. We show that concentration effects, such as the enhanced long wavelength transmission of light and the strong resonance quenching of transmission, are effectively interpreted in terms of constructive and destructive interference of waves incident on and scattered by a monolayer of closely packed submicrometer plasmonic particles. The concentration SPR red shift observed in the case of dipole metal nanoparticles is highly sensitive to the matrix refractive index and results from lateral near field couplings. We also demonstrate phenomena caused by a strong plasmonic photonic confinement in multilayered metal dielectric nanostructures consisting of densely packed monolayers. For example, we show that employing the size and/or concentration gradient of dipole metallic nanoparticles in a quarter wavelength multilayered system allows one to achieve an almost total absorbance.", "author_names": [ "A N Ponyavina", "S M Kachan" ], "corpus_id": 135046133, "doc_id": "135046133", "n_citations": 7, "n_key_citations": 0, "score": 0, "title": "Plasmonic spectroscopy of 2D densely packed and layered metallic nanostructures", "venue": "", "year": 2011 }, { "abstract": "A self assembly template with a photoreduction agent embedded along mesostructured silicate surfactant channels is prepared at the air water interface. The unique template allows uniform and continuous uptake of metallic precursors into the silicate surfactant channels from the solution subphase. Upon UV irradiation, the metallic precursors result in near monodisperse nanoparticles homogeneously arrayed in the mesostructured polyoxometalated silicate surfactant (PSS) channels until saturation. With three dimensionally densely packed Au NPs of ca. 1.7 nm in the PSS template, hence a very high number density of surface enhanced Raman scattering (SERS) hot spots and large absorptive volume, we show that impressive SERS can be measured with 4 nitrothiophenol adsorbed to Au NPs@PSS.", "author_names": [ "Shan-Chi Kuo", "Jin-Jia Tasi", "Junshou Li", "Zheng-Hui Hou", "Cheng-Han Li", "U-Ser Jeng", "Ying Huang Lai" ], "corpus_id": 98759847, "doc_id": "98759847", "n_citations": 7, "n_key_citations": 0, "score": 0, "title": "Enhancement of surface enhanced Raman scattering activity of Au nanoparticles through the mesostructured metallic nanoparticle arrays", "venue": "", "year": 2014 }, { "abstract": "A novel one trough synthesis via an air water interface is demonstrated to provide hexagonally packed arrays of densely spaced metallic nanoparticles (NPs) In the synthesis, a mesostructured polyoxometalate (POM) silicatropic template (PSS) is first self assembled at the air water interface; upon UV irradiation, anion exchange cycles enable the free floating PSS film to continuously uptake gold precursors from the solution subphase for diffusion controlled and POM site directed photoreduction inside the silica channels. NPs 2 nm can hence be homogeneously formed inside the silica surfactant channels until saturation. As revealed via X ray diffraction, small angle X ray scattering (SAXS) grazing incidence SAXS, and transmission electron microscopy, the Au NPs directed by the PSS template are arrayed into a 2D hexagonal lattice with inter channel spacing of 3.2 nm and a mean along channel NP spacing of 2.8 nm. This corresponds to an ultra high number density (1019 NPs cm 3) of narrowly spaced Au NPs in the Au NP@PSS composite, leading to 3D densely deployed hot spots along and across the mesostructured POM silica channels for surface enhanced Raman scattering (SERS) Consequently, the Au NP@PSS composite exhibits prominent SERS with 4 mercaptobenzoic acid (4 MBA) adsorbed onto Au NPs. The best 4 MBA detection limit is 5 nm, with corresponding SERS enhancement factors above 108.", "author_names": [ "Ying Huang Lai", "Shiaw-Woei Chen", "Michitoshi Hayashi", "Ying Jen Shiu", "Chiao-Cheng Huang", "Wei-Tsung Chuang", "Chun-Jen Su", "Hu-Cin Jeng", "Jhe-Wei Chang", "Yao-Chang Lee", "An Chung Su", "Chung-Yuan Mou", "U-Ser Jeng" ], "corpus_id": 73578572, "doc_id": "73578572", "n_citations": 42, "n_key_citations": 0, "score": 0, "title": "Mesostructured Arrays of Nanometer spaced Gold Nanoparticles for Ultrahigh Number Density of SERS Hot Spots", "venue": "", "year": 2014 }, { "abstract": "The design of achromatic optical components requires materials with high transparency and low dispersion. We show that although metals are highly opaque, densely packed arrays of metallic nanoparticles can be more transparent to infrared radiation than dielectrics such as germanium, even when the arrays are over 75% metal by volume. Such arrays form effective dielectrics that are virtually dispersion free over ultra broadband ranges of wavelengths from microns up to millimeters or more. Furthermore, the local refractive indices may be tuned by altering the size, shape, and spacing of the nanoparticles, allowing the design of gradient index lenses that guide and focus light on the microscale. The electric field is also strongly concentrated in the gaps between the metallic nanoparticles, and the simultaneous focusing and squeezing of the electric field produces strong 'doubly enhanced' hotspots which could boost measurements made using infrared spectroscopy and other non linear processes over a broad range of frequencies.Designing effective dielectrics in a broad range of the spectrum is of huge interest. Here, the authors demonstrate how transparent effective dielectrics can be constructed from dense arrays of metallic nanoparticles and can result in being more transparent than real dielectrics renowned for their transparency.", "author_names": [ "Samuel Palmer", "Xiaofei Xiao", "Nicolas Pazos-Perez", "Luca Guerrini", "Miguel A Correa-Duarte", "Stefan A Maier", "Richard V Craster", "Ramon A Alvarez-Puebla", "Vincenzo Giannini" ], "corpus_id": 119278188, "doc_id": "119278188", "n_citations": 11, "n_key_citations": 0, "score": 0, "title": "Extraordinarily transparent compact metallic metamaterials", "venue": "Nature Communications", "year": 2019 }, { "abstract": "We performed synthesis and investigated the self assembly properties of gold nanoparticles (NPs) with covalently attached bolaamphiphilic ligands (B AuNPs) The judiciously designed coating rendered the NPs amphiphilic and induced their self assembly. The B AuNPs formed ordered two dimensional structures over large areas upon simple drop casting. The films exhibited an uncommon and applicable topography, consisting of densely packed rings of inner diameter of around 30 nm, with the B AuNPs at the rim and an empty interior. We introduced and proved experimentally an explanation of how the structures were formed. The model involved elements of geometric packing and ligand reorganization. Upon contact with the hydrophilic surface, ligands rearranged at the surface of the metallic cores of the B AuNPs so that the bolaamphiphilic moieties (constituting ca. 50% of the coating) were in proximity to the surface, while the hexanethiol moieties moved away from it. The described mechanism is of general relevance for.", "author_names": [ "Jan Paczesny", "Michal M Wojcik", "Krzysztof Sozanski", "Kostyantyn Nikiforov", "Carsten Tschierske", "Annemarie Lehmann", "Ewa Gorecka", "Jozef Mieczkowski", "Robert Holyst" ], "corpus_id": 97786027, "doc_id": "97786027", "n_citations": 12, "n_key_citations": 0, "score": 0, "title": "Self Assembly of Gold Nanoparticles into 2D Arrays Induced by Bolaamphiphilic Ligands", "venue": "", "year": 2013 }, { "abstract": "We use evaporation within a microfluidic device to extract the solvent of a (possibly very dilute) dispersion of nanoparticles and concentrate the dispersion until a solid made of densely packed nanoparticles grows and totally invades the microfluidic geometry. The growth process can be rationalized as an interplay between evaporation induced flow and kinetic and thermodynamic coefficients which are system dependent; this yields limitations to the growth process illustrated here on two main cases: evaporation and transport limited growth. Importantly, we also quantify how colloidal stability may hinder the growth and show that care must be taken as to the composition of the initial dispersion, especially regarding traces of ionic species that can destabilize the suspension upon concentration. We define a stability chart, which, when fulfilled, permits us to grow and shape up solids, including superlattices and extended and thick arrays of nanoparticles made of unary and binary dispersions, composites, and heterojunctions between distinct types of nanoparticles. In all cases, the geometry of the final solid is imparted by that of the microfluidic device.", "author_names": [ "Julie Angly", "Antonio Iazzolino", "Jean-Baptiste Salmon", "Jacques Leng", "Sivasankaran Prathap Chandran", "Virginie Ponsinet", "Anthony Desert", "Aurelie Le Beulze", "Stephane Mornet", "Mona Treguer-Delapierre", "Miguel A Correa-Duarte" ], "corpus_id": 207585133, "doc_id": "207585133", "n_citations": 34, "n_key_citations": 1, "score": 0, "title": "Microfluidic induced growth and shape up of three dimensional extended arrays of densely packed nanoparticles.", "venue": "ACS nano", "year": 2013 }, { "abstract": "Controlling the selectivity in electrochemical CO2 reduction is an unsolved challenge. While tin (Sn) has emerged as a promising non precious catalyst for CO2 electroreduction, most Sn based catalysts produce formate as the major product, which is less desirable than CO in terms of separation and further use. Tin monoxide (SnO) nanoparticles supported on carbon black were synthesized and assembled and their application in CO2 reduction was studied. Remarkably high selectivity and partial current densities for CO formation were obtained using these SnO nanoparticles compared to other Sn catalysts. The high activity is attributed to the ultra small size of the nanoparticles (2.6 nm) while the high selectivity is attributed to a local pH effect arising from the dense packing of nanoparticles in the conductive carbon black matrix.", "author_names": [ "J J Gu", "Florent Heroguel", "Jeremy S Luterbacher", "Xile Hu" ], "corpus_id": 3640581, "doc_id": "3640581", "n_citations": 113, "n_key_citations": 0, "score": 0, "title": "Densely Packed, Ultra Small SnO Nanoparticles for Enhanced Activity and Selectivity in Electrochemical CO2 Reduction.", "venue": "Angewandte Chemie", "year": 2018 }, { "abstract": "We report detailed characterization of surface plasmon polariton guiding along 1 1.5 and 2 mm wide channels in high density ~75 mm 2) random arrays of gold 70 nm high and 50 nm wide nanoparticles fabricated on a 70 nm thin gold film supported by a 170 mm thick silica substrate. The mode propagation losses, effective index dispersion, and scattering parameters are characterized using leakage radiation microscopy, in direct and Fourier planes, in the wavelength range of 740 840 nm. It is found that the mode supported by 2 mm wide channels propagates over 10 mm in straight waveguides, with the corresponding S bends and Y splitters functioning reasonably well. The results show that the SPP waves can efficiently be guided by narrow scattering free channels cut through randomly corrugated surface regions. The potential of this waveguiding mechanism is yet to be fully explored by tuning the scattering mean free path and localization length via the density and size of random nanoparticles. Nevertheless, the results obtained are encouraging and promising diverse applications of these waveguide components in plasmonic circuitry.", "author_names": [ "Eduardo Pisano", "Victor Coello", "Cesar E Garcia-Ortiz", "Yiting Chen", "Jonas Beermann", "Sergey I Bozhevolnyi" ], "corpus_id": 44251372, "doc_id": "44251372", "n_citations": 12, "n_key_citations": 0, "score": 0, "title": "Plasmonic channel waveguides in random arrays of metallic nanoparticles.", "venue": "Optics express", "year": 2016 }, { "abstract": "Two dimensional arrays of nanoparticles (NPs) have widespread applications in optical coatings, plasmonic sensors, and nanocomposites. Current bottom up approaches that use homogeneous NP templates, such as silane self assembled monolayers or homopolymers, are typically plagued by NP aggregation, whereas patterned block copolymer (BCP) films require specific compositions for specific NP distributions. Here, we show, using polystyrene b poly(4 vinylpyridine) (PS b P4VP) and gold NPs (AuNPs) of various sizes, that a nanothin PS b P4VP brushlike coating (comprised of a P4VP wetting layer and a PS overlayer) which is adsorbed onto flat substrates during their immersion in very dilute PS b P4VP tetrahydrofuran solutions, provides an excellent template for obtaining dense and well dispersed AuNPs with little aggregation. These non close packed arrays have similar characteristics regardless of immersion time in solution (about 10 120 s studied) solution concentration below a critical value (0.1 and 0.05 mg/mL studied) and AuNP diameter (10 90 nm studied) Very dilute BCP solutions are necessary to avoid deposition, during substrate withdrawal, of additional material onto the adsorbed BCP layer, which typically leads to patterned surfaces. The PS brush coverage depends on immersion time (adsorption kinetics) but full coverage does not inhibit AuNP adsorption, which is attributed to PS molecular rearrangement during exposure to the aqueous AuNP colloidal solution. The simplicity, versatility and robustness of the method will enable applications in materials science requiring dense, unaggregated NP arrays.", "author_names": [ "Hu Zhu", "Jean-Francois Masson", "C Geraldine Bazuin" ], "corpus_id": 85499701, "doc_id": "85499701", "n_citations": 6, "n_key_citations": 0, "score": 1, "title": "Monolayer Arrays of Nanoparticles on Block Copolymer Brush Films.", "venue": "Langmuir the ACS journal of surfaces and colloids", "year": 2019 } ]
Integrated Nanoparticle–Biomolecule Hybrid Systems: Synthesis, Properties, and Applications
[ { "abstract": "Nanomaterials, such as metal or semiconductor nanoparticles and nanorods, exhibit similar dimensions to those of biomolecules, such as proteins (enzymes, antigens, antibodies) or DNA. The integration of nanoparticles, which exhibit unique electronic, photonic, and catalytic properties, with biomaterials, which display unique recognition, catalytic, and inhibition properties, yields novel hybrid nanobiomaterials of synergetic properties and functions. This review describes recent advances in the synthesis of biomolecule nanoparticle/nanorod hybrid systems and the application of such assemblies in the generation of 2D and 3D ordered structures in solutions and on surfaces. Particular emphasis is directed to the use of biomolecule nanoparticle (metallic or semiconductive) assemblies for bioanalytical applications and for the fabrication of bioelectronic devices.", "author_names": [ "Eugenii Katz", "Itamar Willner" ], "corpus_id": 36945927, "doc_id": "36945927", "n_citations": 1859, "n_key_citations": 11, "score": 1, "title": "Integrated nanoparticle biomolecule hybrid systems: synthesis, properties, and applications.", "venue": "Angewandte Chemie", "year": 2004 }, { "abstract": "", "author_names": [ "Eugenii Katz", "Itamar Willner" ], "corpus_id": 196895521, "doc_id": "196895521", "n_citations": 230, "n_key_citations": 5, "score": 0, "title": "Integrated Nanoparticle Biomolecule Hybrid Systems: Synthesis, Properties, and Applications", "venue": "", "year": 2005 }, { "abstract": "Nanopartides exhibit similar dimensions to those of biomolecules.Nanoparticles exhibit unique electronic,pho tonic and catalytic properties,and biomaterials display unique discriminating,catalytic,and inhibitory properties.The integration of the two forms a novel hybrid material of synergetic properties and functions which called biomolecule nanopanticle.This review outlines recent advances in synthesis of biomolecule nanoparticle hybrid systems,and its application in the generation of 2D and 3D ordered structures in the solution or on the surfaces[0],with emphasis on the use of biomolecule nanoparticle(metallic or semi conductive) assemblies for bioanalytical applications and for the fabrication of bioelectronic devices.", "author_names": [ "Shen He-bai" ], "corpus_id": 138077182, "doc_id": "138077182", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "The Synthesis of Integrated Nanoparticle Biomolecule Hybrid Systems and Applications in the Bio medical Field", "venue": "", "year": 2007 }, { "abstract": "", "author_names": [ "Eugenii Katz", "Andrew N Shipway", "Itamar Willner" ], "corpus_id": 135555610, "doc_id": "135555610", "n_citations": 24, "n_key_citations": 0, "score": 0, "title": "Biomaterial Nanoparticle Hybrid Systems: Synthesis, Properties, and Applications", "venue": "", "year": 2005 }, { "abstract": "The similar dimensions of biomolecules such as enzymes, antibodies or DNA, and metallic or semiconductor nanoparticles (NPs) enable the synthesis of biomolecule NP hybrid systems where the unique electronic, photonic and catalytic properties of NPs are combined with the specific recognition and biocatalytic properties of biomolecules. The unique functions of biomolecule NP hybrid systems are discussed with several examples: (i) the electrical contacting of redox enzymes with electrodes is the basis for the development of enzymatic electrodes for amperometric biosensors or biofuel cell elements. The reconstitution of the apo glucose oxidase or apo glucose dehydrogenase on flavin adenine dinucleotide (FAD) functionalized Au NPs (1.4 nm) associated with electrodes, or on pyrroloquinoline quinone (PQQ) functionalized Au NPs (1.4 nm) associated with electrodes, respectively, yields electrically contacted enzyme electrodes. The aligned, reconstituted enzymes on the electrode surfaces reveal effective electrical contacting, and the glucose oxidase and glucose dehydrogenase reveal turnover rates of 5000 and 11,800 s( 1) respectively. (ii) The photoexcitation of semiconductor nanoparticles yields fluorescence with a wavelength controlled by the size of the NPs. The fluorescence functions of semiconductor NPs are used to develop a fluorescence resonance energy transfer (FRET) assay for nucleic acids, and specifically, for analyzing telomerase activity in cancer cells. CdSe ZnS NPs are functionalized by a primer recognized by telomerase, and this is elongated by telomerase extracted from HeLa cancer cells in the presence of dNTPs and Texas red functionalized dUTP. The dye integrated into the telomers allows the FRET process that is intensified as telomerization proceeds. Also, the photoexcited electron hole pair generated in semiconductor NPs is used to generate photocurrents in a CdS DNA hybrid system associated with an electrode. A redox active intercalator, methylene blue, was incorporated into a CdS duplex DNA monolayer associated with a Au electrode, and this facilitated the electron transfer between the electrode and the CdS NPs. The direction of the photocurrent was controlled by the oxidation state of the intercalator. (iii) Biocatalysts grow metallic NPs, and the absorbance of the NPs provides a means to assay the biocatalytic transformations. This is exemplified with the glucose oxidase induced growth of Au NPs and with the tyrosinase stimulated growth of Au NPs, in the presence of glucose or tyrosine, respectively. The biocatalytic growth of the metallic NPs is used to grow nanowires on surfaces. Glucose oxidase or alkaline phosphatase functionalized with Au NPs (1.4 nm) acted as 'biocatalytic inks' for the synthesis of metallic nanowires. The deposition of the Au NP modified glucose oxidase, or the Au NP modified alkaline phosphatase on Si surfaces by dip pen nanolithography led to biocatalytic templates, that after interaction with glucose/AuCl4 or p aminophenolphosphate/Ag+ allowed the synthesis of Au nanowires or Ag nanowires, respectively.", "author_names": [ "Itamar Willner", "Ronan Baron", "Bilha Willner" ], "corpus_id": 25038389, "doc_id": "25038389", "n_citations": 273, "n_key_citations": 3, "score": 0, "title": "Integrated nanoparticle biomolecule systems for biosensing and bioelectronics.", "venue": "Biosensors bioelectronics", "year": 2007 }, { "abstract": "Recent advances in nanobiotechnology involve the use of biomolecule nanoparticle (NP) hybrid systems for bioelectronic applications. This is exemplified by the electrical contacting of redox enzymes by means of Au NPs. The enzymes, glucose oxidase, GOx, and glucose dehydrogenase, GDH, are electrically contacted with the electrodes by the reconstitution of the corresponding apo proteins on flavin adenine dinucleotide (FAD) or pyrroloquinoline quinone (PQQ) functionalized Au NPs (1.4 nm) associated with electrodes, respectively. Similarly, Au NPs integrated into polyaniline in a micro rod configuration associated with electrodes provides a high surface area matrix with superior charge transport properties for the effective electrical contacting of GOx with the electrode. A different application of biomolecule Au NP hybrids for bioelectronics involves the use of Au NPs as carriers for a nucleic acid that is composed of hemin/G quadruplex DNAzyme units and a detecting segment complementary to the analyte DNA. The functionalized Au NPs are employed for the amplified DNA detection, and for the analysis of telomerase activity in cancer cells, using chemiluminescence as a readout signal. Biomolecule semiconductor NP hybrid systems are used for the development of photoelectrochemical sensors and optoelectronic systems. A hybrid system consisting of acetylcholine esterase (AChE)/CdS NPs is immobilized in a monolayer configuration on an electrode. The photocurrent generated by the system in the presence of thioacetylcholine as substrate provides a means to probe the AChE activity. The blocking of the photocurrent by 1,5 bis(4 allyldimethyl ammonium phenyl)pentane 3 one dibromide as nerve gas analog enables the photoelectrochemical analysis of AChE inhibitors. Also, the association CdS NP/double stranded DNA hybrid systems with a Au electrode, and the intercalation of methylene blue into the double stranded DNA, generates an organized nanostructure of switchable photoelectrochemical functions. Electrochemical reduction of the intercalator to the leuco form, 0.4 V vs. SCE, results in a cathodic photocurrent as a result of the transfer of photoexcited conduction band electrons to O(2) and the transport of electrons to the valance band holes by the reduced intercalator units. The oxidation of the intercalator, E 0 V (vs. SCE) yields in the presence of triethanolamine, TEOA, as sacrificial electron donor, an anodic photocurrent by the transport of conduction band electrons, through intercalator units, to the electrodes, and filling the valance band holes with electrons supplied by TEOA. The systems reveal potential switchable directions of the photocurrents, and reveal logic gate functions.", "author_names": [ "Itamar Willner", "Bilha Willner", "Eugenii Katz" ], "corpus_id": 31114926, "doc_id": "31114926", "n_citations": 157, "n_key_citations": 1, "score": 0, "title": "Biomolecule nanoparticle hybrid systems for bioelectronic applications.", "venue": "Bioelectrochemistry", "year": 2007 }, { "abstract": "Abstract Reliable energy generation at lowest expenditure has become essential for fulfilling present energy requirements. For this purpose, development of low cost, scalable, efficient, and reliable catalysts is essential. Carbon based materials are very promising for various energy storage application. Carbon based heteroatom doped mesoporous electrodes have become very popular as catalysts for electrochemical energy conversion and storage. Various carbon allotropes can be utilized for cost effective mass production of electrode materials. 3D porous carbon electrodes provide multiple advantages, including a large surface area for maximized active site exposure, 3D conductive pathways for efficient electron transport, and porous channels to facilitate electrolyte diffusion. However, it is challenging to synthesize and functionalize 3D carbon structures. In this chapter, we summarize various synthesis processes of porous carbon materials together with 3D architectures to understand how their physical and chemical properties together with heteroatom doping dictate the electrochemical catalytic performance. Prospects of attractive 3D carbon structural materials for energy conversion, and efficient integrated energy systems are also discussed.", "author_names": [ "Rajib Paul", "Mewin Vincent", "Vinodkumar Etacheri", "Ajit Roy" ], "corpus_id": 201291649, "doc_id": "201291649", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "Carbon nanotubes, graphene, porous carbon, and hybrid carbon based materials: synthesis, properties, and functionalization for efficient energy storage", "venue": "", "year": 2019 }, { "abstract": "Abstract Demand for more efficient and ecofriendly energy storage systems arouse research efforts in seeking to develop new energy materials with promising properties. In this regard, mixed transition metal oxides have recently attracted great attention due to their improved electrochemical and electrical properties in comparison with simple oxides. Herein, NiMnO3 and their composites with reduced graphene oxide (NiMnO3 rGO) were synthesized via a facile hydrothermal route, followed by a thermal treatment and their electrochemical properties have been evaluated as electrode materials for hybrid energy storage devices. The prepared samples were characterized by using X ray diffraction (XRD) Raman spectroscopy, Thermogravimetric analysis (TGA) Scanning electron microscopy (SEM) Transmission electron microscopy (TEM) and N2 adsorption measurements. The energy storage behavior of the samples was investigated using different electrochemical techniques including cyclic voltammetry, galvanostatic charge/discharge, and electrochemical impedance spectroscopy. Accordingly, a NiMnO3 rGO nanocomposite showed a high capacity of 91 mAh g 1 at a scan rate of 5 mV s 1, 48% higher than that of the pure NiMnO3 sample (47.7 mAh g 1) Furthermore, this nanocomposite was integrated as a positive electrode with reduced graphene oxide nanosheets as the negative electrode in an aqueous hybrid energy storage device. This system displayed a high specific energy of 23.5 Wh kg 1 and a maximum specific power of 7.64 kW kg 1.", "author_names": [ "Jaime S Sanchez", "A Pendashteh", "Jesus Palma", "Marc A Anderson", "Rebeca Marcilla" ], "corpus_id": 102746017, "doc_id": "102746017", "n_citations": 14, "n_key_citations": 0, "score": 0, "title": "Synthesis and application of NiMnO3 rGO nanocomposites as electrode materials for hybrid energy storage devices", "venue": "", "year": 2018 }, { "abstract": "Biomolecule nanoparticle (or carbon nanotube) hybrid systems provide new materials that combine the unique optical, electronic, or catalytic properties of the nanoelements with the recognition or biocatalytic functions of biomolecules. This article summarizes recent applications of biomolecule nanoparticle (or carbon nanotubes) hybrid systems for sensing, synthesis of nanostructures, and for the fabrication of nanoscale devices. The use of metallic nanoparticles for the electrical contacting of redox enzymes with electrodes, and as catalytic labels for the development of electrochemical biosensors is discussed. Similarly, biomolecule quantum dot hybrid systems are implemented for optical biosensing, and for monitoring intracellular metabolic processes. Also, the self assembly of biomolecule metal nanoparticle hybrids into nanostructures and functional nanodevices is presented. The future perspectives of the field are addressed by discussing future challenges and highlighting different potential applications.", "author_names": [ "Itamar Willner", "Bilha Willner" ], "corpus_id": 5193015, "doc_id": "5193015", "n_citations": 239, "n_key_citations": 0, "score": 0, "title": "Biomolecule based nanomaterials and nanostructures.", "venue": "Nano letters", "year": 2010 }, { "abstract": "Biomolecule metal or semiconductor nanoparticle (NP) hybrid systems combine the recognition and catalytic properties of biomolecules with the unique electronic and optical properties of NPs. This enables the application of the hybrid systems in developing new electronic and optical biosensors, to synthesize nanowires and nanocircuits, and to fabricate new devices. Metal NPs are employed as nano connectors that activate redox enzymes, and they act as electrical or optical labels for biorecognition events. Similarly, semiconductor NPs act as optical probes for biorecognition processes. Double stranded DNA or protein chains that are modified with metallic nanoclusters act as templates for the synthesis of metallic nanowires. The nanowires are used as building blocks to assemble nano devices such as a transistor or a nanotransporter.", "author_names": [ "Ronan Baron", "Bilha Willner", "Itamar Willner" ], "corpus_id": 23360002, "doc_id": "23360002", "n_citations": 134, "n_key_citations": 1, "score": 0, "title": "Biomolecule nanoparticle hybrids as functional units for nanobiotechnology.", "venue": "Chemical communications", "year": 2007 } ]
magnetic circuit transformator
[ { "abstract": "Highly accurate and thoroughly updated, this book has set the standard in electronic devices and circuit theory for over 28 years. Boylestad and Nashelsky offer readers a complete and comprehensive survey of electronics and circuits, focusing on all the essentials they will need to succeed on the job. This very readable book is supported by strong, helpful learning cues and content that is ideal for new workers in this rapidly changing field. Its colorful layout boasts a large number of stunning photographs. Topics covered include: semiconductor diodes, BJT devices, DC biasing, FET devices, Op Amp applications, power amplifiers, linear digital ICs, power supplies and voltage regulators, and other two terminal devices. An excellent reference work for anyone involved with electronic devices and other circuitry applications, such as electrical and technical engineers.", "author_names": [ "Robert L Boylestad", "Louis Nashelsky" ], "corpus_id": 110223744, "doc_id": "110223744", "n_citations": 434, "n_key_citations": 26, "score": 0, "title": "Electronic Devices and Circuit Theory", "venue": "", "year": 1972 }, { "abstract": "Thank you for reading rf circuit design theory and applications. Maybe you have knowledge that, people have look hundreds times for their favorite books like this rf circuit design theory and applications, but end up in malicious downloads. Rather than reading a good book with a cup of tea in the afternoon, instead they juggled with some infectious bugs inside their laptop. rf circuit design theory and applications is available in our book collection an online access to it is set as public so you can download it instantly. Our digital library hosts in multiple countries, allowing you to get the most less latency time to download any of our books like this one. Merely said, the rf circuit design theory and applications is universally compatible with any devices to read.", "author_names": [ "Melanie Grunwald" ], "corpus_id": 58445177, "doc_id": "58445177", "n_citations": 81, "n_key_citations": 14, "score": 0, "title": "Rf Circuit Design Theory And Applications", "venue": "", "year": 2016 }, { "abstract": "Modern imalat surecinde, transformator cekirdek saclari, 3 adet bacak, ust ve alt boyunduruk olmak uzere 5 adet kesilmis parcadan istiflenerek olusturulmaktadir. Cekirdek kayiplarinin en fazla olustugu orta bacak uzantisi olan T baglanti kismi ise farkli kesim acilarinda uretilmektedir. Bu calismada elektrik makinalari icin gelismis bir elektromanyetik analiz programi olan Ansoft Maxwell kullanilarak, 7 farkli T baglanti formu icin, toplam cekirdek kayiplari ve aki yogunlugu dagilimlari analiz edilmektedir. Ayrica calismada, farkli T baglanti tasarimlari icin olusan atil malzemedeki degisimler incelenmektedir. Calisma sonucunda, orta bacak boyunun mumkun oldugunca kisaltilmasinin, hem kayiplar hem de atil malzeme acisindan iyilestirmeye neden olacagi belirlenmistir.", "author_names": [ "A Kenan Karademir", "Mehmet Kubilay Eker" ], "corpus_id": 126247325, "doc_id": "126247325", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Transformator T baglanti yapisinin cekirdek kayiplarina etkisi", "venue": "", "year": 2016 }, { "abstract": "ContentsA three dimensional analysis of the quasistationary leakage magnetic field of a three phase transformer with disk windings is presented. A simplified mathematical model of the transformer based on Maxwell's equations has been applied. Computational results of the short circuit reactance for the symmetrical and asymmetrical disk windings have been compared with analytical ones according to Richter's formulas [8] For the magnetic field calculation, the finite difference method with the application of fast calculating subroutines has been used.UbersichtEs wird eine dreidimensionale Analyse des quasistationaren magnetischen Streufeldes eines dreiphasigen Transformators mit Scheibenwicklung dargestellt. Dazu wird ein vereinfachten mathematisches Modell eines Transformators auf der Basis der Maxwell Gleichungen angewandt. Die numerischen Ergebnisse der Kurzschlussreaktanz fur symmetrische und unsymmetrische Scheibenwicklung wurden mit den analytischen Ergebnissen nach R. Richter [8] verglichen.Fur die Berechnung des magnetischen Feldes wurde ein Differenzenverfahren mit schnellen numerischen Prozeduren verwendet.", "author_names": [ "Marian Lukaniszyn", "Rolf Unbehauen" ], "corpus_id": 107993025, "doc_id": "107993025", "n_citations": 4, "n_key_citations": 0, "score": 1, "title": "The 3 D calculations of the leakage magnetic field and the short circuit reactance in a transformer with disk windings", "venue": "", "year": 1995 }, { "abstract": "Inrush current adalah arus transient dengan amplitude tinggi yang terjadi pada saat transformator daya diberikan power (dienergized).n Besar amlitudonya bisa 5.6n kali arus normalnya. waktu transient ini kurang dari 60 ms. Lonjakan arus masukn pada saat transformator daya energizedn dapat menyebabkan gangguan dan kerusakan pada komponen sistem lainnya. Makalah ini menjelaskan metode analisis yang akurat yang dapat digunakan untuk menemukan lonjakan arus masuk arus dalam transformator 3 fasa yang umum digunakan. Metode ini merupakan perpanjangan dari yang sebelumnya dijelaskan untuk menghitung lonjakan arus dalam transformator fasa tunggal. Metode ini sangat kuat karena arus dan pembusukannya dapat dihitung selama banyak siklus, bukan hanya puncak pertama, seperti yang dimungkinkan oleh metode lainnya. Perbandingan dilakukan antara koneksi transformator yang berbeda dan diilustrasikan dengan contoh numerik. Ini menunjukkan, misalnya, bahwa hambatan pada netral dari transformator yang terhubung dengan bintang memiliki sedikit efek dibandingkan dengan menggunakan hambatan yang sama secara seri dengan gulungan berenergi. Kata kunci Inrush current, Tranformer,n Efect derajat (sudut) switching Efect fluks remanen, Efect resistansi sumber.", "author_names": [ "Mohammad Ikhsan Arief" ], "corpus_id": 126757322, "doc_id": "126757322", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "PERHITUNGAN DAN ANALISIS INRUSH CURRENT PADA TRANSFORMATOR BERBASIS PARAMETER TRANSFORMATOR", "venue": "", "year": 2018 }, { "abstract": "An equivalent circuit model for planar printed circuit board (PCB) transformers is presented. The model utilizes the 1 D analysis of Maxwell's equations to develop a frequency dependent representation of a multilayer, planar PCB transformer that can be implemented in the circuit simulation software. In this transformer model, each conductor layer is implemented as a complex impedance network, while each insulator layer is implemented as an air cored inductor. Each magnetic core layer is modeled as a non linear inductance whose magnetic characteristic is based on a temperature dependent Jiles Atherton hysteresis model. These impedances and inductances are then arranged side by side as they are arranged in the layer stack of the actual planar PCB transformer. Through this model, the skin and proximity effect in the conductors and current distribution across windings can be simulated. The developed model also enables the modeling of temperature dependent hysteresis and saturation effects in the magnetic material. The model provides a simpler method to derive the core and winding loss of the transformer than using a finite element analysis software. It also enables direct integration to circuit simulation tools. A prototype planar PCB transformer was used to obtain experimental data for model validation. Comparisons made show good agreement between the performance of the model and experimental results.", "author_names": [ "Lew Andrew R Tria", "Daming Zhang", "John Edward Fletcher" ], "corpus_id": 31699630, "doc_id": "31699630", "n_citations": 10, "n_key_citations": 0, "score": 0, "title": "Planar PCB Transformer Model for Circuit Simulation", "venue": "IEEE Transactions on Magnetics", "year": 2016 }, { "abstract": "Transformers of high frequency resistance spot welding systems are considered. The equivalent circuit of a three winding transformer, which is obtained by replacing it with two two winding transformers, is used. It is shown that the magnetic coupling factor for the leakage fluxes of these two winding transformers influences on the output current. The study of the transformers includes both 2D eddy current FEA analysis for estimation the leakage impedance and the circuit simulation, which gives the output current. The results are used to design economical welding transformers. The calculation results are confirmed experimentally. Streszczenie. Zaprojektowano transformator do wsokoczestotliwosciowego punktowego zgrzewania. Przeprowadzono analize rozkladu pradow wiroychw celu uwzglednienia impedancji uplywowej oraz zaproponowano schemat zastepczy transformatora trzy uzwojeniowego otrzymanego przez zastapienie dwoch transformatorow dwu uzwojeniowych. Modelowanie wysokoestotliwosciowego transform,atora do rezystancyjnego zgrzewania", "author_names": [ "I SakhnoLyudmila", "I SakhnoOlga", "D Likhachev", "Philip Yu Fedorov" ], "corpus_id": 115630749, "doc_id": "115630749", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Field circuit modelling of the resistance spot welding transformers", "venue": "", "year": 2017 }, { "abstract": "The materials and the fabrication of planar magnetic components for switch mode power supplies (SMPSs) and other applications are reviewed. The advantages and the disadvantages of such devices are introduced. The magnetic materials used in the devices, such as ferrite, amorphous metallic glass, and thin and thick magnetic films, are detailed. The devices have varying degrees of planarization partially planar devices with magnetic cores and planar windings and truly planar devices for integrated magnetic circuits with planar windings and planar magnetics. Devices of both types reported in the literature since 1979 are reviewed. The design of the planar magnetic components must be optimized with respect to losses, and design issues which relate to electrical, thermal, and mechanical performance are discussed.", "author_names": [ "M T Quirke", "J J Barrett", "M Hayes" ], "corpus_id": 110573361, "doc_id": "110573361", "n_citations": 48, "n_key_citations": 0, "score": 0, "title": "Planar magnetic component technology a review", "venue": "", "year": 1992 }, { "abstract": "The electric field which is induced by geomagnetic storms drives currents in technological systems, such as electric power transmission grids, oil and gas pipelines, telecommunication cables, and railway equipment. These geomagnetically induced currents (GIC) cause problems to the systems. In power grids, transformers may be saturated due to GIC resulting in harmful effects and possibly even to a collapse of the whole system, as occurred in Quebec in March 1989. Transformers may also suffer from permanent damage. In buried pipelines, GIC can enhance corrosion and interfere with corrosion control surveys. Telecommunication systems as well as railway equipment may also malfunction due to GIC. The electric and magnetic fields observed at the Earth's surface primarily depend on magnetospheric ionospheric currents and secondarily on currents induced in the Earth. The physical background and modeling of GIC are discussed in this paper. Special attention is paid to basic principles necessarily understood to get an insight into GIC phenomena. Recent developments in the use of the Complex Image Method (CIM) permit fast and accurate computations of the electric field suitable for time critical applications like GIC forecasting.", "author_names": [ "Risto J Pirjola" ], "corpus_id": 123677466, "doc_id": "123677466", "n_citations": 172, "n_key_citations": 5, "score": 0, "title": "Geomagnetically induced currents during magnetic storms", "venue": "", "year": 2000 }, { "abstract": "Transformatorler, bir elektrik devresinden digerine manyetik kuplaj ile enerji gecisi saglayan bir elektrik makinasidir. Gerilim donusturme islemindeki basitlikleri, guvenilirlikleri ve ekonomiklikleri nedeniyle transformatorler cok iyi bilinen elektrik makinalarindan biridir. Yuzyili askindir onun temel yapisi, kullandigi malzemeler ve tasarim prensipleri cok az degisiklik gostermistir; bununla birlikte transformator tasarim ve malzemelerini gelistirme calismalari devam etmektedir. Bu calismada, uc fazli transformator tasarimi icin bir grafik kullanici arayuz (GUI) programi sunulmustur. Arayuz programi, MATLAB programinin GUIDE bileseni ve bilgisayar grafikleri teorisindeki lineer donusum matrisleri kullanilarak gelistirilmistir", "author_names": [ "Ahmet Altintas" ], "corpus_id": 62457942, "doc_id": "62457942", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Uc Fazli Transformator Tasarimi Icin Bir Arayuz Programi", "venue": "", "year": 2008 } ]
optical reservoir for communications
[ { "abstract": "During the last decade reservoir computing (RC) has proven to be a powerful machine learning concept using recurrent networks to process sequential information streams [1] Lately, the concept of RC was drastically simplified to reduce hardware requirements, thus making machine learning concepts more obtainable to apply in actual physical systems [2] RC has been implemented in photonic configurations and has efficientiy processed analog optical signals following simple schemes and minimal training overhead. Especially the realization using a semiconductor laser with time delayed optical feedback has shown the potential of all optical machine learning, utilizing signals with transient states at the GHz regime [3]", "author_names": [ "Apostolos Argyris", "Julian Bueno", "Miguel C Soriano", "Inga Fischerv" ], "corpus_id": 43656732, "doc_id": "43656732", "n_citations": 8, "n_key_citations": 0, "score": 0, "title": "Improving detection in optical communications using all optical reservoir computing", "venue": "2017 Conference on Lasers and Electro Optics Europe European Quantum Electronics Conference (CLEO/Europe EQEC)", "year": 2017 }, { "abstract": "The cloud edge data center will enable reliable and low latency options for the network, and the interconnection among these data centers will demand a scalable low complexity scheme. An intensity modulated and directed detected transmission system is an attractive solution, but chromatic dispersion is the main limitation for higher symbol rate systems. To overcome this challenge, we have proposed and experimentally demonstrated a receiver with shared complexity between optical and digital domains that enables 80 km transmission reach below KP4 FEC limit for a 32 GBd on off keying signal. The optical stage consists of optical filters that slices the signal into smaller sub bands and each is detected by a photodetector. A feedforward neural network and reservoir computing are compared to reconstruct the full signal from the slices and mitigate the chromatic dispersion. Both equalizers have shown similar performance with the advantage of the reservoir computing requiring fewer inputs and easier training process. In this work, we have compared the linear and nonlinear activation functions in the feedforward neural network to investigate the gain of using a nonlinear equalizer. The maximum transmission reach is reduced almost to half, \\approx$45 km, when using the linear. The performance is also reduced if a reduced number of slices is used in the receiver, as we have demonstrated. In this case, using 2 slices to reduce the complexity of the system, instead of the total 4, we have shown a \\approx$55 km transmission reach below KP4 FEC limit. In this work we have also provided a numerical comparison with 4x8 GBd subcarriers system. The results have shown a 40 km increase in transmission reach compared to the proposed optoelectronic system. The trade off between performance and complexity should be analyzed for each case, as a different hardware is required in each situation.", "author_names": [ "Stenio M Ranzini", "Roman Dischler", "Francesco Da Ros", "Henning Bulow", "Darko Zibar" ], "corpus_id": 232373710, "doc_id": "232373710", "n_citations": 3, "n_key_citations": 1, "score": 1, "title": "Experimental Investigation of Optoelectronic Receiver With Reservoir Computing in Short Reach Optical Fiber Communications", "venue": "Journal of Lightwave Technology", "year": 2021 }, { "abstract": "An opto electronic reservoir computer based on a light valve, multimode fiber speckle, and a camera combined with back end signal processing experimentally performs blind signal classification for return to zero and non return to zero communications signals at low signal to noise ratio.", "author_names": [ "Marta Luengo-Kovac", "Uttam Paudel", "T Justin Shaw", "George C Valley" ], "corpus_id": 221718479, "doc_id": "221718479", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Speckle Based Optical Waveguide Reservoir Computer for Blind Signal Classification", "venue": "2020 Conference on Lasers and Electro Optics (CLEO)", "year": 2020 }, { "abstract": "We present the design and numerical study of a nonlinear equalizer for optical communications based on silicon photonics and reservoir computing. The proposed equalizer leverages the optical information processing capabilities of integrated photonic reservoirs to combat distortions both in metro links of a few hundred kilometers and in high speed short reach intensity modulation direct detection links. We show nonlinear compensation in unrepeated metro links of up to 200 km that outperform electrical feedforward equalizers based equalizers, and ultimately any linear compensation device. For a high speed short reach 40 Gb/s link based on a distributed feedback laser and an electroabsorptive modulator, and considering a hard decision forward error correction limit of $0.2 \\times 10^ 2} we can increase the reach by almost 10 km. Our equalizer is compact (only 16 nodes) and operates in the optical domain without the need for complex electronic DSP, meaning its performance is not bandwidth constrained. The approach is, therefore, a viable candidate even for equalization techniques far beyond 100G optical communication links.", "author_names": [ "Andrew Katumba", "Xin Yin", "Joni Dambre", "Peter Bienstman" ], "corpus_id": 115291100, "doc_id": "115291100", "n_citations": 17, "n_key_citations": 0, "score": 0, "title": "A Neuromorphic Silicon Photonics Nonlinear Equalizer For Optical Communications With Intensity Modulation and Direct Detection", "venue": "Journal of Lightwave Technology", "year": 2019 }, { "abstract": "Machine learning techniques have proven very efficient in assorted classification tasks. Nevertheless, processing time dependent high speed signals can turn into an extremely challenging task, especially when these signals have been nonlinearly distorted. Recently, analogue hardware concepts using nonlinear transient responses have been gaining significant interest for fast information processing. Here, we introduce a simplified photonic reservoir computing scheme for data classification of severely distorted optical communication signals after extended fibre transmission. To this end, we convert the direct bit detection process into a pattern recognition problem. Using an experimental implementation of our photonic reservoir computer, we demonstrate an improvement in bit error rate by two orders of magnitude, compared to directly classifying the transmitted signal. This improvement corresponds to an extension of the communication range by over 75% While we do not yet reach full real time post processing at telecom rates, we discuss how future designs might close the gap.", "author_names": [ "Apostolos Argyris", "Julian Bueno", "Ingo Fischer" ], "corpus_id": 44108499, "doc_id": "44108499", "n_citations": 72, "n_key_citations": 5, "score": 0, "title": "Photonic machine learning implementation for signal recovery in optical communications", "venue": "Scientific Reports", "year": 2018 }, { "abstract": "A novel approach to achieving ultrafast real time user localization in optically controlled beam steering wireless communications (OWC) is proposed and demonstrated in this letter. Ultrafast wavelength encoded user localization at 50 MHz rate is achieved based on photonic time stretch concept and a passive beam scanner using a 45deg tilted fibre grating (TFG) Not only the angular separation but also the linear distance from the access point can be determined simultaneously. More importantly, challenging instantaneous optical wavelength identification within nanosecond range is successfully achieved through chirped microwave frequency encoding and reservoir computing (RC) based frequency identification. A proof of concept experiment was carried out to verify the proposed approach. The results show that RC is more efficient in frequency chirp identification than the conventional short time Fourier transform approach.", "author_names": [ "Chaitanya Kumar Mididoddi", "Ning Jing" ], "corpus_id": 237000394, "doc_id": "237000394", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Reservoir Computing Assisted Ultrafast User Localization in Beam Steering Optical Wireless System", "venue": "IEEE Photonics Technology Letters", "year": 2021 }, { "abstract": "Photonic reservoir computing (RC) enables us to introduce artificial neural networks (ANNs) as a deployable hardware based processing unit beyond the von Neumann architecture. Its unique approach to consider only the output layer weights as adaptive, reduces training complexity and enables the use of ANNs in time sensitive areas like optical communications. In this paper, we numerically investigate and evaluate the requirements of a photonic RC using an active silicon micro ring resonator as a photonic integrated circuit (PIC) based reservoir system to compensate for transmission impairments such as chromatic dispersion and nonlinear effects occurring in optical transmission systems. We compare the performance of this RC with results from digital signal processing using Kramers Kronig reception of distorted PAM 4 symbols from a 56 GBaud WDM transmission experiment over 100 km uncompensated SSMF.", "author_names": [ "Shi Li", "Sourav Dev", "Sebastian Kuhl", "Kambiz Jamshidi", "Stephan Pachnicke" ], "corpus_id": 236293067, "doc_id": "236293067", "n_citations": 1, "n_key_citations": 0, "score": 1, "title": "Micro Ring Resonator Based Photonic Reservoir Computing for PAM Equalization", "venue": "IEEE Photonics Technology Letters", "year": 2021 }, { "abstract": "Detection of wideband RF signals has applications in sensing and communications. When the signals of interest are sparse, compressive sensing (CS) provides a sub Nyquist sampling strategy with potential size, weight, and power savings. The critical element in a CS receiver is the device that produces the wideband CS measurement matrix (MM) a MxN matrix with M N satisfying certain properties [1] We have shown that passive optical speckle in multimode waveguides provides excellent MMs for CS. The M rows of the MM are obtained from M photodetectors placed at different locations within the output speckle pattern. A range of algorithms can be used to recover the sparse input signal from the resulting measurement vector. We have experimentally demonstrated two speckle based CS systems: (1) a real time system with M 16 implemented using multimode fiber (MMF) that recovers RF frequency, amplitude, and phase, and (2) a simplified spectrometer system implemented using a multimode planar waveguide on a silicon photonic chip that detects only RF frequency and amplitude.", "author_names": [ "George A Sefler", "Uttam Paudel", "T Justin Shaw", "Daniele M Monahan", "Adam C Scofield", "S Estella", "Leif A Johansson", "George C Valley" ], "corpus_id": 208210358, "doc_id": "208210358", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Laser Speckle in Multimode Waveguides for Random Projections in Compressive Sensing and Reservoir Computing", "venue": "2019 IEEE Photonics Conference (IPC)", "year": 2019 }, { "abstract": "It is well known that optical feedback in a laser system, such as the semiconductor laser based one shown schematically in fig.1, can either stabilise or destabilise its output power and spectral characteristics. Semiconductor lasers have a level of sensitivity to optical feedback that is far greater than most lasers [1 3] The current interest in using semiconductor laser with optical feedback systems, including versions implemented as integrated devices, in, for example, random number generation, reservoir computing and secure communications [1 3] sustains vigorous research activity in the field. The high, and increasing, potential for making strong connections between the predictions of increasingly sophisticated theoretical models of these complex systems and experimental measurements also reinforces the sustained activity in the field.", "author_names": [ "Mindaugas Radziunas", "Douglas J Little", "Deborah M Kane" ], "corpus_id": 195753310, "doc_id": "195753310", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "A new approach to modelling the coherence of optical feedback in dynamical semiconductor laser systems", "venue": "2018 Conference on Optoelectronic and Microelectronic Materials and Devices (COMMAD)", "year": 2018 }, { "abstract": "Micro pixelated InGaN LED arrays operating at 560 and 600 nm, respectively, are demonstrated for what the authors believe to be the first time. Such devices offer applications in areas including bioinstrumentation, visible light communications and optoelectronic tweezers. The devices reported are based on new epitaxial structures, retaining conventional (0 0 0 1) orientation, but incorporating electron reservoir layers which enhance the efficiency of radiative combination in the active regions. A measured output optical power density up to 8 W cm 2 (4.4 W cm 2) has been achieved from a representative pixel of the yellow green (amber) LED array, substantially higher than that from conventional broad area reference LEDs fabricated from the same wafer material. Furthermore, these micro LEDs can sustain a high current density, up to 4.5 kA cm 2, before thermal rollover. A significant blueshift of the emission wavelength with increasing injection current is observed, however. This blueshift saturates at 45 nm (50 nm) for the yellow green (amber) LED array, and numerical simulations have been used to gain insight into the responsible mechanisms in this microstructured format of device. In the relatively low current density regime <3.5 kA cm 2) the blueshift is attributable to both the screening of the piezoelectric field by the injected carriers and the band filling effect, whereas in the high current regime, it is mainly due to band filling. Further development of the epitaxial wafer material is expected to improve the current dependent spectral stability.", "author_names": [ "Zheng Gong", "N Y Liu", "Yadong Tao", "David Massoubre", "Enyuan Xie", "Xiaodong Hu", "Zi Zhen Chen", "G Y Zhang", "Y B Pan", "M S Hao", "Ian M Watson", "Erdan Gu", "Martin D Dawson" ], "corpus_id": 97854459, "doc_id": "97854459", "n_citations": 18, "n_key_citations": 0, "score": 0, "title": "Electrical, spectral and optical performance of yellow green and amber micro pixelated InGaN light emitting diodes", "venue": "", "year": 2012 } ]
Numerical study of time-splitting spectral discretizations of nonlinear Schr¨odinger equations in the semi-classical regimes
[ { "abstract": "An electrical meter has light emissive display elements and a meter circuit featuring electrically floating input terminals relative to device ground, and offers a potentially significant cost advantage compared to available alternatives in low accuracy measuring applications. A series string of the light emissive display elements are physically arranged along a line in order of increasing turn on current threshold of each element so that they are successively turned on along the line with increasing current to be measured. A control circuit included in the series string has first and second semiconductively complementary active semiconductor devices, such as an NPN and PNP transistor, each with base, emitter, and collector. The input sensing conductor, such as a base, of each active semiconductor is a respective floating input of the meter. The semiconductors have at least two output control conductors, such as collector and emitter, two corresponding control conductors being wired together (as emitter to emitter) and the other two being wired into the series string. The light emitting elements are suitably semiconductor diodes (LEDs) incandescent bulbs, neon bulbs, or other devices, with resistive shunting where necessary. Two such meter circuits are wired back to back with their light emissive elements arranged physically back to back to form an uncomplicated galvanometer device for measuring electrical currents of either positive or negative polarity.", "author_names": [ "Weizhu Bao", "Shi Jin", "Peter A Markowich" ], "corpus_id": 16765695, "doc_id": "16765695", "n_citations": 195, "n_key_citations": 12, "score": 1, "title": "Numerical Study of Time Splitting Spectral Discretizations of Nonlinear Schrodinger Equations in the Semiclassical Regimes", "venue": "SIAM J. Sci. Comput.", "year": 2003 }, { "abstract": "Purpose The purpose of this paper is to consider the time splitting Fourier spectral (TSFS) method to solve the fractional coupled Klein Gordon Schrodinger (K G S) equations. A time splitting spectral approach is applied for discretizing the Schrodinger like equation and along with that, a pseudospectral discretization has been accurately utilized for the temporal derivatives in the Klein Gordon like equation. Furthermore, the time splitting scheme is proved to be unconditionally stable. Numerical experiments guarantee high accuracy of the TSFS scheme for the K G S equations. Here, the derivative of fractional order is taken in the Riesz sense. Design/methodology/approach The focus of this paper is to study the Riesz fractional coupled K G S equations using the TSFS method. This method is dependent on evaluating the solution to the given problem in small steps, and treating the nonlinear and linear steps separately. The nonlinear step is made in the time domain, while the linear step is made in the frequency domain, which necessitates the use of Fourier transform back and forth. It is a very effective, powerful and efficient method to solve the nonlinear differential equations, as in previous works (Bao et al. 2002; Bao and Yang, 2007; Muslu and Erbay, 2003; Borluk et al. 2007) the initial and boundary value problem is decomposed into linear and nonlinear subproblems. Summarizing the technique of the TSFS method, it can be stated that first the Schrodinger like equation is solved in two splitting steps. Then, the Klein Gordon like equation is solved by discretizing the spatial derivatives by means of the pseudospectral method. Findings The utilized method is found to be very efficient and accurate. Moreover, the time splitting spectral scheme is found to be unconditionally stable. By means of thorough study, it is found that the spectral method is time reversible, is gauge invariant and also conserves the total charge. Moreover, the results have been graphically presented to exhibit the accuracy of the proposed methods. Apart from that, the numerical solutions have been also compared with the exact solutions. Numerical experiments establish that the proposed technique manifests high accuracy and efficiency. Originality/value To the authors' best knowledge, the Riesz fractional coupled K G S equations have been for the first time solved by using the TSFS method.", "author_names": [ "Santanu Saha Ray" ], "corpus_id": 125707611, "doc_id": "125707611", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "On the time splitting Fourier spectral method for the coupled Klein Gordon Schrodinger equations in the quantum field theory", "venue": "", "year": 2017 }, { "abstract": "We study stable blow up dynamics in the $L^2$ critical nonlinear Schr\\\"{o}dinger equation in high dimensions. First, we show that in dimensions $d=4$ to $d=12$ generic blow up behavior confirms the \"log log\" regime in our numerical simulations, including the log log rate and the convergence of the blow up profiles to the rescaled ground state; this matches the description of the stable blow up regime in the dimension $d =2$ (for the 2d cubic NLS equation) Next, we address the question of rigorous justification of the \"log log\" dynamics in higher dimensions $d \\geq5) at least for the initial data with the mass slightly larger than the mass of the ground state, for which the spectral conjecture has yet to be proved, see [34] and [10] We give a numerically assisted proof of the spectral property for the dimensions from $d=5$ to $d=12$ and a modification of it in dimensions $2 \\leq d \\leq 12$ This, combined with previous results of Merle Rapha\\\"el, proves the \"log log\" stable blow up regime in dimensions $d \\leq 10$ and radially stable for $d \\leq 12$", "author_names": [ "Kai Yang", "Svetlana Roudenko", "Yanxiang Zhao" ], "corpus_id": 108291132, "doc_id": "108291132", "n_citations": 7, "n_key_citations": 0, "score": 0, "title": "Blow up dynamics and spectral property in the $L^2$ critical nonlinear Schr\\\"odinger equation in high dimensions", "venue": "", "year": 2017 }, { "abstract": "This paper focuses on performance of several efficient and accurate numerical methods for the long wave short wave interaction equations in the semiclassical limit regime. The key features of the proposed methods are based on: (i) the utilization of the first order or second order time splitting method to the nonlinear wave interaction equations; (ii) the application of Fourier pseudo spectral method or compact finite difference approximation to the linear subproblem and the spatial derivatives; (iii) the adoption of the exact integration of the nonlinear subproblems and the ordinary differential equations in the phase space. The numerical methods under study are efficient, unconditionally stable and higher order accurate, they are proved to preserve two invariants including the position density in L. Numerical results are reported for case studies with different types of initial data, these results verify the conservation laws in the discrete sense, show the dependence of the numerical solution on the time step, mesh size and dispersion parameter e, and demonstrate the behavior of nonlinear dispersive waves in the semi classical limit regime. Mathematics subject classification: 65M06, 65M12", "author_names": [ "Tingchun Wang" ], "corpus_id": 128346081, "doc_id": "128346081", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Efficient and Accurate Numerical Methods for Long Wave Short Wave Interaction Equations in the Semiclassical Limit Regime", "venue": "Journal of Computational Mathematics", "year": 2019 }, { "abstract": "We numerically study supercontinuum (SC) generation (SCG) in a rare earth doped highly nonlinear photonic crystal fiber (HNL PCF) with anomalous dispersion (AD) in the sub picosecond pulse regime. We develop a semi classical numerical model based on the generalized Ginzburg Landau equation in order to take account of ultrafast interactions between gain ions and ultra broadband SC radiation encompassing sub 100 femtosecond solitons. Based on the numerical model, we analyze SCG characteristics of an active HNL PCF in comparison with a passive type counterpart, unveiling novel optical gain effects in a highly nonlinear optical fiber with AD. We rigorously investigate gain induced soliton dynamics, such as soliton cascade like behaviors, soliton quasi soliton collisions, and phase matched dispersive wave generation, which eventually contributes to enhancement of energy scaling of SC radiation without incurring considerable degradation of its spectral flatness. We also verify that such superior performance characteristics of an active HNL PCF make it suitable for the use as a boost amplifier for SC radiation. We think that the findings from this study will incite other subsequent studies on unveiling detailed nonlinear pulse dynamics in various gain embedded nonlinear optical media.", "author_names": [ "Kyoungyoon Park", "Jeongkyun Na", "Juhwan Kim", "Yoonchan Jeong" ], "corpus_id": 211680476, "doc_id": "211680476", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Numerical Study on Supercontinuum Generation in an Active Highly Nonlinear Photonic Crystal Fiber With Anomalous Dispersion", "venue": "IEEE Journal of Quantum Electronics", "year": 2020 }, { "abstract": "Abstract The design of efficient numerical methods, which produce an accurate approximation of the solutions, for solving time dependent Schrodinger equation in the semiclassical regime, where the Planck constant e is small, is a formidable mathematical challenge. In this paper a new method is shown to construct exponential splitting schemes for linear time dependent Schrodinger equation with a linear potential. The local discretization error of the two time splitting methods constructed here is O max D t 3 D t 5 e while the well known Lie Trotter splitting scheme and the Strang splitting scheme are O D t 2 e and O D t 3 e respectively, where Dt is the time step size. The global error estimates of new exponential splitting schemes with spectral discretization suggests that larger time step size is admissible for obtaining high accuracy approximation of the solutions. Numerical studies verify our theoretical results and reveal that the new methods are especially efficient for linear semiclassical Schrodinger equation with a quadratic potential.", "author_names": [ "Wansheng Wang", "Jiao Tang" ], "corpus_id": 214239866, "doc_id": "214239866", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Efficient exponential splitting spectral methods for linear Schrodinger equation in the semiclassical regime", "venue": "", "year": 2020 }, { "abstract": "This paper presents and compares various numerical techniques for the long wave short wave interaction equations. In addition to the standard explicit, implicit schemes and the spectral methods, a novel scheme SRK which is based on a time splitting approach combined with the Runge Kutta method is presented. We demonstrate that not only the SRK scheme is efficient compared to the split step spectral methods, but it can apply directly to problems with general boundary conditions. The conservation properties of the numerical schemes are discussed. Numerical simulations are reported for case studies with different types of initial data. The present study enhances our understanding of the behavior of nonlinear dispersive waves in the semi classical limit.", "author_names": [ "Qianshun Chang", "Yau Shu Wong", "Chi-Kun Lin" ], "corpus_id": 11816468, "doc_id": "11816468", "n_citations": 12, "n_key_citations": 0, "score": 0, "title": "Numerical computations for long wave short wave interaction equations in semi classical limit", "venue": "J. Comput. Phys.", "year": 2008 }, { "abstract": "As a basic principle, benefits of adaptive discretisations are an improved balance between required accuracy and efficiency as well as an enhancement of the reliability of numerical computations. In this work, the capacity of locally adaptive space and time discretisations for the numerical solution of low dimensional nonlinear Schrodinger equations is investigated. The considered model equation is related to the time dependent Gross Pitaevskii equation arising in the description of Bose Einstein condensates in dilute gases. The performance of the Fourier pseudo spectral method constrained to uniform meshes versus the locally adaptive finite element method and of higher order exponential operator splitting methods with variable time stepsizes is studied. Numerical experiments confirm that a local time stepsize control based on a posteriori local error estimators or embedded splitting pairs, respectively, is effective in different situations with an enhancement either in efficiency or reliability. As expected, adaptive time splitting schemes combined with fast Fourier transform techniques are favourable regarding accuracy and efficiency when applied to Gross Pitaevskii equations with a defocusing nonlinearity and a mildly varying regular solution. However, the numerical solution of nonlinear Schrodinger equations in the semi classical regime becomes a demanding task. Due to the highly oscillatory and nonlinear nature of the problem, the spatial mesh size and the time increments need to be of the size of the decisive parameter 0<e1, especially when it is desired to capture correctly the quantitative behaviour of the wave function itself. The required high resolution in space constricts the feasibility of numerical computations for both, the Fourier pseudo spectral and the finite element method. Nevertheless, for smaller parameter values locally adaptive time discretisations facilitate to determine the time stepsizes sufficiently small in order that the numerical approximation captures correctly the behaviour of the analytical solution. Further illustrations for Gross Pitaevskii equations with a focusing nonlinearity or a sharp Gaussian as initial condition, respectively, complement the numerical study.", "author_names": [ "Mechthild Thalhammer", "Jochen Abhau" ], "corpus_id": 10986459, "doc_id": "10986459", "n_citations": 22, "n_key_citations": 0, "score": 0, "title": "A numerical study of adaptive space and time discretisations for Gross Pitaevskii equations", "venue": "J. Comput. Phys.", "year": 2012 }, { "abstract": "In this paper we study the long time behavior of a discrete approximation in time and space of the cubic nonlinear Schr\\\"odinger equation on the real line. More precisely, we consider a symplectic time splitting integrator applied to a discrete nonlinear Schr\\\"odinger equation with additional Dirichlet boundary conditions on a large interval. We give conditions ensuring the existence of a numerical soliton which is close in energy norm to the continuous soliton. Such result is valid under a CFL condition between the time and space stepsizes. Furthermore we prove that if the initial datum is symmetric and close to the continuous soliton, then the associated numerical solution remains close to the orbit of the continuous soliton for very long times.", "author_names": [ "Dario Bambusi", "Erwan Faou", "Benoit Grebert" ], "corpus_id": 119596217, "doc_id": "119596217", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Existence and stability of solitons for fully discrete approximations of the nonlinear Schr\\\"odinger equation", "venue": "", "year": 2012 }, { "abstract": "In this paper we study time splitting spectral approximations for the linear Schrodinger equation in the semiclassical regime, where the Planck constant e is small. In this regime, the equation propagates oscillations with a wavelength of O (e) and finite difference approximations require the spatial mesh size h o(e) and the time step k o(e) in order to obtain physically correct observables. Much sharper mesh size constraints are necessary for a uniform L2 approximation of the wave function. The spectral time splitting approximation under study will be proved to be unconditionally stable, time reversible, and gauge invariant. It conserves the position density and gives uniform L2 approximation of the wave function for k o(e) and h O(e) Extensive numerical examples in both one and two space dimensions and analytical considerations based on the Wigner transform even show that weaker constraints (e.g. k independent of e, and h O (e) are admissible for obtaining \"correct\" observables. Finally, we address the application to nonlinear Schrodinger equations and conduct some numerical experiments to predict the corresponding admissible meshing strategies.", "author_names": [ "Weizhu Bao", "Shi Jin", "Peter A Markowich" ], "corpus_id": 7388686, "doc_id": "7388686", "n_citations": 344, "n_key_citations": 38, "score": 0, "title": "On time splitting spectral approximations for the Schrodinger equation in the semiclassical regime", "venue": "", "year": 2002 } ]
A low-cost, high-efficiency solar cell based on dye-sensitized colloidal TiO2 films
[ { "abstract": "THE large scale use of photovoltaic devices for electricity generation is prohibitively expensive at present: generation from existing commercial devices costs about ten times more than conventional methods1. Here we describe a photovoltaic cell, created from low to medium purity materials through low cost processes, which exhibits a commercially realistic energy conversion efficiency. The device is based on a 10 um thick, optically transparent film of titanium dioxide particles a few nanometres in size, coated with a monolayer of a charge transfer dye to sensitize the film for light harvesting. Because of the high surface area of the semiconductor film and the ideal spectral characteristics of the dye, the device harvests a high proportion of the incident solar energy flux (46% and shows exceptionally high efficiencies for the conversion of incident photons to electrical current (more than 80% The overall light to electric energy conversion yield is 7.1 7.9% in simulated solar light and 12% in diffuse daylight. The large current densities (greater than 12 mA cm 2) and exceptional stability (sustaining at least five million turnovers without decomposition) as well as the low cost, make practical applications feasible.", "author_names": [ "Brian C O'Regan", "Michael Gratzel" ], "corpus_id": 4340159, "doc_id": "4340159", "n_citations": 23164, "n_key_citations": 182, "score": 1, "title": "A low cost, high efficiency solar cell based on dye sensitized colloidal TiO2 films", "venue": "Nature", "year": 1991 }, { "abstract": "Abstract There is a high impact of the solar cells on energy manufacturing. For several years the energy efficiency was limited due to base materials' structural and technological limits. High increase of energy harvesting of solar cells has been observed since the first solar cell based on dye sensitized colloidal TiO2 films occurred. One of the most promising solutions are used quantum dots (QD) for light energy conversion. In this paper, we described the use of selected characterization techniques for sandwich type TiO2/QD composites for a low cost quantum dots' solar cell in the point of view of mass manufacturer of solar cells and research and development laboratory. Moreover, the increasing role of Raman spectroscopy and mapping for the TiO2/QD was presented and compared with other necessity techniques for solar cell investigations such as ellipsometry, atomic force microscopy (AFM) and secondary ion mass spectrometry (SIMS)", "author_names": [ "Pawel Kwasnicki", "Maciej Jarzebski", "Piotr Kardasz", "M Inglot" ], "corpus_id": 155465383, "doc_id": "155465383", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Characterization techniques of sandwich type TiO2/QD composites for low cost quantum dots' solar cell", "venue": "Opto Electronics Review", "year": 2019 }, { "abstract": "International Application Serial No. PCT/EP2010/052953, Interna tional Search Report mailed Jul. 26, 2010, 3 pgs. O'Regan, Brian, \"A low cost, high efficiency solar cell based on dye sensitized colloidal TiO2 films' Nature, vol. 353. (Oct. 24. 1991) 737 739. Perez Munuzuri, V. \"Super spiral structures in an excitable medium\" Nature vol. 353, (Oct. 24, 1991) 740. Lewis, Larry N. \"A novel UV mediated low temperature sintering of TiO, for dye sensitized solar cells' Solar Energy Materials Solar Cells 90, (2006) 1041 1051. (Continued) EP", "author_names": [], "corpus_id": 207981200, "doc_id": "207981200", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "DYE SENSTISED SOLAR CELLS", "venue": "", "year": 2017 }, { "abstract": "Twenty years after O'Regan and Gratzel's seminal Nature paper entitled \"A Low Cost, High Efficiency Solar Cell Based on Dye Sensitized Colloidal TiO2 Films\" dye sensitized solar cells (DSCs) and analogous devices have become a major topic of research, with over 1000 papers published in 2010. Although much more is now known about the physical and chemical processes taking place during operation of the DSC, the exponential increase in research effort during this period has not been matched by large increases in efficiency. This raises questions regarding the nature of the barriers that are holding back progress and whether current research is adequately addressing the key issues that are currently limiting device performance. This Perspective attempts to identify some of the factors that determine DSC performance and, as part of a selective survey of recent research highlights, presents a personal view of new approaches and research strategies that could offer ways to overcome the current efficiency stalemate.", "author_names": [ "Laurence M Peter" ], "corpus_id": 98324978, "doc_id": "98324978", "n_citations": 251, "n_key_citations": 1, "score": 0, "title": "The Gratzel Cell: Where Next?", "venue": "", "year": 2011 }, { "abstract": "Cherifi, K.a b Cheknane, A.b Benghia, A.c Hilal, H.S.d Rahmoun, K.a Benyoucef, B.a Goumri Said, S.e Exploring N3 ruthenium dye adsorption onto ZnTiO 3 (101) and (110) surfaces for dye sensitized solar cell applications: Full computational study (2019) Materials Today Energy, 13, pp. 109 118. DOI: 10.1016/j.mtener.2019.04.013 a Unite de Recherche Materiaux et energies Renouvelables URMER, Universite Abou Bekr Belkaid de Tlemcen, BP 119, Tlemcen, 13000, Algeria b Laboratoire des Semi conducteurs et Materiaux Fonctionnels, Universite Amar Telidji de Laghouat, Bd des Martyrs BP37G, Laghouat, 03000, Algeria c Laboratoire de Physique des Materiaux, Universite Amar Telidji de Laghouat, Bd des Martyrs BP37G, Laghouat, 03000, Algeria d SSERL, Chemistry Department, An Najah National University, Nablus, Palestine e College of Science, Physics Department, Alfaisal University, P.O. Box 50927, Riyadh, 11533, Saudi Arabia Abstract TiO 2 and ZnO binary oxide films are extensively investigated as photo anodes in dye sensitized solar cells (DSSCs) due to their large surface area, high electron mobility and chemical stability features. ZnTiO 3 perovskite is investigated as a photoanode, with N3 ruthenium dye, to design a new DSSC. First principal calculations based on DFT methods have been carried out to study the adsorption process of the ruthenium dye onto ZnTiO 3 (101) and (110) surfaces. The energy levels of N3 dye are studied and compared to available experimental data. The calculated energy band gap for ZnTiO 3 is 3.10 eV, which is in good agreement with experimental data (3.18 eV) The N3 dye is chemisorbed on the perovskite surface, via its carboxylic groups (COOH) which link to the (101) surface and two monodentate (ME) ester modes in (110) with bidentate bridging (BB) adsorption mode. The calculated adsorption energy 241.50 kcal/mol) for the resulting N3@ZnTiO 3 complex, is much higher than the values for N3@ZnO 89.58 kcal/mol) and N3@TiO 2 146.90 kcal/mol) The high adsorption energy of N3 dye, onto the perovskite surfaces, shows the potential value to use perovskites in future DSSCs. (c) 2019 Elsevier Ltd Author", "author_names": [ "" ], "corpus_id": 204921244, "doc_id": "204921244", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "A low cost, high efficiency solar cell based on dye sensitized colloidal TiO", "venue": "", "year": 2019 }, { "abstract": "", "author_names": [ "Brian C O'Regan", "Michael Graetzel" ], "corpus_id": 94228788, "doc_id": "94228788", "n_citations": 158, "n_key_citations": 4, "score": 0, "title": "A low cost, high efficiency solar cell based on dye sensitized colloidal titanium dioxide films", "venue": "", "year": 1991 }, { "abstract": "Verification of High Efficiencies for the Gratzel Cell A 7% Efficient Solar Cell Based on Dye Sensitized Colloidal TiO2 Films.", "author_names": [ "Anders Hagfeldt", "Bengt Didriksson", "Tomas Palmqvist", "Henrik Lindstrom", "Sven Sodergren", "Hakan Rensmo", "S-E Lindquist" ], "corpus_id": 95837145, "doc_id": "95837145", "n_citations": 137, "n_key_citations": 1, "score": 0, "title": "Verification of High Efficiencies for the Gratzel Cell A 7% Efficient Solar Cell Based on Dye Sensitized Colloidal TiO2 Films.", "venue": "", "year": 1994 }, { "abstract": "A new, low cost solar cell based on work done by Professor Gratzel was evaluated for power generation applications. The main effort at ABB was directed towards the development of cells with high efficiencies and towards the development of production methods for the electrodes. Using optimized electrodes made by screen printing techniques, an efficiency of 9.4% could be obtained for small electrodes (0.5 cm2) Lifetimes of more than 10 months without degradation could be achieved at the EPF Lausanne using this type of cell.", "author_names": [ "Reinhard Knodler", "Jorg Sopka", "Friedrich Harbach", "Hermann Grunling" ], "corpus_id": 98386188, "doc_id": "98386188", "n_citations": 48, "n_key_citations": 0, "score": 0, "title": "Photoelectrochemical cells based on dye sensitized colloidal TiO2 layers", "venue": "", "year": 1993 }, { "abstract": "High efficiency dye sensitized solar cells (DSSCs) have been achieved using a novel polymer gel electrolyte containing RbI and tetrahexylammonium iodide (Hex4NI) binary salts in combination with multi layered TiO2 photoelectrodes. Performance enhancers were incorporated to the electrolyte to improve the efficiency in the DSSCs. Varying the salt mass fraction, showed that the conductivity in the electrolyte increases with increasing amount of RbI. The highest ionic conductivity of 4.19 mS cm 1 is exhibited by the electrolyte sample with RbI:Hex4NI weight ratio of 3:1 at 25 degC. The temperature dependence of the electrolytes shows Arrhenius behavior. It is found that the electrolyte with RbI:Hex4NI weight ratio of 1.06:1 is more suitable for DSSC's applications and it has a conductivity of 3.77 mS cm 1. A colloidal suspension of P25 TiO2 nanoparticles was used to make the spin coated photo anodes in the form of stacks of 1 6 layers. The formation of a highly uniform and porous structure is observed with increasing number of layers from scanning electron microscopy and X ray diffraction studies revealed that the layers are predominantly anatase phase with crystallite size of 19 22 nm. The band gap was estimated to be around 3.22 eV based on UV Visible spectroscopy and found to be decreasing slightly with increasing layer thickness. The maximum efficiency of 7.5% and an impressively high current density of 20 mA cm 2 were observed for the 4 layer device with the new gel electrolyte based on RbI and Hex4NI. This study not only brings reliability and consistency to the ways of preparing well ordered TiO2 photo anodes but also offers the possibility of low cost practical and highly efficient quasi solid state DSSCs.", "author_names": [ "T M W J Bandara", "L Ajith DeSilva", "J L Ratnasekera", "Kanthi Hettiarachchi", "A P Wijerathna", "Madhavi Thakurdesai", "Joshua S Preston", "I Albinsson", "B -E Mellander" ], "corpus_id": 115155617, "doc_id": "115155617", "n_citations": 20, "n_key_citations": 1, "score": 0, "title": "High efficiency dye sensitized solar cell based on a novel gel polymer electrolyte containing RbI and tetrahexylammonium iodide (Hex4NI) salts and multi layered photoelectrodes of TiO2 nanoparticles", "venue": "Renewable and Sustainable Energy Reviews", "year": 2019 }, { "abstract": "Coral like TiO2 nanostructured films were chemically synthesized through the sol gel method for fabrication of dye sensitized solar cell (DSSC) The influence of experimental parameters such as precursor hydrolysis rate, reaction time, type and concentration of acid, and annealing temperature was studied through analysis of the surface structure of the films. The coral like TiO2 film has excellent light scattering property and a mesoporous structure with fairly large specific surface area of 164 m2 g 1. The resulting DSSC, which consists of a dense, coral like TiO2 nanostructured film and the dye N719 in an electrolyte, shows a better performance as compared to a fabricated DSSC by using commonly used TiO2. A photocurrent value of approximately 16.1 mA cm 2, a fill factor of 77.6% and a conversion efficiency of 9.4% were obtained. The low cost and the possibility of controlling the morphology of the prepared film make this method an interesting candidate for use in fabricating photovoltaic devices.", "author_names": [ "Alireza Bahramian" ], "corpus_id": 101360723, "doc_id": "101360723", "n_citations": 26, "n_key_citations": 1, "score": 0, "title": "High Conversion Efficiency of Dye Sensitized Solar Cells Based on Coral like TiO2 Nanostructured Films: Synthesis and Physical Characterization", "venue": "", "year": 2013 } ]
what is condenser microphone
[ { "abstract": "On a bright fall morning last October there was a historic meeting among three industry stalwarts that was of particular interest to the acoustics community. Gerhard Sessler and James West returned to New Jersey, the home state of Bell Labs where they both started their professional careers, to be inducted into the \"New Jersey Inventors' Hall of Fame.\" Ray Stata, founder of Analog Devices and a pioneer of Micro Elecro MechanicalSystems (MEMS) devices, was passing through on business. The three got together at the beautiful Reeves Reed arboretum in Summit, New Jersey for a discussion on the evolution of consumer microphones towards MEMS (see Fig.1) Drs. Sessler and West invented the Electret Condenser Microphone (ECM) at Bell Labs in the early 1960's. The low cost and small size of the ECM has enabled the production of modern consumer devices such as cell phones, headsets, and video cameras. As a result, in excess of 2 billion ECM microphones shipped in 2008. In 1965, Ray Stata founded Analog Devices, a world leader in semiconductor converter and amplifier microchips today. In the early 1990's Ray Stata played a key role in evangelizing MEMS technology, enabling the manufacture of small, low cost accelerometers and gyroscopes for automotive and consumer markets. More recently, he, with his company, developed world leading MEMS microphone technology. In the 1980's Dr. Sessler did much of the early academic research in MEMS microphones at the University of Darmstadt, Germany. Dr. Sessler is recognized as one of the earliest advocates for research in the field of silicon microphones. Amid the beauty of the autumnal foliage of the Reeves Reed Arboretum, Sessler, West, and Stata sat down to discuss the evolution of microphones and consider what the future might hold.", "author_names": [ "Gary W Elko", "K P Harney" ], "corpus_id": 110738833, "doc_id": "110738833", "n_citations": 17, "n_key_citations": 1, "score": 1, "title": "A History of Consumer Microphones: The Electret Condenser Microphone Meets Micro Electro Mechanical Systems", "venue": "", "year": 2009 }, { "abstract": "I 've been interested in microphones for some time, especially those used to record music in concert and studio settings. More recently, however, I've become especially interested in how various microphones differ, how to measure and compare those differences, and what design trade offs go into the development of a new microphone. This article examines a particular kind of microphone, the acoustic dipole or \"gradient\" microphone and its design parameters1. First I'll describe its operation mathematically in enough detail to predict frequency and polar response characteristics in a spreadsheet. Next, I'll discuss the techniques needed to obtain accurate measurements of microphone frequency and polar response, using a computer sound card and some simple software programs. The spreadsheet and computer source code are posted online (www.audioXpress.com) for readers to download. Finally, I'll show the construction of a prototype dipole microphone using two omnidirectional electret condenser microphone cartridges. My goal here is not to produce a design that's better or different from existing designs, but rather to gain a more complete understanding of what goes into microphone design and measurement. This way, we can all be a little more confident about making the best use of the microphones that are available to us.", "author_names": [ "Mark S Williamsen" ], "corpus_id": 122794699, "doc_id": "122794699", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Design and Measurement Of a Dipole Microphone", "venue": "", "year": 2009 }, { "abstract": "Background noise studies have been extended from air condenser microphones to piezoresistive, electret condenser, and ceramic microphones. Theoretical models of the respective noise sources within each microphone are developed and are used to derive analytical expressions for the noise power spectral density for each type. Several additional noise sources for the piezoresistive and electret microphones, beyond what had previously been considered, were applied to the models and were found to contribute significantly to the total noise power spectral density. Experimental background noise measurements were taken using an upgraded acoustic isolation vessel and data acquisition system, and the results were compared to the theoretically obtained expressions. The models were found to yield power spectral densities consistent with the experimental results. The measurements reveal that the 1/f noise coefficient is strongly correlated with the diaphragm damping resistance, irrespective of the detection technology, i.e. air condenser, piezoresistive, etc. This conclusion has profound implications upon the expected 1/f noise component of micromachined (MEMS) microphones.", "author_names": [ "Allan J Zuckerwar", "Theodore R Kuhn", "Roman Serbyn" ], "corpus_id": 27354952, "doc_id": "27354952", "n_citations": 16, "n_key_citations": 0, "score": 0, "title": "Background noise in piezoresistive, electret condenser, and ceramic microphones.", "venue": "The Journal of the Acoustical Society of America", "year": 2003 }, { "abstract": "Acoustics represents a body of science that is rich in theory and new concepts and has many applications in everyday life. Unfortunately, many undergraduates are not afforded the opportunity to explore acoustics past a brief introduction. The purpose of this project, then, is to implement an acoustics experiment in the undergraduate advanced laboratory course in the CWRU Physics Department. The experiment will closely follow suggestions made by Robertson and Parker in their 2012 paper Acoustic impulse response method as a source of undergraduate research projects and advanced laboratory experiments. Students will learn skills in signal processing and data analysis as they probe the acoustic impulse responses of PVC waveguides with a condenser microphone and wide range monitor. Introduction Acoustics represents a body of science that is rich in theory and new concepts and has many direct applications in everyday life. Since acoustics is the study of sound, any noise in the world can provide meaningful insight in some way, from an orchestra concert to radio static and the silence of an anechoic chamber to the sonic boom of a passing jet. Sound is a wave, so concepts like interference, refraction and so on show up almost everywhere. Furthermore, following wave mechanics in general, many acoustic phenomena have optical analogues. For example, single slit diffraction, double slit interference and Lloyd's mirror experiment. Students can complete an acoustics experiment and learn some of the same concepts that they would learn completing an optics experiment, which may be more expensive and delicate. Laboratory experiments and courses in acoustics have already been implemented at other universities. Kettering University in Flint, MI runs a complete laboratory course in acoustics. The course is designed to impart a select few concepts in acoustics while coupling with the university's cooperative program to teach real world engineering skills. The U.S. Naval Academy in Annapolis, MD utilizes ultrasound transducers in one demonstration to teach about multiple source interference and its application to beamforming. Middle Tennessee State University in Murfreesboro, TN suggests an impulse response experiment that uses polyvinyl chloride (PVC) pipes as waveguides. These last two examples are particularly useful because the experimental equipment is simple, robust, and is of good enough quality to provide useful results. Following one of these experiments in an undergraduate laboratory course would be a simple investment to get started in acoustics. The purpose of this project, then, is to implement an acoustics experiment in the undergraduate advanced laboratory course in the Case Western Reserve University (CWRU) Physics Department. In their paper \"Acoustic impulse response method as a source of undergraduate research projects and advanced laboratory experiments\" Robertson and Parker describe an acoustics experiment for an advanced undergraduate laboratory course. Using a simple setup consisting of a speaker, microphone, computer and data acquisition module, they measure the acoustic impulse response of various PVC waveguides. The experiment in this project will follow their design very closely to produce a useful learning opportunity for physics students at CWRU. The experiment will expose students to interesting acoustics concepts such as propagation in waveguides, filter response characteristics, and signal processing. Introducing this experiment to the laboratory course will provide new horizons for students to explore. Theory LTI Systems The theoretical basis of this project is derived from linear time invariant (LTI) systems theory. Any signals and systems text (see, for example, Ref. [4] is sufficient for explaining the level of theory presented in this paper. This project assumes that all of the systems explored by the experiment described here are LTI systems. Assuming linearity and time invariance makes the math and analysis required to complete the experiment much more tractable for undergraduates. The first major assumption about LTI systems is that they are linear. That is to say, the output of the system is linearly related to the input. For a larger input, the system yields a proportionally larger output. An important result of linearity is linear wave superposition, which is a familiar concept to a majority students in the advanced undergraduate physics laboratory class at CWRU, who will have completed the introductory physics courses that first present this concept. Linear wave superposition states that when two signals are present in a medium, the resultant signal at any point in the medium is equal to the sum of the two original signals at that same point, independent of the value of any of the signals anywhere else. When applied to systems, linearity yields equation (1) below, which illustrates linearity and wave superposition mathematically. In equation (1) x1(t) and x2(t) are inputs to the system, multiplied by constant gains of a1 and a2, respectively. The arrow represents the inputs x1(t) and x2(t) passing through the system and yielding outputs y1(t) and y2(t) respectively. x (t) a x (t) y (t) a y (t) a1 1 2 2 a1 1 2 2 (1) The second assumption about LTI systems is that they are time independent. That is to say, the output of the system is temporally related to the input. For a delayed input, the system yields a similarly delayed output. For a given input, whether it is input to the system in the morning at 0500 or late at night at 2200, an LTI system will always give the same output, delayed relative to the input the same amount each time. Equation (2) below illustrates time invariance, where x(t T) is an input, x(t) delayed in time by T, and y(t T) is the output corresponding to input x(t) delayed in time by T. (t (t x T y T (2) An interesting result comes from these two assumptions of linearity and time invariance: an LTI system can be completely described by its impulse response. A system's impulse response is exactly what it sounds like: it is the response, or output, of the system for an input impulse. With a system's impulse response, h(t) and the convolution operation, equation (3) shows how the system's output, y(t) can be calculated for any arbitrary input, x(t) Equation (4) shows how the convolution operation is carried out. (t) x(t) (t) y h (3) (t) (t)h(t )dt y", "author_names": [ "Michael Anderson", "Jesse Berezovsky" ], "corpus_id": 53328348, "doc_id": "53328348", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Advanced Undergraduate Acoustics Experiment", "venue": "", "year": 2014 }, { "abstract": "So what's a field recorder? Here's my definition: a device that lets you make a recording in a field. As in: no electricity, no roads, no buildings, no shelter, no access to any gear that you cannot carry on your back. That means a field recorder should be small, lightweight, and rugged. It should have either a built in microphone or decent microphone preamps; ideally it will have both. Phantom power (for driving condenser mics) is a plus, as are line inputs.", "author_names": [ "Mark Nelson" ], "corpus_id": 112626140, "doc_id": "112626140", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Outstanding in the field", "venue": "Volume 38, Number 5, October 2011", "year": 2019 }, { "abstract": "Acoustic diagnosis system with condenser microphone has some attractive characters what is more cheaper for cost of devices of sensor, is not keeping contact with machinery components and is wide band range on frequency compared with piezoelectric accelerometers. This paper proposes a method to do condition diagnosis about strong non stationary signal generated by operating rotating machineries which are rolling bearings. Especially, a signal processing technique in order to eliminate non stationary noises including operating sound is described by adopting locally stationary AR model a kind of system identification. Further, the optimum order of locally stationary AR model is determined from presumption of division terms. The effectiveness of this method has been identified by experiment based on a rotary simulator device on rolling bearings.", "author_names": [ "Hiromitsu Ohta", "Kunisato Seto" ], "corpus_id": 111711077, "doc_id": "111711077", "n_citations": 2, "n_key_citations": 2, "score": 0, "title": "Acoustic Diagnosis on Rolling Bearings Based on Presumption of a Division Term by Locally Stationary AR Model", "venue": "", "year": 2004 }, { "abstract": "Recording and analysis of vocalizations The vocalizations of each bird were recorded using a small condenser microphone that was located in each sound attenuating chamber. Beginning at approximately 30 days after tutoring, recordings were made frequently, with intervals not exceeding 9 days. These signals were digitized, displayed as spectrograms in real time and stored as computer files (Avisoft Recorder) Across renditions, the crystallized songs of birds often varied in length, with the last phrase sometimes not included. Spectrograms of crystallized songs that are displayed in this paper were chosen to show all of the phrase types of typical length found in these songs. Songs were scored with regard to whether adjacent phrases were placed in correct versus incorrect relative position; these values were then evaluated with respect to what would be expected by chance.", "author_names": [ "Rustem Khazipov", "Anton M Sirota", "Xavier Leinekugel", "Gregory L Holmes", "Yehezkel Ben-Ari", "Gyorgy Buzsaki" ], "corpus_id": 107651696, "doc_id": "107651696", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "autopsy; five of the birds in the reversed phrase order group and seven in the forward phrase order group were confirmed to be males.", "venue": "", "year": 2004 }, { "abstract": "In designing a controller, one way to avoid an energy spillover is to use what is called the zero spillover scheme. However, practical limitations may make such a controller impossible to realize, and one will need to implement a relaxed version of this controller called a relaxed zero spillover controller (RZSC) Here, analytical and experimental investigations into a RZSC scheme are presented. This controller has been used for active structural acoustic control (ASAC) of sound transmission into an enclosure. Noise is transmitted through the flexible boundary of the enclosure, and piezoceramic patches, mounted on the flexible boundary, are used as actuators. Polyvinylidene fluoride sensors are used on the flexible boundary and condenser microphone sensors are used inside and outside the enclosure. The stability of the chosen RZSC scheme for a single input, single output system and the extension to multiple input, multiple output systems are discussed along with other issues.", "author_names": [ "M Al-Bassyiouni", "Balakumar Balachandran" ], "corpus_id": 110206531, "doc_id": "110206531", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Relaxed zero spillover controller for active structural acoustic control systems", "venue": "SPIE Smart Structures and Materials Nondestructive Evaluation and Health Monitoring", "year": 2003 }, { "abstract": "Although the speed of sound in air was measured with reasonable accuracy about the middle of the 17th century, intensity measurements did not properly begin until Rayleigh, in 1882, published his experiments with what came to be called the Rayleigh disc. The accuracy remained relatively low (about 10% until about 1940. In the meantime the working standard for measurements in acoustics had come to be the condenser microphone which is a reciprocal transducer. By using the reciprocity method its calibration has improved by about two orders of magnitude, being now carried out to a precision of about 10 3. This is quite adequate for most purely physical measurements and more than adequate for many others such as sound absorption coefficients of surfaces or sound transmission loss of partitions. In most measurements of a psychoacoustical nature (threshold of hearing, loudness, etc. or even where a human subject is involved in only a passive role, the errors of measurement are still very great being of the order of 10 1 or often greater.", "author_names": [ "George J Thiessen" ], "corpus_id": 120648199, "doc_id": "120648199", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Progress in Measurements in Acoustics", "venue": "", "year": 1967 }, { "abstract": "Abstract The purpose of the study was to determine what changes three types of microphones undergo during compression and decompression to 19 ATA (equiv. to 600 feet of sea water) using a mixture of helium and oxygen. A reciprocity calibrated ceramic microphone was used as the standard for observing the changes of a cardioid (ribbon) type condenser microphone. The results indicate that sensitivity of all microphones decreases as ambient pressure increases. In addition, there are substantial changes in the linearity of the transducers especially at frequencies above 3000 Hertz. The ceramic microphone demonstrated the most consistent responses during descent and ascent. At 17 hours post dive, it also showed a frequency response that was within one dB of the pre dive values at all frequencies tested. From this investigation, it would appear that none of the three types of microphones is ideally suited for speech communication in helium oxygen environments due to the roll off in sensitivity at the high frequencies at depths greater than 200 feet.", "author_names": [ "Thomas Murry", "Russell L Sergeant" ], "corpus_id": 108743517, "doc_id": "108743517", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Response Variations of Three Types of Microphones Pressurized to 19 ATA", "venue": "", "year": 1971 } ]
Flexible electronic film gel
[ { "abstract": "The increasing interest in flexible electronics and flexible displays raises questions regarding the inherent mechanical properties of the electronic materials used. Here, the mechanical behavior of thin film transistors used in active matrix displays is considered. The change of electrical performance of thin film semiconductor materials under mechanical stress is studied, including amorphous oxide semiconductors. This study comprises an experimental part, in which transistor structures are characterized under different mechanical loads, as well as a theoretical part, in which the changes in energy band structures in the presence of stress and strain are investigated. The performance of amorphous oxide semiconductors are compared to reported results on organic semiconductors and covalent semiconductors, i.e. amorphous silicon and polysilicon. In order to compare the semiconductor materials, it is required to include the influence of the other transistor layers on the strain profile. The bending limits are investigated, and shown to be due to failures in the gate dielectric and/or the contacts. Design rules are proposed to minimize strain in transistor stacks and in transistor arrays. Finally, an overview of the present and future applications of flexible thin film transistors is given, and the suitability of the different material classes for those applications is assessed.", "author_names": [ "Paul Heremans", "Ashutosh Tripathi", "A de Jamblinne de Meux", "Edsger C P Smits", "Bo Hou", "Geoffrey Pourtois", "Gerwin H Gelinck" ], "corpus_id": 25457390, "doc_id": "25457390", "n_citations": 156, "n_key_citations": 0, "score": 1, "title": "Mechanical and Electronic Properties of Thin Film Transistors on Plastic, and Their Integration in Flexible Electronic Applications.", "venue": "Advanced materials", "year": 2016 }, { "abstract": "Abstract Flexible supercapacitors have drawn tremendous attention resulting from the rapid development of wearable electronic devices. Herein, we develop an effective and facile two step approach to prepare high content nitrogen, sulfur co doped porous carbon nanofibers film as electrodes of flexible supercapacitors. Benefiting from the high specific surface area and rich nitrogen/sulfur content, nitrogen and sulfur co doped porous carbon fibers film (N, S co doped PCFF) electrodes exhibit a high mass specific capacitance of 307.8 F g 1, and the capacitance retains 98% of initial capacitance after 5000 cycles in a three electrode system. The as assembled flexible supercapacitor devices with polyvinyl alcohol/KOH gel electrolyte demonstrate a highest mass specific capacitance of single electrode of 183.9 F g 1 at the scan rate of 2 mV s 1, which is better than that of other heteroatom doping carbon materials. In addition, the energy density reaches as high as 16.35 Wh kg 1 with the power density of 147 W kg 1 and retains as 5.34 Wh kg 1 with higher power density of 2402 W kg 1. Furthermore, the flexible devices show good cycling stability, superior flexibility and stable electrochemical performance.", "author_names": [ "Lina Chen", "Weipeng Wang", "Qing Ai", "Guangmei Hou", "Yanhui Li", "Jun Lou", "Lijie Ci" ], "corpus_id": 210245323, "doc_id": "210245323", "n_citations": 24, "n_key_citations": 0, "score": 0, "title": "Nitrogen and sulfur co doped porous carbon fibers film for flexible symmetric all solid state supercapacitors", "venue": "", "year": 2020 }, { "abstract": "To satisfy the demands of wireless communication systems for tunable shielding materials, in this work, a graphene/ion gel/graphene sandwich structure is proposed, based on graphene and a solid ionic material ion gel. After modelling, preparing and testing, it was found that the structure could achieve more than 10 dB shielding effectiveness tuning in GHz and THz bands. Meanwhile, the adjusting speed of the structure was also studied, displaying effective dynamic tuning in the second order. Furthermore, the fabricated samples have the advantages of a low profile, easily conformable, and convenient processing, which are of great potential in emerging electronic devices.", "author_names": [ "Kui Wen", "Yanli Xu", "Jibin Liu", "Peiguo Liu" ], "corpus_id": 236409705, "doc_id": "236409705", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Demonstration of Tunable Shielding Effectiveness in GHz and THz Bands for Flexible Graphene/Ion Gel/Graphene Film", "venue": "", "year": 2021 }, { "abstract": "In addition to the potential for memristors to be used in logic, memory, smart interconnects, and biologically inspired architectures that could transform traditional silicon based computing, memristors may enable such transformative technologies on physically flexible substrates. The simple structure of a memristor, which generally consists of a thin film of oxide sandwiched between two metal contacts, contributes to its compatibility with existing and future large area flexible electronics. This is especially true considering that recent work has demonstrated the ability for titanium dioxide based memristors to be deposited from solution at room temperature by using a sol gel technique on a flexible polymer substrate. The integration of memristors with traditional flexible devices (such as thin film organic, zinc oxide, or amorphous Si transistors) may enable the realization of a new paradigm in computing technology through lightweight, inexpensive, flexible electronics.", "author_names": [ "Nadine Gergel-Hackett", "Joseph L Tedesco", "Curt A Richter" ], "corpus_id": 7523220, "doc_id": "7523220", "n_citations": 29, "n_key_citations": 0, "score": 0, "title": "Memristors With Flexible Electronic Applications", "venue": "Proceedings of the IEEE", "year": 2012 }, { "abstract": "Abstract As a functional ferroelectric material, PbZr0.53Ti0.47O3 (PZT) film is widely used in many electronic devices. However, with the rapid development of intelligent terminal, traditional PZT device cannot meet the demand for flexible wearable devices. In this work, a high quality flexible PZT film is fabricated by the controlled spalling technology (CST) for the first time, which is novel, simple and cost efficient. LaNiO3 (LNO) film by sol gel process is used as the buffer layer for the PZT film growth due to good lattice match and low cost. The PZT film on silicon substrate is successfully transferred onto a flexible one by electroplating Ni stressor layer. LNO buffer layer thickness has an important influence on the spalled silicon depth and Ni stressor layer thickness during the spalling process. The exfoliated PZT film based on sandwich capacitor structure Au/PZT/Au with an area of 1 cm2 exhibits good ferroelectric properties under different mechanical deformation. Moreover, the ferroelectric response of flexible PZT film does not show obvious deterioration after repeated operation cycles. Therefore, the flexible PZT thin film has a good application prospect on wearable ferroelectric microelectronics.", "author_names": [ "Jialu Zhang", "Wei Jia", "Qicheng Zhang", "Jian He", "Xushi Niu", "Xiaojun Qiao", "Wenping Geng", "Xiaojuan Hou", "Jundong Cho", "Xiujian Chou" ], "corpus_id": 139934223, "doc_id": "139934223", "n_citations": 8, "n_key_citations": 0, "score": 0, "title": "Controlled spalling and flexible integration of PZT film based on LaNiO3 buffer layer", "venue": "Ceramics International", "year": 2019 }, { "abstract": "There is an increasing demand for safe, environmentally benign energy storage devices in portable electronic appliances, wearable gadgets, flexible displays, and other personal multimedia devices. In this study, we have fabricated an all solid state flexible asymmetric supercapacitor using a novel 3D porous reduced graphene oxide/manganese dioxide@polyaniline (RGO/MnO2@PANI) hybrid film as the positive electrode and a self assembled 3D pillared graphene aerogel as the negative electrode material with a polyvinyl alcohol/potassium hydroxide (PVA/KOH) gel electrolyte. The flexible composite film was synthesized by vacuum filtration of GO and a MnO2@PANI mixture followed by chemical reduction of the resulting film in a hydrothermal autoclave. The 3D graphene aerogel was synthesized by a hydrothermal route using a solution of the nonionic triblock copolymer Pluronic F 68 as a soft template and vitamin C as a reducing agent. Herein, the Pluronic copolymer played dual roles: first, it enabled the effective dispersion of graphene oxide in water, and second, it assisted the formation of a stable 3D pillared hydrogel assembly. The RGO/MnO2@PANI based symmetric supercapacitor shows a high energy density of 18.33 W h kg 1 at a power density of 0.388 kW kg 1. An asymmetric supercapacitor (graphene aerogel//RGO/MnO2@PANI) which was fabricated by optimizing the individual electrode materials, exhibited a very high energy density of 38.12 W h kg 1 at a power density of 1.191 kW kg 1 utilizing a large potential window of 1.5 V. Moreover, 3 cells connected in series successfully lit up a red LED for 45 s and displayed similar performance under bending conditions.", "author_names": [ "Kalyan Ghosh", "Chee Yoon Yue", "Moniruzzaman Sk", "Rajeeb Kumar Jena", "Shuguang Bi" ], "corpus_id": 139728148, "doc_id": "139728148", "n_citations": 43, "n_key_citations": 0, "score": 0, "title": "Development of a 3D graphene aerogel and 3D porous graphene/MnO2@polyaniline hybrid film for all solid state flexible asymmetric supercapacitors", "venue": "", "year": 2018 }, { "abstract": "Printed circuit boards are a platform technology for virtually all electronic devices. Conventional rigid and flexible circuit materials based on copper clad thermoset polymers have served the industry well for many decades. New generations of electronics require circuit boards (or more accurately, membranes) that are pliable, conformable and even stretchable. Thermoplastic based films and conductive pastes are commonly used to form these structures today, but their mechanical and electrical durability limitations are well known. The researchers fashioned a new, first of its kind, stretchable circuit construction by combining a non silicone thermosetting film with inorganic eutectic Gallium Indium Tin metal gel to form a functional multilayer circuit complete with passive surface mount components. This paper describes the materials, fabrication and testing of this unique construction.", "author_names": [ "Mark Ronay", "Trevor Rivera", "Mike Hopkins", "Chuck Kinzel", "Sydney Bright", "Andy Behr", "Takatoshi Abe", "Tomohiro Fukao", "Tomoaki Sawada", "Kyosuke Michigami" ], "corpus_id": 216104412, "doc_id": "216104412", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Construction and Testing of a Functional Multilayer Demonstration Circuit Made with Gallium Indium Tin Metal Gel and Non Silicone Stretchable Thermosetting Film", "venue": "2019 IEEE International Flexible Electronics Technology Conference (IFETC)", "year": 2019 }, { "abstract": "Abstract Development of successful flexible electronic devices desires excellent compliancy between the deposited inorganic thin film materials and flexible polymeric substrates as the film substrate assembly is conformally mounted over uneven surfaces. Several physical parameters control the optimal surface science at the metal polymer interface and result in the formation of either continuously buckled thin film structures or electrically discontinuous cracked films. Buckling of thin film materials has always been observed when deposited over soft complaint substrate material either through physical techniques like sputtering or thermal evaporation or through chemical solution processing techniques like sol gel, chemical bath deposition, electrodeposition, chemical vapor deposition, and spray pyrolysis. However, successful utilization of these buckles in flexible or stretchable electronic application requires excellent compliancy between the two without any cracking during its fabrication or flexibility operation. This chapter discusses in details the challenges faced in meeting these compliancy issues when nichrome thin film is physically deposited over soft viscoelastic polymer materials like polydimethylsiloxane, namely, crack formation, optimal deposition parameters, buckling induced flexibility, nonplanar topologies for buckle orientation and stability, and its limitations. Prestretching technique of elastomeric substrates was employed thereafter to induce large buckles after successfully fabricating flexible devices over the stretched surface using novel photolithography technique. Finally, applicability of the large buckles in aiding greater device flexibility was also highlighted through a prototype fabrication of a catheter mounted flexible flow sensor to monitor abnormalities in blood flow velocities near stenotic arteries. These research findings thus successfully address issues related to the feasibility of cost effective flexible microsensors for various biomedical applications.", "author_names": [ "Debashis Maji", "Soumen Das" ], "corpus_id": 234237458, "doc_id": "234237458", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Buckling assisted thin film deposition and lithographic strategies for flexible device patterning", "venue": "", "year": 2021 }, { "abstract": "Abstract The development of portable and wearable electronic devices continues to increase the demand for miniature energy storage units. Here, we fabricate the flexible micro supercapacitors (MSCs) through direct heating pattern on graphene oxide film. The shape designable MSCs are based on planar reduced graphene oxide/graphene oxide (rGO/GO) structure, with rGO as electrode and GO as separator. The GO area heated by heating pen is reduced to rGO, and the area with no heating is still GO. The interdigitated MSC made at 400 degC delivers high area capacitance of 94.8 mF cm 2 at current density of 0.25 mA cm 2 within poly(vinyl alcohol) (PVA)/H2SO4 gel electrolyte. It provides high energy density of 10.7 mWh cm 2 at power density of 112.6 mW cm 2. In addition, it also has excellent mechanical stability, with basically unchanged area capacitance from 0deg to 180deg bending angles. The MSCs with shapes of spiral, concentric circle and eight trigrams are also fabricated via this method. The results indicate that heating pattern on GO films to build flexible MSC is a promising and low cost new method to fabricate energy storage equipment for future electronic devices.", "author_names": [ "Cheng-Hao Peng", "L Niu", "Hong Yuan", "Jiahui Xu", "Yuanjun Yang", "Guoxiang Li" ], "corpus_id": 232795823, "doc_id": "232795823", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Direct heating pattern on graphene oxide film to build flexible micro supercapacitors", "venue": "", "year": 2021 }, { "abstract": "Abstract The immense potential of photo electrical materials applied in intelligent and wearable electronic devices has motivated many researches on flexible ferroelectric thin film. However, how to enhance stability of output and achieve multifunction is still a challenge. Here, an all inorganic flexible ferroelectric thin film with Au/Bi2FeMo0.7Ni0.3O6/LaNiO3/Nickel foil structure is prepared by sol gel method. The thin film exhibits excellent photovoltaic stability. The change rates of the short circuit currents and open circuit voltages are less than 5% in different bending states and after bending releasing cycles, which is attributed to its stable self polarization characteristic. Furthermore, an optically tunable resistive switching behavior is found in the thin film. The switching voltages and ON/OFF ratio of resistance can be tuned in real time by on off control of light, demonstrating a correlation between resistive switching and photovoltaic effect. It is proposed that the photovoltaic effect modulates the energy band structure of the thin film, leading to an increased ON/OFF ratio of resistance. This work opens up a promising route to the development of multifunctional flexible photo electrical materials.", "author_names": [], "corpus_id": 237686290, "doc_id": "237686290", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Stable photovoltaic output and optically tunable resistive switching in all inorganic flexible ferroelectric thin film with self polarization characteristic", "venue": "", "year": 2021 } ]
The above mentioned
[ { "abstract": "2014 The authors present a theoretical treatment of the resonant tunneling phenomenon in Schottky barriers including deep traps whose potentials are represented by Dirac 03B4 functions. It is shown that in this case the quantum treatment of the tunneling effect leads to exactly the same result as that obtained when applying a more phenomenological formulation of the problem similar to the one used by Hall Schockley and by Read to discuss thermal generation and recombination in semiconductors. The results are applied to the case of a metal AsGa barrier and it appears that the occurrence of trap assisted tunneling in such barriers is far from being unlikely. LE JOURNAL DE PHYSIQUE TOME 38, NOVEMBRE 1977, Classification Physics Abstracts 73.40G", "author_names": [ "Gerard Sarrabayrouse", "J Buxo", "Daniel Esteve" ], "corpus_id": 9102414, "doc_id": "9102414", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "1 THE WAVE FUNCTION Under the above mentioned physical conditions", "venue": "", "year": 2017 }, { "abstract": "", "author_names": [ "Shitin Desai" ], "corpus_id": 124915870, "doc_id": "124915870", "n_citations": 0, "n_key_citations": 0, "score": 1, "title": "The above mentioned change forms an integral part of SID of all the Schemes of the Fund.", "venue": "", "year": 2015 }, { "abstract": "Antibacterial polyurethane foam is formed from the following: a polyfunctional isocyanate component; polyfunctional isocyanate component reactive with the aqueous polyol component; antimicrobial metal compound; and a complexing agent. The complexing agent is used to form a stable mixture of a polyol component and an antimicrobial metal compound. Exemplary complexing agents include amine compounds, ammonium containing compounds and ammonia, and combinations of these compounds. Antimicrobial metal compound can be silver, zinc or copper compound. Desirably, the antimicrobial metal compounds are saccharinate silver. Further, stable mixture to be used in the method of manufacture and antibacterial polyurethane foam producing antibacterial polyurethane foam is disclosed.", "author_names": [ "" ], "corpus_id": 103871559, "doc_id": "103871559", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Methods for making those antibacterial polyurethane foam, and the above mentioned", "venue": "", "year": 2012 }, { "abstract": "", "author_names": [ "Nicky Hallett" ], "corpus_id": 186961020, "doc_id": "186961020", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "'that above mentioned double diabolical witchcraft'", "venue": "", "year": 2017 }, { "abstract": "", "author_names": [ "B K Mody" ], "corpus_id": 200717335, "doc_id": "200717335", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Important Instructions for students appearing in above mentioned examinations", "venue": "", "year": 2014 }, { "abstract": "", "author_names": [ "Alverman Soster" ], "corpus_id": 167631308, "doc_id": "167631308", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "is entitled to sell in the name of the above mentioned company in the Russian market.", "venue": "", "year": 2008 }, { "abstract": "", "author_names": [ "dosio gomuro" ], "corpus_id": 146161215, "doc_id": "146161215", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Slight infrared energy radiation powder synthetic fiber and fiber containing articles the above mentioned", "venue": "", "year": 1990 }, { "abstract": "", "author_names": [ "Michael E Huber", "William Greenwood Wright", "Ralph A Lewin" ], "corpus_id": 89453856, "doc_id": "89453856", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "one or more of the above mentioned character", "venue": "", "year": 1986 }, { "abstract": "We have investigated the incidence of breast feeding and factors that concerns it, in 135 mothers who gave birth from January to July 1986 in our hospital. 97% of mothers began breast feeding, but only 42% went on with it at third month of infant's life, and only 9.75% of them at sixth month. The most common reason for breast feeding failure is the \"cry baby\" The mother's age, the socioeconomic level, the mother newborn bonding after delivery are related with lengthy breast feeding. In conclusion, the lower social levels are the target for the information in order to promote the breast feeding.", "author_names": [ "R CerrudoHernandez", "C OrmazabalRamos", "Alfonso Gonzalez Dc", "Gonzalez Hernandez Jm", "E DomenechMartinez" ], "corpus_id": 77254707, "doc_id": "77254707", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "[Cessation of breast feeding: mothers exposed to the above mentioned risk]", "venue": "", "year": 1987 }, { "abstract": "We have investigated the incidence of breast feeding and factors that concerns it, in 135 mothers who gave birth from January to July 1986 in our hospital. 97% of mothers began breast feeding, but only 42% went on with it at third month of infant's life, and only 9.75% of them at sixth month. The most common reason for breast feeding failure is the \"cry baby\" The mother's age, the socioeconomic level, the mother newborn bonding after delivery are related with lengthy breast feeding. In conclusion, the lower social levels are the target for the information in order to promote the breast feeding.", "author_names": [ "R C Cerrudo Hernandez", "C Ormazabal Ramos", "D C Alfonso Gonzalez", "Jose Manuel Gonzalez Hernandez", "E Domenech Martinez" ], "corpus_id": 44715364, "doc_id": "44715364", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "[Cessation of breast feeding: mothers exposed to the above mentioned risk]", "venue": "Anales espanoles de pediatria", "year": 1987 } ]
Organic bistable molecular memory using photochromic diarylethene
[ { "abstract": "A principle of organic memory device using a bistable photochromic molecule is presented that allows extremely high bit densities and very low power consumption. This device is based on an isomerization reaction of photochromic diarylethene molecule via its excited state by an electric carrier injection, not by photon absorption. Experimental data show that the reversible writing and nondestructive reading of information by the carrier injection is feasible. The advantages and properties of such an organic semiconductor memory using a bistable molecule are discussed.", "author_names": [ "Tsuyoshi Tsujioka", "Hayato Kondo" ], "corpus_id": 122067304, "doc_id": "122067304", "n_citations": 111, "n_key_citations": 0, "score": 1, "title": "Organic bistable molecular memory using photochromic diarylethene", "venue": "", "year": 2003 }, { "abstract": "Device structure dependence of the recording efficiency of organic bistable molecular memory using photochromic diarylethene (DAE) was studied. Devices with a thicker memory layer showed faster current decrease, that is, shorter writing time. This was attributed to effective isomerization at the many carrier traps in the memory layer. The device with an electron transport layer that consisted of a Silole derivative showed fast writing speed. The device with an Alq3 electron transport layer showed electroluminescence. This indicates the holes were transported through the DAE layer without contribution for isomerization. DAE molecules with good receptivity for both carriers should be developed for obtaining high speed memory.", "author_names": [ "Tsuyoshi Tsujioka", "M Shimizu", "Eiji Ishihara" ], "corpus_id": 95196116, "doc_id": "95196116", "n_citations": 30, "n_key_citations": 0, "score": 0, "title": "Organic bistable memory characteristics with a photochromic diarylethene layer", "venue": "", "year": 2005 }, { "abstract": "A series of photochromic diarylethenes with different bridge moieties have been investigated as light sensitive components of photoswitchable organic field effect transistors. It was shown that the presence of a carbonyl group and its position in the ethene bridge of the diarylethene molecule affects strongly the electrical performance and stability of the devices. In particular, compounds with carbonyl groups revealed stronger light induced switching effects (wider memory windows) while the stability of the formed electrical states was very modest. On the contrary, devices possessing a non polar cyclopentene bridge required longer times for switching, while the induced electrical states demonstrated excellent stability required for memory cells. The established molecular structure device performance relationship and revealed device operation mechanisms should facilitate the rational design of new materials for advanced optical memories, thus paving a way towards their practical implementation.", "author_names": [ "Dolgor D Dashitsyrenova", "Andrey G Lvov", "Lyubov A Frolova", "Alexander V Kulikov", "Nadezhda N Dremova", "Valerii Z Shirinian", "Sergey M Aldoshin", "Mikhail M Krayushkin", "Pavel A Troshin" ], "corpus_id": 155715814, "doc_id": "155715814", "n_citations": 5, "n_key_citations": 0, "score": 0, "title": "Molecular structure electrical performance relationship for OFET based memory elements comprising unsymmetrical photochromic diarylethenes", "venue": "", "year": 2019 }, { "abstract": "Hole injection recording of organic semiconductor memory with a photochromic diarylethene memory layer was investigated by using a device structure with an electron block layer (EBL) Devices with thicker EBL showed faster current decrease, that is, high isomerization efficiency. The high efficiency of isomerization originating from the long lifetime of a cationic state was achieved by hole injection with low voltage. Hole isomerization recording has the potential for very low power consumption organic memory.", "author_names": [ "Tsuyoshi Tsujioka", "Natsuko Iefuji", "A Jiapaer", "Masahiro Irie", "Shinichiro Nakamura" ], "corpus_id": 123205853, "doc_id": "123205853", "n_citations": 30, "n_key_citations": 0, "score": 0, "title": "Hole injection isomerization of photochromic diarylethene for organic molecular memory", "venue": "", "year": 2006 }, { "abstract": "Self assembled monolayers (SAMs) deposited on bottom electrodes are commonly used to tune charge carrier injection or blocking in optoelectronic devices. Beside the enhancement of device performance, the fabrication of multifunctional devices in which the output can be modulated by multiple external stimuli remains a challenging target. In this work, we report the functionalization of an indium tin oxide (ITO) electrode with a SAM of a diarylethene derivative designed for optically control the electronic properties. Following the demonstration of dense SAM formation and its photochromic activity, as a proof of principle, an organic light emitting diode (OLED) embedding the light responsive SAM covered electrode was fabricated and characterized. Optically addressing the two terminal device by irradiation with ultraviolet light doubles the electroluminescence. The original value can be restored reversibly by irradiation with visible light. This expanded functionality is based on the photoinduced modulation of the electronic structure of the diarylethene isomers, which impact the charge carriers' confinement within the emissive layer. This approach could be successfully exploited in the field of opto communication technology, for example to fabricate opto electronic logic circuits.", "author_names": [ "Giovanni Ligorio", "Giovanni F Cotella", "Aurelio Bonasera", "Nicolas Zorn Morales", "Giuseppe Carnicella", "Bjorn Kobin", "Qiankun Wang", "Norbert Koch", "Stefan Hecht", "Emil J W List-Kratochvil", "Franco Cacialli" ], "corpus_id": 211229824, "doc_id": "211229824", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "Modulating the luminance of organic light emitting diodes via optical stimulation of a photochromic molecular monolayer at transparent oxide electrode.", "venue": "Nanoscale", "year": 2020 }, { "abstract": "When photochromic molecules are organized in a crystal, the small scale forces generated by molecular photoisomerization events can combine together to generate work on micro or macroscopic length scales. In this work, photomechanical nanocrystals themselves are organized on macroscopic length scales using a porous inorganic template. The organic diarylethene component provides the reversible photoresponse, whereas the porous alumina component provides structural support and directionality. This hybrid organic inorganic photomechanical material acts as a bending actuator. Using ultraviolet and visible photons as power inputs, as little as 0.1 mg of reacted material generates enough force to tilt a 1.28 g mirror and steer a laser beam. The motion can be cycled multiple times in air and under water. Actuator figures of merit such as energy to work conversion efficiency and stiffness are probably limited by the high elastic modulus of the inorganic template, providing an obvious pathway for optimization.", "author_names": [ "Xinning Dong", "Fei Tong", "Kerry M Hanson", "Rabih O Al-Kaysi", "Daichi Kitagawa", "Seiya Kobatake", "Christopher J Bardeen" ], "corpus_id": 104423133, "doc_id": "104423133", "n_citations": 29, "n_key_citations": 0, "score": 0, "title": "Hybrid Organic Inorganic Photon Powered Actuators Based on Aligned Diarylethene Nanocrystals", "venue": "", "year": 2019 }, { "abstract": "ABSTRACT This paper presents a principle for a novel electrical molecular memory device using a photochromic diarylethene, which has the potential ability of inexpensive, disposable, extremely high bit density, and very low power consumption. This device is based on an isomerization reaction of the diarylethene molecule via its excited state by injection of electric carriers, not by photon absorption. The reversible writing by carrier injection is demonstrated. The advantages and applications of the molecular memory are discussed.", "author_names": [ "Tsuyoshi Tsujioka" ], "corpus_id": 96158058, "doc_id": "96158058", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Electrical Molecular Memory Using Diarylethene Derivatives", "venue": "", "year": 2005 }, { "abstract": "We developed a novel field effect transistor (FET) type photorewritable memory using a photochromic interface layer between the active layer and the gate insulator layer. A diarylethene (DAE) derivative was employed as a photochromic material and pentacene was employed as an active layer. DAE has two types of photoisomer, i.e. the closed and open ring isomers. In this study, it was clarified that the highest occupied molecular orbital (HOMO) level of the closed ring DAE worked as an interfacial deep trap level, and that the generation of the interfacial deep trap level by photoisomerization induced the photoswitching and photomemory behaviors of transistor properties.", "author_names": [ "Manabu Yoshida", "Kouji Suemori", "Sei Uemura", "Satoshi Hoshino", "Noriyuki Takada", "Takehito Kodzasa", "Toshihide Kamata" ], "corpus_id": 95036546, "doc_id": "95036546", "n_citations": 11, "n_key_citations": 0, "score": 0, "title": "Development of Field Effect Transistor Type Photorewritable Memory Using Photochromic Interface Layer", "venue": "", "year": 2010 }, { "abstract": "Abstract Photochromic films have attracted interest as an organic semiconductive memory material. Isomerization structures of a photochromic diarylethene (DAE) film induced by electrical carrier injection were investigated and were made clear for the coloring reaction mode (CRM) and decoloring reaction mode (DRM) Filaments consisting of the colored molecules in the colorless matrix were generated in the CRM, while random distribution of isomerized molecules occurred in the DRM. The ON OFF ratio in current in the CRM was larger than that in the DRM. These results would be important for constructing organic semiconductor memory device using DAE.", "author_names": [ "Kazuki Yamamoto", "Tsuyoshi Tsujioka" ], "corpus_id": 100787976, "doc_id": "100787976", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Isomerization structure of photochromic diarylethene film based on electrical carrier injection", "venue": "", "year": 2016 }, { "abstract": "A class of acceptor donor acceptor chromophoric small molecule non fullerene acceptors, 1 4, with difluoroboron(iii) b diketonate (BF2bdk) as the electron accepting moiety has been developed. Through the variation of the central donor unit and the modification on the peripheral substituents of the terminal BF2bdk acceptor unit, their photophysical and electrochemical properties have been systematically studied. Taking advantage of their low lying lowest unoccupied molecular orbital energy levels (from 3.65 to 3.72 eV) and relatively high electron mobility (7.49 x 10 4 cm2 V 1 s 1) these BF2bdk based compounds have been employed as non fullerene acceptors in organic solar cells with maximum power conversion efficiencies of up to 4.31% Moreover, bistable resistive memory characteristics with charge trapping mechanisms have been demonstrated in these BF2bdk based compounds. This work not only demonstrates for the first time the use of a boron(iii) b diketonate unit in constructing non fullerene acceptors, but also provides more insights into designing organic materials with multi functional properties.", "author_names": [ "Panpan Li", "Quanbin Liang", "Eugene Yau-Hin Hong", "Chin-yiu Chan", "Yat-Hin Cheng", "Ming-Yi Leung", "Mei-Yee Chan", "Kam-Hung Low", "Hongbin Wu", "Vivian Wing-Wah Yam" ], "corpus_id": 224456252, "doc_id": "224456252", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Boron(iii) b diketonate based small molecules for functional non fullerene polymer solar cells and organic resistive memory devices+", "venue": "Chemical science", "year": 2020 } ]
Area-framing optical defect review under optical resolution using multi-NA dark-field microscopy images
[ { "abstract": "Metrology and inspection (MI) processes are established at critical points of the semiconductor manufacturing process in order to maintain a certain yield and also provide information needed for future processes improvements. Typically, the inspection consists of dark field (DF) inspection and SEM review/classification processes. An optical DF microscopy system (or inspection tool) first detects particles or pattern defects on wafers and obtains their position coordinates. However, due to its limited optical resolution, the DF system is not widely applied in the review process, which requires higher resolution images of the detected defects such as those provided by scanning electron microscopy (SEM) but with a sacrifice of throughput. We propose an innovative idea of applying two (or three) dark field microscopy images for intermediate defect classification and size estimation under optical resolution. The proposed method utilizes the angular scattering distribution from a defect that is in the Mie scattering domain, which varies depending on both the beam and defect properties (wavelength, polarization, incident angle; shape, size, complex refractive index) It captures three darkfield images of the same wafer by three inter changeable objectives with different magnification and numerical aperture (NA) values under identical side illumination conditions. We estimate the defect types and sizes simply by investing three measurements. We demonstrated this proposed method to classify and estimate the defect size down to 80nm by an existing UV inspection tool with three DF imaging modes; 1) M15 mode, sampling stance 150 nm, NA =0.6, 2) M25 mode, sampling distance 250 nm, NA=0.36, and 3) M40 mode, sampling distance =400 nm, and NA =0.23. We demonstrated its feasibility by an independent SEM measurement of the detected defects.", "author_names": [ "Jun Ho Lee", "Junhee Jeong", "Shin-Woong Park", "Hwi Kim", "Byeong Geon You" ], "corpus_id": 140524680, "doc_id": "140524680", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Area framing optical defect review under optical resolution using multi NA dark field microscopy images", "venue": "Advanced Lithography", "year": 2019 }, { "abstract": "Nanoscale contaminants (including engineered nanoparticles and nanoplastics) pose a significant threat to organisms and environment. Rapid and non destructive detection and identification of nanosized materials in cells, tissues and organisms is still challenging, although a number of conventional methods exist. These approaches for nanoparticles imaging and characterisation both inside the cytoplasm and on the cell or tissue outer surfaces, such as electron or scanning probe microscopies, are unquestionably potent tools, having excellent resolution and supplemented with chemical analysis capabilities. However, imaging and detection of nanomaterials in situ, in wet unfixed and even live samples, such as living isolated cells, microorganisms, protozoans and miniature invertebrates using electron microscopy is practically impossible, because of the elaborate sample preparation requiring chemical fixation, contrast staining, matrix embedding and exposure into vacuum. Atomic force microscopy, in several cases, can be used for imaging and mechanical analysis of live cells and organisms under ambient conditions, however this technique allows for investigation of surfaces. Therefore, a different approach allowing for imaging and differentiation of nanoscale particles in wet samples is required. Dark field microscopy as an optical microscopy technique has been popular among researchers, mostly for imaging relatively large specimens. In recent years, the so called \"enhanced dark field\" microscopy based on using higher numerical aperture light condensers and variable numerical aperture objectives has emegred, which allows for imaging of nanoscale particles (starting from 5 nm nanospheres) using almost conventional optical microscopy methodology. Hyperspectral imaging can turn a dark field optical microscope into a powerful chemical characterisation tool. As a result, this technique is becoming popular in environmental nanotoxicology studies. In this Review Article we introduce the reader into the methodology of enhanced dark field and dark field based hyperspectral microscopy, covering the most important advances in this rapidly expanding area of environmental nanotoxicology.", "author_names": [ "Rawil F Fakhrullin", "Laysan Nigamatzyanova", "Golnur Fakhrullina" ], "corpus_id": 231899844, "doc_id": "231899844", "n_citations": 7, "n_key_citations": 0, "score": 1, "title": "Dark field/hyperspectral microscopy for detecting nanoscale particles in environmental nanotoxicology research.", "venue": "The Science of the total environment", "year": 2021 }, { "abstract": "X ray dark field imaging (XDFI) utilizing a thin silicon crystal under Laue case enables visualizing three dimensional (3D) morphological alterations of human tissue. XDFI uses refraction contrast derived from phase shift rather than absorption as the main X ray image contrast source to render 2D and 3D images of tissue specimens in unprecedented detail. The unique features of XDFI are its extremely high sensitivity (approximately 1000:1 compared to absorption for soft tissues under X ray energy of around 20 keV, theoretically) and excellent resolution (8.5 mm) without requiring contrast medium or staining. Thus, XDFI computed tomography can generate 3D virtual histological images equivalent to those of stained histological sections pathologists observe under low power light microscopy as far as organs and tissues selected as samples in preliminary studies. This paper reviews the fundamental principles and the potential of XDFI, describes two optical setups for XDFI with examples, illustrates features of XDFI that are salient for histopathology, and presents XDFI examples of refraction contrast images of atherosclerotic plaques, musculoskeletal tissue, neuronal tissue, and breast cancer specimens. Availability of this X ray imaging in routine histopathological evaluations of tissue specimens would help guide clinical decision making by highlighting suspicious areas in unstained, thick sections for further sampling and analysis using conventional histopathological techniques. XDFI is a promising tool for 3D virtual histopathology.", "author_names": [ "Daisuke Shimao", "Naoki Sunaguchi", "Tetsuya Yuasa", "Masami Ando", "Kensaku Mori", "Rajiv Gupta", "Shu Ichihara" ], "corpus_id": 232024073, "doc_id": "232024073", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "X ray Dark Field Imaging (XDFI) a Promising Tool for 3D Virtual Histopathology", "venue": "Molecular Imaging and Biology", "year": 2021 }, { "abstract": "Scanning Probe Microscopy (SPM) has emerged as a metrology solution for the semiconductor industry enabling high throughput defect review and high resolution 3D metrology. TNO has developed a Subsurface Ultrasonic Resonant Force Microscopy (SSURFM) for non destructive, high resolution imaging of features buried under one or more layers of material. Challenging targeted applications for this technique are overlay metrology and wafer alignment through optically opaque layers and overlay and alignment on product features, which requires device resolution. Using the TNO SSURFM technique, sub surface measurements on two overlay and alignment targets have been performed. The goal of this study is to show the potential of the technique for Overlay/Alignment Applications.", "author_names": [ "", "Maarten H van Es", "Hamed Sadeghian Marnani", "M J van der Lans" ], "corpus_id": 210240832, "doc_id": "210240832", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Subsurface Ultrasonic Resonant Force Microscopy for Image based Overlay Measurement", "venue": "", "year": 2019 }, { "abstract": "Near field microscopy breaks the diffraction limit and probes the image at subwavelength resolution by exploiting the properties of evanescent wave near the sample under study. Its implementation in the microwave frequency range, scanning microwave microscopy (SMM) has recently attracted more attention as it brings radio frequency and microwave measurements down to the molecular and atomic scale. Currently, the modeling of SMM and quantification of local material properties of samples usually neglects radiation and scattering losses of the nano sized probe based on low frequency assumptions. To improve numerical accuracy of SMM modeling and evaluate existing models, we have applied a rigorous full wave numerical model with all wave components in the near field taken into consideration. In virtue of the proposed full wave model, several approximations presented in previous studies are validated, and we conclude that the SMM has potential for use as a broadband dielectric spectroscopy operating at even higher frequencies. (c) 2017 Optical Society of America OCIS codes: (180.4243) Near field microscopy; (260,2110)Electromagnetic optics;(350.4010) Microwave References and links 1. Imtiaz, A. et al. \"Frequency selective contrast on variably doped p type silicon with a scanning microwave microscope,\" Journal of Applied Physics 111, 093727 (2012) 2. Lai, K. et al. \"Mesoscopic percolating resistance network in a strained manganite thin film,\" Science 329, 190 193 (2010) 3. Tselev, A. et al.\"Near field microwave scanning probe imaging of conductivity inhomogeneities in cvd graphene,\" Nanotechnology 23, 385706 (2012) 4. Plassard, C.et al.\"Detection of defects buried in metallic samples by scanning microwave microscopy,\" Physical Review B. 83, 121409 (2011) 5. Lai, K. Ji, M. Leindecker, N. Kelly, M. Shen, Z. \"Atomic force microscope compatible near field scanning microwave microscope with separated excitation and sensing probes,\" Review of scientific instruments 78, 063702 (2007) 6. Lucibello, A. et al. \"A broadband toolbox for scanning microwave microscopy transmission measurements,\" Review of Scientific Instruments 87, 053701 (2016) 7. Tai, T. Ghamsari, B. G. Anlage, S. M. \"Nanoscale electrodynamic response of nb superconductors,\" IEEE Transactions on Applied Superconductivity 23, 7100104 7100104 (2013) 8. Zhang, L. Ju, Y. Hosoi, A. Fujimoto, A. \"Microwave atomic force microscopy: quantitative measurement and characterization of electrical properties on the nanometer scale,\" Applied Physics Express 5, 016602 (2011) 9. Kundhikanjana, W. Lai, K. Kelly, M. A. Shen, Z. X. \"Cryogenic microwave imaging of metalaASinsulator transition in doped silicon,\" Review of Scientific Instruments 82, 033705 (2011) 10. Imtiaz, A. Wallis, T. M. Kabos, P. Near field scanning microwave microscopy: An emerging research tool for nanoscale metrology,\" IEEE Microwave Magazine 15, 52 64 (2014) 11. Trasobares, J. Vuillaume, D. Theron, D. Clement, N. \"A 17Ghz molecular rectifier,\" Nature Communications 7 (2016) 12. Betzig, E. et al. \"Imaging intracellular fluorescent proteins at nanometer resolution,\" Science 313, 1642 1645 (2006) 13. Fernandez Suarez, M. Ting, A. Y. \"Fluorescent probes for super resolution imaging in living cells,\" Nature Reviews Molecular Cell Biology 9, 929 943 (2008) 14. Biagi, M. C. et al. \"Nanoscale electric permittivity of single bacterial cells at gigahertz frequencies by scanning microwave microscopy,\" ACS nano 10, 280 288 (2015) 15. Tselev, A. Velmurugan, J. Ievlev, A. V. Kalinin, S. V. Kolmakov, A. \"Seeing through walls at the nanoscale: Microwave microscopy of enclosed objects and processes in liquids,\" ACS nano 10, 3562 3570 (2016) 16. Farina, M. et al. \"Tomographic effects of near field microwave microscopy in the investigation of muscle cells interacting with multi walled carbon nanotubes,\" Applied Physics Letters 101, 203101 (2012) 17. Binnig, G. Quate, C. F. Gerber, C.\"Atomic force microscope,\" Physical review letters 56, 930 (1986) 18. Binnig, G. Rohrer, H. \"Scanning tunneling microscopy,\" Surface science 126, 236 244 (1983) 19. Anlage, S. M. Talanov, V. V. Schwartz, A. R. \"Principles of near field microwave microscopy,\" In Scanning probe microscopy, 215 253 (Springer, 2007) 20. Wei, T. Xiang, X. D. Wallace Freedman, W. Schultz, P. \"Scanning tip microwave near field microscope,\" Applied Physics Letters 68, 3506 3508 (1996) 21. Gao, C. Xiang, X. D. \"Quantitative microwave near field microscopy of dielectric properties,\" Review of scientific instruments 69, 3846 3851 (1998) 22. Talanov, V. V. Scherz, A. Moreland, R. L. Schwartz, A. R. \"A near field scanned microwave probe for spatially localized electrical metrology,\" Applied physics letters 88, 4105 (2006) 23. Omarouayache, R. Payet, P. Raoult, J. Chusseau, L. \"Millimeter wave near field imaging with bow tie antennas,\" Optics express 23, 12144 12151 (2015) 24. TAupfer, F. Dudorov, S. Oberhammer, J. \"Millimeter wave near field probe designed for high resolution skin cancer diagnosis,\" IEEE Transactions on Microwave Theory and Techniques 63, 2050 2059 (2015) 25. Chisum, J. D. Popovic, Z. \"Performance limitations and measurement analysis of a near field microwave microscope for nondestructive and subsurface detection,\" IEEE Transactions on Microwave Theory and Techniques 60, 2605 2615 (2012) 26. Gramse, G. et al. \"Calibrated complex impedance and permittivity measurements with scanning microwave microscopy,\"Nanotechnology 25, 145703 (2014) 27. Lai, K. Kundhikanjana, W. Kelly, M. Shen, Z. \"Modeling and characterization of a cantilever based near field scanning microwave impedance microscope,\"Review of scientific instruments 79, 063703 (2008) 28. Belov, Pavel A. and Yang Hao. \"Subwavelength imaging at optical frequencies using a transmission device formed by a periodic layered metal dielectric structure operating in the canalization regime.\" Physical Review B 73.11 (2006) 113110. 29. Hao, Yang, and Raj Mittra.\"FDTD modeling of metamaterials: Theory and applications,\" Artech house, 2008. 30. Fumagalli, L. Ferrari, G. Sampietro, M. Gomila, G. \"Dielectric constant measurement of thin insulating films at low frequency by nanoscale capacitance microscopy,\" Applied Physics Letters 91, 243110 (2007) 31. Gomila, G. Gramse, G. Fumagalli, \"L. Finite size effects and analytical modeling of electrostatic force microscopy applied to dielectric films,\" Nanotechnology 25, 255702 (2014) 32. Fumagalli, L. Gramse, G. Esteban Ferrer, D. Edwards, M. Gomila, G. \"Quantifying the dielectric constant of thick insulators using electrostatic force microscopy,\" Applied Physics Letters 96, 183107 (2010) 33. Zhao, J. S. Chew, W. C. \"Integral equation solution of maxwellaAZs equations from zero frequency to microwave frequencies,\" IEEE Transactions on Antennas and Propagation 48, 1635 1645 (2000) 34. Zhu, J. Jiao, D. \"A rigorous solution to the low frequency breakdown in full wave finite element based analysis of general problems involving inhomogeneous lossless/lossy dielectrics and nonideal conductors,\" IEEE Transactions on Microwave Theory and Techniques 59, 3294 3306 (2011) 35. Sarkar, T. K. Djordjevic, A. R. Kolundzija, B. M. Method of moments applied to antennas. In Handbook of Antennas in Wireless Communications, 239 279 (CRC Press, 2001) 36. Sheng, X. Q. Song, W. Essentials of computational electromagnetics, vol. 757 (John Wiley Sons, 2011) 37. Jin, J. M. \"The finite element method in electromagnetics,\" John Wiley Sons, 38. Jiao, D. Xue, L. \"Solution to the low frequency breakdown in full wave finite element based analysis of general lossy problems,\" In 2016 IEEE International Symposium on Antennas and Propagation (APSURSI) 1129 1130 (2016) 39. Yu, C. Fan, S. Sun, Y. Pickwell MacPherson, E.\" The potential of terahertz imaging for cancer diagnosis: A review of investigations to date,\" Quantitative imaging in medicine and surgery 2, 33 45 (2012) 40. Klein, L. Swift, C. \"An improved model for the dielectric constant of sea water at microwave frequencies,\" IEEE Transactions on Antennas and Propagation 25, 104 111 (1977) 41. Meissner, T. Wentz, F. J.\"The complex dielectric constant of pure and sea water from microwave satellite observations,\" IEEE Transactions on Geoscience and Remote Sensing 42, 1836 1849 (2004) 42. Schneegans, O. Houze, F. Meyer, R. Boyer, L. \"Study of the local electrical properties of metal surfaces using an afm with a conducting probe,\" IEEE Transactions on Components, Packaging, and Manufacturing Technology: Part A 21, 76 81 (1998) 43. Akiyama, K. et al. \"Development of a metalaAStip cantilever for noncontact atomic force microscopy,\" Review of scientific instruments 76, 033705 (2005) 2014) 44. Krupka, J. et al. \"Dielectric properties of semi insulating silicon at microwave frequencies,\" Applied Physics Letters 107, 082105 (2015)", "author_names": [ "", "Q -" ], "corpus_id": 53603807, "doc_id": "53603807", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Full wave broadband modeling ofnear field scanning microwave microscopy", "venue": "", "year": 2017 }, { "abstract": "High resolution electron microscope images can provide us with the configurations of relatively heavy metal atoms in both perfect and disordered crystals directly in some favorable cases. The information available is limited to two dimensional projections. Within this limitation, however, it has been possible to study ordering problems of disordered crystals. As examples we shall describe and interpret the diffuse scattering from disordered crystals of Nb W oxides and incommensurate lattice periodicities in Ta,O, based crystals, illustrating the relationships between diffraction data and the images. Introduction. Distributions of diffuse scattering intensity that arise from imperfections in crystals have long been a major means for the study of order and disorder phenomena in solids. A large number of studies have been done by use of X ray or neutron diffraction techniques and undoubtedly these give the most quantitative results, although electron diffraction is used increasingly to detect and describe the nature of the disorder. The recent development of high resolution.electron microscopy however has shown that the configurations of relatively heavy atoms in crystals can be determined by direct imaging in favorable cases [I] This new technique has beeli used for imaging not only perfectly periodic regions of crystals but also non periodic regions. The high resolution electron microscope images, information in direct space, combined with the. usual electron diffraction data allow us to make the interpretation of diffuse scattering more reliable since diffraction data are averaged over large volumes of crystals. This opens up a new range of possibilities for the study of short range order and of ordering processes even though the method is still seriously limited to two dimensional projections. As one of the present authors pointea out [2] there is the added complication that dynamical diffraction'processes are important for all but the very light atom materials. Hence the~wealth of detail in high resolution images of threedimensionally disordered crystals is,often difficult to interpret. However, careful comparison of the experimental images and the theoretical calculation of image intensities including full dynamical scattering effects makes it possible to some extent to study the nature of such three dimensional disorders. This method has been applied to the study of the disorders in crystals of GeNb,02, [3] On the other hand images of two dimensionally disordered crystals having linear and planar defects parallel to the incident beam can often be interpreted readily in spite of dynamical diffraction effects and can give valuable information on atomic ordering not obtainable by any other method. Various planar defects in some transition metal oxide systems have been studied and important roles of the defects in oxidation or reduction process of these materials were found [4 51. In particular, for the crystals of Nb,2 x0, it has been found that the non stoichiometry of this crystal is accommodated by linear defects which take the form of chains of defect clusters of oxygen vacancies and niobium interstitial atoms. The formation and ordering processes of these clusters were observed directly at the atomic level of resolution and furthermore the diffuse scattering patterns caused .by the clusters were explained in terms of short range order of the clusters [6 71. In the present paper we shall deal with some disordered crystals of Nb,O, WO, systems and Ta,O, WO, systems and focus on the elucidation of relationships between electron diffraction patterns and high resolution images. For the former samples, the origin of the anomalous diffuse ring patterns appearing around some Bragg spots, which were first observed by Allpress in disordered crystal of 3 Nb20, 8 WO, [8] Article published online by EDP Sciences and available at http:/dx.doi.org/10.1051/jphyscol:1977726 C7 136 S. IIJIMA AND J. M. COWLEY have been investigated by means of optical diffraction model experiments. For the latter materials, we shall discuss incommensurate lattice periodicities which are induced by deficiency of oxygen. Theoretical background of electron microscopy. HIGH RESOLUTION BRIGHT FIELD IMAGES OF CRYSTALS. To interpret the high resolution electron microscope images of crystals, we are required to understand properly both the electron optics of the electron microscope and the electron diffraction phenomena inside the crystals. The former involves various electron optical parameters, such as spherical aberration of the objective lens, chromatic aberration, the divergence angle of the incident electron beam, the objective aperture size, and the focus of the objective lens. These effects on the image intensities have been intensively studied at various laboratories and are fairly well understood [9] Treatment of electron scattering in crystals is the most important part for the study of image formation of crystals because the dynamical effects of scattered electron waves are usually significant. Here crystal thicknesses and alignment of crystal orientations are the most influential parameters for the image intensities. Rigorous calculations of amplitudes and phases of diffracted waves for perfect crystals have been developed at Melbourne and Arizona [lo] The calculations are based on the multi slice formulation of electron diffraction theory due to Cowley and Moodie. Extension of these calculations to two dimensionally disordered crystals has also been made by assuming an artificial superstructure cell which contains a suitably chosen portion of a disordered crystal [ll] Accuracy of the image calculation can be determined by truncation of the number of the diffracted beams excited inside the crystals. From the practical point of view, for the study of crystal defects it has been shown by detailed comparison of experimental images and theoretical calculations that if the images were obtained from the crystals having the thicknesses of less than roughly 50 A, the image intensity distributions may often be interpreted as the ones to be expected under kinematical diffraction conditions, namely when there is a roughly linear relation between the density of scattering matter and image intensities [12] This is the basis for the study of diffuse scattering from disordered crystals of 17 Nb,O, 49 WO, This condition is also the basis for the use of o~tical diffraction exwriments on the model scopy, Cowley [14] suggested that thepretical interpretation of dark field images based on the weak phase object approximation is rarely valid and superposition of the defects or microdomains in the direction of the incident electron beam makes the interpretation difficult. On the other hand, if the disorders occur two dimensionally, so that the crystals remain perfectly periodic in the third direction, the ambiguity arising from such superpositions effects can be eliminated. For this reason, the disordered crystalsof 17 Nb205 49 W03 are ideal test objects to examine properties of the dark field images. Using these crystals we have investigated the limitation of information available from the dark field images. Methods for the theoretical calculation of the dark field images that have been developed in our laboratory will provide further confirmation of the interpretation. ELECTRON MICRODIFFRACTION AND TRANSMISSION ELECTRON MICROSCOPY. In recent years techniques have been developed for obtaining diffraction patterns from very small .identifiable regions of electron microscope specimens. Patterns from areas approaching 20 A in diameter have been obtained using adaptions of both the conventional transmission electron microscope [15] and the scanning transmission electron microscope 1161. With the latter type of instrument the selected areas may well be reduced to 5 A or less in the near future. By these means it should be possible to overcome the difficulty, inherent in the standard selected area diffraction techniques, of obtaining direct correlation between the diffraction pattern and imageof a small region of an imperfect crystal. In studies of short range ordering it has already been shown [16] that diffraction patterns can be obtained from within individual microdomains. The extension of this method to even smaller regions should obviously be valuable. In cases &here the interpretation of image intensities from local atom configurations is ambiguous the microdiffraction pattern should allow the structure to be resolved in greater detail. KINEMATICAL ND PSEUDO KINEMATICAL,SCATTERING FROM, DISORDERED CRYSTALS. We review briefly the kinematical approximation for the scattering from two dimensionally disordered crystals and the pseudokinematical approximation which can provide some account of the dynamical scattering. effects for this crystals having two dimensional disorders. particular case. DARK FIELD IMAGES OF DISORDERED CRYSTALS. A high resolution image of a thin specimen obtained This technique has been widely applied as a means to with the incident beam parallel to a principal axis can observe ordered microdomains in disordered crystals show the projection of the structure. The correspondhaving short range order and microciystallites occuring kinematical amplitude distribution ,in the diffracring in amorphous materials. Using only the diffuse tion pattern is given by the planar sectionaf the Fourier transform function scattering which appear between sharp Bragg spots to form th; image, the microdomains are visualized as F(uv0) C fi exp 2 ni(ux, vy, (1) bright spots [13] For high resolution dark field microi STUDIES OF ORDERING USING HIGH RESOLUTION ELECTRON MICROSCOPY C7 137 where the summation is taken over all atoms in the sample. For a thin crystal which is well ordered in the beam direction the contributions of the n atoms superimposed in that direction fik may be combined to give F(uv0) 1 ji' exp 2 ni(uxi", "author_names": [ "Sumio Iijima", "John M Cowley" ], "corpus_id": 91177620, "doc_id": "91177620", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "II. SHORT RANGE ORDER SUPER IONIC CONDUCTORSSTUDIES OF ORDERING USING HIGH RESOLUTION ELECTRON MICROSCOPY", "venue": "", "year": 1977 }, { "abstract": "The advent of aberration correctors for electron optical lenses at the end of 20th century has brought atomic resolution analysis of the materials into a new era. In this thesis, the new possibilities of application and methodology on aberration corrected analytical transmission electron microscopy (TEM) of light elements in complex oxides are explored by experiments and image simulations, with the emphasis on annular bright field (ABF) imaging. The arrangement and bonding of light elements, like lithium (Li) and oxygen (O) in complex oxides plays a crucial rule in the material's properties, however the characterization of the materials remains challenging. In recent years ABF imaging has become a popular imaging technique owing to its ability to map both light and heavy elements. I start from the application of ABF on qualitatively determining O's distribution in ZrO2 La2/3Sr1/3MnO3 (LSMO) pillar matrix thin films, together with the application of high angle annular dark field (HAADF) and electron energy loss spectroscopy (EELS) to obtain a fuller picture of the investigated complex oxide. After that, the methodology study of ABF imaging, concerning the quantitative determination of atom column position and concentration, is presented. The accuracy of atom column position determination is of great importance for investigating atomic structure defects like elastic and plastic strains. Atomic scale control of the synthesis of complex oxide materials envisages the atomic scale properties and requires the knowledge of atomic scale characterization. The ZrO2 LSMO pillar matrix thin films were found to show anomalous magnetic and electron transport properties controlled by the amount of ZrO2. With the application of an aberration corrected analytical transmission electron microscope (TEM) structure and interfacial chemistry of the system, especially of the pillar matrix interface were revealed at atomic resolution. In addition, three types of Mn segregated antiphase boundaries (APBs) connecting ZrO2 pillars were investigated by HAADF and ABF imaging. The local atomic structure, chemical composition, cation valence and electric field were determined at atomic scale. These results provide detailed information for future studies of macroscopic properties of these materials. Moreover, a consequence of aberration correctors is the high electron dose rate in the scanning mode. This can lead to radiation induced modifications of materials. I studied the electron beam induced reconstruction of three types of APBs. With the utilization of HAADF scanning transmission electron microscopy (STEM) ABF STEM and EELS, the motion of both heavy and light element columns under moderate electron beam irradiation are revealed at atomic resolution. Besides, Mn segregated in the APBs was found to have reduced valence states, which can be directly correlated with oxygen loss. Charge states of the APBs are finally discussed based on these experimental results. This study provides support for the design of radiation engineering solid oxide fuel cell materials. The determination of atom positions from atomically resolved transmission electron micrographs is fundamental for the analysis of crystal defects and strain. Contrast formation in ABF is partially governed by the phase of the electron wave, which renders the technique more sensitive to the tilt of the electron beam with respect to the crystal zone axis than in high angle annular dark field (HAADF) imaging. I show this sensitivity experimentally and use image simulations to quantify this effect. This is essential for future quantitative ABF studies including error estimation. Another aspect of quantification is the number of atoms in an atom column. The attempt to quantify Li concentration by ABF imaging has been done by simulations. The influences of convergence semi angle, collection semi angle, and defocus are explored, while direct correlation with experimental results need more theoretical investigations in this area. Semi quantification of the Li amount was studied by EELS in case of the particle size dependent delithiation process of LiFePO4. From the core loss region and low loss region analysis it is found that the sample with particle size of 25 nm delithiates homogeneously over the whole particle, whereas the 70 nm and 150 nm particles form an FePO4 core and a LiFePO4 shell. The practical considerations, like radiation damage, delocalization, interface effects and so on are also discussed.", "author_names": [ "Dan Zhou" ], "corpus_id": 102200397, "doc_id": "102200397", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Aberration Corrected Analytical Transmission Electron Microscopy of Light Elements in Complex Oxides: Application and Methodology", "venue": "", "year": 2016 }, { "abstract": "Single particle optical investigations have greatly improved our understanding of the fundamental properties of nano objects, avoiding the spurious inhomogeneous effects that affect ensemble experiments. Correlation with high resolution imaging techniques providing morphological information (e.g. electron microscopy) allows a quantitative interpretation of the optical measurements by means of analytical models and numerical simulations. In this topical review, we first briefly recall the principles underlying some of the most commonly used single particle optical techniques: near field, dark field, spatial modulation and photothermal microscopies/spectroscopies. We then focus on the quantitative investigation of the surface plasmon resonance (SPR) of metallic nano objects using linear and ultrafast optical techniques. While measured SPR positions and spectral areas are found in good agreement with predictions based on Maxwell's equations, SPR widths are strongly influenced by quantum confinement (or, from a classical standpoint, surface induced electron scattering) and, for small nano objects, cannot be reproduced using the dielectric functions of bulk materials. Linear measurements on single nano objects (silver nanospheres and gold nanorods) allow a quantification of the size and geometry dependences of these effects in confined metals. Addressing the ultrafast response of an individual nano object is also a powerful tool to elucidate the physical mechanisms at the origin of their optical nonlinearities, and their electronic, vibrational and thermal relaxation processes. Experimental investigations of the dynamical response of gold nanorods are shown to be quantitatively modeled in terms of modifications of the metal dielectric function enhanced by plasmonic effects. Ultrafast spectroscopy can also be exploited to unveil hidden physical properties of more complex nanosystems. In this context, two color femtosecond pump probe experiments performed on individual bimetallic heterodimers are discussed in the last part of the review, demonstrating the existence of Fano interferences in the optical absorption of a gold nanoparticle under the influence of a nearby silver one.", "author_names": [ "Aurelien Crut", "Paolo Maioli", "Fabrice Vallee", "Natalia Del Fatti" ], "corpus_id": 4793826, "doc_id": "4793826", "n_citations": 21, "n_key_citations": 1, "score": 0, "title": "Linear and ultrafast nonlinear plasmonics of single nano objects.", "venue": "Journal of physics. Condensed matter an Institute of Physics journal", "year": 2017 }, { "abstract": "Nanoscopy is a term that we use to describe optical techniques using digital image processing that are capable of nanometric observation and measurement. Laser Scanning Tomography (LST) is used for defect analysis in the bulk of semiconductor wafers for revealing particles as small as mm and for measuring densities of uptolO13 cm3 The unusually high contrast of the system allows us to observe submicron particles which are more than three orders of magnitude smaller than the Rayleigh criterion for the optical system. Recent work using deconvolution of point image functions enables us to perform sub micron optical serial sectioning, for determining the depth of defects. The best conditions for classical LST (using laser illumination perpendicular to the viewing direction) are when operating further than a few microns below the surface in semiconductor wafers; ie it is ideal for bulk defect studies. The study of imperfections inside epilayers in the top ijim layer requires a modified technique. Instead of illuminating at 900 the viewing direction, the infra red laser beam is introduced obliquely to the front suffe to illuminate the defects in the epilayer while still in the dark field mode. Combining this method with high resolution sectioning will be the basis for a technique of three dimensional submicron defect analysis in epilayers. Results are given of defect studies in annealed GaAs and silicon to demonstrate the capabilities of LST for naiiometer analysis in bulk materials. Sub micron depth measurement is shown for single particles using the PSF of the system for the out offocus case. Some initial results are given of studies of defects in a highly lattice mismatched epilayer using IR transmission microscopy, phase stepping microscopy (PSM, used in surface profiling) and the new dark field oblique laser illumination technique. LST, and the new nanoscopy techniques are non destructive, operate under normal room conditions, and give sub micron observation and distance measurement of defects over large areas with the possibility of 3D image synthesis for defect analysis.", "author_names": [ "Paul C Montgomery", "Pascal Gall-Borrut", "Syamsa Moh Ardisasmita", "M Castagne", "Jacques Bonnafe", "J P Fillard" ], "corpus_id": 136627319, "doc_id": "136627319", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Laser scanning tomography and related dark field nanoscopy method", "venue": "Optics Photonics", "year": 1991 }, { "abstract": "GaAsBi alloys have been extensively studied in recent years, and the highest Bi concentration yet reached has been 22 Many photoelectric devices using this material have been produced, such as quantum well lasers, LEDs, solar cells, etc. The Bi incorporated into AlAs is expected to change the bandgap from indirect to direct. There are only a few theoretical reports on AlAsBi, however, experimental research results are seldom reported. In this chapter, we review the molecular beam epitaxy of GaAsBi and analyze the growth mechanism. Besides, we present the synthesis of AlAsBi by molecular beam epitaxy. The growth temperature, As/Ga flux ratio, Bi flux and the growth rate all have great influence on the Bi incorporation. Bismuth atoms play a surfactant role under As rich conditions and an anti surfactant role under Ga rich conditions. Droplets tend to be formed on the surface of GaAsBi alloys due to the atomic size mismatch between Bi atoms and As atoms. The high angle annular dark field mode of scanning transmission electron microscopy images confirm Bi atoms cluster exsiting in GaAsBi films. Furthermore, we show the optical properties of GaAsBi and discuss the localized states induced by Bi. The photoluminescence wavelength of GaAsBi redshifts with increasing Bi concentration. The bandgap of GaAsBi is insensitive to temperature, which is important for developing un cooled lasers. We discuss the influence of Bi incorporation on the electric and transport properties of GaAsBi. The types of dominant point defects induced by Bi incorporation are analyzed. The measurement results of the electron effective mass demonstrate that Bi incorporation not only changes the valence band but also has non negligible influence on the conduction band in GaAsBi. For AlAsBi, we review the theoretical simulations and present the molecular beam epitaxy growth without substrate rotaion to investigate the influence of As/Al flux raio and the Bi flux on Bi incorporation.", "author_names": [ "Li Yue", "Xiaolei Zhang", "Wei Ou", "Zhenghao Shen", "Shumin Wang" ], "corpus_id": 198386119, "doc_id": "198386119", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Molecular Beam Epitaxy Growth and Properties of GaAsBi and AlAsBi", "venue": "", "year": 2019 } ]
ELECTRODE/ELECTROLYTE INTERFACES: STRUCTURE AND KINETICS OF CHARGE TRANSFER
[ { "abstract": "When a metal is partly immersed in an electrolyte, a potential is set up across the two phases, i.e. at the electrode/electrolyte interface. The phases may be solids (metals or alloys, semiconductors, insulators) liquids (ionic liquids, molten salts, neutral solutions) or gases (polar or non polar) The more common terminology in electrochemistry is that a double layer is set up at the interface. There are several reasons for a potential difference being set up across the interface of two phases, the most common one being the charge transfer occurring across the interface. During this process, a charge separation will occur because of electron transfer across the interface. Other reasons for the occurrence of potential differences are due to surface active groups in the ionizable media (liquid, solid, or gas) and orientation of permanent or induced dipoles. The double layer at the interface between two phases has electrical, compositional, and structural characteristics. The electrical and compositional characteristics deal with the excess charge densities on each phase and the structural one with the distribution of the constituents (ions, electrons, dipoles, and neutral molecules) in the two phases, including the interfacial region. For the purposes of understanding and analyzing the electrical, compositional, and structural aspects relevant to the electrochemical reactions that occur in fuel cells, a", "author_names": [ "S Srinivasan" ], "corpus_id": 2220047, "doc_id": "2220047", "n_citations": 31, "n_key_citations": 3, "score": 1, "title": "ELECTRODE/ELECTROLYTE INTERFACES: STRUCTURE AND KINETICS OF CHARGE TRANSFER", "venue": "", "year": 2006 }, { "abstract": "Controlling interfacial electron transfer rates is fundamental to maximizing device efficiencies in electrochemical technologies including redox flow batteries, chemical sensors, bioelectronics, and photo electrochemical devices. Conductive polymer electrodes offer the possibility to control redox properties through synthesis and processing, if critical structure property relationships governing charge transfer are understood. In this work, we show that the rate and symmetry of electron transfer at conductive polymer electrodes are directly connected to the microstructure and the density of states (DOS) using the model system of poly(3 hexylthiophene) (P3HT) and ferrocene/ferrocenium (Fc/Fc+ as predicted by the Marcus Gerischer model. Experimentally, crystalline P3HT exhibits a sufficient overlap between the polymer DOS and the DOS of both Fc and Fc+ resulting in a reversible electron transfer. Conversely, the DOS of amorphous electrodeposited P3HT does not overlap with that of Fc+ inhibiting reductio.", "author_names": [ "Bharati Neelamraju", "Melanie Rudolph", "Erin L Ratcliff" ], "corpus_id": 105479198, "doc_id": "105479198", "n_citations": 8, "n_key_citations": 0, "score": 0, "title": "Controlling the Kinetics of Charge Transfer at Conductive Polymer/Liquid Interfaces through Microstructure", "venue": "", "year": 2018 }, { "abstract": "Potential modulated attenuated total reflectance (PM ATR) spectroscopy is a spectroelectrochemical method that utilizes the potential modulation approach and a waveguide ATR geometry. This unique combination enables measurements of electron transfer (ET) kinetics of monolayer to submonolayer thin films on waveguide electrode surfaces. Selective probing of molecular subpopulations in a film can be achieved by choosing appropriate combinations of applied potential, wavelength, and polarization of light, which allows subpopulation structure to be correlated with ET kinetics. In this review, the basic theory of PM ATR is introduced, and examples illustrating characterization of the structure and ET kinetics of organic semiconductor monolayers on electrode surfaces are presented, demonstrating the capabilities and applications of the PM ATR technique.", "author_names": [ "Yilong Zheng", "S Scott Saavedra" ], "corpus_id": 1607453, "doc_id": "1607453", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "Characterization of Charge Transfer Kinetics at Organic/Electrode Interfaces Using Potential modulated Attenuated Total Reflectance (PM ATR) Spectroscopy.", "venue": "Analytical sciences the international journal of the Japan Society for Analytical Chemistry", "year": 2017 }, { "abstract": "The interface between two functional oxide materials governs the physical, chemical, and electronic interactions between the two phases. We investigate the charge transfer across the interface between two structurally related material classes, namely, perovskite and Ruddlesden Popper type oxides, choosing La0.8Sr0.2CoO3 d (LSC) and Nd2NiO4+d (NNO) as our model systems for the two classes, respectively. The interface of Nd2NiO4+d and La0.8Sr0.2CoO3 d is investigated using in situ photoemission spectroscopy techniques on epitaxial thin films. A detailed analysis of the electronic structure with X ray photoelectron spectroscopy and X ray absorption spectroscopy under an oxygen atmosphere and at elevated temperature reveals charge transfer from La0.8Sr0.2CoO3 d into Nd2NiO4+d. Through the use of electrical conductivity relaxation, it is demonstrated that such charge transfer from LSC into NNO is accompanied by a reduction in the kinetics of oxygen exchange on Nd2NiO4+d, contrary to expectation. Fermi level pi.", "author_names": [ "Christian Lenser", "Qiyang Lu", "Ethan J Crumlin", "Hendrik Bluhm", "Bilge Yildiz" ], "corpus_id": 85513041, "doc_id": "85513041", "n_citations": 6, "n_key_citations": 0, "score": 0, "title": "Charge Transfer Across Oxide Interfaces Probed by in Situ X ray Photoelectron and Absorption Spectroscopy Techniques", "venue": "", "year": 2018 }, { "abstract": "The growing energy demand of the mankind has lead to the extensive use of fossil fuels. The burning of oil, coal and natural gas has increased the global temperature and atmospheric carbon dioxide percentage to a dangerously high level. Therefore, carbon neutral energy sources such as solar cells are needed. In order to design more effective solar cells, a deep understanding of the primary photochemical processes in the cells is needed. Ultrafast time resolved spectroscopy, especially transient absorption methods, are a very useful tool for investigating the reaction kinetics in order to optimize the solar cell performance. In this thesis, kinetics of the photoinduced processes at the interface of an organic monomolecular layer and a semiconductor are studied. Such structures may be used as the active material e.g. in dye sensitized solar cells. Two different types of organic semiconductor hybrids were prepared: fullerenes (C60) immobilized on colloidal semiconductor quantum dots (QDs) and zinc phthalocyanine (ZnPc) derivatives on nanostructured titanium dioxide (TiO2) and zinc oxide (ZnO) surfaces. The driving force of photocurrent generation in these systems is a photonic excitation leading to an electron transfer reaction across the organic semiconductor interface. The observed electron transfer rates vary from a few picoseconds in ZnPc monolayers on TiO2 to ca. 100 ps in QD fullerene systems. Phthalocyanine derivatives are very attractive sensitizing dyes for solar cell applications because of their excellent stability and strong absorption in the red part of the spectrum. A drawback with these compounds is their tendency towards aggregation. It reduces the solar cell efficiencies due to intra aggregate losses. There are two common methods for aggregation reduction: the use of molecular co adsorbates and substitution of the phthalocyanine core with bulky side groups. Both mechanisms were observed to lower the degree of aggregation in the ZnPc samples. The substitution method proved to be more efficient in terms of the lifetime of the charge separated state. To more realistically mimic a solar cell, a hole transporting material (HTM) was used. Its effect on the primary photoinduced reactions in the phthalocyanine semiconductor samples was studied. With the chosen HTM, spiro MeOTAD, the charge separation was observed to occur first at the phthalocyanine HTM interface, followed by electron injection into the semiconductor material. Complete solar cell samples were prepared in order to link the ultrafast spectroscopy results to actual solar cell performance. A correlation between the degree of aggregation and the produced photocurrent was confirmed. The less aggregated samples produce a higher photocurrent per number of absorbed photons. This study indentifies bottlenecks in modern hybrid organic semiconductor solar cell design and suggests solutions for improving the solar cell performance.", "author_names": [ "K Virkki" ], "corpus_id": 202939856, "doc_id": "202939856", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Photoinduced Charge Transfer Processes at Organic Semiconductor Interfaces", "venue": "", "year": 2019 }, { "abstract": "Abstract The combination of transition metal based cocatalysts with semiconductors is a promising route to improve the photoelectrochemical performance in solar water splitting. However, it is difficult to evaluate the catalytic ability for cocatalysts quantitatively. Herein, we use kelvin probe force microscopy technique, combining with Mott Schottky method, to investigate the cocatalysts from the point of surface potential and Femi level. Through synthesis the nickel/cobalt oxide nanoparticles and nanoporous bismuth vanadate (BiVO4) the result indicates the surface potential of NiCoO2 coated BiVO4 is lower than pristine BiVO4 and BiVO4 coated by NiO and CoO cocatalysts respectively. The lower surface potential means a more upward band bending structure at the interface of electrode and electrolyte, which can promote the separation of electron hole pairs and enhance the charge transfer kinetics. Thus, the NiCoO2/BiVO4 photoanode achieve a remarkable photocurrent density of 2.34 mA/cm2 for water oxidation, and the charge separation and oxidation kinetics efficiencies are 61.9% and 72.7% respectively. In all, our studies provide a new mechanistic insight into cocatalysts for solar energy conversion.", "author_names": [ "Lin Yang", "Yuli Xiong", "Peng Xiao", "Yun-huai Zhang" ], "corpus_id": 109417145, "doc_id": "109417145", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Guiding charge transfer kinetics into cocatalyst for efficient solar water splitting", "venue": "Electrochimica Acta", "year": 2019 }, { "abstract": "Conductive polymer electrodes have exceptional promise for next generation bioelectronics and energy conversion devices due to inherent mechanical flexibility, printability, biocompatibility, and low cost. Conductive polymers uniquely exhibit hybrid electronic ionic transport properties that enable novel electrochemical device architectures, an advantage over inorganic counterparts. Yet critical structure property relationships to control the potential dependent rates of charge transfer at polymer/electrolyte interfaces remain poorly understood. Herein, we evaluate the kinetics of charge transfer between electrodeposited poly (3 hexylthiophene) films and a model redox active molecule, ferrocenedimethanol. We show that the kinetics directly follow the potential dependent occupancy of electronic states in the polymer. The rate increases then decreases with potential (both normal and inverted kinetic regimes) a phenomenon distinct from inorganic semiconductors. This insight can be invoked to design polymer electrodes with kinetic selectivity toward redox active species and help guide synthetic approaches for the design of alternative device architectures and approaches.Charge transfer at conductive polymer/liquid interfaces critically affects the performance of organic bioelectronics and energy conversion/storage devices. Here, the authors provide a mechanistic framework to describe charge transfer rates in the context of hybrid ionic electronic conductivity.", "author_names": [ "Melanie Rudolph", "Erin L Ratcliff" ], "corpus_id": 4592251, "doc_id": "4592251", "n_citations": 25, "n_key_citations": 0, "score": 0, "title": "Normal and inverted regimes of charge transfer controlled by density of states at polymer electrodes", "venue": "Nature Communications", "year": 2017 }, { "abstract": "The correlations between bulk/surface structure change and electrochemical kinetics of LiNi0.80Co0.15Al0.05O2 are systematically investigated at atomic level, including the initial charged, half charged, and over charged states. In the initial stage of charge, surface rearrangement occurs and an amorphous Li2CO3 layer forms on the surface, which can release stress and provide a stable interface. The Li2CO3 surface layer decomposes upon charging, resulting in decreased interface resistance for charge transfer. Meanwhile, the bulk structure goes through the two phase reaction region toward the solid solution region, which demonstrates higher electrical conductivity and faster Li ion mobility. Along with the charging process, more substantial surface rearrangement and the decomposed Li2CO3 layer lead to surface degradation. Together with the anisotropic volume change induced mechanical stress, microcracks stem from the surface and provide access for electrolyte penetration. All of these cause high kinetic barriers for Li ion extraction, as demonstrated by the high interface and charge transfer resistance and slow lithium diffusion in this region.", "author_names": [ "Hai Feng Li", "Dongqing Liu", "Lihan Zhang", "Kun Qian", "Ruiying Shi", "Feiyu Kang", "Baohua Li" ], "corpus_id": 206494746, "doc_id": "206494746", "n_citations": 15, "n_key_citations": 0, "score": 0, "title": "Combination Effect of Bulk Structure Change and Surface Rearrangement on the Electrochemical Kinetics of LiNi0.80Co0.15Al0.05O2 During Initial Charging Processes.", "venue": "ACS applied materials interfaces", "year": 2018 }, { "abstract": "AbstractCdTe quantum dots (QDs) were anchored on NaTaO3 nanocubes with the aim into tuning the charge kinetics for spatial separation of the photogenerated electrons and holes by modifying the relative potential of the conduction band of CdTe QDs. In this work, CdTe QDs (particle size 2 3 nm) and NaTaO3 were synthesized via reflux and hydrothermal reaction. Thioglycolic acid (TGA) acted as both a stabilizer and linker molecules during the synthesis of CdTe QDs and NaTaO3/CdTe heterostructure. On accounts of density functional theory (DFT) predictions, electrons can transfer from NaTaO3 to CdTe due to the difference of the Fermi level between two semiconductors, which will establish a built in electric field at semiconductor interfaces, accelerating the charge separation kinetics between CdTe and NaTaO3. In response, electronic structure tunable CdTe QDs were surface engineered on NaTaO3 nanocubes to enhance the visible light (VL) harvesting capability. By carefully controlling the fine nature of CdTe QDs, photogenerated electrons in CdTe can be efficiently injected into the conduction band of NaTaO3, leading to spatial charge separation between CdTe and NaTaO3. This could be affirmed by applied bias photo to current efficiency (ABPE) incident photocurrent responses as well as electrochemical impedance curves. With well defined crystallinity, electronic structure, and interfacial contact between NaTaO3 and CdTe, the optimized photocatalytic activity toward hydrogen production over NaTaO3/CdTe heterostructure achieved an evolution rate of 56 mmol*g 1*h 1, which is far surpassed than that of pristine NaTaO3 and CdTe. Graphical abstract", "author_names": [ "Fang Wang", "Dongle Liu", "Xiaojing Wang", "Yiguo Su" ], "corpus_id": 146715466, "doc_id": "146715466", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Band edge alignment for tuning interfacial charge transfer: a case study of NaTaO3 as photoelectron platform by anchoring CdTe quantum dots", "venue": "Journal of Nanoparticle Research", "year": 2019 }, { "abstract": "The rational design of high performance hetero structure photovoltaic devices requires full understanding of the photoinduced charge transfer mechanism and kinetics at the interface of heterojunctions. In this paper, p BiOBr/n Bi2S3 heterojunction have been designed and in situ fabricated by a facile ion exchange method between BiOBr and thioacetamide (TAA) The degree of transformation of BiOBr to Bi2S3 was controlled through the adjustment of exposure time of BiOBr/FTO substrate to thioacetamide (TAA) aqueous solution. The morphologies of BiOBr and BiOBr/Bi2S3 heterojunctions films were examined by X ray diffraction (XRD) scanning electron microscope (SEM) and high resolution transmission electron microscopy (HRTEM) The presence of Bi2S3 was further validated through energy dispersive X ray spectroscopy (EDS) Assisted by the surface photovoltage (SPV) and transient photovoltage (TPV) technique, the photoinduced charge transfer kinetics on BiOBr nanosheet and BiOBr/Bi2S3 interface were systematically", "author_names": [ "Huimin Jia", "WeiWei He", "Yong Xiang", "Zhi Zheng" ], "corpus_id": 136193645, "doc_id": "136193645", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Surface photovoltage phase spectra for analysing the photoinduced charge transfer kinetics of novel BiOBr/Bi2S3 heterojunction films", "venue": "", "year": 2017 } ]
margin fail SRAM
[ { "abstract": "As the semiconductor technology continues to scale, the stability and performance of embedded SRAM are growing concerns during the design and analysis stages. Maintaining an acceptable Static Noise Margin (SNM) in the embedded SRAM while scaling the minimum feature size and supply voltage of the integrated circuit (IC) becomes increasingly challenging. As a result, the manufacturing process window continues to shrink. This increases the difficulty for the failure analysis as many soft failures are induced by the reducing process margin. In this paper, a case study on an advanced technology node embedded SRAM soft fail was analyzed. Nanoprobing was employed at the room temperature to do SNM analysis at Metal 1 on the suspected location. Abnormal SNM window was observed at the room temperature analysis. Further analysis at high temperature on the same bit confirmed the soft failure bit. This correlates to the testing failure mode result. This case study is a good example for others who encounter same kind of the embedded SRAM soft failure.", "author_names": [ "C Q Chen", "P T Ng", "Francis Rivai", "Y Z Ma", "Pik Kee Tan", "H Tan", "Jeffery Lam", "Zhihong Mai" ], "corpus_id": 11579617, "doc_id": "11579617", "n_citations": 4, "n_key_citations": 0, "score": 1, "title": "Nanoprobing on the SRAM static noise margin (SNM) soft fail analysis", "venue": "2016 IEEE 23rd International Symposium on the Physical and Failure Analysis of Integrated Circuits (IPFA)", "year": 2016 }, { "abstract": "Static random access memory (SRAM) blocks occupy major chip area and are the primary contributors to leakage power in many modern systems. Scaling the supply voltage of SRAM macros is an efficient method to reduce total chip power. It is difficult to achieve an SRAM cell with stable operation at lowvoltage due to increasing variations in process, voltage and temperature. In the sub threshold region, conventional 6 T SRAMs fail to deliver density and yield requirements due to reduced static noise margin (SNM) poor writability, limited number of cells per bit line, and reduced bit line sensing margin. This paper reviews state of the art bit cell structures with good stability under sub threshold condition in terms of technology parameters.", "author_names": [ "Dr E Jebamalar Leavline" ], "corpus_id": 797100, "doc_id": "797100", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Survey on Stability of Low Power SRAM Bit Cells", "venue": "", "year": 2017 }, { "abstract": "A feasibility study on Ferroelectric Shadow SRAMs (FE SRAMs) was performed using circuit simulations. To take into account design constraints set by the cell transistor variability, a simple operation margin search algorithm was proposed and used, which requires only pass/fail information from multiple transient simulations. It was found that stable dynamic recall operations can be achieved by using small enough ferroelectric capacitors, and that non volatile write energy of well below 10 fJ/bit can be expected, adding minimal area penalty and performance degradation to the base SRAM cell. Scalability to advanced technology nodes is also anticipated. The results show that the FE SRAM would be an ideal non volatile memory solution for ultra low power applications, such as sensor networks powered by energy harvesting.", "author_names": [ "Kiyoshi Takeuchi", "Masaharu Kobayashi", "Toshiro Hiramoto" ], "corpus_id": 208829507, "doc_id": "208829507", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "A Feasibility Study on Ferroelectric Shadow SRAMs Based on Variability Aware Design Optimization", "venue": "IEEE Journal of the Electron Devices Society", "year": 2019 }, { "abstract": "A method for achieving variability aware design for ferroelectric shadow SRAM (FE SRAM) is proposed, which makes it possible to determine the operation margin against random VTH variation by only using pass/fail information from multiple transient circuit simulations. A systematic study using this method predicts that properly designed FE SRAMs using Hf based ferroelectric capacitors, will have sufficient operation margin and scalability.", "author_names": [ "Kiyoshi Takeuchi", "Masaharu Kobayashi", "Toshiro Hiramoto" ], "corpus_id": 174817429, "doc_id": "174817429", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "A Feasibility Study on Ferroelectric Shadow SRAMs Using a New Variability Design Scheme", "venue": "2019 Electron Devices Technology and Manufacturing Conference (EDTM)", "year": 2019 }, { "abstract": "A deconvolution error avoidance technique in Richardson Lucy deconvolution (RLdeconv) is proposed, which is used for inversely analysing the SRAM margin variations caused by the Random Telegraph Noise (RTN) The proposed technique reduces the phase difference between the deconvoluted RTN distribution and feedback gain in the maximum likelihood (MLE) gradient iteration cycles. This avoids an unwanted positive feedback, resulting in a significant decrease in probability of ringing occurrence. The effects of the proposed technique on the deconvolution process are demonstrated. A quicker convergence benefit of the RLdeconv algorithm is also observed. It has been demonstrated that the proposed technique reduces its relative deconvolution errors by 100 times compared with the conventional RL deconv. This provides an increase in accuracy of the fail bit count prediction by over 2 orders of magnitude while accelerating its convergence speed by 33times of the conventional one.", "author_names": [ "Hiroyuki Yamauchi", "Worawit Somha" ], "corpus_id": 27134842, "doc_id": "27134842", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "A Deconvolution Error Avoidance Technique in Richardson Lucy Method", "venue": "", "year": 2017 }, { "abstract": "Negative bias temperature instability (NBTI) and positive bias temperature instability (PBTI) cause SRAM stability degradation during its lifetime. Hence, some of the SRAM cells that are functional initially may fail due to aging after usage. This causes field failures of the shipped chips. In this paper we focus on screening out these SRAM cells which may fail after aging during post fabrication testing. We propose wordline overdriving test (WODT) a novel predictive testing method for SRAMs. During the test we overdrive the SRAM wordline to simulate the effect of aging, hence we are able to detect the cells that will fail after aging over a desired lifetime. We compare our proposed method with low VDD test, and show that WODT outperforms low VDD test with a large margin by achieving dramatically lower quality loss.", "author_names": [ "Jizhe Zhang", "Sandeep Kumar Gupta" ], "corpus_id": 19277397, "doc_id": "19277397", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Wordline overdriving test: An effective predictive testing method for SRAMs against BTI aging", "venue": "2017 18th International Symposium on Quality Electronic Design (ISQED)", "year": 2017 }, { "abstract": "This letter evaluates and analyzes the impacts of random variations on cell stability and write ability of low voltage SRAMs using monolayer and bilayer transition metal dichalcogenide (TMD) devices based on ITRS 2028 (5.9 nm) node with the aid of atomistic TCAD mixed mode simulations. Our study indicates that, for 6T SRAM, the monolayer/bilayer TMD devices may fail to provide the 6s yield requirement for read static noise margin (RSNM) due to severe metal gate work function variation in spite of their excellent electrostatics, and hence circuit techniques, such as bootstrapped dynamic power rails or the standard 8T cell, are needed. Besides, RSD as a major concern of TMDs should be less of an issue for near /sub threshold SRAMs for ultra low power applications. For the standard 8T cell structure, the RSNMs of both monolayer and bilayer 8T SRAMs improve significantly, and the bilayer 8T SRAM exhibits better write static noise margin (WSNM) In addition, write assist techniques (including negative bit line, boosted word line, and lower cell supply) for improving WSNM are examined and shown to be more effective for monolayer 8T SRAMs than the bilayer counterparts.", "author_names": [ "Chang-Hung Yu", "Pin Su", "Ching-Te Chuang" ], "corpus_id": 45792800, "doc_id": "45792800", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "Impact of Random Variations on Cell Stability and Write Ability of Low Voltage SRAMs Using Monolayer and Bilayer Transition Metal Dichalcogenide (TMD) MOSFETs", "venue": "IEEE Electron Device Letters", "year": 2016 }, { "abstract": "In this paper, we develop an analytical PUF model based on a compact FinFET transistor model that calculates the PUF stability (i.e. PUF static noise margin (PSNM) for FinFET based SRAMs. The model enables a quick design space exploration and may be used to identify critical parameters that affect the PSNM. The analytical model is validated with SPICE simulations. In our experiments, we analyze the impact of process variation, technology, and temperature on the PSNM. The results show that the analytical model matches very well with the simulation model. From the experiments we conclude the following: (1) nFET variations have a larger impact on the PSNM than pFET (1.5% higher PSNM in nFET variations than pFET variations at 25degC) (2) high performance SRAM cells are more skewed (1.3% higher PSNM) (3) the reproducibility increases with smaller technology nodes (0.8% PSNM increase from 20 to 14 nm) (4) increasing the temperature from 10degC to 120degC leads to a PSNM change of approximately 1.0% for an extreme nFET channel length.", "author_names": [ "Shayesteh Masoumian", "Georgios N Selimis", "Roel Maes", "Geert Jan Schrijen", "Said Hamdioui", "Mottaqiallah Taouil" ], "corpus_id": 220366655, "doc_id": "220366655", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Modeling Static Noise Margin for FinFET based SRAM PUFs", "venue": "2020 IEEE European Test Symposium (ETS)", "year": 2020 }, { "abstract": "In recent years improvement in the design of SRAM cell increases drastically. The two major factors which have to be taken care are power dissipation and the noise margin of the SRAM cell. The power is subdivided into two groups that are switching power and standby power. The leakage current plays an important role in the power dissipation and has to be taken care. The noise margin also categorized as read margin and write margin. The purpose of this paper is to analyze and optimize the SRAM cell operation with respect to power and also measure the noise margin. The results show the low power operation of SRAM cell with relatively improved noise margin.", "author_names": [ "Sunil Kumar Ojha", "O P Singh", "G R Mishra", "P R Vaya" ], "corpus_id": 212841755, "doc_id": "212841755", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Analysis of SRAM Cell for Low Power Operation and Its Noise Margin", "venue": "", "year": 2019 }, { "abstract": "Decreasing the power consumption and growing the noise margin have turn out to be two imperative topics in the artwork SRAM design. Recent works have proven that the traditional 6T SRAM suffer stability degradation, as a consequence,8T and 10T designs had been implemented to enhancing the cellular stability. The objective of this work is to describe the design and cadence implementation of a 256 byte SRAM using 90nm CMOS technology with 3 different architectures (1)6T SRAM (2)8T SRAM (3) 10T SRAM and their comparison is done on the factor of static noise margin. By using this analysis, we can clarify the stability of memory cells.", "author_names": [ "Anjana R Krishnan", "G Shekar" ], "corpus_id": 221474432, "doc_id": "221474432", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Static Noise Margin Analysis of Various SRAM Array", "venue": "2020 International Conference on Communication and Signal Processing (ICCSP)", "year": 2020 } ]
dr hoon hur
[ { "abstract": "Microorganisms facilitate the formation of a wide range of minerals that have unique physical and chemical properties as well as morphologies that are not produced by abiotic processes. Here, we report the production of an extensive extracellular network of filamentous, arsenic sulfide (As S) nanotubes (20 100 nm in diameter by 30 mm in length) by the dissimilatory metal reducing bacterium Shewanella sp. HN 41. The As S nanotubes, formed via the reduction of As(V) and S2O32 were initially amorphous As2S3 but evolved with increasing incubation time toward polycrystalline phases of the chalcogenide minerals realgar (AsS) and duranusite (As4S) Upon maturation, the As S nanotubes behaved as metals and semiconductors in terms of their electrical and photoconductive properties, respectively. The As S nanotubes produced by Shewanella may provide useful materials for novel nano and opto electronic devices.", "author_names": [ "Ji-Hoon Lee", "Min-Gyu Kim", "Bongyoung Yoo", "Nosang Vincent Myung", "Jongsun Maeng", "Takhee Lee", "Alice C Dohnalkova", "James K Fredrickson", "Michael Jay Sadowsky", "Hor-Gil Hur" ], "corpus_id": 25450193, "doc_id": "25450193", "n_citations": 93, "n_key_citations": 12, "score": 0, "title": "Biogenic formation of photoactive arsenic sulfide nanotubes by Shewanella sp. strain HN 41", "venue": "Proceedings of the National Academy of Sciences", "year": 2007 }, { "abstract": "We conducted an RNA sequencing study to identify novel gene fusions in 80 discovery dataset tumors collected from young patients with diffuse gastric cancer (DGC) Twenty five in frame fusions are associated with DGC, three of which (CLDN18 ARHGAP26, CTNND1 ARHGAP26, and ANXA2 MYO9A) are recurrent in 384 DGCs based on RT PCR. All three fusions contain a RhoGAP domain in their 3' partner genes. Patients with one of these three fusions have a significantly worse prognosis than those without. Ectopic expression of CLDN18 ARHGAP26 promotes the migration and invasion capacities of DGC cells. Parallel targeted RNA sequencing analysis additionally identifies TACC2 PPAPDC1A as a recurrent and poor prognostic in frame fusion. Overall, PPAPDC1A fusions and in frame fusions containing a RhoGAP domain clearly define the aggressive subset (7.5% of DGCs, and their prognostic impact is greater than, and independent of, chromosomal instability and CDH1 mutations. Our study may provide novel genomic insights guiding future strategies for managing DGCs.Diffuse Gastric Cancer (DGC) is increasingly being considered separate to intestinal type gastric cancer; several fusions events have been reported as drivers of the disease but few of those have been subsequently validated. Here the authors perform RNA seq on early onset DGC patients who had not been treated with chemotherapy or radiation and identify a previously unknown fusion.", "author_names": [ "Hanna Yang", "Dongwan Hong", "Soo Young Cho", "Young Soo Park", "Woo Ri Ko", "Ju Hee Kim", "Hoon Hur", "Jongkeun Lee", "Su-jin Kim", "Sun Young Kwon", "Jaehyuk Lee", "Do Youn Park", "Kyu Sang Song", "Heekyung Chang", "Min-Hee Ryu", "Kye Soo Cho", "Jeong Won Kang", "Myeong-Cherl Kook", "Nina Thiessen", "Ann He", "Andrew J Mungall", "Sang-Uk Han", "Hark Kyun Kim" ], "corpus_id": 53028852, "doc_id": "53028852", "n_citations": 9, "n_key_citations": 1, "score": 0, "title": "RhoGAP domain containing fusions and PPAPDC1A fusions are recurrent and prognostic in diffuse gastric cancer", "venue": "Nature Communications", "year": 2018 }, { "abstract": "To evaluate whether the extracellular volume fraction (ECV) measured using cardiac magnetic resonance (CMR) imaging can detect myocardial tissue changes in dilated cardiomyopathy (DCM) without late gadolinium enhancement (LGE) Forty one DCM patients and 10 healthy volunteers underwent pre and post T1 mapping using a modified Look Locker Inversion recovery sequence, LGE, and cine MRI on a 3 T CMR system. LGE MR findings were used to divide DCM patients into two groups: Group A had no apparent LGE, and Group B had LGE apparent in at least one segment. The ECV of the left ventricle (LV) myocardium (16 segments) was calculated in the short axis view as follows: ECV (DR1 of myocardium/DR1 of LV blood pool) x (1 hematocrit) where R1 1/T1, DR1 post contrast R1 pre contrast R1. The LV ejection fraction (LVEF) was obtained from cine MRI images. The mean myocardial ECV in LGE segments in Group A B was compared to that of controls. The mean myocardial ECV in Group A was compared to that of LGE segments in Group B. The correlation between LV systolic function and the mean myocardial ECV of the whole myocardium was evaluated in all groups. Among the 41 DCM patients, 22 were in Group A, and 19 were in Group B. The mean ECV of DCM patents (n 41, 568 segments, 30.7 5.9) was significantly higher (P 0.001) than that of the control group (n 10, 157 segments, 25.6 3.2) The ECV was inversely related to LVEF in Group A (r 0.551, P 0.008) Group B (r 0.525, P 0.021) and Group A B (r 0.550, P 0.001) The ECV measured by MRI could be a useful parameter in evaluating diffuse myocardial changes in DCM patients.", "author_names": [ "Yoo Jin Hong", "Chul Hwan Park", "Young Jin Kim", "Jin Hur", "Hye Jeong Lee", "Sae Rom Hong", "Young Joo Suh", "Andreas Greiser", "Mun Young Paek", "Byoung Wook Choi", "Tae Hoon Kim" ], "corpus_id": 23305960, "doc_id": "23305960", "n_citations": 22, "n_key_citations": 1, "score": 0, "title": "Extracellular volume fraction in dilated cardiomyopathy patients without obvious late gadolinium enhancement: comparison with healthy control subjects", "venue": "The International Journal of Cardiovascular Imaging", "year": 2015 }, { "abstract": "The complex structure and magnetism of Pr$_{2 x}$Bi$_x$Ru$_2$O$_7$ was investigated by neutron scattering and EXAFS. Pr has an approximate doublet ground state and the first excited state is a singlet. This overall crystal field level scheme is similar to metallic Pr$_2$Ir$_2$O$_7$ which is also reported here. While the B site (Ru) is well ordered throughout, this is not the case for the A site (Pr/Bi) A distribution of the Pr O2 bond length indicates the Pr environment is not uniform even for $x=0$ The Bi environment is highly disordered ostensibly due to the 6s lone pairs on Bi${3+ Correspondingly we find the non Kramers doublet ground state degeneracy otherwise anticipated for Pr in the pyrochlore structure is lifted so as to produce a quadrupolar singlet ground state with a spatially varying energy gap. For $x=0$ below T$_N$ the Ru sublattice orders antiferromagnetically, with propagation vector \\textbf{k} (0,0,0) as for Y$_2$Ru$_2$O$_7$ No ordering associated with the Pr sublattice is observed down to 100 mK. The low energy magnetic response of Pr$_{2 x}$Bi$_x$Ru$_2$O$_7$ features a broad spectrum of magnetic excitations associated with inhomogeneous splitting of the Pr quasi doublet ground state. For $x=0$ $x=0.97$ the spectrum is temperature dependent (independent) It appears disorder associated with Bi alloying enhances the inhomogeneous Pr crystal field level splitting so that inter site interactions become irrelevant for $x=0.97$ The structural complexity for the A site may be reflected in the hysteretic uniform magnetization of B site ruthenium in the N\\{e}el phase.", "author_names": [ "Joost van Duijn", "K H P Kim", "Nam Hwi Hur", "D T Adroja", "Frank G Bridges", "Aziz Daoud-Aladine", "Felix Fernandez-Alonso", "Rocio Ruiz-Bustos", "Jun Wen", "V Kearney", "Q Z Huang", "Sang-Wook Cheong", "Satoru Nakatsuji", "Collin L Broholm", "T G Perring" ], "corpus_id": 119263427, "doc_id": "119263427", "n_citations": 6, "n_key_citations": 0, "score": 0, "title": "Induced quadrupolar singlet ground state of praseodymium in a modulated pyrochlore", "venue": "", "year": 2017 }, { "abstract": "Abstract We have studied the contact resistance (RC) between Au and a solution processed film of carbon nanotubes (CNTs) The test element group of the contact chain is modeled as a simple periodic series of resistors, the RC represents the resistor of the Au CNT contact. The contact resistivity (rC) was evaluated from RC by multiplying the contact area. When the sheet resistance (Rsh) of the CNT layer is 200 O/sq, the rC is 27 mO cm2, which is ~30 times of that of the Au IZO contact at the same Rsh. This is mainly due to the three dimensional shape of the CNT surface, resulting in a smaller contact area on the flat surface of the Au.", "author_names": [ "Seung Hoon Han", "Sun Hee Lee", "Ji Ho Hur", "Jin Jang", "Young-Bae Park", "Glen C Irvin", "Paul S Drzaic" ], "corpus_id": 95292157, "doc_id": "95292157", "n_citations": 8, "n_key_citations": 0, "score": 0, "title": "Contact resistance between Au and solution processed CNT", "venue": "", "year": 2010 }, { "abstract": "Abstract Emission from two different peroxyoxalate chemiluminescence (PO CL) reaction pathways (i.e. 1,1' oxalyldiimidazole (ODI) and bis(2,4,6 trichlorophenyl)oxalate (TCPO) CL reactions) is significantly reduced in the presence of increasing Cu 2+ concentrations. The CL intensity decrease in the ODI CL reaction results from deactivation of the high energy intermediate(s) by Cu 2+ whereas the apparent quenching in the TCPO CL reaction results from both this effect and formation of Cu 2+ imidazole (ImH) complexes [Cu(ImH) n =1 4 2+ Because the reaction between Cu 2+ and ImH occurs competitively with the formation of a high energy intermediate (X) in TCPO CL reactions, accurately determining Cu 2+ concentrations with a TCPO CL based system is more difficult versus an ODI CL based system. Using ODI CL, the detection limit of Cu 2+ dissolved in water was determined to be 5.0 x 10 8 M (signal:noise 3) in a 1 cm fluorescence flow micro cell. ODI CL based systems are expected to be useful for the rapid quantification of many quenchers in environmental and biological samples because the interactions between the high energy intermediate(s) and quencher are very rapid relative to other competitive reactions.", "author_names": [ "Ji Hoon Lee", "Jong Tae Je", "Andrew Tartaglia", "Jin Hur", "Mark A Schlautman", "Elizabeth R Carraway" ], "corpus_id": 93811874, "doc_id": "93811874", "n_citations": 10, "n_key_citations": 0, "score": 0, "title": "Quantification of Cu2+ using 1,1' oxalyldiimidazole chemiluminescence", "venue": "", "year": 2006 }, { "abstract": "The effects of the active and passive protection mechanisms of powder beds on the sintering of Si 3 N 4 were investigated. Shrinkage, density, and coloring behavior of sintered samples were analyzed using different compositions and packing conditions of powder beds based on BN and Si 3 N 4 with different additives. Y 2 O 3 additive in the powder bed influences the weight change and phase formation behavior of the samples, although it has a very low vapor pressure at the sintering temperature. When MgO/Y 2 O 3 was used as sintering additives, the packing density and thickness of the powder bed had a much stronger effect than in the case of Al 2 O 3 /Y 2 O 3 For the optimization of the powder bed conditions, the vapor pressure and chemical stability of sintering additives at the sintering temperature has to be considered.", "author_names": [ "Sea Hoon Lee", "Georg Rixecker", "Fritz Dr Aldinger", "Sung-Churl Choi", "Keun Ho Auh" ], "corpus_id": 135931180, "doc_id": "135931180", "n_citations": 15, "n_key_citations": 0, "score": 0, "title": "Effects of powder bed conditions on the liquid phase sintering of Si3N4", "venue": "", "year": 2002 }, { "abstract": "Today, network systems require higher bandwidth for applications such as fiber to the home communications. Gigabit passive optical network (GPON) links using a Fabry Perot laser are attractive solutions for this high speed network. However, due to the mode partition noise and fiber dispersion, GPON systems suffer from inter symbol interference (ISI) In this paper, we present an electronic dispersion compensator (EDC) that will improve a 1.25 Gb/s experimental GPON link. The experimental GPON link is simulated and measured with impairment assessment. An analog eye opening monitor, which captures the quality of the EDC output signal using the tunable delay and the integrator is proposed. The proposed EDC successfully compensates ISI for a given link with a 1.25 Gb/s signal. All circuits are fabricated using a 0.18mum CMOS process.", "author_names": [ "Kim Hyoungsoo", "J de Ginestous", "F Bien", "Lee Kil-Hoon", "Soumya Chandramouli", "Hur Youngsik", "C Scholz", "Edward Gebara", "Joy Laskar" ], "corpus_id": 17213614, "doc_id": "17213614", "n_citations": 15, "n_key_citations": 0, "score": 0, "title": "An Electronic Dispersion Compensator (EDC) With an Analog Eye Opening Monitor (EOM) for 1.25 Gb/s Gigabit Passive Optical Network (GPON) Upstream Links", "venue": "IEEE Transactions on Microwave Theory and Techniques", "year": 2007 }, { "abstract": "Abstract Si 3 N 4 using Y 2 O 3 as a sintering additive provides good mechanical properties and high temperature oxidation resistance, but can suffer from crack formation when oxidized at 1000 degC. In order to minimize this problem, a SiO 2 layer was deposited onto Si 3 N 4 by the spray coating method. The dispersion of the SiO 2 slurry for the spray coating process was optimized, and dense silicate layers were formed by the spray coating and heat treatment in nitrogen atmosphere. Penetration of the coating layer into the sample was observed when the heat treatment temperature was higher than 1400 degC. The crystallization behavior of silicate coatings is similar to that of native oxide layers, but the formation of cristobalite is suppressed. The SiO 2 coating inhibits the rapid oxidation of Si 3 N 4 at 1000 degC effectively.", "author_names": [ "Sea Hoon Lee", "Georg Rixecker", "Fritz Dr Aldinger", "Sung-Churl Choi", "Keun Ho Auh" ], "corpus_id": 135851033, "doc_id": "135851033", "n_citations": 6, "n_key_citations": 0, "score": 0, "title": "Effect of spray coated SiO2 layers on the low temperature oxidation of Si3N4", "venue": "", "year": 2003 }, { "abstract": "Becker's nevus, a cutaneous hamartoma, usually occurs as a large, unilateral, hyperpigmented and hypertrichotic patch on the shoulder or upper trunk, which can be present in childhood or adolescence. Becker's nevus can be treated with traditional laser therapy using various types of lasers including a 532nm potassium titanyl phosphate (KTP) laser, 694nm ruby laser and 755nm alexandrite laser. However, this may cause harmful side effects such as scarring, mottled hypopigmentation, post inflammatory hyperpigmentation (PIH) purpurae, and crusts. As a result, no standard for the treatment of Becker's nevus using lasers has been established. Therefore, this study was implemented to investigate the safety and efficacy of treating Becker's nevus using Dr. Hoon Hur's Golden Parameter Therapy (GPT) followed by Dr. Hoon Hur's Optimal Melanocytic Suicide 1 Parameter Therapy (OMS 1 PT) which uses a high fluence 1064nm Q switched Nd: YAG laser (QSNL) Forty two Koreans suffering from Becker's nevus participated in the study and received treatment on a weekly basis for 75 100 sessions using the QSNL according to Dr. Hoon Hur's GPT then followed by Dr. Hoon Hur's OMS 1 PT. The parameters for this study were a spot size of 7 mm, a fluence of 2.2 J/cm2 and a pulse rate of 10 Hz using a sliding stacking technique for a single pass over the Becker's nevus, followed by Dr. Hoon Hur's OMS 1 PT using a sliding technique for 5 passes with the QSNL over the Becker's nevus. Upon completion of the last treatment, all 42 patients with Becker's nevus were cured, having no side effects, and entirely removing the pigmented lesions. None of the 42 patients reported any recurrences after their follow ups 6 15 months after the final treatment. As a result of this study, we propose therapy to safely and effectively treat Becker's nevus using a fusion of Dr. Hoon Hur's GPT and Dr. Hoon Hur's OMS 1 PT with a high fluence 1064nm QSNL to avoid any recurrences or harmful side effects.", "author_names": [ "Hoon Hur", "Ji Hoon Kim", "Duck Taik Shim", "Suk Jin Choi", "Pyoung Su Kim", "Yu Ri Kim" ], "corpus_id": 233264173, "doc_id": "233264173", "n_citations": 0, "n_key_citations": 0, "score": 1, "title": "The Treatment of Becker's Nevus by Combination Therapy: Dr. Hoon Hur's Golden Parameter Therapy and Dr. HOON Hur's Optimal Melanocytic Suicide 1 Parameter Therapy", "venue": "", "year": 2021 } ]
coupled photon emission from hexagonal boron
[ { "abstract": "The ultrafast conversion of electrical signals to optical signals at the nanoscale is of fundamental interest for data processing, telecommunication and optical interconnects. However, the modulation bandwidths of semiconductor light emitting diodes are limited by the spontaneous recombination rate of electron hole pairs, and the footprint of electrically driven ultrafast lasers is too large for practical on chip integration. A metal insulator metal tunnel junction approaches the ultimate size limit of electronic devices and its operating speed is fundamentally limited only by the tunnelling time. Here, we study the conversion of electrons (localized in vertical gold hexagonal boron nitride gold tunnel junctions) to free space photons, mediated by resonant slot antennas. Optical antennas efficiently bridge the size mismatch between nanoscale volumes and far field radiation and strongly enhance the electron photon conversion efficiency. We achieve polarized, directional and resonantly enhanced light emission from inelastic electron tunnelling and establish a novel platform for studying the interaction of electrons with strongly localized electromagnetic fields.", "author_names": [ "Markus Parzefall", "Palash Bharadwaj", "A Jain", "Takashi Taniguchi", "K Watanabe", "Lukas Novotny" ], "corpus_id": 11726354, "doc_id": "11726354", "n_citations": 97, "n_key_citations": 2, "score": 1, "title": "Antenna coupled photon emission from hexagonal boron nitride tunnel junctions.", "venue": "Nature nanotechnology", "year": 2015 }, { "abstract": "Single atomic defects in hexagonal boron nitride (hBN) are particularly interesting due to their stability of emission and absence of blinking and bleaching, at ambient conditions [1] Furthermore, they show exceptional robustness of emission, even at high temperatures of operation [2] Therefore, hBN defects have emerged as promising candidates for novel robust single photon sources [1] Several attempts have been done to induce hBN defects in a controlled manner [3,4] Because of their ease of accessibility and, due to the nanometer scale thickness of the hBN flakes, these defects are attractive to couple to plasmonic structures in order to increase their photon emission [5,6] However efficient coupling requires a high precision of positioning <20 nm) and so far the methods adopted lack this level of control, both in assembling and imaging. Also, they present static configurations of coupled emitter particles and no strategy is adopted in order to discern between the photons emitted by the hBN defects and the luminescence of the metallic particles. Here we present first systematic and simultaneous coupling and imaging of hBN emission centers with resonant optical antennas, with nanometer control and optical resolution of 45 nm (fig. a) We show the capability of nano antennas to manipulate hBN defects by depleting their emission 30 70% (fig. a, b) Our setup is a near field microscope working in scattering configuration, where we fabricate a single dipolar nano antenna as a near field probe that we can independently scan over hBN defects controlling the coupling and the fluorescence emission with nanometer resolution [7] We employ a photon time gating technique in order to discriminate the light emitted by the metallic antenna by the one radiated by the hBN emitters (fig. b) Finally, we report on a lifetime shortening of 2x, due to coupling emitter antenna (fig. c, d)", "author_names": [ "Nicola Palombo Blascetta", "Dmitri K Efetov", "Takashi Taniguchi", "K Watanabe", "Niek F van Hulst" ], "corpus_id": 204821590, "doc_id": "204821590", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Scanning Resonant Nano Antenna High Resolution Imaging and Emission Control of hBN Defect Photon Emission", "venue": "2019 Conference on Lasers and Electro Optics Europe European Quantum Electronics Conference (CLEO/Europe EQEC)", "year": 2019 }, { "abstract": "Single photon emitters (SPEs) in hexagonal boron nitride (hBN) have garnered increasing attention over the last few years due to their superior optical properties. However, despite the vast range of experimental results and theoretical calculations, the defect structure responsible for the observed emission has remained elusive. Here, by controlling the incorporation of impurities into hBN via various bottom up synthesis methods and directly through ion implantation, we provide direct evidence that the visible SPEs are carbon related. Room temperature optically detected magnetic resonance is demonstrated on ensembles of these defects. We perform ion implantation experiments and confirm that only carbon implantation creates SPEs in the visible spectral range. Computational analysis of the simplest 12 carbon containing defect species suggest the negatively charged VBCN \\documentclass[12pt]{minimal} \\usepackage{amsmath} \\usepackage{wasysym} \\usepackage{amsfonts} \\usepackage{amssymb} \\usepackage{amsbsy} \\usepackage{mathrsfs} \\usepackage{upgreek} \\setlength{\\oddsidemargin} 69pt} \\begin{document}\\rm{V}}_{\\rm{B}\\rm{C}}_{\\rm{N} \\end{document} defect as a viable candidate and predict that out of plane deformations make the defect environmentally sensitive. Our results resolve a long standing debate about the origin of single emitters at the visible range in hBN and will be key to the deterministic engineering of these defects for quantum photonic devices. Comparison of hexagonal boron nitride samples grown with different techniques and with varying carbon doping content provides evidence that the defects emitting single photons in the visible range are carbon related.", "author_names": [ "Noah Mendelson", "Dipankar Chugh", "Jeffrey R Reimers", "Tin S Cheng", "Andreas Gottscholl", "Hu Long", "Christopher J Mellor", "Alex Zettl", "Vladimir Dyakonov", "Peter H Beton", "Sergei V Novikov", "Chennupati Jagadish", "Hark Hoe Tan", "Michael J Ford", "Milos Toth", "Carlo Bradac", "Igor Aharonovich" ], "corpus_id": 211677855, "doc_id": "211677855", "n_citations": 57, "n_key_citations": 1, "score": 0, "title": "Identifying carbon as the source of visible single photon emission from hexagonal boron nitride", "venue": "Nature Materials", "year": 2020 }, { "abstract": "Single photon emitters in 2D hexagonal boron nitride (hBN) have attracted a considerable attention because of their highly intense, stable, and strain tunable emission. However, the precise source of this emission, in particular the detailed atomistic structure of the involved crystal defect, remains unknown. In this work, we present first principles calculations of the vibrationally resolved optical fingerprint of the spin triplet (2)(_^3)B_1 to (1)(_^3)B_1 transition of the VNCB point defect in hBN. Based on the excellent agreement with experiments for key spectroscopic quantities such as the emission frequency and polarization, the photoluminescence (PL) line shape, Huang Rhys factor, Debye Waller factor, and re organization energy, we conclusively assign the observed single photon emission at ~2eV to the VNCB defect. Our work thereby resolves a long standing debate about the exact chemical nature of the source of single photon emission from hBN and establishes the microscopic understanding necessary for controlling and applying such photons for quantum technological applications.", "author_names": [ "A Sajid", "and Kristian S Thygesen" ], "corpus_id": 214612454, "doc_id": "214612454", "n_citations": 12, "n_key_citations": 0, "score": 0, "title": "VNCB defect as source of single photon emission from hexagonal boron nitride", "venue": "", "year": 2020 }, { "abstract": "Defect centers in two dimensional hexagonal boron nitride (hBN) are drawing attention as single photon emitters with high photo stability at room temperature. With their ultra high photon stability, hBN single photon emitters are promising for new applications in quantum technologies and for two dimensional material based optoelectronics. Here, we control the emission rate of hBN defects by coupling these to resonant plasmonic nanocavities. By deterministic control of the antenna we acquire high resolution emission maps of the single hBN defects. Using time gating, we can discriminate the hBN defect emission from the antenna luminescence. We observe sharp dips (40 nm FWHM) in emission, together with a reduction with the luminescence lifetime. Comparing with FDTD simulations we conclude that both radiative and non radiative rates are enhanced, which effectively reduce the quantum efficiency. Also, the large refractive index of the hBN largely screens off the local antenna field enhancement. Finally, based on the insight gained, we propose a close contact design for an order of magnitude brighter hBN single photon emission.", "author_names": [ "Nicola Palombo Blascetta", "Matz Liebel", "Xiaobo Lu", "Takashi Taniguchi", "Kenji Watanabe", "Dmitri K Efetov", "Niek F van Hulst" ], "corpus_id": 211111067, "doc_id": "211111067", "n_citations": 8, "n_key_citations": 0, "score": 0, "title": "Nanoscale Imaging and Control of hexagonal Boron Nitride Single Photon Emitters by a Resonant Nano antenna.", "venue": "Nano letters", "year": 2020 }, { "abstract": "For quantum photonic applications, such as quantum communication, optical quantum information processing, and metrology, solid state sources of single photon emitters are highly needed. Recently, single photon emitters in two dimensional (2D) van der Waals materials have attracted tremendous attention because of their atomic thickness, allowing for high photon extraction efficiency and easy integration into photonic circuits. In particular, a defect hosted by 2D hexagonal boron nitride (hBN) is expected to be a promising candidate for next generation single photon sources due to its chemical and thermal stability and high brightness at room temperature. Here, we report an effective method for generating single photon emission in mechanically exfoliated hBN flakes by annealing in a carbon rich environment. The one step annealing in a mixed atmosphere (Ar:CH4:H2 15:5:1) greatly increases the single photon emitter density in hBN. The resulting single photon emission shows high stability and brightness. Our results provide an effective method for generating room temperature single photon emitters in 2D hBN.", "author_names": [ "Chao Lyu", "Yaozheng Zhu", "Pingfan Gu", "Jiandong Qiao", "Kenji Watanabe", "Takashi Taniguchi", "Yu Ye" ], "corpus_id": 230562006, "doc_id": "230562006", "n_citations": 5, "n_key_citations": 0, "score": 0, "title": "Single photon emission from two dimensional hexagonal boron nitride annealed in a carbon rich environment", "venue": "", "year": 2020 }, { "abstract": "The generation of non classical light states in the near infrared (NIR) is important for a number of photonic quantum technologies. Here, we report the first experimental observation of sub Poissonian NIR (1.24 eV) light emission from defects in a 2D hexagonal boron nitride (hBN) sheet at room temperature. Photoluminescence statistics shows g(2)(0) 0.6, which is a signature of the quantum nature of the emission. Density functional theory calculations, at the level of the generalized gradient approximation, for the negatively charged nitrogen anti site lattice defects are consistent with the observed emission energy. This work demonstrates that the defects in hBN could be a promising platform for single photon generation in the NIR.", "author_names": [ "Robin Camphausen", "Loris Marini", "Sherif Abdulkader Tawfik", "Toan Trong Tran", "Michael J Ford", "Stefano Palomba" ], "corpus_id": 225584585, "doc_id": "225584585", "n_citations": 5, "n_key_citations": 0, "score": 0, "title": "Observation of near infrared sub Poissonian photon emission in hexagonal boron nitride at room temperature", "venue": "", "year": 2020 }, { "abstract": "Artificial atomic systems in solids are becoming increasingly important building blocks in quantum information processing and scalable quantum nanophotonic networks. Amongst numerous candidates, 2D hexagonal boron nitride has recently emerged as a promising platform hosting single photon emitters. Here, we report a number of robust plasma and thermal annealing methods for fabrication of emitters in tape exfoliated hexagonal boron nitride (hBN) crystals. A two step process comprising Ar plasma etching and subsequent annealing in Ar is highly robust, and yields an eight fold increase in the concentration of emitters in hBN. The initial plasma etching step generates emitters that suffer from blinking and bleaching, whereas the two step process yields emitters that are photostable at room temperature with emission wavelengths greater than ~700 nm. Density functional theory modeling suggests that the emitters might be associated with defect complexes that contain oxygen. This is further confirmed by generating the emitters via annealing hBN in air. Our findings advance the present understanding of the structure of quantum emitters in hBN and enhance the nanofabrication toolkit needed to realize integrated quantum nanophotonic circuits.", "author_names": [ "Zai-Quan Xu", "Christopher Elbadawi", "Toan Trong Tran", "Mehran Kianinia", "Xiuling Li", "Daobin Liu", "Timothy B Hoffman", "Minh Hieu Nguyen", "Sejeong Kim", "James H Edgar", "Xiaojun Wu", "Li Song", "Sajid Ali", "Michael J Ford", "Milos Toth", "Igor Aharonovich" ], "corpus_id": 5055270, "doc_id": "5055270", "n_citations": 51, "n_key_citations": 0, "score": 0, "title": "Single photon emission from plasma treated 2D hexagonal boron nitride.", "venue": "Nanoscale", "year": 2018 }, { "abstract": "In this Letter, we demonstrate strong light emission from defective hexagonal boron nitride (hBN) defect centers upon their coupling with disorder near touching plasmonic units. Based on numerical simulations and characterization results, the plasmonic design at thin layer thicknesses of 20 nm can provide above 2 orders of magnitude enhancement in photoluminescence (PL) spectra. Moreover, this plasmonic platform shortens the luminescence lifetime of the emitters. The proposed design can be easily extended to other plasmonic emitter combinations where strong light matter interaction can be achieved using large scale compatible routes.", "author_names": [ "Zeinab Eftekhari", "Amir Ghobadi", "Mahmut Can Soydan", "Deniz Umut Yildirim", "Neval A Cinel", "Ekmel Ozbay" ], "corpus_id": 232763781, "doc_id": "232763781", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Strong light emission from a defective hexagonal boron nitride monolayer coupled to near touching random plasmonic nanounits.", "venue": "Optics letters", "year": 2021 }, { "abstract": "Two dimensional van der Waals materials have emerged as promising platforms for solid state quantum information processing devices with unusual potential for heterogeneous assembly. Recently, bright and photostable single photon emitters were reported from atomic defects in layered hexagonal boron nitride (hBN) but controlling inhomogeneous spectral distribution and reducing multi photon emission presented open challenges. Here, we demonstrate that strain control allows spectral tunability of hBN single photon emitters over 6 meV, and material processing sharply improves the single photon purity. We observe high single photon count rates exceeding 7 x 106 counts per second at saturation, after correcting for uncorrelated photon background. Furthermore, these emitters are stable to material transfer to other substrates. High purity and photostable single photon emission at room temperature, together with spectral tunability and transferability, opens the door to scalable integration of high quality quantum emitters in photonic quantum technologies.Inhomogeneous spectral distribution and multi photon emission are currently hindering the use of defects in layered hBN as reliable single photon emitters. Here, the authors demonstrate strain controlled wavelength tuning and increased single photon purity through suitable material processing.", "author_names": [ "Gabriele Grosso", "Hyowon Moon", "Benjamin Lienhard", "Sajid Ali", "Dmitri K Efetov", "Marco M Furchi", "Pablo Jarillo-Herrero", "Michael J Ford", "Igor Aharonovich", "Dirk R Englund" ], "corpus_id": 3285003, "doc_id": "3285003", "n_citations": 218, "n_key_citations": 4, "score": 0, "title": "Tunable and high purity room temperature single photon emission from atomic defects in hexagonal boron nitride", "venue": "Nature Communications", "year": 2017 } ]
Millimeter-Scale, Micro-Electro- Mechanical Systems Gas Turbine Engines
[ { "abstract": "The confluence of market demand for greatly improved compact power sources for portable electronics with the rapidly expanding capability of micromachining technology has made feasible the development of gas turbines in the millimeter size range. With airfoil spans measured in 100's of microns rather than meters, these microengines have about 1 millionth the airflow of large gas turbines and thus should produce about one millionth the power, 10 100 W. Based on semiconductor industry derived processing of materials such as silicon and silicon carbide to submicron accuracy, such devices are known as micro electro mechanical systems (MEMS) Current millimeter scale designs use centrifugal turbomachinery with pressure ratios in the range of 2:1 to 4:1 and turbine inlet temperatures of 1200 1600 K. The projected performance of these engines are on a par with gas turbines of the 1940s. The thermodynamics of MEMS gas turbines are the same as those for large engines but the mechanics differ due to scaling considerations and manufacturing constraints. The principal challenge is to arrive at a design which meets the thermodynamic and component functional requirements while staying within the realm of realizable micromachining technology. This paper reviews the state of the art of millimeter size gas turbine engines, including system design and integration, manufacturing, materials, component design, accessories, applications, and economics. It discusses the underlying technical issues, reviews current design approaches, and discusses future development and applications.", "author_names": [ "A H Epstein" ], "corpus_id": 109061953, "doc_id": "109061953", "n_citations": 254, "n_key_citations": 13, "score": 1, "title": "Millimeter Scale, Micro Electro Mechanical Systems Gas Turbine Engines", "venue": "", "year": 2004 }, { "abstract": "The confluence of market demand for greatly improved compact power sources for portable electronics with the rapidly expanding capability of micromachining technology has made feasible the development of gas turbines in the millimeter size range. With airfoil spans measured in 100's of microns rather than meters, these \"microengines\" have about 1 millionth the air flow of large gas turbines and thus should produce about 1 millionth the power, 10 100 W. Based on semiconductor industry derived processing of materials such as silicon and silicon carbide to submicron accuracy, such devices are known as micro electro mechanical systems (MEMS) Current millimeter scale designs use centrifugal turbomachinery with pressure ratios in the range of 2:1 to 4:1 and turbine inlet temperatures of 1200 1600 K. The projected performance of these engines are on a par with gas turbines of the 1940's. The thermodynamics of MEMS gas turbines are the same as those for large engines but the mechanics differ due to scaling considerations and manufacturing constraints. The principal challenge is to arrive at a design which meets the thermodynamic and component functional requirements while staying within the realm of realizable micromachining technology. This paper reviews the state of the art of millimeter size gas turbine engines, including system design and integration, manufacturing, materials, component design, accessories, applications, and economics. It discusses the underlying technical issues, reviews current design approaches, and discusses future development and applications.", "author_names": [ "A H Epstein" ], "corpus_id": 110483808, "doc_id": "110483808", "n_citations": 184, "n_key_citations": 8, "score": 1, "title": "Millimeter Scale, MEMS Gas Turbine Engines", "venue": "", "year": 2003 }, { "abstract": "The confluence of market demand for greatly improved compact power sources for portable electronics with the rapidly expanding capability of micromachining technology has made feasible the development of gas turbines in the millimeter size range. With airfoil spans measured in 100's of microns rather than meters, these \"microengines\" have about 1 millionth the air flow of large gas turbines and thus should produce about 1 millionth the power, 10 100 W. Based on semiconductor industry derived processing of materials such as silicon and silicon carbide to submicron accuracy, such devices are known as micro electro mechanical systems (MEMS) Current millimeter scale designs use centrifugal turbomachinery with pressure ratios in the range of 2:1 to 4:1 and turbine inlet temperatures of 1200 1600 K. The projected performance of these engines are on a par with gas turbines of the 1940's. The thermodynamics of MEMS gas turbines are the same as those for large engines but the mechanics differ due to scaling considerations and manufacturing constraints. The principal challenge is to arrive at a design which meets the thermodynamic and component functional requirements while staying within the realm of realizable micromachining technology. This paper reviews the state of the art of millimeter size gas turbine engines, including system design and integration, manufacturing, materials, component design, accessories, applications, and economics. It discusses the underlying technical issues, reviews current design approaches, and discusses future development and applications.", "author_names": [ "A H Epstein" ], "corpus_id": 11309093, "doc_id": "11309093", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "GT 2003 38866 MILLIMETER SCALE MEMS GAS TURBINE ENGINES", "venue": "", "year": 2003 }, { "abstract": "In this study, it was attempted to estimate the flow characteristics in the vicinity of an engine inner wall from the instantaneous local heat fluxes measured using a micro electro mechanical systems sensor. As the sensor has three resistance temperature detectors with a size of 315 um fabricated on a circumference with a diameter of 900 um in rotational symmetry, it can measure local heat flux on the equivalent scale of the turbulence of in cylinder flow. The advective velocity and turbulent eddy scale were estimated from heat flux fluctuations using a cross correlation analysis, and these were compared with results of particle image velocimetry performed under motored operation conditions. As a result, it was found that the micro electro mechanical systems sensor has the potential to detect the gas side information such as the wall parallel flow velocity. Although further verification of the physical meanings of the estimated characteristics is necessary, the micro electro mechanical systems sensor will become a powerful tool for engine diagnostics.", "author_names": [ "Kazuhito Dejima", "Osamu Nakabeppu" ], "corpus_id": 219456693, "doc_id": "219456693", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Attempt of estimating flow characteristics from wall heat fluxes measured using a three point micro electro mechanical systems sensor", "venue": "", "year": 2020 }, { "abstract": "There have been umpteen efforts in the past to convert an automotive turbocharger, consisting of a compressor and a turbine mounted on the same shaft, into a miniaturized gas turbine engine or micro gas turbine engine (mGTE) This paper presents the development of turbocharger based mGTE and its integration with an electrical power pack. This mGTE is used for validating the concept of electro mechanical interaction of these systems leading to electric power generation. The selection and sizing of the turbocharger center housing rotating assembly for the engine is carried out considering a wide range of industrial/consumer applications. All static parts including combustor have been designed and developed in view of the potential applications. The fuel air mixture when burnt in the combustor expands across the turbine and exits through the exhaust nozzle to produce thrust. The energy in the exhaust gas is utilized for running the power turbine which in turn is connected to an alternating current (AC) generator to produce electric power. A generator control unit that built in the alternator was used for voltage regulation. A control architecture has been designed to moderate the mGTE exhaust gas bypass valve in case of over speeding of the alternator. Also, a resistor based variable load bank was designed to demonstrate generator performance. The system can be made to run on multiple fuels (for example, bio gas, diesel or kerosene) with small changes to the combustion chamber. Few potential applications of the mGTE integrated power generator include decentralized power generation (microgrid) combined heating and cooling and laboratory platform for universities. The technology and system architecture developed for mGTE can be leveraged for applications such as Urban Air Mobility (UAM) electric propulsion.", "author_names": [ "K N Sudheendra", "Kumar Sakinala", "Davendar Kashireddy", "Somashekhar M Maheswarappa Hosamane", "Vadiraja Upadya", "Deepak Kumar", "Louis Cheng" ], "corpus_id": 202214333, "doc_id": "202214333", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Study of electro mechanical interaction in micro gas turbine engine generator system for more electric applications", "venue": "2019 AIAA/IEEE Electric Aircraft Technologies Symposium (EATS)", "year": 2019 }, { "abstract": "Current commercial and heavy duty powertrains are geared towards emissions reduction. Energy recovery from exhaust gases has great potential, considering the mechanical work to be transferred back to the engine. For this purpose, an additional turbine can be implemented behind a turbocharger; this solution is called turbocompounding (TC) This paper considers the adaptation of turbine wheels and gearboxes of small turboshaft and turbojet engines into a two stage TC system for a six cylinder opposed piston engine that is currently under development. The initial conditions are presented in the first section, while a comparison between small turboshaft and turbojet engines and their components for TC is presented in the second section. Based on the comparative study, a total number of 7 turbojet and 8 turboshaft engines were considered for the TC unit.", "author_names": [ "Dariusz Kozak", "Pawel Mazuro" ], "corpus_id": 219063461, "doc_id": "219063461", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Review of Small Gas Turbine Engines and Their Adaptation for Automotive Waste Heat Recovery Systems", "venue": "", "year": 2020 }, { "abstract": "Current trends in the aviation industry are aimed at upgrading avionics and replacing mechanical, hydraulic and pneumatic systems in favor of electrical systems. The article contains an analytical review of the starting devices of the gas turbine engine of an aircraft. The article analyzes the starting characteristics of an aircraft gas turbine engine, as well as the requirements for the design of electric starting devices of an aircraft engine. Options for building starter generator systems to increase the level of electrification of aircraft onboard equipment, within the framework of the concept of \"more electric aircraft\" are considered. Along with increasing the level of electrification, the problem of increasing the energy efficiency of existing electrical equipment of aircraft is acute. One of the criteria for the effective use of aviation equipment is the introduction of multifunctional onboard units and units. According to this criterion it is advisable to combine the starter and generator of the auxiliary power unit in one module.", "author_names": [ "Maksim A Zharkov", "Regina Yu Sarakhanova" ], "corpus_id": 222221760, "doc_id": "222221760", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Analysis of Current State of the Starting Device for Aircraft Gas Turbine Engines", "venue": "2020 International Ural Conference on Electrical Power Engineering (UralCon)", "year": 2020 }, { "abstract": "In the Arctic, submarines and equipment in the near future will carry out transport operations with overcoming ice fields underwater, as well as exploration, construction and operation of underwater objects. It is possible to use gas turbine plants in a single circuit version, which operate on hydrocarbon fuel, as closed ones for underwater equipment. The oxidizing agent is usually oxygen. The working fluid of closed gas turbine plants (CGTP) is a mixture of combustion products of hydrocarbon fuel and oxygen. Studies of the thermodynamic cycle characteristics of CGTP with oxygen as an oxidizer with various initial data have shown the possibility of operating micro gas turbine plants at nominal conditions with acceptable efficiency in engines with heat recovery. Higher efficiency indicators are obtained in CGTP with a turbocompressor utilizer (TCU) and a heat regenerator. However, power plants of underwater equipment are often operated at partial loads under various types of loads associated with their purpose. When determining characteristics in variable modes, the nominal parameters are the initial data. For SGTP with TCU and heat recovery (R) the efficiency is relatively 15 20% higher than for SGTP with R, and the specific power is 1.5 times higher. Investigation of the SGTP characteristics at partial loads is carried out under generator and screw loading, which are typical for underwater equipment. It has been established that SGTP with TCU and R at all loading modes have higher efficiency than SGTP with R. SGTP at partial loads with a screw type of loading are more economical than at variable generator loads. CGTP can produce mechanical and thermal energy, ensuring high energy efficiency of the plant in a submerged position.", "author_names": [ "Valerii T Matviienko", "Vladimir A Ocheretianyi", "Andrey V Dologlonyan" ], "corpus_id": 229539030, "doc_id": "229539030", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "OPERATION AT VARIABLE MODES OF CLOSED MICRO GAS TURBINE PLANTS WITH OXIDIZER OXYGEN FOR UNDERWATER EQUIPMENT", "venue": "", "year": 2020 }, { "abstract": "The creation and exploitation of gas turbine engines (GTE) often involve two mutually exclusive tasks related to ensuring the highest reliability while achieving a good economic and environmental performance of the power plant. The value of the radial clearance between the blade tips of the compressor or turbine and the stator is a parameter that has a significant impact on the efficiency and safety of the GTE. However, the radial displacements that form tip clearances are only one of the components of the displacements made by GTE elements due to the action of power loads and thermal deformations during engines' operation. The impact of loads in conjunction with natural aging is also the reason for the wear of the GTE's structural elements (for example, bearing assemblies) and the loss of their mechanical strength. The article provides an overview of the methods and tools for monitoring the dangerous states of the GTE (blade tips clearances, impellers and shafts displacements, debris detecting in lubrication system) based on the single coil eddy current sensor, which remains operational at the temperatures above 1200 degC. The examples of practical application of the systems with such sensors in bench tests of the GTE are given.", "author_names": [ "Sergey Borovik", "Yu N Sekisov" ], "corpus_id": 215732687, "doc_id": "215732687", "n_citations": 8, "n_key_citations": 0, "score": 0, "title": "Single Coil Eddy Current Sensors and Their Application for Monitoring the Dangerous States of Gas Turbine Engines", "venue": "Sensors", "year": 2020 }, { "abstract": "The oil system of a gas turbine performs essential lubrication and thermal management functions, providing that the fluidic and tribological properties of the oil can meet functional requirements. New engine designs place increasing thermal and mechanical loads on the oil, and thus increase the risks of accelerated degradation potentially causing the oil properties to deviate from requirements. Presented with these risks, there is a potential business benefit for in situ oil condition knowledge to support oil system health management. Starting with the business needs elicited from stakeholders, a Quality Functional Deployment process is performed to derive sensing system requirements. Sensing principles are reviewed for their capability to assess tribological failure mechanisms, and this is related back to stakeholder requirements. A set of sensors were procured and a testing programme performed that exercises the sensors against different degradations of oil and the noise factors representative of service. These sensors are evaluated for their ability to provide oil condition information. The framework presented in this paper uses system engineering principles to derive a health system design and verification process. The results from verification are reported to aid in providing overarching system availability management.", "author_names": [ "Andrew R Mills", "Shlomo Yaakov Gadelovits", "Michael Leighton", "Gurbuz Comak" ], "corpus_id": 116843639, "doc_id": "116843639", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Oil System Health Management for Aerospace Gas Turbine Engines", "venue": "2019 IEEE Aerospace Conference", "year": 2019 } ]
remove malachite green from water
[ { "abstract": "Environmental pollution on a global scale is the greatest problem faced by chemical scientists in the 21st century. Therefore an increasing number of the scientists are looking for a new photo catalytic system to find the solution. Existing photo catalytic systems are effective for the decomposition of many unwanted organics through the use of efficient semiconductor photo catalyst activated by ultra violet (UV) irradiation. By reducing the band gap energy of semiconductor, the wave length of light increases, it shifted from UV region to visible region. So the demand for usage of sunlight (visible light) activated photo catalytic systems is increasing rapidly. The purpose of this work was to investigate the degradation potential of doped TiO2/Mg under visible light. The catalyst was prepared by sol gel method. It had been shown that it is possible to deposit TiO2/Mg on glass and could be operated in a continuous mode. The malachite green (MG) present in waste water was aimed to study. The photo catalytic efficiency of synthesized catalysts was evaluated by the degradation of malachite green (MG) in solution under visible light irradiation. The experimental results indicate that the decolourisation of dyes is effective in the presence of catalyst. Photo decolourisation is increased with decreasing flow rate of dye and by increasing the light intensity. The colour removal of MG is very effective by maintaining pH at 9 and pH 5 respectively. As the initial concentration of dye increases, the rate of colour removal decreases. It has been showed that the doped catalysts have better photo catalytic activity under visible light.", "author_names": [ "R Vijayaram", "Kirubanandhan Lokeshwaran", "M M Kabeesvar" ], "corpus_id": 216086434, "doc_id": "216086434", "n_citations": 0, "n_key_citations": 0, "score": 1, "title": "Photo Catalytic Activity of Visible Light Sensitive Doped TiO2 Nano Catalyst to Remove Malachite Green in Waste Water", "venue": "", "year": 2020 }, { "abstract": "Abstract Application of cetyltrimethylammonium bromide (CTAB) modified multi component biosorbent composed of pine, oak, hornbeam and fir sawdust biomasses was investigated to remove Malachite green (MG) as a model pollutant from aqueous solution. The effects of pH, dye concentration, biosorbent amount and contact time on the biosorption performance were explored in a batch biosorption system. The biosorption isotherm data were analyzed using Freundlich, Langmuir, Sips and Dubinin Radushkevich models while the kinetic data of biosorption were modeled with the pseudo first order, pseudo second order, Elovich, logistic and intra particle diffusion models. These studies showed that Sips isotherm and logistic model fitted well to the dye biosorption data. The maximum biosorption capacity of biosorbent was calculated to be 52.610 mg g 1 at the optimized conditions. Thus, the CTAB modified multi component sawdust biomass can be employed as cost effective and ecological friendly biosorbent in the treatment of industrial effluents containing such unsafe pollutants.", "author_names": [ "Fatih Deniz", "Remziye Aysun Kepekci" ], "corpus_id": 99015550, "doc_id": "99015550", "n_citations": 33, "n_key_citations": 0, "score": 0, "title": "Bioremoval of Malachite green from water sample by forestry waste mixture as potential biosorbent", "venue": "", "year": 2017 }, { "abstract": "This study aimed to investigate the efficiency of 3A zeolite as a novel adsorbent for removal of Rhodamine B and Malachite green dyes from water samples. To increase the removal efficiency, effecting parameters on adsorption process were investigated and optimized by adopting Taguchi design of experiments approach. The percentage contribution of each parameter on the removal of Rhodamine B and Malachite green dyes determined using ANOVA and showed that the most effective parameters in removal of RhB and MG by 3A zeolite are initial concentration of dye and pH, respectively. Under optimized condition, the amount predicted by Taguchi design method and the value obtained experimentally, showed good closeness (more than 94.86% Good adsorption efficiency obtained for proposed methods indicates that, the 3A zeolite is capable to remove the significant amounts of Rhodamine B and Malachite green from environmental water samples.", "author_names": [ "Mashaallah Rahmani", "Massoud Kaykhaii", "Mojtaba Sasani" ], "corpus_id": 5054105, "doc_id": "5054105", "n_citations": 40, "n_key_citations": 0, "score": 0, "title": "Application of Taguchi L16 design method for comparative study of ability of 3A zeolite in removal of Rhodamine B and Malachite green from environmental water samples.", "venue": "Spectrochimica acta. Part A, Molecular and biomolecular spectroscopy", "year": 2018 }, { "abstract": "Pallavi kumari and Amar kumar Chemical engineering department BIT Sindri Dhanbad Abstract The removal of basic dye such as malachite green from the synthetic wastewater was experimentally investigated using combined effect of EC and adsorption technology called a three phase three dimensional electrode reactor(TPTDER) The experimental results are expressed in terms of the removal efficiency of the dye molecules, which shows that the TPTDER could efficiently remove the dye molecules from the aqueous solutions. The removal efficiency reached as high as about 99% for an initial malachite green dye concentration in the range of 100 500 mg/l by TPTDER for 10 min at 12 V cell voltage and at specific airflow conditions.", "author_names": [ "Pallavi Kumari", "Sindri Dhanbad" ], "corpus_id": 214593718, "doc_id": "214593718", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "A new in built recirculation mode electrolytic reactor for enhancement of the removal of malachite green dye from the waste water", "venue": "", "year": 2020 }, { "abstract": "The development of new biomaterials for the remove of organic contaminants from wastewater has attracted much attention over the few past years. One of the most cost effective approaches is to produce new high value biomaterials from low value solid agricultural biowastes. In this work, sugarcane bagasse and agricultural waste rich in reducing sugars, acted as both a green bioreductant for graphene oxide (GO) and a sustainable supporter for the immobilization of Burkholderia cepacia. Therefore, this new biomaterial which contained both reduced graphene oxide (RGO) and Burkholderia cepacia, was cable of initial adsorption of malachite green (MG) and its subsequent biodegradation. After 60 h, immobilized Burkholderia cepacia degraded more MG (98.5% than a cell cultured Burkholderia cepacia (87.7% alone. Raman spectroscopy confirmed that GO was successfully reduced by bagasse and that consequently a composite (B RGO) was prepared. SEM indicated that Burkholderia cepacia was well immobilized and kinetics studies showed that the adsorption of MG onto the developed composite fitted a pseudo second order kinetics model (R2 0.99) Biodegradation of MG, was confirmed by the detection of appropriate degradation products such as N, N dimethylaniline and 4 (Dimethylamino) benzophenone using GC MS, UV and FT IR, and via best fit first order biodegration kinetics. Furthermore, a response surface methodology (RSM) was applied to the removal process by varying four independent parameters using a Box Behnken design (BBD) Optimum MG removal (99.3% was achieved at 31.5 degC, with an initial MG concentration of 114.5 mg L 1, initial pH of 5.85, and an adsorbent dosage of 0.11 g L 1. The excellent removal efficiency indicated that agricultural waste derived reduced graphene oxide bio adsorbents have significant potential for the removal of dyes such as MG from industrial wastewaters.", "author_names": [ "Beibei Li", "Li Gan", "Gary Owens", "Zuliang Chen" ], "corpus_id": 52310353, "doc_id": "52310353", "n_citations": 28, "n_key_citations": 1, "score": 1, "title": "New nano biomaterials for the removal of malachite green from aqueous solution via a response surface methodology.", "venue": "Water research", "year": 2018 }, { "abstract": "A surfactant mediated cloud point extraction (CPE) procedure has been developed to remove color from wastewater containing malachite green using Triton X 100 as non ionic surfactant. The effects of the concentration of the surfactant, temperature and salt concentration on the different concentrations of dye have been studied and optimum conditions were obtained for the removal of malachite green. The concentration of malachite green in the dilute phase was measured using UV Vis spectrophotometer. It was found that the separation of phases was complete and the recovery of malachite green was very effective in the presence of NaCl as an electrolyte. The results showed that up to 500 ppm of malachite green can quantitatively be removed >95% by CPE procedure in a single extraction using optimum conditions.", "author_names": [ "Nahid Pourreza", "Shahla Elhami" ], "corpus_id": 97211903, "doc_id": "97211903", "n_citations": 21, "n_key_citations": 0, "score": 0, "title": "Removal of malachite green from water samples by cloud point extraction using Triton X 100 as non ionic surfactant", "venue": "", "year": 2010 }, { "abstract": "Abstract A highly effective Iron Metal Framework photocatalyst (MIL 68(Fe) has been successfully prepared via a facile solvothermal method under acidic condition. The UV vis diffuse reflectance spectrum reveals that the absorption edge of MIL 68(Fe) is 440 nm. The flat band potential of MIL 68(Fe) is 0.6 V vs. NHE at pH 6.8, which is more negative than the redox potential of Cr(VI)/Cr(III) +0.51 V, pH 6.8) Consequently, it is thermodynamically permissible for the transformation of photogenerated electrons to the Cr(VI) to produce Cr(III) Moreover, MIL 68(Fe) could perform as an efficient photocatalyst towards the reduction of Cr(VI) aqueous with a wide pH range. After 5 min of visible light illumination (l 420 nm) almost 100% Cr(VI) can convert to Cr(III) with (NH 4 2 C 2 O 4 as a scavenger (pH 3) which is also higher than that of N doped TiO 2 (50% and ZnO (7.6% under identical conditions. Furthermore, MIL 68(Fe) is proved to perform as a highly efficient photocatalyst for remove of different aqueous contaminant with malachite green (MG) as a scavenger. Finally, a possible reaction mechanism has also been investigated in detail.", "author_names": [ "Fenfen Jing", "Ruowen Liang", "Jinhua Xiong", "Ruigen Chen", "Shiying Zhang", "Yanhua Li", "Ling Wu" ], "corpus_id": 102410472, "doc_id": "102410472", "n_citations": 88, "n_key_citations": 1, "score": 0, "title": "MIL 68(Fe) as an efficient visible light driven photocatalyst for the treatment of a simulated waste water contain Cr(VI) and Malachite Green", "venue": "", "year": 2017 }, { "abstract": "In this study, our group grafted 2 acrylamido 2 methylpropane sulfonic acid (AMPS) onto the surface of hollow glass microspheres (HGM) and successfully prepared AMPS grafted floating adsorbent (AFA) The prepared AFA carries a large amount of negative charges, and the adsorptions of cationic dyes are achieved under the action of strong electrostatic interaction. Furthermore, due to the unique shell structure of AFA, it has a stable self floating ability, which may change the traditional separation method to make the adsorbent easier to enrich and separate from water surface. Characterizations of AFA by scanning electron microscope, energy dispersive spectrometry, X ray photoelectron spectroscopy. Fourier transform infrared spectra, Brunauer Emmett Teller surface areas, thermogravimetric analysis, and X ray diffractometer shows the successful grafting of AMPS. Adsorption experiments confirmed that the adsorption capacities of AFA for methylene blue, malachite green, basic fuchsin and crystal violet under optimum conditions were 436.8 mg g 1, 637.6 mg g 1, 457.8 mg g 1, and 399.4 mg g 1, respectively. At the same time, AFA has excellent recyclability, and its adsorption capacity can be maintained after 6 cycles of reuse.", "author_names": [ "Yanyan An", "Huaili Zheng", "Xinyu Zheng", "Qiang Sun", "Yuhao Zhou" ], "corpus_id": 145049313, "doc_id": "145049313", "n_citations": 28, "n_key_citations": 1, "score": 0, "title": "Use of a floating adsorbent to remove dyes from water: A novel efficient surface separation method.", "venue": "Journal of hazardous materials", "year": 2019 }, { "abstract": "The magnetic magnesium silicate composite (Fe3O4@MgSi) with efficient removal of Cu(II) and malachite green (MG) from aqueous solution were synthesized by hydrothermal approach. The synthetic flower like particle size was about 2 3 mm. In the process of exploring the adsorption conditions, the isotherms were found to be well fitted by Langmuir, Freundlich, and isotherm kinetics in accord with the pseudo second order model. In addition, the maximum adsorption capacity was 2198 mg*g 1 when the Cu(II) concentration of 800 mg*L 1. These results indicated that Fe3O4@MgSi can be used as a superadsorbent and recyclable adsorbent to effectively and rapidly remove Cu(II) and MG pollutants from industrial wastewater or drinking water.", "author_names": [ "Huandong Liu", "Zunli Mo", "Li Li", "Fang Chen", "Qijun Wu", "Lei Lei Qi" ], "corpus_id": 104067075, "doc_id": "104067075", "n_citations": 9, "n_key_citations": 0, "score": 0, "title": "Efficient Removal of Copper(II) and Malachite Green from Aqueous Solution by Magnetic Magnesium Silicate Composite", "venue": "", "year": 2017 }, { "abstract": "Zeolite imidazolate frameworks (ZIFs) exhibit great potential for organic pollutant removal from water by adsorption. However, it is difficult to remove nanosized ZIFs after adsorption. Here, well dispersed ZIF 8 is successfully grown onto porous carbons by an in situ growth method. The resultant ZIF 8/Carbon shows excellent adsorption removal efficiency for malachite green (MG) with a maximum adsorption capacity of 3056 mg/g at 30 degC. The adsorption kinetics and isotherm data fit well with the pseudo second order kinetic model and Langmuir isotherm model, respectively. The influences of temperature, solution pH and salts are also investigated. In addition, the adsorbent can be separated easily by filtration because of its large particle size. Moreover, regeneration experiments reveal that the used adsorbent still exhibits excellent applicability after at least four recycling runs. These features enable ZIF 8/Carbon to be a promising adsorbent for the removal of MG from water.", "author_names": [ "Yanfang Li", "Xinlong Yan", "Xiaoyan Hu", "Rui Feng", "Min Zhou", "Dezhi Han" ], "corpus_id": 218528167, "doc_id": "218528167", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "In situ growth of ZIF 8 onto porous carbons as an efficient adsorbent for malachite green removal", "venue": "Journal of Porous Materials", "year": 2020 } ]
optical fiber based Nano technology
[ { "abstract": "Abstract In this paper, a distributed optical fiber senor system for multi intrusion monitoring has been proposed along a 25 km distance based on phase sensitive optical time domain reflectometer D t 100 n s and a remote optically pump amplifier (ROPA) The ROPA is based on Raman pump relay free amplification and remote connected in erbium doped fiber (EDF) It makes the detection distance increase 108% from 12km to 25km. The best amplification effect is achieved when the EDF is connected in the middle area. In the experiments, several vibrations of the fiber at different locations given by piezoelectric transducers (PZTs) simulate the vibrations caused by intrusions. Three remote intrusions have been detected and located simultaneously along a 25km optical fiber with a spatial resolution of 10m. In order to improve the signal to noise ratio (SNR) and detection distance, the semiconductor optical amplifier (SOA) with high extinction ratio and narrow pulse width has been used to modulate light into pulses. The SNR of 9.5dB has realized for intrusion detection at remote tail end of 25km distance. The experimental results can be the references for improving the remote intrusion detection and location technology of Ph O T D R sensor system.", "author_names": [ "Yage Zhan", "Zhong-kang Song", "Zeyu Sun", "Muhuo Yu", "Aijin Guo", "Changheng Feng", "Jiaxin Zhong" ], "corpus_id": 225657165, "doc_id": "225657165", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "A distributed optical fiber sensor system for intrusion detection and location based on the phase sensitive OTDR with remote pump EDFA", "venue": "", "year": 2021 }, { "abstract": "The use of hydrogen as a clean and renewable alternative to fossil fuels requires a suite of flammability mitigating technologies, particularly robust sensors for hydrogen leak detection and concentration monitoring. To this end, we have developed a class of lightweight optical hydrogen sensors based on a metasurface of Pd nano patchy particle arrays, which fulfills the increasing requirements of a safe hydrogen fuel sensing system with no risk of sparking. The structure of the optical sensor is readily nano engineered to yield extraordinarily rapid response to hydrogen gas <3 s at 1 mbar H2) with a high degree of accuracy <5% By incorporating 20% Ag, Au or Co, the sensing performances of the Pd alloy sensor are significantly enhanced, especially for the Pd80Co20 sensor whose optical response time at 1 mbar of H2 is just ~0.85 s, while preserving the excellent accuracy <2.5% limit of detection (2.5 ppm) and robustness against aging, temperature, and interfering gases. The superior performance of our sensor places it among the fastest and most sensitive optical hydrogen sensors.", "author_names": [ "Hoang Mai Luong", "Minh Thien Pham", "Tyler C Guin", "Richa Pokharel Madhogaria", "M H Phan", "George Keefe Larsen", "Tho Duc Nguyen" ], "corpus_id": 232092961, "doc_id": "232092961", "n_citations": 1, "n_key_citations": 0, "score": 1, "title": "Sub second and ppm level optical sensing of hydrogen using templated control of nano hydride geometry and composition", "venue": "Nature communications", "year": 2021 }, { "abstract": "Abstract Due to the transmission mode and multiple loss peak of a long period fiber grating pair (LPGP) there are some difficulties in multiplexing and demodulating it. To realize the multi point detection of LPGP, a new distributed demodulation system based on space division multiplexing is proposed. The relationship between the spectra of the LPGP and the grating parameters (grating length, grating period, separation of the long period fiber grating) were investigated, and a series of rules for optimizing the spectrum characteristics of the LPGP were obtained. By using optical switches, the traditional spectrometer demodulation is combined with computer technology to design a multiplex demodulation system of distributed LPGPs. Spectrum reduction, resonant wavelength, and temperature demodulation of the LPGP were realized. The experimental results show that the demodulation speed of the system is 1.1 seconds and the wavelength accuracy is 0.01 nm. The designed system has the advantages of high precision, strong compatibility and friendly operation interface. This multiplexing method is appealing to construct distributed LPGP sensors since it is easy to extend multiplexing channels.", "author_names": [ "Xingliu Hu", "Haifei Si", "Hao Shen" ], "corpus_id": 230557429, "doc_id": "230557429", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Spectral characteristics and space division multiplexing scheme of long period fiber grating pair", "venue": "", "year": 2021 }, { "abstract": "Abstract Reducing the complexity and the cost is the key to introducing high performance coherent technologies into practical radio over fiber (RoF) links. In this paper, we propose a coherent detected RoF link with low complexity optical configuration and a light digital signal processing (DSP) unit. Based on a dual parallel Mach Zehnder modulator (DP MZM) at the transmitter, the amplitude and phase of a light wave are modulated to achieve spectral efficient use of the optical source. After transmission over a single optical fiber, the modulated signals are coherent detected at the receiver by being interfered with an independent local oscillator laser and then are demodulated digitally. Compared with other two optical source coherent detected RoF links, our proposed scheme can accomplish dual channel RF signal transmission albeit with halved optical configuration. Additionally, the DSP algorithm to get rid of the laser phase fluctuation between two lasers is simple and efficient. An experimental demonstration is done. Two 16 QAM microwave vector signals at the same symbol rate of 50 Msymbol/s are transmitted over 25 km single mode fiber (SMF) and the error vector magnitudes (EVMs) of 3.15% and 3.53% are obtained respectively. To explore its potential, two 64 QAM microwave vector signals are transported with similar conditions and the measured EVMs are 4.05% and 5.88% respectively.", "author_names": [ "Huixing Zhang", "Aijun Wen", "Wu Zhang", "Weipeng Zhang" ], "corpus_id": 230596778, "doc_id": "230596778", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "A simplified coherent detected radio over fiber link with intensity and phase modulation and simple digital phase noise cancellation", "venue": "", "year": 2021 }, { "abstract": "Complex optical systems such as high quality microcavities enabled by advanced lithography and processing techniques paved the way to various light matter interactions (LMI) studies. Without lattice matching constraints in epitaxy, coating techniques or shaky open cavity constructions, sub micrometer precise lithographic development of a polymer photoresist paves the way to polymer microcavity structures for various spectral regions based on the material's transparency and the geometrical sizes. We introduce a new approach based on 3D nanowriting in photoresist, which can be employed to achieve microscopic photonic Fabry P\\'erot cavity structures with mechanically tunable resonator modes and polymer/air Bragg mirrors, directly on a chip or device substrate. We demonstrate by transfer matrix calculations and computer assisted modelling that open microcavities with up to two \"air Bragg\" reflectors comprising alternating polymer/air mirror pair layers enable compression induced mode tuning that can benefit many LMI experiments, such as with 2D materials, nanoparticles and molecules.", "author_names": [ "Chirag Chandrakant Palekar", "Arash Rahimi-Iman" ], "corpus_id": 232417190, "doc_id": "232417190", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Tunable Polymer/Air Bragg Optical Microcavity Configurations for Controllable Light Matter Interaction Scenarios", "venue": "", "year": 2021 }, { "abstract": "We demonstrate DML based net 325 Gb/s at back to back and 321.24 Gb/s after 2 km standard single mode fiber transmissions for >300 Gbps/l short reach optical interconnects. Our net rate performance denotes an increase of ~34% compared to our previous works, while the pre FEC rates are >400 Gbps. The DML transmitter is based on a PPR enhanced, >100 GHz bandwidth DML, fabricated by our novel membrane III V on SiC technology. Also wide band, entropy loaded DMT modulation is utilized based on a novel adaptive algorithm and via a digitally preprocessed analog multiplexer. These results pave the way towards low cost and energy efficient Terabit Ethernet and a significant step towards achieving DML based 400 Gbps/l IM/DD systems in the future.", "author_names": [ "Nikolaos P Diamantopoulos", "Hiroshi Yamazaki", "Suguru Yamaoka", "Munehiko Nagatani", "Hidetaka Nishi", "Hiromasa Tanobe", "Ryo Nakao", "Takuro Fujii", "Koji Takeda", "Takaaki Kakitsuka", "Hitoshi Wakita", "Minoru Ida", "Hideyuki Nosaka", "Fumio Koyama", "Yutaka Miyamoto", "Shinji Matsuo" ], "corpus_id": 226687712, "doc_id": "226687712", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": ">100 GHz Bandwidth Directly Modulated Lasers and Adaptive Entropy Loading for Energy Efficient >300 Gbps/l IM/DD Systems", "venue": "Journal of Lightwave Technology", "year": 2021 }, { "abstract": "Quadriwave lateral shearing interferometry (QLSI) is a quantitative phase imaging technique based on the use of a diffraction grating placed in front of a camera. This grating creates a wire mesh like image, called an interferogram, that is postprocessed to retrieve both the intensity and phase profiles of an incoming light beam. Invented in the 90s, QLSI has been used in numerous applications, e.g. laser beam characterization, lens metrology, topography measurements, adaptive optics, and gas jet metrology. More recently, the technique has been implemented in optical microscopes to characterize micro and nano objects for bioimaging and nanophotonics applications. However, not much effort has been placed on disseminating this powerful technology so far, while it is yet a particularly simple technique. In this article, we intend to popularize this technique by describing all its facets in the framework of optical microscopy, namely the working principle, its implementation on a microscope and the theory of image formation, using simple pictures. We also provide and comment on an algorithm for interferogram processing, written in Matlab. Then, following the new extension of the technique for microscopy and nanophotonics applications, and the deviation from what the technique was initially invented for, we propose to revisit the description of the technique, in particular, by discussing the terminology, insisting more on a grating shadow description rather than a quadriwave process, and proposing an alternative appellation, namely 'grating shadow phase microscopy' or 'grating assisted phase microscopy'", "author_names": [ "Guillaume Baffou" ], "corpus_id": 231861587, "doc_id": "231861587", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Quantitative phase microscopy using quadriwave lateral shearing interferometry (QLSI) principle, terminology, algorithm and grating shadow description", "venue": "", "year": 2021 }, { "abstract": "Manipulating and cooling small particles with light are long standing challenges in many areas of science, from the foundations of physics to applications in biology and nano technology. Light fields can, in particular, be used to isolate mesoscopic particles from their environment by levitating them optically. These levitated particles of micron size and smaller exhibit pristine mechanical resonances and can be cooled down to their motional quantum ground state. Significant roadblocks on the way to scale up levitation from a single to multiple particles in close proximity are the requirements to constantly monitor the particles' positions as well as to engineer light fields that react fast and appropriately to their displacements. Given the complexity of light scattering between particles, each of these two challenges currently seems insurmountable already in itself. Here, we present an approach that solves both problems at once by forgoing any local information on the particles. Instead, our procedure is based on the far field information stored in the scattering matrix and its changes with time. We demonstrate how to compose from these ingredients a linear energy shift operator,", "author_names": [ "Markus Fabian Kaczvinszki", "Nicolas Bachelard", "Jakob Hupfl", "Michael Horodynski", "Matthias Kuhmayer", "Stefan Rotter" ], "corpus_id": 232320359, "doc_id": "232320359", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Optimal Cooling of Multiple Levitated Particles through Far Field Wavefront Shaping", "venue": "", "year": 2021 }, { "abstract": "Quantum key distribution (QKD) is a technology that allows secure key exchange between two distant users. A widespread adoption of QKD requires the development of simple, low cost, and stable systems. However, implementation of the current QKD requires a complex self alignment process during the initial stage and an additional hardware to compensate the environmental disturbances. In this study, we present the implementation of a simple QKD with the help of a stable transmitter receiver scheme, which simplifies the self alignment and is robust enough to withstand environmental disturbances. In case of the stability test, the implementation system is able to remain stable for 48 h and exhibits an average quantum bit error rate of less than 1% without any feedback control. The scheme is also tested over a fiber spool, obtaining a stable and secure finite key rate of 7.32k bits per second over a fiber spool extending up to 75 km. The demonstrated long term stability and obtained secure key rate prove that our method of implementation is a promising alternative for practical QKD systems, in particular, for CubeSat platform and satellite applications.", "author_names": [ "Di Ma", "Xin Liu", "Chunfeng Huang", "Huanbin Lin", "Kejin Wei" ], "corpus_id": 233240654, "doc_id": "233240654", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Simple quantum key distribution using a stable transmitter receiver scheme.", "venue": "Optics letters", "year": 2021 }, { "abstract": "We report a self guided and \"stimulated\" single crystal growth acceleration effect in static super saturated aqueous solutions, producing inorganic (KH2PO4) and organic (tetraphenyl phosphonium family) nonlinear optical single crystals with novel morphologies. The extraordinarily fast unidirectional growth in the presence of complete lateral growth suppression defies all current impurity, defect and dislocation based crystal growth inhibition mechanisms. We propose a self channeling stimulated accelerated growth theory that can satisfactorily explain all experimental results. Using molecular dynamics analysis and a modified two component crystal growth model that includes microscopic surface molecular selectivity we show the lateral growth arrest is the combined result of the self channeling and a self shielding effect. These single crystals exhibit remarkable mechanical flexibility in winding and twisting, demonstrating their unique advantages for chip size quantum and biomedical applications, as well as for production of high yield/high potency pharmaceutical materials. One Sentence Summary: Discovery of a robust, self guided and self stimulated material growth acceleration effect in aqueous inorganic and organic single crystals. Single crystal (1,2) is a catalyst for diverse scientific advances in optical science (3,4) chemical and biomedical science (5 7) mineralogy (8) interstellar astro chemistry (9,10) and even pharmaceutical (11 14) development. It has significantly impacted many scientific fields ranging from quantum entanglement and communication technologies (3,4) to chemical engineering, protein crystallization (13) and pharmaceutical manufacturing of high potency single crystal/co crystal drugs (12,14) For decades, self restricted growth of certain crystal faces, even in a supersaturated solution that favors growth, has been a subject of active investigations. The well established Cabrera Vermilyea theory (15) on surface chemistry by impurities has stimulated extensive research and it is now widely accepted (16) that crystal growth eventually arrests by one of two means: (i) the depletion of growth molecules from the surrounding solution when the super saturation reaches zero, or (ii) the presence of metal impurities that \"poison\" surface chemistry, thereby inhibiting the crystalline growth of native molecules (15 24) Here, we report a single crystal growth phenomenon that defies this well established theoretical understanding. Indeed, the observed growth bi morphology cannot be explained by any currently known theories of crystalline surface growth inhibition mechanisms, including all impurity, restricted nucleation, dislocation or defect based theories, as well as solid state chemistry and material science in general, a strong indication of unknown surface physics effects and processes. We propose a self channeling stimulated matter growth acceleration theory, in analogy to the coherent stimulated Raman light scattering process in optical science, and show that it can satisfactorily explain all experimental results. Fig. 1 Bi morphologies of single crystalline pendants grown by self channeling stimulated growth acceleration technique. Self channeling stimulated growth of a bulk crystal fused to two single crystal fibers that are under lateral growth arrest. Inset: Single crystal KDP fiber with its pyramidal face fused to the pyramidal face of a bulk crystal of the similar size (see Fig. 4E for the corresponding simulation) The growth of the fiber is completely suppressed whereas the bulk crystal grows un impeded to nearly ninety times larger in a few minutes. Figure 1 shows bi morphologies of inorganic KH2PO4 (KDP) single crystals grown by the novel stimulated single crystal growth acceleration technique. Similar bi morphologies using organic TTP X family single crystals (X: Cl, Br, I, etc. have also been produced. To achieve this, we bring the ends (also referred to as pyramidal faces) of two single crystal fibers (aspect ratio R 60, radius 3 mm, length 180 mm) and a small bulk single crystal (initial size 3 mm, R 2) in contact in situ in an aqueous solution. In the subsequent growth period we observed no growth on the fibers' lateral faces (also referred to as prismatic faces) However, the small bulk crystal grows with an astonishingly fast rate of v 350 nm/s [see (26) for more] in each direction (from 3 mm initial size to nearly 190 mm in just a few minutes! That is, in the same growth environment and proximity the fiber sections experience complete prismatic face growth arrest and yet the bulk crystal grows steadily unimpeded to more than sixty times of its original size in just a few minutes. When the pyramidal face of a single crystal fiber is fused to the pyramidal face of a small bulk single crystal (Fig. 1 inset) the latter can grow to nearly ninety times larger in a few minutes during which the fiber section does not grow at all. This bi morphology clearly demonstrates that impurity based growth inhibition mechanisms do not play any important role. Indeed, there is no reasonable explanation as to why impurities in the same solution and proximity only severely impact and suppress the lateral growth characteristics of the fiber section, while leaving the growth dynamics of the bulk crystal completely intact. Furthermore, extensive X ray diffraction studies show extremely sharp single peaked spectra that rule out any defect/dislocation based arguments [see Supplementary Material (SM) I] This bi morphology rapid growth of single crystals is a testimony to the robust self channeling stimulated matter growth acceleration mechanism we explain below. Seed crystals with large aspect ratios can produce a solute self channeling effect similar to the \"point effect\" in electrostatics. This effect makes the pyramidal face a strong \"solute collector\" creating a steep concentration gradient at the pyramidal face. This solute gradient results in a pyramidal face guided solute flow that enables an accelerated matter growth phenomenon never before observed in the field of crystallography and material science. Figures 2A and 2B show the pyramidal face growth rates as functions of R for KDP and TPPCl crystals subjecting to strong prismatic face growth arrest. Remarkably, the measured growth rate can be well approximated by vv (ln[CC|(RR) where we introduce an \"R dispersive\" local concentration CC|(RR) in analogous to the resonant/near resonant frequency dispersion of molecular optical polarizability (25) The crystal growth driving force (GDF) for such a highly dynamic \"R dispersive\" process can be expressed as [see SM II, III] GGGGGG ln dd| (1) CC|(RR) (1) in analogous to the coherent stimulated Raman light scattering enhancement by lattice normal mode vibrations (25) The physical meaning of the tensor dd| (1) is the strength of \"R sloped\" contribution, i.e. the R dependent growth acceleration, arising from the microscopic concentration gradient. Therefore, the postulation of \"R dispersive\" local concentration and Eq. (1) collectively represent the concept of \"Raman like\" stimulated material growth mechanism. Indeed, the excellent fits in Figs. 2A and 2B to Eq. (1) clearly demonstrate that it is the local concentration gradient near the pyramidal face, i.e, CC| rather than the quasi steady macroscopic solution concentration as conventional theories have always assumed, that drives the accelerated surface growth. For sufficiently large R Figs. 2A and 2B indicate the presence of a characteristic aspect ratio that acts as an effective \"healing length\" beyond which the self channeling effect saturates. Fig. 2 Self channeling stimulated growth acceleration effect. Pyramidal face growth rate as a function of fiber aspect ratio R for KDP (A) and TPPCl (B) single crystalline fibers subjecting to prismatic face growth suppression. Green curves are fits using Eq. (1) The idea of stimulated matter growth mechanism that defies all currently accepted theories arises from a series of single crystalline fiber studies. We prepare and submerge single crystalline KDP/TPPCl/TPPBr fibers (typically diameter 3 mm, length 50 100 mm) in ultra high purity supersaturated (s 0.237) aqueous solution at room temperatures [see SM I] The growth process is static and we routinely obtain single crystalline KDP/TPPCl/TPPBr fibers with large aspect ratio R 1000 [Figs. 3A, 3B] excellent optical properties and remarkable mechanical flexibility in twisting [Fig. 3C] and winding [Fig. 3D] Fig. 3 Images of single crystalline organic and inorganic nonlinear crystal fibers. Both KDP (A) and TPPCl (B) show prismatic face growth suppression (diameter remain unchanged) whereas the growth of pyramidal faces is unimpeded. They exhibit remarkable mechanical flexibility for twisting (C) and winding (D) (winding posts are optical fibers) (E) Prismatic face growth suppression (red dots) and quadratic growth characteristics of fibers with imperfections (blue triangles) From a single crystal growth perspective, the most striking feature shown in Figs. 3A and 3B is the rapid pyramidal face growth coupled with complete prismatic face growth suppression. We observed no change in prismatic faces in a 15 hour growth period during which the crystal pyramidal face grows at a fast rate 400 nm/s in a static super saturated solution for KDP and even much faster for TPP X family single crystals) yielding crystal fibers with aspect ratios R 1000. This is in stark contrast to the growth of a low R KDP/TPPCl/TPPBr crystal under the same growth conditions where all surfaces grow with a similar slower rate 30 nm/s (26) resulting in a bulk crystal typically having R 3. Figure 3E shows the diameter of a growing fiber as a function of its initial diameter in a fixed 60 seconds period. Fibers with excellent uniformity (verified by microscope inspection) follow a perfect y x relation, indicating compl", "author_names": [ "Yan Ren", "Changfeng Fang", "Chengjie Zhu", "Yvonne Y Li", "Bo Durbeej", "Xian Zhao", "Lu Deng" ], "corpus_id": 231719174, "doc_id": "231719174", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Accelerating single crystal growth by stimulated and self guided channeling", "venue": "", "year": 2021 } ]
combining active and passive learning
[ { "abstract": "Inferring behavioral models (e.g. state machines) of software systems is an important element of re engineering activities. Model inference techniques can be categorized as active or passive learning, constructing models by (dynamically) interacting with systems or (statically) analyzing traces, respectively. Application of those techniques in the industry is, however, hindered by the trade off between learning time and completeness achieved (active learning) or by incomplete input logs (passive learning) We investigate the learning time/completeness achieved trade off of active learning with a pilot study at ASML, provider of lithography systems for the semiconductor industry. To resolve the trade off we advocate extending active learning with execution logs and passive learning results.We apply the extended approach to eighteen components used in ASML TWINSCAN lithography machines. Compared to traditional active learning, our approach significantly reduces the active learning time. Moreover, it is capable of learning the behavior missed by the traditional active learning approach.", "author_names": [ "Nan Yang", "Kousar Aslam", "Ramon R H Schiffelers", "Leonard Lensink", "Dennis Hendriks", "Loek G Cleophas", "Alexander Serebrenik" ], "corpus_id": 84182735, "doc_id": "84182735", "n_citations": 12, "n_key_citations": 0, "score": 1, "title": "Improving Model Inference in Industry by Combining Active and Passive Learning", "venue": "2019 IEEE 26th International Conference on Software Analysis, Evolution and Reengineering (SANER)", "year": 2019 }, { "abstract": "We propose a hybrid formulation of Turing Learning and study its application in mobile robotics. Instead of using a single type of discriminator, in the hybrid formulation, both active and passive discriminators are used. Active discriminators come to their judgments while interacting with the system under investigation, which helps improve model accuracy. Passive discriminators come to their judgments while only observing the system, allowing the reuse of data samples, which for real robots would be costly to obtain. To validate these ideas, we present a case study where a simulated embodied robot is required to calibrate its distance sensor through a process of self modeling, and without metric information of where it resides within the environment. The results show that the hybrid formulation achieves a good level of accuracy with significantly fewer data samples from the robot. The findings suggest that the self modeling process could be realized on a mobile physical robot with a limited time and energy budget.", "author_names": [ "Yue Gu", "Wei Li", "Roderich Gross" ], "corpus_id": 218551060, "doc_id": "218551060", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Turing learning with hybrid discriminators: combining the best of active and passive learning", "venue": "GECCO Companion", "year": 2020 }, { "abstract": "Active learning techniques are generally considered to be any classroom activities in which students become an active participant in learning, instead of a passive listener to a lecture. Service learning activities are generally experiential (real life) and reflective problem based learning activities in which students enrolled in an academic course provide a needed service to a community partner. In the fall semester of 2016, one section of a calculus based Physics I course included both active learning and service learning components. One of the several active learning activities used in this course was that demonstrations, traditionally performed by the instructor, were performed by the students for an audience of seventh and eighth graders. Prior to the presentations, the groups of students met with the instructor to learn and practice the demonstrations. After perfecting their presentations, the students invited seventh and eighth graders from an inner city school to a \"Day of Science,\" where they presented the demonstrations for part of the day. This paper reports on the active learning and service learning activities involved in the \"Day of Science\" and analyzes the results.Active learning techniques are generally considered to be any classroom activities in which students become an active participant in learning, instead of a passive listener to a lecture. Service learning activities are generally experiential (real life) and reflective problem based learning activities in which students enrolled in an academic course provide a needed service to a community partner. In the fall semester of 2016, one section of a calculus based Physics I course included both active learning and service learning components. One of the several active learning activities used in this course was that demonstrations, traditionally performed by the instructor, were performed by the students for an audience of seventh and eighth graders. Prior to the presentations, the groups of students met with the instructor to learn and practice the demonstrations. After perfecting their presentations, the students invited seventh and eighth graders from an inner city school to a \"Day of Science,\" where they", "author_names": [ "Joseph Jude Trout", "Casey Murphy", "Morgan Vukicevich" ], "corpus_id": 127953863, "doc_id": "127953863", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Combining Active Learning Techniques and Service Learning in a Section of Physics I with Calculus Course", "venue": "The Physics Teacher", "year": 2019 }, { "abstract": "We study the problem of combining active learning suggestions to identify informative training examples by empirically comparing methods on benchmark datasets. Many active learning heuristics for classification problems have been proposed to help us pick which instance to annotate next. But what is the optimal heuristic for a particular source of data? Motivated by the success of methods that combine predictors, we combine active learners with bandit algorithms and rank aggregation methods. We demonstrate that a combination of active learners outperforms passive learning in large benchmark datasets and removes the need to pick a particular active learner a priori. We discuss challenges to finding good rewards for bandit approaches and show that rank aggregation performs well.", "author_names": [ "Alasdair Tran", "Cheng Soon Ong", "Christian Wolf" ], "corpus_id": 51955532, "doc_id": "51955532", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Combining active learning suggestions", "venue": "PeerJ Comput. Sci.", "year": 2018 }, { "abstract": "Technology integration is the process of overcoming different barriers that hinder efficient utilisation of learning technologies. The authors divide technology integration into two components based on technology's role in the integration process. In active integration, the technology integrates learning resources into a learning space, making it adaptive to the changes in the context. In passive integration, the technology is integrated into a learning space so that it does not disturb the learner and the context. Using this division, the authors investigate technology integration in context aware learning spaces (CALSs) which emphasise context sensitiveness and utilise surrounding resources. UFractions is a CALS combining a mobile based story and fraction rods. The authors analyse active and passive integration in UFractions among 305 middle schools pupils in South Africa, Finland and Mozambique. In the analysis the authors support quantitative questionnaire data with qualitative insights from questionnaires, interviews and observations. The findings indicate that technology integration, particularly active integration, in UFractions is incomplete. The concepts of active and passive integration are necessary in order to manage technology's influence on learning experiences in CALSs. Active and passive integration can also be helpful in CALS design, deployment and evaluation, and they could be applied in other learning technology scenarios in the future.", "author_names": [ "Teemu Henrikki Laine", "Eeva Nygren" ], "corpus_id": 62734348, "doc_id": "62734348", "n_citations": 9, "n_key_citations": 0, "score": 0, "title": "Active and passive technology integration: a novel approach for managing technology's influence on learning experiences in context aware learning spaces", "venue": "", "year": 2016 }, { "abstract": "Adults can learn to hear the phonetic distinctions of another language with practice. It is commonly assumed that such improvements require active performance of the target task throughout training. However, we have seen that, for other perceptual tasks, similar performance gains can be achieved by replacing some active performance trials with passive stimulus exposures. Here we examined the learning of a non native phonetic contrast using several training protocols that varied in the proportion of active and passive trials during the practice period. Native, monolingual English speakers were trained to identify a Thai phonetic contrast along a voice onset time continuum. A group given half active training and half passive exposures showed as much improvement in the category boundary as a group given active training for the entire practice period. The active passive group out performed a group given half active training and half training on an unrelated task with no passive exposures. Finally, a group giv.", "author_names": [ "Nicole L Marrone", "Beverly Wright" ], "corpus_id": 121546809, "doc_id": "121546809", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Improved learning of a non native phonetic contrast by combining active task performance with passive stimulus exposures.", "venue": "", "year": 2009 }, { "abstract": "Context aware learning spaces (CALSs) utilise resources of the surrounding context in the learning process. UFractions is a CALS combining a storytelling game and fraction rods for mathematics education, and it was developed for the South African context and later taken to Finland. We divide technology integration into active and passive integration according to the role of technology in the process. In passive integration the technology is integrated into the CALS so that it does not disturb the learner and the context. In active integration the technology integrates resources into the CALS and makes the system adaptive to contextual changes. We analysed, by a mixed method approach, the need for active and passive integration in UFractions in Finland and South Africa. We identified sixteen disturbance factors which had negative effects on the users of UFractions. The results indicate that by improving active and passive integration in UFractions, disturbance factors can be diminished.", "author_names": [ "Teemu Henrikki Laine", "Erkki Sutinen", "Mike S Joy", "Eeva Nygren" ], "corpus_id": 59930502, "doc_id": "59930502", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Active and passive technology integration in context aware learning spaces", "venue": "", "year": 2011 }, { "abstract": "Online multi label learning is an efficient classification paradigm in machine learning. However, traditional online multi label methods often need requesting all class labels of each incoming sample, which is often human cost and time consuming in labeling classification problem. In order to tackle these problems, in this paper, we present online multi label passive aggressive active (MLPAA) learning algorithm by combining binary relevance (BR) decomposition strategy with online passive aggressive active (PAA) method. The proposed MLPAA algorithm not only uses the misclassified labels to update the classifier, but also exploits correctly classified examples with low prediction confidence. We perform extensive experimental comparison for our algorithm and the other methods using nine benchmark data sets. The encouraging results of our experiments validate the effectiveness of our proposed method.", "author_names": [ "Xizhi Guo", "Yongwei Zhang", "Jianhua Xu" ], "corpus_id": 42331855, "doc_id": "42331855", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Online Multi label Passive Aggressive Active Learning Algorithm Based on Binary Relevance", "venue": "ICONIP", "year": 2017 }, { "abstract": "Physical Unclonable Functions (PUFs) have emerged as a lightweight alternative to traditional cryptography. The fact that no secret key needs to be stored in non volatile memory makes PUFs especially well suited for embedded systems in which securely generating and storing secret keys is difficult and expensive. Compared to traditional cryptography, PUFs are often believed to be more resistant to implementation attacks. In this paper we will take a closer look at this assumption. Using a controlled Arbiter PUF as an example, we show that just like traditional cryptography strong PUFs are susceptible to implementation attacks. By combining machine learning with with sidechannel analysis we are able to attack designed based on Arbiter PUFs that on are resistant to normal machine learning attacks. We use two different side channels for our attacks: a passive power side channel and an active fault attack based on altering the supply voltage of the controlled PUF. Even in the presence of considerable noise both attacks can accurately model the Controlled Arbiter PUF. Hence, the assumption that PUFs are generally more resistant against side channel attacks is not necessarily true and side channel resistance needs to be considered when PUF designs are evaluated.", "author_names": [ "Georg T Becker", "Raghavan Kumar" ], "corpus_id": 875095, "doc_id": "875095", "n_citations": 56, "n_key_citations": 7, "score": 0, "title": "Active and Passive Side Channel Attacks on Delay Based PUF Designs", "venue": "IACR Cryptol. ePrint Arch.", "year": 2014 }, { "abstract": "Recent research suggests that combining adaptive learning algorithms with perceptual learning (PL) methods can accelerate perceptual classification learning in complex domains (e.g. Mettler Kellman, 2014) We hypothesized that passive presentation of category exemplars might act synergistically with active adaptive learning to further enhance PL. Passive presentation and active adaptive methods were applied to PL and transfer in a complex real world domain. Undergraduates learned to interpret real electrocardiogram (ECG) tracings by either: (1) making active classifications and receiving feedback, (2) studying passive presentations of correct classifications, or (3) learning with a combination of initial passive presentations followed by active classification. All conditions showed strong transfer to novel ECGs at posttest and after a one week delay. Most notably, the combined passive active condition proved the most effective, efficient, and enjoyable. These results help illuminate the processes by which PL advances and have direct implications for perceptual and adaptive learning technology.", "author_names": [ "K P Thai", "Sally Krasne", "Philip J Kellman" ], "corpus_id": 15373078, "doc_id": "15373078", "n_citations": 9, "n_key_citations": 1, "score": 0, "title": "Adaptive Perceptual Learning in Electrocardiography: The Synergy of Passive and Active Classification", "venue": "CogSci", "year": 2015 } ]
High-power nanosecond pulse generators based on semiconductor opening switches (review)
[ { "abstract": "", "author_names": [ "Sergei N Rukin" ], "corpus_id": 204191184, "doc_id": "204191184", "n_citations": 95, "n_key_citations": 0, "score": 1, "title": "High Power Nanosecond Pulse Generators Based on Semiconductor Opening Switches (Review)", "venue": "", "year": 1999 }, { "abstract": "This paper presents a systematized review of the research on the production of nanosecond high power pulses using solid state generators based on an inductive energy store and a semiconductor opening switch that have been performed in the past 25 years. This research has been underway since 1992 1993 when the nanosecond cutoff of ultrahigh density currents in semiconductor diodes was discovered and named the SOS (Semiconductor Opening Switch) effect. The discovery of the SOS effect provided a breakthrough in the development of semiconductor generators, as their most important characteristics, such as pulse power and output voltage, were increased tens and hundreds of times compared with previously known semiconductor generators. In particular, in the nanosecond semiconductor technology, megavolt voltages combined with gigawatt peak powers have been achieved. This review considers the main physical processes that determine the mechanism of operation of a SOS based on the SOS effect. The principle of operation, design, and characteristics of SOS diodes and SOS generators is described, and prospects for their further development are discussed. Examples are given of using SOS generators in various pulsed power applications such as electron accelerators, X ray pulse devices, high power microwave electronics, pumping of gas lasers, and ignition of electrical discharges.", "author_names": [ "Sergei N Rukin" ], "corpus_id": 211023605, "doc_id": "211023605", "n_citations": 17, "n_key_citations": 1, "score": 0, "title": "Pulsed power technology based on semiconductor opening switches: A review.", "venue": "The Review of scientific instruments", "year": 2020 }, { "abstract": "The paper describes the investigation of fast thyristor based switches operating as a primary switch in nanosecond pulse generators with a semiconductor opening switch (SOS) Commercially available thyristors with the diameter of the silicon wafer of 40 mm and an operating voltage of 2.4 kV DC were used. The thyristor based switches contained 2 to 6 series connected thyristors and operated in this triggering mode in different discharge circuits. Switch blocking voltage was 4 to 12 kV and stored energy was 16 J. The following discharge parameters were obtained: discharge current amplitude of 8 kA, maximum current rise rate of 40 kA/ms, current pulse duration FWHM of ~1 ms, and switching efficiency of ~0.9. The intrinsic recovery time of the tested thyristors was determined, which was close to 1 ms. Therefore, the maximum PRF was up to ~1 kHz in a burst mode. More than 106 shots were performed. After that, no thyristor degradation was observed. The novel circuit design of the all solid state SOS generator with output voltage up to 300 kV and peak power up to 250 MW was developed. Thyristor based switch (12 kV, 7 kA, 500 ns) triggered in impact ionization wave mode was used as a primary switch. Implementation of such a switch allowed significantly increasing the efficiency of the SOS generator and decreasing its cost due to reducing the number of magnetic switches.", "author_names": [ "Anton I Gusev", "Sergei K Lyubutin", "Andrey V Ponomarev", "Sergei N Rukin", "Boris G Slovikovsky" ], "corpus_id": 209459298, "doc_id": "209459298", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Primary High Voltage Thyristor Based Switches Triggerred in Impact Ionization Wave Mode for High Power SOS Generators", "venue": "2018 IEEE International Power Modulator and High Voltage Conference (IPMHVC)", "year": 2018 }, { "abstract": "New design of power nanosecond range generators for electric discharge in gases is described. These generators are based on semiconductor opening switches Drift Step Recovery Diodes (DSRD) The main advantages of DSRD are very short switching off time ;3 ns) high commutated power >500 kW for single DSRD with 2 cm2 of semiconductor structure) and high reliability of high voltage stacks consisting of many connected in series DSRD. The experimental results of DSRDbased generator application for ozone production and waste gas cleaning are presented. The main parameters of the generators: output pulse voltage up to 36 kV, pulse rise time ;4 ns, pulse repetition rate up to 3.5 kHz, output pulse power >4.5 MW.", "author_names": [ "Alexander G Lyublinsky", "S V Korotkov", "Yu V Aristov", "D A Korotkov" ], "corpus_id": 42646258, "doc_id": "42646258", "n_citations": 19, "n_key_citations": 1, "score": 0, "title": "Pulse Power Nanosecond Range DSRD Based Generators for Electric Discharge Technologies", "venue": "IEEE Transactions on Plasma Science", "year": 2013 }, { "abstract": "The authors describe how, as a result of long time research and development, a very high power, repetitive mode, semiconductor based nanosecond technique is now commercially available. Drift step recovery diodes and inverse recover diodes are preferable as a base for generators with pulse rise times of 0.5 3 ns and pulse powers less than 50 80 MW. Silicon opening switch diodes are preferable at pulse rise times higher than 5 ns with any power and at any pulse rise time if the pulse power is higher than 100 MW.", "author_names": [ "I V Grekhov", "Gennadii A Mesyats" ], "corpus_id": 10254148, "doc_id": "10254148", "n_citations": 37, "n_key_citations": 3, "score": 0, "title": "Physical basis for high power semiconductor nanosecond opening switches", "venue": "Digest of Technical Papers. 12th IEEE International Pulsed Power Conference. (Cat. No.99CH36358)", "year": 1999 }, { "abstract": "One of the promising designs of high power nano and subnanosecond pulse generators is based on FID stacks triggered with a nanosecond pulse of overvoltage. This pulse is usually formed by semiconductor opening switches (DSRDs) Delay time of these switches is equal to the sum of forward and reverse current pulse duration, i.e. several hundreds of nanoseconds. Due to special diode structure, a novel opening switch, inverse recovery diode (IRD) is capable of forming the nanosecond pulse of voltage with a delay time equal to the reverse current pulse duration (15 20 ns) The high voltage nanosecond pulse formed with the IRD is used for fast triggering of the first DC biased FID from a high voltage DC biased FID stack. The formed fast overvoltage pulse is applied to the second FID, etc. As a result, the high voltage FID stack is switched on the order of a nanosecond. The total delay time of the IRD FID based pulse generator is less than 30 ns", "author_names": [ "I V Grekhov", "S V Korotkov", "A Stepaniants", "D V Khristyuk", "V B Voronkov" ], "corpus_id": 62808603, "doc_id": "62808603", "n_citations": 28, "n_key_citations": 1, "score": 0, "title": "High power semiconductor based nano and subnanosecond, pulse generator with a low delay time", "venue": "Conference Record of the Twenty Sixth International Power Modulator Symposium, 2004 and 2004 High Voltage Workshop.", "year": 2004 }, { "abstract": "One of the promising designs of high power nanosecond and subnanosecond pulse generators is based on the fast ionization dynistor (FID) stack triggered with nanosecond pulse of overvoltage. This pulse is usually formed by semiconductor opening switches. Delay time of these switches equals the sum of forward and reverse current pulse duration, i.e. several hundreds of nanoseconds. The novel opening switch, inverse recovery diode (IRD) is capable of forming a nanosecond pulse of voltage with the delay time equal to the reverse current pulse duration (15 20 ns) due to the special diode structure. High voltage nanosecond pulse formed with IRD is used for fast triggering of the first FID from high voltage dc biased FID stack. The resulting fast overvoltage pulse is applied to the second FID, etc. As a result, the high voltage FID stack is switched for units of nanosecond. Total delay time of IRD FID based pulse generators is less than 30 ns.", "author_names": [ "I V Grekhov", "S V Korotkov", "A Stepaniants", "D V Khristyuk", "V B Voronkov", "Yu V Aristov" ], "corpus_id": 27096434, "doc_id": "27096434", "n_citations": 9, "n_key_citations": 0, "score": 0, "title": "High power semiconductor based nano and subnanosecond pulse Generator with a low delay time", "venue": "IEEE Transactions on Plasma Science", "year": 2005 }, { "abstract": "Abstract A review of applications of double discharge circuits based on generators with inductive energy storage (IES) and semiconductor opening switches (SOS) for efficient excitation of different gas lasers is presented. Using a pre pulse sustainer circuit technique based on inductive energy storage and semiconductor opening switch generators allows the formation of a pre pulse with high amplitude and short rise time and provides a sharp increase of discharge current which significantly improves discharge stability and life time of the volume discharge in gas mixtures containing halogens. A pre pulse with high pumping power forms a high density discharge plasma and an inversion population in gas mixtures under study within ~10 ns and provides both early onset of lasing and conditions for efficient excitation of an active medium from the storage capacitor. As a result, pulse duration, output energy and efficiency of the lasers under study were improved.", "author_names": [ "Alexei N Panchenko", "Viktor F Tarasenko" ], "corpus_id": 121822452, "doc_id": "121822452", "n_citations": 6, "n_key_citations": 0, "score": 0, "title": "Efficient gas lasers pumped by double discharge circuits with semiconductor opening switch", "venue": "", "year": 2012 }, { "abstract": "The development of high power pulse engineering is associated with the necessity to solve a set of problems, among which are the methods of storing the energy and its transfer to load. The search of optimal technical solutions at the stored energy of ~107 J and over leads to an obvious conclusion which is in the favor of using inductive storages (IS) since they have a greater potential in view of the energy concentration1. In work a method of screening the primary winding in the transformer IS is proposed that permits attaining, with minimal losses, the value of ts/tp ~107 and more where ts and tp are the times of the energy storage in IS and the energy transfer from IS, respectively. Structure of pulses generators on IS is parallel series connections of elements inductive storage the opening switch a load. This connection version in case of POS is required. One of the problems of POS is impossible nanosecond synchronization at parallel works of generators. The technical solution with semiconductor opening switch (SOS) is offered consideration in this paper. SOS lets to attain high level synchronization of all parts of generator. Technical solution construction of module based on IS, which may be part of high pulsed power generators is offered this paper too. The energies pulses of modules may be summarized as sum of the current so sum of the voltage.", "author_names": [ "Oleg G Egorov" ], "corpus_id": 24415221, "doc_id": "24415221", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "The generator module to generate high power nanosecond pulses on the basis of inductive storages", "venue": "2013 19th IEEE Pulsed Power Conference (PPC)", "year": 2013 }, { "abstract": "A novel nanosecond semiconductor opening switch (SOS) has been developed which has a pulsed power of the GW range and voltage levels of up to a few 100's of kV. The SOS is based on high voltage solid state rectifiers and is designed for pulsed power generators with inductive energy storage. A 30 115 opening phase duration. a 45 k A interrupted current. and a 450 kV opened SOS voltage have been attained with the use of a three stage, 2 kJ. 150 kV open circuit Marx generator as the SOS driver. On the basis of the experimental results obtained, we have developed and tested repetitive high current generators and accelerators with a 0.5 MV output voltage and a 15 to 100 ns pulse width. The ideology is presented of constructing high power megavolt pulsed generators with an all solid state switching system. A description is provided of the setups developed on this principle. We discuss features peculiar to the setups developed and prospects of developing these further", "author_names": [ "Yu A Kotov", "G Mesyats", "Sergei N Rukin", "A L Filatov", "Sergei K Lyubutin" ], "corpus_id": 556775, "doc_id": "556775", "n_citations": 45, "n_key_citations": 0, "score": 0, "title": "A NOVEL NANOSECOND SEMICONDUCTOR OPENING SWITCH FOR MEGAVOLT REPETITIVE PULSED POWER TECHNOLOGY: EXP", "venue": "Ninth IEEE International Pulsed Power Conference", "year": 1993 } ]
Versatile multifunctional photonic components
[ { "abstract": "Looking sharp with metalenses High end imaging lenses have tended to be based on bulk optical components. Advances in fabrication techniques have enabled the development of ultrathin, lightweight, and planar lenses (metalenses) that have unprecedented functionalities. These metalenses have the potential to replace or complement their conventional bulk counterparts. Khorasaninejad and Capasso review the evolution of metalenses, summarizing achievements and applications and identifying future challenges and opportunities. Metalenses can have numerous applications, ranging from cellphone camera modules, to wearable displays for augmented and virtual reality and machine vision, to bio imaging and endoscopy. Science, this issue p. eaam8100 BACKGROUND Future high performance portable and wearable optical devices and systems with small footprints and low weights will require components with small form factors and enhanced functionality. Planar components based on diffractive optics (e.g. gratings, Fresnel lenses) and thin film optics (e.g. dielectric filters, Bragg reflectors) have been around for decades; however, their limited functionality and difficulty of integration have been key incentives to search for better alternatives. Owing to its potential for vertical integration and marked design flexibility, metasurface based flat optics provides a rare opportunity to overcome these challenges. The building blocks (BBs) of metasurfaces are subwavelength spaced scatterers. By suitably adjusting their shape, size, position, and orientation with high spatial resolution, one can control the basic properties of light (phase, amplitude, polarization) and thus engineer its wavefront at will. This possibility greatly expands the frontiers of optical design by enabling multifunctional components with attendant reduction of thickness, size, and complexity. ADVANCES Recent progress in fabrication techniques and in the theory and design of metasurfaces holds promise for this new optical platform (metaoptics) to replace or complement conventional components in many applications. One major advance has been the migration to all dielectric metasurfaces. Here, we discuss the key advantages of using dielectric phase shifting elements with low optical loss and strong light confinement in the visible and near infrared regions as BBs of flat lenses (metalenses) High numerical aperture metalenses that are free of spherical aberrations have been implemented to achieve diffraction limited focusing with subwavelength resolution, without requiring the complex shapes of aspherical lenses. Achromatic metalenses at discrete wavelengths and over a bandwidth have been realized by dispersion engineering of the phase shifters. By suitably adjusting the geometrical parameters of the latter, one can impart polarization and wavelength dependent phases to realize multifunctional metalenses with only one ultrathin layer. For example, polarization sensitive flat lenses for chiral imaging and circular dichroism spectroscopy with high resolution have been realized, and off axis metalenses with large engineered angular dispersion have been used to demonstrate miniature spectrometers. The fabrication of metalenses is straightforward and often requires one step lithography, which can be based on high throughput techniques such as deep ultraviolet and nanoimprint lithography. OUTLOOK In the near future, the ability to fabricate metalenses and other metaoptical components with a planar process using the same lithographic tools for manufacturing integrated circuits (ICs) will have far reaching implications. We envision that camera modules widely employed in cell phones, laptops, and myriad applications will become thinner and easier to optically align and package, with metalenses and the complementary metal oxide semiconductor compatible sensor manufactured by the same foundries. The unprecedented design freedom of metalenses and other metasurface optical components will greatly expand the range of applications of micro optics and integrated optics. We foresee a rapidly increasing density of nanoscale optical elements on metasurface based chips, with attendant marked increases in performance and number of functionalities. Such digital optics will probably follow a Moore like law, similar to that governing the scaling of ICs, leading to a wide range of high volume applications. All dielectric metalenses. (A) Schematic of a dielectric pillar acting as a truncated waveguide for phase shifting the incident light. (B) Top view scanning electron microscopy image of a metalens based on titanium dioxide, with dielectric pillars as BBs. (C) Schematic of an achromatic metalens realized by engineering the dispersive response of its BBs. (D) Schematic of a chiral metalens that spatially separates and focuses light with different helicities. (E) Schematic of a metalens that simultaneously focuses and disperses the incident light. (F) Illustration of the concept of vertically stacking metasurfaces to build miniaturized multifunctional systems. ILLUSTRATIONS: RYAN ALLEN/SECOND BAY STUDIOS Recent progress in metasurface designs fueled by advanced fabrication techniques has led to the realization of ultrathin, lightweight, and flat lenses (metalenses) with unprecedented functionalities. Owing to straightforward fabrication, generally requiring a single step lithography, and the possibility of vertical integration, these planar lenses can potentially replace or complement their conventional refractive and diffractive counterparts, leading to further miniaturization of high performance optical devices and systems. Here we provide a brief overview of the evolution of metalenses, with an emphasis on the visible and near infrared spectrum, and summarize their important features: diffraction limited focusing, high quality imaging, and multifunctionalities. We discuss impending challenges, including aberration correction, and also examine current issues and solutions. We conclude by providing an outlook of this technology platform and identifying promising directions for future research.", "author_names": [ "Mohammadreza Khorasaninejad", "Federico Capasso" ], "corpus_id": 3794855, "doc_id": "3794855", "n_citations": 386, "n_key_citations": 3, "score": 1, "title": "Metalenses: Versatile multifunctional photonic components", "venue": "Science", "year": 2017 }, { "abstract": "A multifunctional, semitransparent photovoltaic device is proposed for harvesting sunlight over a tunable spectral range extending from the UV to the IR. Self assembled monolayers of nanospheres applied to luminescent concentrators provide a photon management architecture, which relies on luminescence and diffraction of light. The light diffraction is tuned by changing the sphere diameter to match the transparency region of the fluorophores. The importance of each optical mechanism is inferred from a systematic experimental investigation of the external quantum efficiency of fabricated devices, and from the calculation of the resulting photocurrent under the AM 1.5 solar spectrum. Compared to the conventional luminescent concentrator, relative photocurrent improvements are shown between 50% and 500% depending on the spectral properties of the device components. It has been demonstrated how to tailor the photovoltaic performances, the color and the degree of transparency of the device to provide a versatile photovoltaic unit for sustainable building integrated applications.", "author_names": [ "Angelo Bozzola", "Valentina Robbiano", "Katia Sparnacci", "Giulia Aprile", "Luca Boarino", "Antonio Proto", "Roberto Fusco", "Michele Laus", "Lucio Claudio Andreani", "Davide Comoretto" ], "corpus_id": 97009649, "doc_id": "97009649", "n_citations": 14, "n_key_citations": 0, "score": 0, "title": "A Multi optical Collector of Sunlight Employing Luminescent Materials and Photonic Nanostructures", "venue": "", "year": 2016 }, { "abstract": "Multilayer metasurfaces (MLMs) represent a versatile type of three dimensional optical metamaterials that could enable ultrathin and multifunctional photonic components. Herein, we demonstrate an approach to readily fabricate MLMs, exploiting a thin film self rolling technique. As opposed to standard layer by layer approaches, all the metasurfaces are defined within a single nanopatterning step, significantly reducing fabrication time and costs. We realize two MLM platforms relying on widely used nanopatterning techniques, namely, focused ion beam and electron beam lithographies. A first example are MLMs comprised of nanohole patterns structured into metal dielectric seed bilayers. The second platform is comprised of vertical stacks of angled plasmonic nanorod arrays separated by thin dielectric layers. Such angled MLMs exhibit a selective response to circularly polarized light, in agreement with previous works relying on layer by layer processes. Our approach can pave the way for the efficient prototyping of novel MLMs, such as devices with varying numbers of layers and configurations that can be fabricated on a single chip.", "author_names": [ "Esteban Berm'udez-Urena", "Ullrich Steiner" ], "corpus_id": 202577421, "doc_id": "202577421", "n_citations": 5, "n_key_citations": 0, "score": 0, "title": "Self Rolled Multilayer Metasurfaces", "venue": "ACS Photonics", "year": 2019 }, { "abstract": "Light sheet fluorescence microscopy has made a substantial imprint in the development of cell biology, anatomical sciences and neurosciences with its superior performance of high speed three dimensional imaging acquisition at high resolution. However, its implementation requires delicate instrumentation, and further extension of its present capability seems limited by current optics systems and techniques. Metasurfaces are presently receiving increased attention for its versatile capacity in constructing multifunctional photonic components with low dimension and high efficiency. Here, we propose and design a dielectric metasurface to realize convenient multiple light sheet illumination. In mathematics, ideal periodic multiple light sheets are the Fourier transform of a series of coherent light waves with discrete angular spatial frequencies, which can be easily generated by the sophisticatedly designed compact yet efficient metasurface. Full wave simulations demonstrate that the diffraction pattern, produ.", "author_names": [ "Fenghua Shi", "Meng Qiu", "Lei Zhang", "Edmund Y Lam", "Dang Yuan Lei" ], "corpus_id": 125160063, "doc_id": "125160063", "n_citations": 10, "n_key_citations": 0, "score": 0, "title": "Multiplane Illumination Enabled by Fourier Transform Metasurfaces for High Speed Light Sheet Microscopy", "venue": "", "year": 2018 }, { "abstract": "A simple and versatile one pot sol?gel synthesis of Eu3+ doped nanocrystalline TiO2 and ZrO2 nanomaterials is reported in this paper. It consists of the controlled crystallization of Eu3+ doped TiO2 or ZrO2 nanoparticles from an initial solution containing the metal alkoxide, the lanthanide precursor, a complexing agent and a non complexing acid. The main interest is that it could be extended to different lanthanide ions and inorganic metal oxides to prepare other multifunctional nanomaterials. The characterization by XRD, HRTEM and SAED techniques showed that the TiO2 and ZrO2 crystallization takes place at very low temperatures (60??C) and that the crystallite size can be tailored by modifying the synthetic conditions. The optical properties of the resulting materials were studied by emission spectra and decay measurements. Both Eu3+:TiO2 and Eu3+:ZrO2 samples exhibited long lifetime values after removing organic components 0.7 and 1.3?ms, respectively) but the Eu3+:ZrO2 system is specially promising for photonic applications since its value is longer than some reported for other inorganic or hybrid matrices in which Eu3+ ions are complexed. This behaviour has been explained through an effective dispersion of the lanthanide ions within the ZrO2 nanocrystals.", "author_names": [ "Beatriz Fores Julian", "Rosa Corberan", "Eloisa Cordoncillo", "Purificacion Escribano", "Bruno Viana", "Clement Sanchez" ], "corpus_id": 98566176, "doc_id": "98566176", "n_citations": 40, "n_key_citations": 0, "score": 0, "title": "One pot synthesis and optical properties of Eu3+ doped nanocrystalline TiO2 and ZrO2", "venue": "", "year": 2005 }, { "abstract": "Methods of structuring materials with submicrometer features in two dimensions have become highly sophisticated. For example, lithographic methods are now capable of carving out several hundred million electronic components on an integrated circuit the width of a fingernail. Extending similar architectures into the third dimension by using these topdown engineering methods is possible only to a limited degree and at great expense. Bottom up chemical methods involving self assembly and templating provide promising alternatives to 3D structures with critical dimensions on the length scale of nanometers to micrometers. One such approach, colloidal crystal templating, is particularly versatile, and has been demonstrated for materials that target applications such as photonic crystals, sensors, power storage devices, artificial bone materials and multifunctional catalysts.1", "author_names": [ "Melissa A Fierke", "Fan Li", "Andreas Stein" ], "corpus_id": 139039485, "doc_id": "139039485", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "From Form to Function: Molding Porous Materials in Three Dimensions by Colloidal Crystal Templating", "venue": "", "year": 2011 }, { "abstract": "Previously most of the applications of targeting components have been based on the enhanced permeability and retention effect achieved using folic acid, which consider the side effects of the targeting components to some extent. Herein, we report a new strategy to decorate the surface of MOFs using a pemetrexed (MTA) targeting molecule, affording a new drug delivery system of ALA@UIO 66 NH FAM/MTA (ALA 5 amino levulinic acid and FAM 5 carboxyfluorescein) The confocal microscopy and flow cytometry results showed that ALA@UIO 66 NH FAM/MTA presented a better targeting effect compared to ALA@UIO 66 NH FAM/FA (FA folic acid) and indicated a gradually increasing tendency of the targeting effect with the increasing expression of folate receptors on the tumor cell cytomembrane. Furthermore, the cytotoxicity experiment indicates that the combination of chemotherapy and photodynamic therapy is a more effective therapy model than single chemotherapy and photodynamic therapy. This work demonstrates the first attempt at folic acid antagonist (MTA) modification for NMOFs, providing a new concept for the design of MOFs with folate receptor targeting capacity for clinical applications.", "author_names": [ "Ying Pan", "Zhidong Luo", "Xiaoxiong Wang", "Q Chen", "Junhao Chen", "Yucheng Guan", "Dong Liu", "Hongjia Xu", "Jianqiang Liu" ], "corpus_id": 214767201, "doc_id": "214767201", "n_citations": 22, "n_key_citations": 0, "score": 0, "title": "A versatile and multifunctional metal organic framework nanocomposite toward chemo photodynamic therapy.", "venue": "Dalton transactions", "year": 2020 }, { "abstract": "Entangled sources are important components for quantum information science and technology (QIST) The ability to generate high quality entangled sources will determine the extent of progress in this field. Unlike previous schemes, a thin quasi phase matching nonlinear crystal and a dense wave division multiplexing device are used here to build high quality versatile photonic sources with a simple configuration that can be used to perform Hong Ou Mandel interference, time energy entanglement and multi channel polarization entanglement experiments. The measurement results from various quantum optical experiments show the high quality of these photonic sources. These multi functional photonic sources will be very useful in a variety of QIST applications.", "author_names": [ "Zhao-huai Xu", "Yin-hai Li", "Zhiyuan Zhou", "Shi-Long Liu", "Yan Li", "Shi-Kai Liu", "Chen Yang", "Guangcan Guo", "Baosen Shi" ], "corpus_id": 211831896, "doc_id": "211831896", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "High quality versatile photonic sources for multiple quantum optical experiments.", "venue": "Optics express", "year": 2020 }, { "abstract": "By combining microwave solid state devices and photonic technologies an outperforming 50 Watts Transmitter and Receiver Module (TRM) with a very low Noise Figure has been successfully realized at GEM Elettronica. The developed TRM, based on microwave photonic high efficiency conversion, integrates all solid state power amplifiers, limiter, circulator and optical components in an extremely compact design ideal for radar antenna remoting. A 16x 50 Watts microwave photonic TRMs configuration has been developed and tested in order to realize an 800 Watts remote phased array Antenna for high performance 3D Multifunctional X band radar.", "author_names": [ "Luca Banchi" ], "corpus_id": 228092456, "doc_id": "228092456", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Multi channel Microwave Photonic link for Antenna remoting in Multifunctional Phased Array Radar", "venue": "2020 IEEE Radar Conference (RadarConf20)", "year": 2020 }, { "abstract": "Controlled attachment of photoswitchable molecules to solid surfaces is a promising route for the realization of complex machine like molecular functions. A central next step here is the preparation of adlayers with multiple chemical functions that have defined intermolecular spacings and orientations and interact with each other in a controlled way, resulting in novel advanced system properties. We demonstrate that this is possible using molecular platforms with vertical functional units. Employing molecular components with identical triazatriangulenium based units, we prepared mixed adlayers of platforms carrying a stable photoswitch and bare platforms, platforms with vertical pyridine units, and platforms with metastable switches, respectively. All these mixed layers are highly hexagonally ordered, can be easily varied in composition, and exhibit a stochastic arrangement of the two molecular components.", "author_names": [ "Talina R Rusch", "Melanie Hammerich", "Rainer Herges", "Olaf M Magnussen" ], "corpus_id": 198135711, "doc_id": "198135711", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Molecular platforms as versatile building blocks for multifunctional photoswitchable surfaces.", "venue": "Chemical communications", "year": 2019 } ]
Suppression in the electrical hysteresis by using CaF2 dielectric layer for capacitors
[ { "abstract": "The capacitance voltage (C V) hysteresis in the bidirectional measurements of the p GaN metal insulator semiconductor (MIS) capacitor is suppressed by using a CaF2 dielectric layer and a post annealing treatment. The density of trapped charge states at the CaF2/p GaN interface is dramatically reduced from 1.3 x 1013 cm2 to 1.1 x 1011/cm2 compared to that of the Al2O3/p GaN interface with a large C V hysteresis. It is observed that the disordered oxidized interfacial layer can be avoided by using the CaF2 dielectric. The downward band bending of p GaN is decreased from 1.51 to 0.85 eV as a result of the low density oxides related trap states. Our work indicates that the CaF2 can be used as a promising dielectric layer for the p GaN MIS structures.The capacitance voltage (C V) hysteresis in the bidirectional measurements of the p GaN metal insulator semiconductor (MIS) capacitor is suppressed by using a CaF2 dielectric layer and a post annealing treatment. The density of trapped charge states at the CaF2/p GaN interface is dramatically reduced from 1.3 x 1013 cm2 to 1.1 x 1011/cm2 compared to that of the Al2O3/p GaN interface with a large C V hysteresis. It is observed that the disordered oxidized interfacial layer can be avoided by using the CaF2 dielectric. The downward band bending of p GaN is decreased from 1.51 to 0.85 eV as a result of the low density oxides related trap states. Our work indicates that the CaF2 can be used as a promising dielectric layer for the p GaN MIS structures.", "author_names": [ "Liwen Sang", "B Ren", "Meiyong Liao", "Yasuo Koide", "Masatomo Sumiya" ], "corpus_id": 126384633, "doc_id": "126384633", "n_citations": 10, "n_key_citations": 0, "score": 1, "title": "Suppression in the electrical hysteresis by using CaF2 dielectric layer for p GaN MIS capacitors", "venue": "", "year": 2018 }, { "abstract": "We report on a high quality p GaN metal insulator semiconductor (MIS) capacitors with sharp interface morphology and the lowest interface trap density by using SiN x as the gate dielectric layer. Transmission electron microscopy and x ray photoelectron spectroscopy (XPS) analysis revealed a high quality interface morphology with the effective removal of carbon and oxygen impurities. Better than the interface properties of Al2O3, SiO2, and CaF2/p GaN metal oxide semiconductor (MOS) or MIS capacitors, the capacitance voltage measurements of SiN x /p GaN showed negligible electrical hysteresis after a two step surface pre treatment, leading to the lowest trapped charge density of 5 x 1010 cm 2. The interface state density distribution was also reduced to be ~1 2 x 1012 cm 2 eV 1 at E t E v 0.2 0.45 eV and 3 5 x 1012 cm 2 eV 1 near the valance band edge after the treatments. The achievement of the high quality MIS interface was attributed to the suppression of the Mg Ga O interfacial disordered layer by the effective surface treatments and oxygen free deposition process, which was usually observed at Al2O3/p GaN MOS interface.", "author_names": [ "B Ren", "Meiyong Liao", "Masatomo Sumiya", "Jin Su", "Xinke Liu", "Yasuo Koide", "Liwen Sang" ], "corpus_id": 125498750, "doc_id": "125498750", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "High quality SiN x /p GaN metal insulator semiconductor interface with low density trap states", "venue": "", "year": 2019 }, { "abstract": "We demonstrate the electrical performances of AlGaN/GaN metal insulator semiconductorhigh electron mobility transistors (MIS HEMTs) with high quality Al<sub>2</sub>O<sub>3</sub> gate dielectric deposited by plasma enhanced atomic layer deposition using both H<sub>2</sub>O and remote O<sub>2</sub> plasma as oxygen sources. Excellent gate dielectric/GaN interface and Al<sub>2</sub>O<sub>3</sub> film quality were obtained, resulting in a very small threshold voltage hysteresis and a low interface trap density. The MIS HEMT device exhibited high on/off current ratio of <inline formula> <tex math notation=\"LaTeX\"\\sim 10^{10} /tex math>/inline formula> steep subthreshold slope, small gate leakage current, low dynamic on resistance degradation, and effectively current collapse suppression. These results indicate that incorporating remote O<sub>2</sub> plasma in the ALD Al<sub>2</sub>O<sub>3</sub> deposition process is an effective and simple way to provide high quality gate dielectric for the GaN MIS HEMTs production", "author_names": [ "Huan-Chung Wang", "Ting-En Hsieh", "Yueh-Chin Lin", "Quang Ho Luc", "Shih-Chien Liu", "Chia-Hsun Wu", "Chang Fu Dee", "Burhanuddin Yeop Majlis", "Edward Yi Chang" ], "corpus_id": 36381184, "doc_id": "36381184", "n_citations": 6, "n_key_citations": 0, "score": 0, "title": "AlGaN/GaN MIS HEMTs With High Quality ALD Al2O3 Gate Dielectric Using Water and Remote Oxygen Plasma As Oxidants", "venue": "IEEE Journal of the Electron Devices Society", "year": 2018 }, { "abstract": "Abstract High k HfOxNy film was deposited on amorphous InGaZnO (a IGZO) by radio frequency reactive sputtering using an HfO2 target in nitrogen plus argon ambience, the electrical characteristics and reliability of a IGZO metal insulator semiconductor (MIS) capacitors were investigated. Experimental results indicate that the nitrogen incorporation into HfO2 can produce a strong nitride interfacial barrier layer, thus lead to reducing the interface state density, suppressing the hysteresis voltage, and decreasing the gate leakage current. Improved performance has been achieved for HfOxNy gate dielectric a IGZO MIS capacitors, with a interface state density of 5.1 x 1011 eV 1 cm 2, a gate leakage current density of 3.9 x 10 5 A/cm2 at Vfb 1 V, an equivalent permittivity of 24, and a hysteresis voltage of 105 mV. Moreover, the enhanced reliability of Al/HfOxNy/a IGZO MIS capacitor is observed with a small degradation of electrical characteristics after a high field stressing at 10 MV/cm for 3600 s.", "author_names": [ "Xiao Zou", "Guojia Fang", "Longyan Yuan", "Xingsheng Tong", "Xingzhong Zhao" ], "corpus_id": 7748251, "doc_id": "7748251", "n_citations": 7, "n_key_citations": 0, "score": 0, "title": "Improved electrical characteristics and reliability of amorphous InGaZnO metal insulator semiconductor capacitor with high kappa HfOxNy gate dielectric", "venue": "Microelectron. Reliab.", "year": 2010 }, { "abstract": "In this work, we investigate La\"2O\"3 as a gate dielectric candidate for Ge devices, using metal insulator semiconductor (MIS) structures. When the deposition temperature increases, the electrical characteristics improve with regard to dispersion in accumulation, hysteresis, stretch out, leakage current and interface state density D\"i\"t\" By analyzing the CV data for films with different oxide thickness we find that the dielectric constant of La\"2O\"3 has a medium k value of about 11.The same data indicate that there is no interfacial layer, which is confirmed by high resolution transmission electron microscopy (HRTEM) observations. These results suggest that a strong reaction with the Ge substrate may take place so that a La Ge O compound may form over the entire film thickness reducing the k value. This reaction layer could be responsible for the reduction of Dit indicating good passivating properties. However, it may limit gate oxide scaling in future Ge MOS devices.", "author_names": [ "G Mavrou", "S F Galata", "A Sotiropoulos", "Polychronis Tsipas", "Yerassimos Panayiotatos", "Athanasios Dimoulas", "Evangelos Evangelou", "Jin Won Seo", "Christel Dieker" ], "corpus_id": 137454500, "doc_id": "137454500", "n_citations": 32, "n_key_citations": 0, "score": 0, "title": "Germanium metal insulator semiconductor capacitors with rare earth La2O3 gate dielectric", "venue": "", "year": 2007 }, { "abstract": "Silicon nitride (SiN(x) films for a gate dielectric layer of thin film transistors were deposited by catalytic chemical vapor deposition at a low temperature or 200 degrees C) A mixture of SiH4, NH3 and H2 was used as a source gas. Metal insulator semiconductor (MIS) capacitor structures were fabricated for current voltage (I V) and capacitance voltage (C V) measurements. The breakdown voltage characteristics of the SiN(x) films were improved by the increase of NH3/SiH4 and H2/SiH4 mixing ratios and substrate temperatures. H2 treatment was attempted to improve the breakdown voltage further. A breakdown voltage as high as 6.6 MV/cm was obtained after H2 annealing at 180 degrees C. The defect states inside the SiN(x) films were analyzed by photoluminescence spectra. Silicon dangling bonds (2.5 eV) and nitrogen dangling bonds (3.1 eV) were observed. These defect states inside the SiN(x) films disappeared after H2 annealing. Flat band voltage shifts were observed in C V curves, and their magnitudes decreased as the defect states inside the SiN(x) films decreased.", "author_names": [ "Kyoung-Min Lee", "W S Hong" ], "corpus_id": 6058416, "doc_id": "6058416", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Suppression of electrical breakdown in silicon nitride films deposited by catalytic chemical vapor deposition at temperatures below 200 degrees C.", "venue": "Journal of nanoscience and nanotechnology", "year": 2011 }, { "abstract": "The Electrical properties of Bi0.7Dy0.3FeO3 (BDFO) thin films deposited by pulsed laser deposition (PLD) on ZnO/Si films and its potential applications are discussed in this paper. MIS (metal/insulator/semiconductor) Capacitors of Cr Au/BDFO/ZnO/p Si structures have been fabricated and their interface traps and electrical properties have been investigated. To obtain the information about the interface trap states, systematic studies on the frequency dependent capacitances and conductance measurement were performed. Frequency dependent analysis of the device was made in the frequency range of 10kHz 500kHz. It was observed that dispersion of the oxide capacitance was 2% per decade within this frequency range. When the sweeping voltage changes from 3 to +4V the memory aperture was found to be increased from 300 to 850mV. This significant hysteresis property in the C V characteristics may be attributed to the slight ferroelectric behaviour of BDFO/ZnO film, which is essential for a memory device. It was also observed that density of trap state (Dit) is found approximately 9?1011cm 2eV 1 while increasing the frequency from 10kHz to 500kHz, the thickness of the oxide layer was 300nm in this experiment. The calculated dielectric constant of device ~190) is much higher than that of BDFO >30) The dielectric loss tangent of the device was found to initially increase with voltage then decrease, whereas the ac conductivity depicted an opposite trend. Multiferroic BDFO is promising material shows the good coupling between ferroelectric and ferromagnetic properties and ideal behaviour at room temperature. Integration of BDFO films with ZnO piezoelectric can be used directly as electricity generator with enhanced output in MEMS applications as well as in Memory devices. Display Omitted Frequency dependent analysis of the device was made in the frequency range of 10kHz 500 kHz.Memory aperture was found to be increased from 300 to 850 mVDensity of trap state (Dit) is found approximately 9?1011 cm 2 eV 1 for all frequenciesDielectric constant of device ~190) is much higher than that of BDFO >30)Dielectric Loss tangent of the device was found to initially increase with voltage then decrease", "author_names": [ "Deepak Bhatia", "Sandipta Roy", "Sajid Nawaz", "Ram Swaroop Meena", "V Palkar" ], "corpus_id": 138413649, "doc_id": "138413649", "n_citations": 5, "n_key_citations": 1, "score": 0, "title": "Room temperature electrical properties of Bi0.7Dy0.3FeO3 thin films deposited by PLD on ZnO films for potential applications", "venue": "", "year": 2016 }, { "abstract": "1. Background For Ge CMOS, a Ge MIS gate stacks with sub nm EOT at 600degC is necessarily required. Then, an extremely thin GeOx interface layer (IL) is needed to passivate the interface states [1] However, it is difficult in a subnm Ge MIS interface, because the oxidant in O2 PDA to improve the high k gate oxide should diffuse very fast through the very thin gate dielectrics and lead an increase of GeOx IL thickness due to Ge oxidation. Therefore, we have to make oxygen potential lowering at the interface thermodynamically to suppress the oxidation. Although a simple thermodynamic consideration deals with only temperature and pressure as its parameters, the oxygen potential lowering is then expected to suppress the oxidant diffusion dramatically. We have already reported that N doping can make the oxygen potential lowering in GeO2 [2] In this paper, we will demonstrate the effect of the oxygen potential lowering by the N doping into GeO2 on Ge from the viewpoint of the suppression of GeO desorption from GeO2/Ge and Ge MIS interface stabilization. 2. Experimental Procedure HF lasted Ge (100) wafers were used for the substrate. N doped GeO2 (GeON) films were deposited by N2 sputtering of a GeO2 target. N atom composition in GeON (N:O in at. and the film thickness were determined by XPS and GIXR, respectively. For MIS capacitors, Au and Al were evaporated as the gate electrode and the back contact, respectively. 3. Results and discussion First, we investigated the effect of N doping on GeO desorption from GeO2/Ge stacks. Fig. 1 shows that the GeO desorption is dramatically suppressed in GeON (20 at. N)/Ge stacks. Considering that oxygen vacancy (Vo) formation plays a key role for the GeO desorption [3] the N doping is expected to increase the Vo formation energy due to the oxygen potential lowering in GeO2. The suppression of the GeO desorption by N doping is quite advantageous for achieving both sub nm EOT and interface stabilization at 600degC at the same time. However, bulk traps and/or interface states might be still there because their passivation can be achieved by a kinetic process. Therefore, we investigated the effect of N doping on GeO2/Ge MIS characteristics. Fig. 2 shows the C V characteristics of a 6.3 nm thick GeON (15 at. N)/Ge MIS gate stack annealed in pure N2 at 600degC for 5 min. Almost zero hysteresis and well suppressed frequency dispersions are found. Considering that the GeO desorption terribly degrades the MIS properties in such a thin pure GeO2/Ge stacks [4] N doping dramatically affect to stabilize the GeO2/Ge MIS gate stacks. 4. Conclusions To control oxygen potential at the interface is the key to stabilize Ge MIS gate stacks with sub nm EOT. N doping successfully works to stabilize Ge MIS gate stacks without inducing the GeO desorption, bulk traps, and interface states at 600degC. References [1] R. Zhang et al. VLSI symp. p. 161, (2012) [2] T. Tabata et al. JSAP spring conference, 28p G2 9, (2013) [3] S. K. Wang et al. JJAP 50, 04DA01, (2011) [4] C. H. Lee et al. APEX 2, 071404, (2009)", "author_names": [ "Toshiyuki Tabata", "Choong Hee Lee", "Tomonori Nishimura", "Kosuke Nagashio", "Akira Toriumi" ], "corpus_id": 208058387, "doc_id": "208058387", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Oxygen Potential Lowering in N doped GeO2 for Ge MIS Gate Stack Design in Extremely Thin EOT Region", "venue": "", "year": 2013 }, { "abstract": "We propose the use of an aluminum oxynitride (AlON) gate insulator for 4H SiC MIS devices. Since direct deposition of AlON on 4H SiC substrate generates a large amount of interface charge due to an interfacial reaction, a thick AlON layer was deposited on underlying thin SiO2 thermally grown in N2O ambient. To reduce the negative fixed charge density in the aluminum oxide (Al2O3) film, we used reactive sputtering of Al in an N2/O2 gas mixture. The fabricated MIS capacitor with AlON/SiO2 stacked gate dielectric shows no flat band voltage shift and negligible capacitance voltage hysteresis (30 mV) indicating the dielectric is almost free from both fixed charges and electrical defects. Owing to the high dielectric constant of AlON (k=6.9) as compared to single N2O SiO2 gate insulator, significant gate leakage reduction was achieved by AlON/SiO2 stacked gate dielectrics even at high temperature, especially in a high electric field condition >5 MV/cm)", "author_names": [ "Takuji Hosoi", "Makoto Harada", "Yusuke Kagei", "Yuu Watanabe", "Takayoshi Shimura", "Shuhei Mitani", "Yuki Nakano", "Takashi Nakamura", "Heiji Watanabe" ], "corpus_id": 137187651, "doc_id": "137187651", "n_citations": 22, "n_key_citations": 0, "score": 0, "title": "AlON/SiO2 Stacked Gate Dielectrics for 4H SiC MIS Devices", "venue": "", "year": 2009 }, { "abstract": "In the recent years a notable progress in the miniaturisation of electronic devices has been achieved in which the main component that has shown great interest is electronic memory. However, miniaturisation is reaching its limit. Alternative materials, manufacturing equipment and architectures for the storage devices are considered. In this work, an investigation on the suitability of silicon nanowires as the charge storage medium in two terminal non volatile memory devices is presented. Silicon nanostructures have attracted attention due to their small size, interesting properties and their potential integration into electronic devices. The two terminal memory devices presented in this work, have a simple structure of silicon nanowires sandwiched between dielectric layers (silicon nitride) on glass substrate with thermally evaporated aluminium bottom and top contacts. The silicon nanostructures and the dielectric layer were deposited by Plasma Enhanced Chemical Vapour Deposition (PECVD) technique. The electrical behaviour of the memory cell was examined by Current Voltage (I V) data retention time (Current time) and write read erase read measurements. Metal Insulator Semiconductor (MIS) structures were also prepared for further analysis. The same silicon nanowires were embedded into the MIS capacitors and Capacitance Voltage (C V) analysis was conducted. Strong I V and C V hysteresis as well as an electrical bistability were detected. The memory effect is observed by this electrical bistability of the device that was able to switch between high and low conductivity states.", "author_names": [ "Konstantina Saranti", "Shashi Paul" ], "corpus_id": 108716949, "doc_id": "108716949", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Two Terminal Non Volatile Memory Devices Using Silicon Nanowires as the Storage Medium", "venue": "", "year": 2014 } ]
Systematic Defect Manipulation in Metal Oxide Semiconductors towards High-Performance Thin-Film Transistors
[ { "abstract": "The manipulation of donor like defects, including generation, suppression and diffusion, in metal oxide (MO) thin film transistors (TFTs) has been reviewed systematically. Based on this, the defect populated source/drain (S/D) regions and defect free channel region are realized for high performance TFTs, and even the location of self aligned (SA) homojunctions can be accurately controlled.", "author_names": [ "Yuqing Zhang", "Zhihe Xia", "Jiapeng Li", "Yang Shao", "Sisi Wang", "Lei Lu", "Shengdong Zhang", "H S Kwok", "Man Wong" ], "corpus_id": 219858816, "doc_id": "219858816", "n_citations": 0, "n_key_citations": 0, "score": 1, "title": "Systematic Defect Manipulation in Metal Oxide Semiconductors towards High Performance Thin Film Transistors", "venue": "2020 4th IEEE Electron Devices Technology Manufacturing Conference (EDTM)", "year": 2020 }, { "abstract": "Solution based metal oxide semiconductors (MOSs) have emerged, with their potential for low cost and low temperature processability preserving their intrinsic properties of high optical transparency and high carrier mobility. In particular, MOS field effect transistors (FETs) using the spray pyrolysis technique have drawn huge attention with the electrical performances compatible with those of vacuum based FETs. However, further intensive investigations are still desirable, associated with the processing optimization and operational instabilities when compared to other methodologies for depositing thin film semiconductors. Here, we demonstrate high performing transparent ZnO FETs using the spray pyrolysis technique, exhibiting a field effect mobility of ~14.7 cm2 V 1 s 1, an on/off ratio of ~109, and an SS of ~0.49 V/decade. We examine the optical and electrical characteristics of the prepared ZnO films formed by spray pyrolysis via various analysis techniques. The influence of spray process conditions was also studied for realizing high quality ZnO films. Furthermore, we measure and analyze time dependence of the threshold voltage (Vth) shifts and their recovery behaviors under prolonged positive and negative gate bias, which were expected to be attributed to defect creation and charge trapping at or near the interface between channel and insulator, respectively.", "author_names": [ "Junhee Cho", "Seongkwon Hwang", "Doo-Hyun Ko", "Seungjun Chung" ], "corpus_id": 204833378, "doc_id": "204833378", "n_citations": 15, "n_key_citations": 0, "score": 0, "title": "Transparent ZnO Thin Film Deposition by Spray Pyrolysis for High Performance Metal Oxide Field Effect Transistors", "venue": "Materials", "year": 2019 }, { "abstract": "The use of a semiconductor heterojunction channel layer has been explored as a method of improving the performance of metal oxide thin film transistors (TFTs) Construction of such a heterojunction bilayer is easy using a vacuum sputtering deposition process but difficult using inkjet printing deposition. Some attempts in this direction have not shown significant improvement compared to single channel layer TFTs, and the present work systematically studied inkjet printing of In2O3/IGZO heterojunction channel TFTs. It was found that the bilayer alignment is the most critical factor for obtaining high performance TFTs. A simple UV/ozone treatment on an inkjet printed In2O3 layer can be effective in achieving perfect alignment for IGZO printed on the In2O3 pattern area. The mechanism of alignment is not due to the difference in surface energy between the In2O3 layer and SiO2 dielectric layer, but due to the topography of the In2O3 layer sidewall. With well aligned heterojunction channels, the embedded In2O3 front channel layers transform the carrier transport from the main trap limited charge (TLC) transport process to the PC dominated process. Therefore, the maximum mobility of 14.5 cm2 V 1 s 1 was achieved for inkjet printed In2O3/IGZO TFTs, which is an unattainable result that only increases the proportion of In atoms in IGZO. The average mobility of the In2O3/IGZO TFTs is twice that of single layer In2O3 TFTs or IGZO TFTs. Furthermore, the In2O3/IGZO TFTs show superior bias stress stability.", "author_names": [ "Kun Liang", "Shuangshuang Shao", "Manman Luo", "Vincenzo Pecunia", "Lin Shao", "Jianwen Zhao", "Zheng Chen", "Lixin Mo", "Zheng Cui" ], "corpus_id": 150317234, "doc_id": "150317234", "n_citations": 9, "n_key_citations": 0, "score": 0, "title": "High performance metal oxide thin film transistors based on inkjet printed self confined bilayer heterojunction channels", "venue": "", "year": 2019 }, { "abstract": "Oxide semiconductors thin film transistors (OS TFTs) with good transparency and electrical performance have great potential for future display technology. In particular, solution processed OS TFTs have been attracted much attention due to many advantages such as continuous, large scale, and low cost processability. Recently, OS TFTs fabricated with a metal aqua complex have been focused because they have low temperature processability for deposition on flexible substrate as well as high field effect mobility for application of advanced display. However, despite some remarkable results, important factors to optimize their electrical performance with reproducibility and uniformity have not yet been achieved. Here, we newly introduce the strong effects of humidity to enhance the electrical performance of OS TFTs fabricated with the metal aqua complex. Through humidity control during the spin coating process and annealing process, we successfully demonstrate solution processed InOx/SiO2 TFTs with a good electrical uniformity of ~5% standard deviation, showing high average field effect mobility of 2.76 cm2V 1s 1 and 15.28 cm2V 1s 1 fabricated at 200 and 250 degC, respectively. Also, on the basis of the systematic analyses, we demonstrate the mechanism for the change in electrical properties of InOx TFTs depending on the humidity control. Finally, on the basis of the mechanism, we extended the humidity control to the fabrication of the AlOx insulator. Subsequently, we successfully achieved humidity controlled InOx/AlOx TFTs fabricated at 200 degC showing high average field effect mobility of 9.5 cm2V 1s 1.", "author_names": [ "Keon-Hee Lim", "Jae-Eun Huh", "Jinwon Lee", "Nam-Kwang Cho", "Jun-Woo Park", "Bu-il Nam", "Eungkyu Lee", "Youn Sang Kim" ], "corpus_id": 5655295, "doc_id": "5655295", "n_citations": 21, "n_key_citations": 0, "score": 0, "title": "Strong Influence of Humidity on Low Temperature Thin Film Fabrication via Metal Aqua Complex for High Performance Oxide Semiconductor Thin Film Transistors.", "venue": "ACS applied materials interfaces", "year": 2017 }, { "abstract": "We present high performance, low voltage 3 V) operation of thin film transistors (TFTs) with indium zinc tin oxide (IZTO: In4Sn4ZnO15) semiconductor. The film of IZTO was fabricated via low temperature (200 degC) solution combustion processing without incorporating an external fuel. As 2 methoxyethanol is a widely used organic solvent due to its high dissolution capability, serve the purpose of both the solvent and the fuel. On quantification from the balanced redox reaction, 0.3% of 2 methoxyethanol assisted for the action of fuel and helped in the formation of metal oxide, and the rest (99.7% served the purpose of being dissolution medium. The balanced redox chemistry yielded a significant fraction of (56.5% metal oxide at 200 degC confirmed via high resolution oxygen 1s spectrum. Further, the chemically derived thin film of sodium b alumina with a dielectric constant of 21, while annealing at 350 degC incorporated in the TFT for the realization of low voltage operation. The performance assessment is systematically carried out both silicon dioxide (SiO2) and sodium b alumina and found that the TFTs with SiO2 and IZTO exhibited a saturation mobility (usat) Ion/Ioff ratio and the threshold voltage (Vth) of 0.50 0.02 cm2 V 1 s 1, 1.25 x 104 and 6.6 0.79 V respectively. While changing the dielectric to sodium b alumina presented a usat, Ion/Ioff ratio and Vth of 4.21 0.18 cm2 V 1 s 1, 1.4 x 102 and 0.47 0.08 V respectively.", "author_names": [ "Pavan Pujar", "Dipti Gupta", "Saumen Mandal" ], "corpus_id": 140368736, "doc_id": "140368736", "n_citations": 7, "n_key_citations": 0, "score": 0, "title": "High performance low voltage operation of indium zinc tin oxide thin film transistors using chemically derived sodium b alumina dielectric", "venue": "Journal of Materials Science: Materials in Electronics", "year": 2019 }, { "abstract": "Thin film transistors (TFTs) made of metal oxide semiconductors are now increasingly used in flat panel displays. Metal oxides are mainly fabricated via vacuum based technologies, but solution approaches are of great interest due to the advantages of low cost and high throughput manufacturing. Unfortunately, solution processed oxide TFTs suffer from relatively poor electrical performance, hindering further development. Recent studies suggest that this issue could be solved by introducing a novel heterojunction strategy. This article reviews the recent advances in solution processed heterojunction oxide TFTs, with a specific focus on the latest developments over the past five years. Two of the most prominent advantages of heterostructure oxide TFTs are discussed, namely electrical property modulation and mobility enhancement by forming 2D electron gas. It is expected that this review will manifest the strong potential of solution based heterojunction oxide TFTs towards high performance and large scale electronics.", "author_names": [ "Yanwei Li", "Chun Zhao", "Deliang Zhu", "Peijiang Cao", "Shun Yu Han", "Youming Lu", "Mingzhi Fang", "Wenjun Liu", "Wangying Xu" ], "corpus_id": 218860461, "doc_id": "218860461", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Recent Advances of Solution Processed Heterojunction Oxide Thin Film Transistors", "venue": "Nanomaterials", "year": 2020 }, { "abstract": "The recent development of high performance colloidal quantum dot (QD) thin film transistors (TFTs) has been achieved with removal of surface ligand, defect passivation, and facile electronic doping. Here, we report on high performance solution processed CdSe QD TFTs with an optimized surface functionalization and robust defect passivation via hydrazine free metal chalcogenide (MCC) ligands. The underlying mechanism of the ligand effects on CdSe QDs has been studied with hydrazine free ex situ reaction derived MCC ligands, such as Sn2S64 Sn2Se64 and In2Se42 to allow benign solution process available. Furthermore, the defect passivation and remote n type doping effects have been investigated by incorporating indium nanoparticles over the QD layer. Strong electronic coupling and solid defect passivation of QDs could be achieved by introducing electronically active MCC capping and thermal diffusion of the indium nanoparticles, respectively. It is also noteworthy that the diffused indium nanoparticles facilitate charge injection not only inter QDs but also between source/drain electrodes and the QD semiconductors, significantly reducing contact resistance. With benign organic solvents, the Sn2S64 Sn2Se64 and In2Se42 ligand based QD TFTs exhibited field effect mobilities exceeding 4.8, 12.0, and 44.2 cm2/(V s) respectively. The results reported here imply that the incorporation of MCC ligands and appropriate dopants provide a general route to high performance, extremely stable solution processed QD based electronic devices with marginal toxicity, offering compatibility with standard complementary metal oxide semiconductor processing and large scale on chip device applications.", "author_names": [ "Su Min Jung", "Han Lim Kang", "Jong Kook Won", "Jae Hyun Kim", "Chahwan Hwang", "Kyunghan Ahn", "In Chung", "Byeong Kwon Ju", "Myung-Gil Kim", "Sung Kyu Park" ], "corpus_id": 206470841, "doc_id": "206470841", "n_citations": 12, "n_key_citations": 0, "score": 0, "title": "High Performance Quantum Dot Thin Film Transistors with Environmentally Benign Surface Functionalization and Robust Defect Passivation.", "venue": "ACS applied materials interfaces", "year": 2018 }, { "abstract": "Metal oxide semiconductors are emerging class of semiconductors with tremendous potential to replace existing silicon based semiconductors in a wide variety of next generation electronic applications. Solution processing of metal oxide semiconductor offers a low cost fabrication of oxide thin film transistors for large area film coatings. Use of traditional metal oxide precursors such metal salts require the addition of several additives to optimize the formation of the desired multinary metal oxide semiconductors. Within this thesis, the use of well defined, specifically tailored molecular precursor compounds with desired properties such air stable complexes with solubility in desired aqueous or organic solvents, microwave synthesis of oxide nanoparticles, reduction of process temperature for the formation of metal oxides as well as direct photo patterning of the multinary oxides are demonstrated. Firstly, indium zinc oxide nanoparticles were synthesized by a rapid microwave assisted decomposition employing solutions of molecular air stable In and Zn Schiff base type oximato precursors with methoxyiminopropionato ligands which led to the stable suspensions of IZO nanoparticles with a consistent particle size of 5nm. The removal of adherent organic and hydroxy moieties by annealing at 450degC thus led to an excellent semiconducting behaviour of the finally resulting high quality IZO TFTs with a mobility of 8.7 cm2 /V.s, an Ion/off ratio of 2.8 x 105 and a threshold voltage Vth of +3.3 V. This strategy was also extended towards the synthesis of the a bio inorganinc ZnO/TMV hybrid semiconductor, where a slightly modified precursor formulation with optimal amount of the base (TEAOH) provides mild basic conditions to ensure an efficient microwave based decomposition of the zinc complex and the in situ formation of crystalline zinc oxide nanoparticles at a low temperature of 60degC The ZnO nanoparticles mineralize selectively onto the TMV scaffold with and any structural damage to the TMV. For an optimum number (6 cycles) of ZnO mineralization, a field effect mobility (usat) of 6.7 x 10 4 cm2/V.s, Vth of +4.7 V and an Ion/off of 9.0 x 105 without the need for any post process thermal annealing. Similarly, employemnt of a new Sn(II) oximato precursor in combination with the zinc oximato precursor was employed for the formation of ZTO thin fim semiconductors. XRD analysis shows that the decomposition the tin(II) precursor alone, at temperatures as low as 350degC. The EPR investigations revealed only surface defects and not bulk defect sites with a higher defect concentration observed for the SnO2 compared to the ZnO. Hence, the precursor chemical composition with higher tin content with a Sn:Zn ratio of 7:3 delivered the optimum performance of the of the ZTO TFTs with a usat of 5.18 cm2/V.s, Vth of 7.5 V and a high Ion/off of 6 x 108 when annealed at a moderate temperature of 350oC. The oximato precursors were also explored for their direct DUV based photo patterning of IZO and ZTO semiconductors. This is enabled by accessing the intrinsic ability of the precursor thin film to undergo selective decomposition under UV irradiation and generate site selective patterning of oxide semiconductors, thereby eliminating the need for the traditional photolithography process. At annealing temperatures of 350degC, high performance TFTs with a usat of 7.8 cm2/V.s, Vth of 0.3 and a high Ion/off of 3.5 x 108 for IZO and 3.6 cm2/V.s, Vth of 2.4 and a high Ion/off of 5.3 x 107 for ZTO TFTs was achieved. In order to achieve low temperature solution processing, tailored multimetallic zinc and indium coordination compounds, [Zn4O(dmm NO)6] and In3O3(dmm NO2)3*(toluene) with nitro and nitroso functionalized dimethylmalonato ligands were investigated for the combustion synthesis of semiconducting indium zinc oxide (IZO) thin films at low processing temperatures. Devices annealed only at 250degC show an active TFT performance and at 300degC already demonstrate a robust FET performance with a usat of 2.1 cm2/V.s, Vth of +11.5 V and an Ion/off of 3.3 x 107 greater than that of the conventional amorphous hydrogenated silicon and also displays its potential to use integrated with plastic compatible temperatures 300oC, towards flexible electronics. Another approach based on water soluble, well defined urea nitrate coordination compounds of indium(III) gallium(III) and zinc(II) for the formation of amorphous IGZO thin films was succefully demonstrated. DSC analysis confirms the exothermic decomposition of all three precursors arising from the urea nitrate (fuel oxidiser) combination. Interestingly, IGZO TFTs processed even at 200oC show active TFT perfortmance and TFTs annealed at 300degC and 350degC exhibit a good device performance with charge carrier mobilities msat of 1.7 cm2/Vs and 3.1 cm2/Vs, respectively as well as current on off ratios of >107 in both cases. Such precursors are highly suitable for use in aqueous (non toxic) solution processing of IGZO semiconductors. Lastly, an ALD based In2O3/ZnO heterostructure design delivering high performance TFTs was successfully demonstrated using trimethyl indium and diethyl zinc as molecular precursors. Generation of an optimised In2O3/ZnO heterostructure based on sequential deposition of the individual oxides, processed at a reasonably low temperature regime (250 300degC) deliver high performance TFTs by the likely formation of 2D electron gas transport at the heterostructure interface. Devices based on such a fabrication process demonstrated an average saturation field effect mobility msat of 6.5 cm2/V.s and a high current on/off ratio of 4.6 x 107 and a low subthreshold swing (SS) of 0.7 V/dec. respectively, at a reasonable processing temperature of 300degC with potential applications in the field of large area oxide electronics.", "author_names": [ "Shawn Sanctis" ], "corpus_id": 213686356, "doc_id": "213686356", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Multinary metal oxide semiconductors A study of different material systems and their application in thin film transistors", "venue": "", "year": 2020 }, { "abstract": "For practical solution processed oxide thin film transistors (TFTs) with cost efficiency, high performance, and long term environmental reliability, we suggested a novel sol gel processed rare metal free oxide based semiconductor/insulator [ZnSnO (ZTO)/Al2O3] heterostructure channel and chemically stable sol gel multi stacking method. In the rare metal free ZTO/Al2O3 heterostructure, an In and Ga free ZTO semiconductor with high chemical durability is employed as an effective electron transport layer. An earth abundant Al2O3 insulator is employed as both an ambient gas molecule barrier in the ZTO back channel region and a tunneling induced electron transport layer beneath the source/drain electrodes. In order to minimize inevitable chemical attack coming from acidic and basic precursor solutions during the sol gel based heterostructure construction, chemically stable sol gel ZTO/Al2O3 heterostructure stacking was successfully demonstrated with a chemically durable Sn modulated ZTO semiconductor and weakly corrosive pH engineered Al2O3 precursor solution. The proposed rare metal free ZTO/Al2O3 heterostructure and chemically stable stacking realized sol gel processed oxide TFT with excellent stability under humidity, temperature, bias voltage, and light exposure. We believe that our novel ZTO/Al2O3 heterostructure and chemically stable sol gel stacking method will provide an interesting route for the fabrication of practical solution based oxide TFTs with cost efficiency, high performance, and long term reliability, instead of conventional rare metal based oxide materials and channel structures with high environmental instability.", "author_names": [ "Sung Woon Cho", "Da Eun Kim", "Kyung Su Kim", "Sung-Hyun Jung", "Hyung Koun Cho" ], "corpus_id": 104144515, "doc_id": "104144515", "n_citations": 11, "n_key_citations": 0, "score": 0, "title": "Towards environmentally stable solution processed oxide thin film transistors: a rare metal free oxide based semiconductor/insulator heterostructure and chemically stable multi stacking", "venue": "", "year": 2017 }, { "abstract": "We report the results of a study to enhance metal oxide (MO) thin film transistor (TFT) performance by doping both the semiconductor (In2O3) and gate dielectric (Al2O3) layers with boron (yielding IBO and ABO, respectively) and provide the first quantitative analysis of how B doping affects charge transport in these MO dielectric and semiconducting matrices. The impact of 1 9 atom B doping on MO microstructure, morphology, oxygen defects, charge transport, and dielectric properties is analyzed together, in detail, by complementary experimental (microstructural, electrical) and theoretical (ab initio MD, DFT) methods. The results indicate that B doping frustrates In2O3 crystallization while suppressing defects responsible for electron trapping and carrier generation. In the adjacent Al2O3 dielectric, B doping increases the dielectric constant and refractive index while reducing leakage currents. Furthermore, optimized solution processed TFTs combining IBO channels with 6 atom B and ABO dielectrics with 10 atom B exhibit field effect mobilities as high as 11 cm2 V 1 s 1, current on/off ratios >105, threshold voltages 0.6 V, and superior bias stress durability.", "author_names": [ "Xinan Zhang", "Binghao Wang", "Wei Huang", "Yao Chen", "Gang Wang", "Li Zeng", "Weigang Zhu", "Michael J Bedzyk", "Weifeng Zhang", "Julia E Medvedeva", "Antonio F Facchetti", "Tobin J Marks" ], "corpus_id": 52163035, "doc_id": "52163035", "n_citations": 19, "n_key_citations": 1, "score": 0, "title": "Synergistic Boron Doping of Semiconductor and Dielectric Layers for High Performance Metal Oxide Transistors: Interplay of Experiment and Theory.", "venue": "Journal of the American Chemical Society", "year": 2018 } ]
Physical Theory of Semiconductor Surfaces
[ { "abstract": "", "author_names": [ "Charles Geoffrey Blyth Garrett", "Walter Houser Brattain" ], "corpus_id": 122352834, "doc_id": "122352834", "n_citations": 440, "n_key_citations": 0, "score": 1, "title": "Physical Theory of Semiconductor Surfaces", "venue": "", "year": 1955 }, { "abstract": "Functional hybrid interfaces between organic molecules and semiconductors are central to many emerging information and solar energy conversion technologies. Here we demonstrate a general, empirical parameter free approach for computing and understanding frontier orbital energies or redox levels of a broad class of covalently bonded organic semiconductor surfaces. We develop this framework in the context of specific density functional theory (DFT) and many body perturbation theory calculations, within the GW approximation, of an exemplar interface, thiophene functionalized silicon (111) Through detailed calculations taking into account structural and binding energetics of mixed monolayers consisting of both covalently attached thiophene and hydrogen, chlorine, methyl, and other passivating groups, we quantify the impact of coverage, nonlocal polarization, and interface dipole effects on the alignment of the thiophene frontier orbital energies with the silicon band edges. For thiophene adsorbate frontier orbital energies, we observe significant corrections to standard DFT ~1 eV) including large nonlocal electrostatic polarization effects ~1.6 eV) Importantly, both results can be rationalized from knowledge of the electronic structure of the isolated thiophene molecule and silicon substrate systems. Silicon band edge energies are predicted to vary by more than 2.5 eV, while molecular orbital energies stay similar, with the different functional groups studied, suggesting the prospect of tuning energy alignment over a wide range for photoelectrochemistry and other applications.", "author_names": [ "Min Yu", "Peter W Doak", "Isaac Tamblyn", "Jeffrey B Neaton" ], "corpus_id": 207626055, "doc_id": "207626055", "n_citations": 29, "n_key_citations": 0, "score": 0, "title": "Theory of Covalent Adsorbate Frontier Orbital Energies on Functionalized Light Absorbing Semiconductor Surfaces.", "venue": "The journal of physical chemistry letters", "year": 2013 }, { "abstract": "Submitted for the MAR09 Meeting of The American Physical Society Theory of the lifetime of adsorbate vibrations on semiconductor surfaces PETER KRATZER, SUNG SAKONG, University Duisburg Essen On semiconductor surfaces, the vibrational lifetime of covalently bonded adsorbates is rather long (nanoseconds or more) since the band gap precludes electronic dissipation. Due to the quantum nature of vibrational states, such slow relaxation is expected, as the large quantum of the bond stretching must be converted into several smaller (phononic or vibronic) quanta by a high order process. We use density functional theory calculations to map out the high dimensional potential energy surface governing the anharmonic coupling of the stretching to the local bending and shift modes, while the coupling to the substrate phonons is treated perturbatively. Applying our method to the vibrational lifetime of CO on Si(100) we find that the CO stretching relaxes predominantly via an intermediate state consisting of four shift and/or bending quanta and one phonon. Good agreement with the measured lifetime of 2.3 ns at T 100 K is achieved. As a second application, we elucidate the role of intermediate vibrational states in the relaxation of the stretching vibration at Ge(100):H surfaces. For Ge surface dimers saturated by one H and one D atom, the lifetime of the Ge H stretching vibration is up to five times shorter and less temperature dependent than in Ge dimers homogeneously saturated by H. Our analysis shows that the symmetry breaking associated with the isotope mixture opens up additional relaxation channels. Peter Kratzer University Duisburg Essen Date submitted: 21 Nov 2008 Electronic form version 1.4", "author_names": [ "Peter Kratzer", "Sung Sakong" ], "corpus_id": 115944759, "doc_id": "115944759", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Theory of the lifetime of adsorbate vibrations on semiconductor surfaces", "venue": "", "year": 2009 }, { "abstract": "Electron phonon coupling can hamper carrier transport either by scattering or by the formation of mass enhanced polarons. Here, we use time dependent density functional theory molecular dynamics simulations to show that phonons can also promote the transport of excited carriers. Using nonpolar InAs (110) surface as an example, we identify phonon mediated coupling between electronic states close in energy as the origin for the enhanced transport. In particular, the coupling causes localized excitons in the resonant surface states to propagate into bulk with velocities as high as 10(6) cm/s. The theory also predicts temperature enhanced carrier transport, which may be observable in ultrathin nanostructures.", "author_names": [ "Dong Han", "Junhyeok Bang", "Weiyu Xie", "Vincent Meunier", "Shengbai B Zhang" ], "corpus_id": 24300917, "doc_id": "24300917", "n_citations": 5, "n_key_citations": 0, "score": 0, "title": "Phonon Enabled Carrier Transport of Localized States at Non Polar Semiconductor Surfaces: A First Principles Based Prediction.", "venue": "The journal of physical chemistry letters", "year": 2016 }, { "abstract": "The intention of this paper is to present theoretical and experimental results to demonstrate the wide range of application of Brillouin scattering (BS) in investigating mechanical and photoelastic properties of semiconductors. It is well known that BS has been used since the 1930s to study bulk acoustic waves in transparent media. The spreading of the laser has made it possible to use BS as a standard method of quantitative measurement of various physical properties [1] In case of transparent media the optical beam penetrates several acoustic wavelengths in all dimensions, so that wavevector is conserved in the scattering process and the scattering geometry completely specifies the acoustic wavevector. Conversely in opaque materials, like semiconductors in the spectrum of visible radiation, the penetration depth of light is rather small and the scattering may involve both proper surface excitations and bulk phonons at and near the surface, where the surface boundary conditions play an important role. The experimental approach to studying surface phonons of opaque materials in the long wavelength limit is relatively recent [2,3] and it has been made possible by considerable advances in instrumentation [4,5] A simple Fabry Perot interferometer which is currently used in bulk Brillouin experiments is not useful in surface scattering.", "author_names": [ "Fabrizio Nizzoli" ], "corpus_id": 136639634, "doc_id": "136639634", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Theory of Brillouin Scattering from Surfaces Waves in Semiconductor Supported Films and Layered Structures", "venue": "", "year": 1985 }, { "abstract": "A heterojunction made by coexposed anatase (001) (101) surfaces is studied using an explicit atomistic model of the interface via density functional theory. High photoactivity for this system has been demonstrated recently. Usually, the nature of a semiconductor heterojunction is evaluated by looking at band edges of the separate, noninteracting units, thus neglecting interfacial effects. Our results show non negligible structural and electronic effects occurring at the junction, but because of the canceling nature of these effects, the alignment of the bands is qualitatively similar for the real interface and for the separated, noninteracting fragments. We also show from first principles that upon light absorption and electron excitation, the junction promotes charge carrier separation via localization of holes at O ions of the (001) side and electrons at Ti ions of the (101) side of the junction. This hinders recombination and is most likely the reason for high photoactivity.", "author_names": [ "Giovanni M Di Liberto", "Sergio Tosoni", "Gianfranco Pacchioni" ], "corpus_id": 131774482, "doc_id": "131774482", "n_citations": 25, "n_key_citations": 0, "score": 0, "title": "Role of Heterojunction in Charge Carrier Separation in Coexposed Anatase (001) (101) Surfaces.", "venue": "The journal of physical chemistry letters", "year": 2019 }, { "abstract": "Abstract.I investigated the spectra of well ordered semiconductor surfaces within an ab initio framework. Both the quasi particle spectrum of electron and hole states and the optical differential reflectivity spectrum were addressed. As examples, I discuss the spectra of three surfaces: Si(111) (2x1) hydrogenated H:Si(111) (1x1) and Si adatom terminated 6H SiC(0001) (x) I studied a number of physical features beyond the single particle band structure picture. In the case of Si(111) (2x1) the dangling bond surface states give rise to a surface exciton which dominates the differential reflectivity spectrum. In the case of 6H SiC(0001) (x) a Mott Hubbard metal insulator transition is observed. All calculations were performed within many body perturbation theory, employing single and two particle Green functions. The solutions of the corresponding equations of motion yielded the observable excitations, i.e. single particle electron and hole excitations, as well as bound and resonant electron hole pair excitations.", "author_names": [ "Michael Rohlfing" ], "corpus_id": 95476281, "doc_id": "95476281", "n_citations": 6, "n_key_citations": 0, "score": 0, "title": "Quasiparticle spectrum and optical excitations of semiconductor surfaces", "venue": "", "year": 2001 }, { "abstract": "Tin diselenide (SnSe2) is a van der Waals semiconductor, which spontaneously forms a subnanometric SnO2 skin once exposed to air. Here, by means of surface science spectroscopies and density functional theory, we have investigated the charge redistribution at the SnO2 SnSe2 heterojunction in both oxidative and humid environments. Explicitly, we find that the work function of the pristine SnSe2 surface increases by 0.23 and 0.40 eV upon exposure to O2 and air, respectively, with a charge transfer reaching 0.56 e /SnO2 between the underlying SnSe2 and the SnO2 skin. Remarkably, both pristine SnSe2 and defective SnSe2 display chemical inertness toward water, in contrast to other metal chalcogenides. Conversely, the SnO2 SnSe2 interface formed upon surface oxidation is highly reactive toward water, with subsequent implications for SnSe2 based devices working in ambient humidity, including chemical sensors. Our findings also imply that recent reports on humidity sensing with SnSe2 should be reinterpreted, considering the pivotal role of the oxide skin in the interaction with water molecules.", "author_names": [ "Gianluca D'Olimpio", "Francesca Genuzio", "Tevfik Onur Mentes", "Valentina Paolucci", "Chia Nung Kuo", "Amjad Al Taleb", "Chin Shan Lue", "Piero Torelli", "Daniel Farias", "Andrea Locatelli", "Danil W Boukhvalov", "Carlo Cantalini", "Antonio Politano" ], "corpus_id": 222255583, "doc_id": "222255583", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Charge Redistribution Mechanisms in SnSe2 Surfaces Exposed to Oxidative and Humid Environments and Their Related Influence on Chemical Sensing", "venue": "The journal of physical chemistry letters", "year": 2020 }, { "abstract": "Understanding photoinduced charge transfer from nanomaterials is essential to the many applications of these materials. This review summarizes recent progress in understanding charge transfer from quantum dots (QDs) an ideal model system for investigating fundamental charge transfer properties of low dimensional quantum confined nanomaterials. We first discuss charge transfer from QDs to weakly coupled acceptors within the framework of Marcus nonadiabatic electron transfer (ET) theory, focusing on the dependence of ET rates on reorganization energy, electronic coupling, and driving force. Because of the strong electron hole interaction, we show that ET from QDs should be described by the Auger assisted ET model, which is significantly different from ET between molecules or from bulk semiconductor electrodes. For strongly quantum confined QDs on semiconductor surfaces, the coupling can fall within the strong coupling limit, in which case the donor acceptor interaction and ET properties can be described by the Newns Anderson model of chemisorption. We also briefly discuss recent progress in controlling charge transfer properties in quantum confined nanoheterostructures through wavefunction engineering and multiple exciton dissociation. Finally, we identify a few key areas for further research.", "author_names": [ "Haiming Zhu", "Ye Yang", "Kaifeng Wu", "Tianquan Lian" ], "corpus_id": 28927629, "doc_id": "28927629", "n_citations": 72, "n_key_citations": 0, "score": 0, "title": "Charge Transfer Dynamics from Photoexcited Semiconductor Quantum Dots.", "venue": "Annual review of physical chemistry", "year": 2016 }, { "abstract": "This work presents extensive first principles studies of the energetics and the reconstructions of the clean low index (111) (110) (100) and high index (113) surfaces of the three group IV semiconductors diamond, silicon, and germanium. The calculations have been performed within density functional theory (DFT) using the local density approximation (LDA) and the repeated slab approximation. Different reconstructions including the largest ones observed experimentally such as Si(111)7?7 and Si(110)16?2 have been investigated. The atomic geometries have been optimized in order to find the minimum of the total energy. For these atomic structures the electronic band structures have also been calculated. For the most interesting surface reconstructions scanning tunneling microscopy (STM) images have been simulated in order to make a comparison with experimentally observed surface images. Presented results highlight the physical origins of the reconstruction behavior in dependence on surface orientation and size of the group IV atoms. Clear evidence for an opposite reconstruction behavior of diamond and Si or Ge surfaces is shown. Adatoms, interstitials, and symmetry breaking distortions are unlikely for diamond as a consequence of the short interatomic distances and strong bonds. However, such elements of the surface reconstruction occur on Si and Ge surfaces. The complicated interplay of bonding, resulting atomic geometry, and accompanying electronic structure has been derived and used to discuss driving forces for the surface reconstruction. A rather complete data base of absolute surface energies of group IV semiconductors is presented. For all the elements, there is a strong tendency to reduce substantially surface energies by taking into account surface reconstructions. The absolute surface energies have been used to discuss the equilibrium shapes of diamond, silicon and germanium.", "author_names": [ "Andrey Stekolnikov" ], "corpus_id": 138231653, "doc_id": "138231653", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Reconstruction of group IV semiconductor surfaces origin, energetics and consequences", "venue": "", "year": 2005 } ]
Fe0 carbon nanotubes
[ { "abstract": "Abstract Multi walled carbon nanotubes (MWCNTs) have been used as catalysts or supports in many industrial applications. Therefore, we provide a low cost and environmentally friendly route to synthesize MWCNTs from plastics and red mud (RM) which is beneficial to the disposal of waste solid. This nano materials (RM CNTs) are used as novel catalysts for CGPO to remove SO2 and NO from flue gas. It was unexpected that RM CNTs exhibit a different catalytic pathway from the RM catalyst (traditional Fenton like reaction) result from the constrained nano scale reaction in which Fe3O4/Fe0/Fe3C nanoparticles were encapsulated in MWCNTs. Combined with various quenching experiments and characterization analysis, the catalytic mechanism of RM CNTs was clarified: (1) the in situ carbothermal reduction increased the activity of the catalysts (Fe2O3 Fe3O4 Fe0 Fe3C) (2) Fe0 and Fe3O4 can form a metal semiconductor interface with extremely low resistance which can accelerate electron transfer; (3) Fe3C as heterogeneous Fenton catalyst was rarely reported. The experimental results indicated that the activity of Fe C bond was closely related to the type of carbon substrate during the formation process; (4) MWCNTs encapsulated Fe3O4/Fe0/Fe3C particles exhibited excellent catalytic activity, which was due to the existence of 1O2.", "author_names": [ "Siyuan Yang", "Dan Xu", "Wenjie Yan", "Yuanquan Xiong" ], "corpus_id": 233689469, "doc_id": "233689469", "n_citations": 0, "n_key_citations": 0, "score": 1, "title": "Effective NO and SO2 removal from fuel gas with H2O2 catalyzed by Fe3O4/Fe0/Fe3C encapsulated in multi walled carbon nanotubes", "venue": "", "year": 2021 }, { "abstract": "In this work, nitrogen doped carbon nanoshell structure with the encased Fe0/Fe3C nanoparticles (Fe@NC) was synthesized with a solvent free method via direct carbonizing the ground mixture of dicyandiamide and ferric chloride hexahydrate. The morphology, structure, and surface properties of as synthesized Fe@NC were characterized systematically, and the removal performance of Fe@NC towards U(VI) was studied in detail. The results manifested that the Fe@NC possessed large surface area (127.0 m2/g) with mesoporosity and the encapsulated Fe0/Fe3C nanoparticles were concentrated at the tip of N doped carbon nanotubes. Moreover, the Fe@NC hybrid material exhibited the maximum removal capacities of 0.85 and 0.44 mg/m2 at pH 4.5 and 1.5, respectively. The mechanism of U(VI) removal by the Fe@NC was attributed to the synergistic effects of adsorption via nitrogen/oxygen containing groups and redox reaction between Fe0/Fe2+ and U(VI)", "author_names": [ "Kairuo Zhu", "Gang Song", "Xuemei Ren", "Changlun Chen" ], "corpus_id": 216646395, "doc_id": "216646395", "n_citations": 7, "n_key_citations": 0, "score": 0, "title": "Solvent free engineering of Fe0/Fe3C nanoparticles encased in nitrogen doped carbon nanoshell materials for highly efficient removal of uranyl ions from acidic solution.", "venue": "Journal of colloid and interface science", "year": 2020 }, { "abstract": "In this study, bamboo like nitrogen doped carbon nanotubes (BN CNTs) are successfully deposited on etched iron mesh (d Fe) using chemical vapor deposition (CVD) method with acetonitrile as precursor. The acidic etching process is necessary for the special BN CNTs structure formation by exposing more Fe0 sites. The BN CNTs/d Fe is then evaluated for the electrochemically assisted PMS activation to degrade phenol. Under cyclic voltammetry (CV, 0 1 V vs. RHE) assistant, 20 ppm phenol can be degraded in 30 min with a rate constant of 0.2837 min 1, ~78 times more than that without CV. Some Fe3+ species in the catalyst will be reduced at the initial stage, a two step pseudo first order kinetic is thus used for the degradation curves fitting. Both the structure defects and doped nitrogen atoms are responsible for the high catalytic activity of BN CNTs. According to the quenching tests, both radical and non radical processes are present for PMS activation, thus obtaining enhanced organics removal efficiency. The electrochemically assistant could enhance the PMS adsorption on the electrode as well as electrons transfer between Fen+ and PMS, thus increasing the PMS activation efficiency. The utilization of earth abundant Fe mesh for the fabricating free standing electrodes provide a potential low cost and effective strategy of waste water remediation.", "author_names": [ "Di Wu", "Yang Zhao", "Qing Xia", "Xiaobin Fan", "Yang Li", "Guoliang Zhang", "Fengbao Zhang", "Wenchao Peng" ], "corpus_id": 230108405, "doc_id": "230108405", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Bamboo like nitrogen doped carbon nanotubes on iron mesh for electrochemically assisted catalytic oxidation.", "venue": "Journal of hazardous materials", "year": 2020 }, { "abstract": "Abstract This paper reported a novel iron carbon hybrid material (Fe 0 CNTs) as a technical improvement of internal electrolysis for water treatment. This material was fabricated by means of electrophoresis deposition (EPD) to immobilize carbon nanotubes on the surface of zero valent iron plate and then stabilized by calcinations. The reactivity of Fe 0 CNTs was examined by the degradation kinetics of methylene blue (MB) used as model compound. The presence of Fe 0 CNTs material in water induced considerable enhancement in reaction rates, which was attributed to the numerous microscopic iron carbon galvanic cells formed in situ. The oxidative pretreatment of carbon nano tubes largely facilitated the electrochemical process due to the improvement in surface chemistry. The thickness of CNTs layer was optimized at about 10 mm (EPD time of 3 min) for a better performance of Fe 0 CNTs. The Fenton like oxidation chain reactions following Reactions (1) (3) was verified to play the key role on the degradation of target organics. The direction of this pathway has been analyzed to depend on a complex stoichiometrical relation between hydrogen ion (H and dissolved oxygen (DO) since side reactions may also take place consuming both of them. This functionalized Fe 0 CNTs material working through micro electrolysis exhibited appreciable advantages in terms of reactivity, durability and operational simplicity. The knowledge gained from the effect of solution environments is of importance for process control.", "author_names": [ "Shuo Zhang", "Dong Jun Wang", "Liang Zhou", "Xingwen Zhang", "Pingping Fan", "Xie Quan" ], "corpus_id": 93818504, "doc_id": "93818504", "n_citations": 40, "n_key_citations": 0, "score": 0, "title": "Intensified internal electrolysis for degradation of methylene blue as model compound induced by a novel hybrid material: Multi walled carbon nanotubes immobilized on zero valent iron plates (Fe0 CNTs)", "venue": "", "year": 2013 }, { "abstract": "Abstract Regarding that solution pH is often a limiting factor for ozone technology, composite heterogeneous catalyst was developed accordingly in this work by virtue of carbon nanotube and zero valent iron. This hybrid material labeled as Fe0 CNTs was deliberately prepared by immobilizing oxidized multi walled carbon nanotubes (CNTs) onto the surface of zero valent iron plates through electrophoresis deposition (EPD) and high temperature calcinations. The catalytic effect of Fe0 CNTs during ozonation was examined by comparative study on degradation of methylene blue (MB) as model compound. Fe0 CNTs material, as expected, exhibited appreciable pH adaptability with high performance either in acidic (pH 3) or basic (pH 9) conditions, while follow dissimilar catalytic pathway as analyzed referring to material characterization by X ray photoelectron spectroscopy (XPS) The surface localized layer of CNTs was considered for pH 3 to act mainly as active mediator sensitizing zero valent iron and promote catalytic process, whereas for pH 9 it would play a role as effective ozone catalyst. The superiority for use of CNTs as carbon material was also reflected by comparison with granular activated carbon (GAC) on decolorization kinetics. Time of EPD was experimentally optimized to be 3 min for a better capability of Fe0 CNTs in catalytic ozonation.", "author_names": [ "Shuo Zhang", "Dong Wang", "Xie Quan", "Liang Zhou", "Xingwen Zhang" ], "corpus_id": 97581376, "doc_id": "97581376", "n_citations": 30, "n_key_citations": 0, "score": 0, "title": "Multi walled carbon nanotubes immobilized on zero valent iron plates (Fe0 CNTs) for catalytic ozonation of methylene blue as model compound in a bubbling reactor", "venue": "", "year": 2013 }, { "abstract": "Abstract Highly efficient and acid corrosion resistant for carbon adsorbent in hexavalent chromium removal is a significant property in the practical application. In this study, nitrogen doped carbon nanotubes with encapsulated Fe and Fe3C were synthesized through a facile pyrolysis procedure using melamine and ferric chloride as precursors, displaying an excellent efficiency and stability for hexavalent chromium removal. High maximum removal capacities with 35.26 and 970.87 mg g 1 were obtained in neutral and acid solutions, respectively, due to the adsorption process, reduction reaction between Fe0 or Fe2+ nanoparticles and Cr(VI) ions. The unexpected high stability in acid solution (pH at 1) after five recycles was observed for the first time, ascribed to N doping and the tubular structure with encapsulated ferric carbide, which could be resistant to the acid corrosion. After a simple treatment, the used adsorbent could be re utilized as catalysts for the electrochemical reduction of CO2 with high faradic efficiency (over 90% total efficiency and about 50% for CO production at 0.6 V) demonstrating a promising potential for reutilizing the used carbon adsorbents.", "author_names": [ "Ju Zhang" ], "corpus_id": 104446177, "doc_id": "104446177", "n_citations": 20, "n_key_citations": 0, "score": 0, "title": "Highly efficient and acid corrosion resistant nitrogen doped magnetic carbon nanotubes for the hexavalent chromium removal with subsequent reutilization", "venue": "Chemical Engineering Journal", "year": 2019 }, { "abstract": "The transition metal modified carbon materials as multifunctional cathode for electro Fenton (EF) is supposed to be promising to generate H2O2 in situ and catalyze it to form hydroxyl radicals to degrade organic pollutants, but still facing the challenge of reduced activities of heterogeneous catalyst and poor stability due to continuous leaching of active metals. Herein, a heterogeneous cathode on which iron confined interior cavity of carbon nanotubes (Fe0 in CNTs) with extreme lower leached iron was prepared, observing a much higher H2O2 yield and phenol removal rate (9.68 times faster) when compared with that of iron confined external walls of CNTs (Fe0 out CNTs) It was found that iron valence on CNTs played an important role on the heterogeneous Fenton activity, supporting that Fe0 was beneficial for H2O2 selectivity of 2e process (2.43 times higher) and phenol removal rate (21.44 times faster) other than iron oxide. It was confirmed that CNTs cavity could provide isolated space for Fe0, making the iron leaching mass was only 3.21x 10 3 mg/cm2 even at pH 3. Consequently the different mechanism for phenol degradation by heterogeneous EF on Fe0 in CNTs and Fe0 out CNTs cathode was disclosed. It supported that heterogeneous Fenton like reaction on CNTs surface rather than solution homogeneous Fenton reaction played a decisive role on pollutant degradation. Furthermore, the cathode reusability was proved to be dependent on the Fe0 content and its efficient conversion between FeIII/FeII. This work verified the importance of confinement catalysis in selective controlling the position and valence of iron on CNTs, which could effectively increase heterogeneous EF activity and decrease the leached iron to improve cathode stability. Thereby it would make a significant breakthrough in heterogeneous EF and shed light on the confinement catalysis method for organic pollutants degradation.", "author_names": [ "Pei Su", "Minghua Zhou", "Gengbo Ren", "Xiaoye Lu", "Xuedong Du", "Ge Song" ], "corpus_id": 203944463, "doc_id": "203944463", "n_citations": 19, "n_key_citations": 0, "score": 0, "title": "Carbon nanotubes confined iron modified cathode with prominent stability and activity for heterogeneous electro Fenton", "venue": "", "year": 2019 }, { "abstract": "Abstract In this work, a catalytic CVD process using ethanol as carbon source was used to convert an iron rich waste, i.e. red mud (RM) in a magnetic composite. TPCVD (temperature programmed CVD) XRD, Mossbauer, EDS, magnetic measurements, TG/DTA, CHN, BET, Raman, SEM and TEM showed that ethanol gradually reduces the iron phases in the RM to Fe3O4 at 500 degC and to Fe1 xO at 600 degC. At higher temperatures Fe0 and Fe carbide are the main phases produced with the formation of large amounts of carbon (30 50 wt% especially as nanotubes and nanofibers. These magnetic materials can be separated into two fractions by simple dispersion in water, i.e. a settled material composed of large magnetic particles and the suspended material composed of nanoparticles with average size of 10 100 nm. The carbon in the composites can be activated with CO2 increasing the surface area from 79 to 185 m2 g 1. The magnetic composites were used as adsorbent of model dyes methylene blue and indigo carmine showing excellent results. The composites were also used as support to produce a recyclable Pd catalyst. Tests for the 1,5 cyclooctadiene hydrogenation showed that the catalyst can be easily magnetically separated from the reaction medium and reused for five consecutive times with no deactivation or change in selectivity.", "author_names": [ "Aline A S Oliveira", "Juliana Cristina Tristao", "Jose D Ardisson", "Anderson Dias", "Rochel M Lago" ], "corpus_id": 55872242, "doc_id": "55872242", "n_citations": 36, "n_key_citations": 1, "score": 0, "title": "Production of nanostructured magnetic composites based on Fe0 nuclei coated with carbon nanofibers and nanotubes from red mud waste and ethanol.", "venue": "", "year": 2011 }, { "abstract": "Abstract In heterogeneous Fenton, nanostructured iron catalysts with confinement effect greatly improve the effectiveness for organic pollutants removal, however, this effect dependence on the space of substrate remains unclear and thereby limit the application of confined catalysis. Herein, Fe particles encapsulated in carbon nanotubes with inner diameter of 3 30 nm (Fe0@CNTs (x) were prepared and tested as catalysts to degrade model pollutant of phenol and four phenolic compounds (p diphenol (p DP) p aminophenol (p AP) p nitrophenol (p NP) and p chlorophenol (p CP) With the increasing of CNTs inner diameter from 3 to 30 nm, the encapsulated Fe particles linearly increased from 2.68 to 14.75 nm. The confinement effect was significant in the range of 3 7 nm of CNTs cavity, especially at 5 nm, which was critical to decrease iron leaching and enrich hydroxyl radical generation for efficient pollutants removal by surface heterogeneous reaction (2.13 folds compared with the homogeneous Fenton) In addition, the Fe0@CNTs (3) (7) with positive charges was conductive to adsorb the negatively charged phenols (p DP and p AP) compared with non spatial confinement of Fe CNTs with negative charge. According to the experiment and DFT calculations, the quantitative structure activity relationship about electron transfer was established, indicating that the electron from highest occupied molecular orbital (HOMO) of p AP could migrate to lowest unoccupied molecular orbital (LUMO) of Fe0@CNTs and further to HOMO of Fe0@CNTs. The electron in HOMO of Fe0@CNTs could migrate into H2O2 to generate *OH. This finding suggested a new paradigm to fulfill selective oxidation of the pollutants with electron withdrawing group by suitable heterogeneous catalysts having significant confinement effect with more effective utilization of *OH in Fenton reaction.", "author_names": [ "Pei Su" ], "corpus_id": 237655819, "doc_id": "237655819", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Nanoscale confinement in carbon nanotubes encapsulated zero valent iron for phenolics degradation by heterogeneous Fenton: Spatial effect and structure activity relationship", "venue": "", "year": 2021 }, { "abstract": "This paper reported a novel ozone catalyst capable of working on acidic solution environments (labeled as CNTs Fe0) which was prepared by immobilizing zero valent iron particles onto the surface of multi walled carbon nanotubes. The catalytic effect of CNTs Fe0 during ozonation was studied in terms of, degradation of methylene blue as model compound and concentration profile of hydroxyl radicals (HO* At pH 3, the production of HO* was sharply accelerated in the presence of CNTs Fe0 about 80 times compared to that obtained by plain ozone, resulting in the intensification of mineralization that was considered to occur mainly in the liquid bulk. In addition to the direct catalytic pathway, a carbon surface mediated Fenton like pathway possibly took place as another important route accounting for the catalytic activity of CNTs Fe0. The role of CNTs substrate during ozonation was evaluated, and it would act as an effective \"promoter\" rather than ozone catalyst for acidic pH around 3 where CNTs Fe0 optimally works.", "author_names": [ "Shuo Zhang", "Dong Wang", "Xingwen Zhang", "Pingping Fan" ], "corpus_id": 97233749, "doc_id": "97233749", "n_citations": 8, "n_key_citations": 0, "score": 0, "title": "Zero Valent Iron Immobilized on Multi Walled Carbon Nanotubes for Heterogeneous Catalytic Ozonation of Methylene Blue as Model Compound", "venue": "", "year": 2014 } ]
“Photocatalytic” and “Visible light” and“(BiO)2CO3”
[ { "abstract": "Abstract The wide band gap semiconductor (BiO)2CO3 was modified by interior doping with I ions and surface loading with BiOI to prepare a highly efficient visible light active photocatalyst for the degradation of methyl orange and phenol. The interior I ions elevate the valence band position of (BiO)2CO3 and induce visible light photocatalytic activity, while the in situ formed BiOI/I (BiO)2CO3 heterojunction significantly improves the separation of photoinduced charge carriers. By the action of the reactive species h+ and O2 methyl orange and phenol were degraded over the novel BiOI/I (BiO)2CO3 composite at rates that were 18 and 4 times, respectively, those of unmodified (BiO)2CO3. Thus, the findings presented here may be widely applied in the development of wide band gap semiconductor photocatalytic systems for the highly efficient removal of contaminants from wastewater.", "author_names": [ "Lei Liang", "Jing Cao", "Haili Lin", "Xiaomin Guo", "Meiyu Zhang", "Shifu Chen" ], "corpus_id": 99109253, "doc_id": "99109253", "n_citations": 11, "n_key_citations": 2, "score": 1, "title": "Synergetic effects of I ions and BiOI on visible light activity enhancement of wide band gap (BiO) 2 CO 3", "venue": "", "year": 2017 }, { "abstract": "Abstract Nano Carbon Hollow Spheres (NCHS) with a mean size of 40 70 nm were synthesized from Ricinus Communis (RC) oil by air controlled, low temperature direct pyrolysis in an indigenous reactor assembly using multi metal catalyst derived from Alternanthera sessilis stem. The multi metals present in the stem acts as a green catalyst for the formation of NCHS. The synthesized carbon spheres has a bulk density of 0.025 g/cm 3 and BET surface area of 631 m 2 /g. Bubble growth mechanism was proposed for the formation of NCHS. A visible light active lanthanum doped NCHS (La@NCHS) photocatalyst was synthesized by doping lanthanum oxide with NCHS by chemical co doping scheme. The particle size, morphology, graphitic layer arrangements, functionalities, band gap energy and composition of the La@NCHS were evaluated using FE SEM, HRTEM, XRD, FT IR, DRS and EDX. Kinetics and mechanism of UV and solar light supported dye degradation study for basic methylene blue (MB) was investigated and the effects of MB concentration, catalyst dosage and pH were explored for La@NCHS. The synthesized composite catalyst has excellent photocatalytic degradation under solar light.", "author_names": [ "Balakrishnan Murugesan", "A Sivakumar", "A Loganathan", "Pandian Sivakumar" ], "corpus_id": 99480455, "doc_id": "99480455", "n_citations": 7, "n_key_citations": 1, "score": 0, "title": "Synthesis and photocatalytic studies of lanthanum oxide doped nano carbon hollow spheres", "venue": "", "year": 2017 }, { "abstract": "A facile method was developed to graft cationic Ag clusters on (BiO)2CO3 hierarchical superstructures (BHS) surface to improve their visible light activity. Significantly, the resultant Ag clusters grafted BHS displayed a highly enhanced visible light photocatalytic performance for NOx removal due to the direct interfacial charge transfer (IFCT) from BHS to Ag clusters. The chemical and coordination state of the cationic Ag clusters was determined with the extended X ray absorption fine structure (EXAFS) and a theoretical structure model was proposed for this unique Ag clusters. The charge transfer process and the dominant reactive species (OH) were revealed on the basis of electron spin resonance (ESR) trapping. A new photocatalysis mechanism of Ag clusters grafted BHS under visible light involving IFCT process was uncovered. In addition, the cationic Ag clusters grafted BHS also demonstrated high photochemical and structural stability under repeated photocatalysis runs. The perspective of enhancing photocatalysis through combination of microstructural optimization and IFCT could provide a new avenue for the developing efficient visible light photocatalysts.", "author_names": [ "Xin Feng", "Wendong Zhang", "Hua Deng", "Zilin Ni", "Fan Dong", "Yuxin Zhang" ], "corpus_id": 9760279, "doc_id": "9760279", "n_citations": 42, "n_key_citations": 0, "score": 0, "title": "Efficient visible light photocatalytic NOx removal with cationic Ag clusters grafted (BiO)2CO3 hierarchical superstructures.", "venue": "Journal of hazardous materials", "year": 2017 }, { "abstract": "In order to achieve efficient photocatalytic NO removal, N doped (BiO)2CO3 hierarchical superstructures deposited with Pt quantum dots (2 4 nm) were fabricated by a one pot hydrothermal method using ammonium bismuth citrate and H2PtCl6 as precursors. In such a combined way, visible light absorption and charge carrier separation can be simultaneously enhanced. The as prepared Pt/N doped (BiO)2CO3 catalysts exhibited a highly enhanced visible light photocatalytic performance for NO removal and phenol degradation, which can be ascribed to the N doping that narrows the band gap, the formation of a Schottky barrier because of Pt that promotes electron/hole separation, and scattering and surface reflecting effects (SSR) caused by the hierarchical architecture. To reveal the reaction mechanism of photocatalytic NO oxidation, in situ DRIFTS investigation was applied to probe the reaction process, and a new intermediate, NO+ was firstly discovered during photocatalysis. Pt quantum dot deposition could change the reaction pathway via the inhibition of NO2 production. Based on ESR trapping and time dependent observation of the reaction products, a new photocatalytic reaction pathway was proposed for photocatalytic NO oxidation with Pt/N doped (BiO)2CO3. The present work could provide new perspectives for advancing the photocatalysis efficiency, offer a new insight into the photocatalytic NO oxidation process and promote large scale environmental applications of high performance photocatalysts.", "author_names": [ "Xing'an Dong", "Wendong Zhang", "Wenquan Cui", "Yanjuan Sun", "Hong-wei Huang", "Zhongbiao Wu", "Fan Dong" ], "corpus_id": 100116278, "doc_id": "100116278", "n_citations": 31, "n_key_citations": 0, "score": 0, "title": "Pt quantum dots deposited on N doped (BiO)2CO3: enhanced visible light photocatalytic NO removal and reaction pathway", "venue": "", "year": 2017 }, { "abstract": "Fe(III) clusters were facilely grafted on the surface of (BiO)2CO3 (BC) hierarchical superstructures by soaking the BC in Fe(NO3)3 aqueous solution. The presence of Fe (III) clusters was confirmed with HRTEM, XPS and UV vis DRS. The ESR trapping result revealed that the .OH radicals are the dominant reactive species responsible for photocatalytic NO oxidation. The production of .OH radicals was significantly promoted by the Fe(III) clusters due to the enhanced charge separation. The Fe(III) cluster grafted BC displayed a highly enhanced visible light photocatalytic performance due to the direct interfacial charge transfer (IFCT) from BC to the Fe clusters. The Fe(III) cluster grafted BC also demonstrated high photochemical and structural stability during multiple runs. A new visible light induced photocatalysis mechanism over Fe(III) cluster grafted BC involving an IFCT process was firstly proposed. The in situ DRIFTS investigation revealed that the adsorbed NO could react with the dominant .OH radicals and generate the final products NO2 and NO3 via the production of the intermediate NO2, NO2+ and NO+ species. The perspective of enhancing photocatalysis via IFCT could provide a new avenue for the modification of other efficient photocatalysts for enhanced performance.", "author_names": [ "Xin Feng", "Wendong Zhang", "Yanjuan Sun", "Hong-wei Huang", "Fan Dong" ], "corpus_id": 99871439, "doc_id": "99871439", "n_citations": 23, "n_key_citations": 0, "score": 0, "title": "Fe(III) cluster grafted (BiO)2CO3 superstructures: in situ DRIFTS investigation on IFCT enhanced visible light photocatalytic NO oxidation", "venue": "", "year": 2017 }, { "abstract": "Abstract A novel Z scheme AgI/Ag/I (BiO) 2 CO 3 composite was constructed through a two step in situ transforming process. AgI nanoparticles were firstly generated on the surface of I (BiO) 2 CO 3 via ion exchange step and then metallic Ag was formed by decomposing tiny of AgI in the photocatalytic process. Under visible light (l 400 nm) the as prepared AgI/Ag/I (BiO) 2 CO 3 composite intensively enhanced activity for rhodamine B degradation with corresponding k app value 0.63 h 1 in comparison with the reference samples. By means of the metallic Ag bridge, AgI/Ag/I (BiO) 2 CO 3 displayed excellent separation efficiency of photocharges on the basis of a Z scheme mechanism. Moreover, AgI/Ag/I (BiO) 2 CO 3 kept good stability under visible light. This study provides a universal way to in situ construct highly efficient Z scheme (BiO) 2 CO 3 based photocatalytic system applied for eliminating contaminants in wastewater.", "author_names": [ "Lei Liang", "Jing Cao", "Haili Lin", "Meiyu Zhang", "Xiaomin Guo", "Shifu Chen" ], "corpus_id": 102964960, "doc_id": "102964960", "n_citations": 23, "n_key_citations": 0, "score": 0, "title": "A novel double visible light active Z scheme AgI/Ag/I (BiO)2CO3 composite: Automatic formation of Ag bridge in the photocatalytic process", "venue": "", "year": 2017 }, { "abstract": "Abstract A ternary plasmonic Ag/AgCl (BiO) 2 CO 3 photocatalyst was successfully synthesized via a facile method. The as prepared samples were characterized by X ray diffraction, scanning electron microscopy, high resolution transmission electron microscopy, X ray photoelectron spectroscopy, UV vis diffuse reflection spectra, N 2 adsorption desorption isotherms, photoluminescence spectra, photocurrent generation measurement, time resolved fluorescence and in situ FT IR spectra. The Ag/AgCl (BiO) 2 CO 3 composites exhibited outstanding visible light photocatalytic performance for removal of NO in air, which can be ascribed to the cooperation of surface plasmon resonance effect (SPR effect) surface scattering and reflecting effect (SSR effect) and efficient separation of electron hole pairs. Moreover, the in situ DRIFTS were applied to elucidate the photocatalytic oxidation process for NO removal and also can further explain the enhanced photocatalytic activity of ternary Ag/AgCl (BiO) 2 CO 3 composites. And a conceivable visible light photocatalysis mechanism was proposed in detail. The present work could provide a new perspective for the fabrication and understanding of plasmon enhanced visible light photocatalysts.", "author_names": [ "Wenquan Cui", "Xinwei Li", "Chunfeng Gao", "Fan Dong", "Xiongbo Chen" ], "corpus_id": 100297755, "doc_id": "100297755", "n_citations": 20, "n_key_citations": 0, "score": 0, "title": "Ternary Ag/AgCl (BiO)2CO3 composites as high performance visible light plasmonic photocatalysts", "venue": "", "year": 2017 }, { "abstract": "Abstract (BiO)2CO3 (BOC) has been studied extensively due to its good photocatalytic performance for dyes and organic pollutants degradation. The common bismuth source like Bi(NO3)3 is easy to hydrolysis, which makes the reaction slow and it is hard to obtain uniform structure at room temperature. Herein, dilute ammonia solution was used to dissolve the bismuth citrate and make the bismuth cation free in the aqueous solution, and as a consequence, it could homogeneously react with CO32 at room temperature. The resulted product presented nanosheet based 3D hierarchical spherical appearance with a hollow interior, which exhibited enhanced visible light photocatalytic activity as compared to BOC compact packed microspheres obtained via hydrothermal method (HT BOC) On the basis of this, Bi2S3/(BiO)2CO3 (S BOC) were synthesized by a one pot room temperature route and the photocatalytic activity was further increased due to the construction of the heterojunction.", "author_names": [ "Yao Wu", "Qiaofeng Han", "Li Wang", "Xin Wang", "Junwu Zhu" ], "corpus_id": 99988736, "doc_id": "99988736", "n_citations": 11, "n_key_citations": 0, "score": 0, "title": "One pot synthesis of 3D hierarchical Bi2S3/(BiO)2CO3 hollow microspheres at room temperature and their photocatalytic performance", "venue": "", "year": 2017 }, { "abstract": "Abstract BiOCl and (BiO) 2 CO 3 nanosheets with predominantly exposed {001} facets (respectively denoted as BiOCl 001 and (BiO) 2 CO 3 001) were easily synthesized at room temperature in aqueous urea solution. Urea could not only prohibit the hydrolysis of Bi(NO 3 3 *5H 2 O, but also inhibit the growth of (001) plane, leading to the formation of BiOCl and (BiO) 2 CO 3 nanosheets with dominant {001} facets. As compared to BiOCl and (BiO) 2 CO 3 thick sheets without preferentially exposed facets, both BiOCl 001 and (BiO) 2 CO 3 001 exhibit universally enhanced photocatalytic performance toward decomposition of multiple contaminants including dye model rhodamine (RhB) methylene blue (MB) and crystal violet (CV) under visible light l 420 nm) irradiation, and phenol and salicylic acid (SA) under simulated sunlight. The as prepared BiOCl 001 and (BiO) 2 CO 3 001 also possess high photochemical stability and therefore may become potential photocatalysts for practical application in environmental remedies.", "author_names": [ "Yao Wu", "Qiaofeng Han", "Li Wang", "Xiaohong Jiang", "Xin Wang", "Junwu Zhu" ], "corpus_id": 102458363, "doc_id": "102458363", "n_citations": 12, "n_key_citations": 0, "score": 0, "title": "Room temperature synthesis of BiOCl and (BiO)2CO3 with predominant {001} facets induced by urea and their photocatalytic performance", "venue": "", "year": 2017 }, { "abstract": "Semimetal bismuth with plasmonic properties has triggered increased interests. In this work, a facile strategy was developed to synthesize the Bi/(BiO)2CO3 (Bi BOC) nanocomposites and Bi elemental photocatalysts. The Bi nanoparticles were produced via the insitu reduction of (BiO)2CO3 by NaBH4. The catalysts were utilized for the photocatalytic NO removal under visible light and UV illumination. Significantly, the photocatalytic capability of the Bi BOC was highly enhanced with an unprecedented NO removal of 63.6% The Bi metal demonstrated a direct plasmonic photocatalytic NO removal ratio of 53.6% under UV irradiation. The significantly enhanced photocatalytic capability of Bi BOC can be ascribed to the synergistic effects of the SPR effect, enhanced visible light harvesting and the efficient electron hole separation induced by Bi nanoparticles. The Bi nanoparticles can perform as a non noble metal based plasmonic cocatalyst for advancing photocatalytic ability. The mechanism of photocatalytic NO oxidation was proposed and compared under both visible light and UV illumination. Furthermore, the Bi BOC photocatalysts showed good photochemical stability under repeated tests. This work could not only offer new insights into in situ fine tune reduction strategy for Bi based photocatalysts, but also proves the potentials of utilizing low cost Bi cocatalysts as a substitute for noble metals to improve other photocatalysts.", "author_names": [ "Yanjuan Sun", "Zaiwang Zhao", "Wendong Zhang", "Chunfeng Gao", "Yuxin Zhang", "Fan Dong" ], "corpus_id": 13459725, "doc_id": "13459725", "n_citations": 41, "n_key_citations": 0, "score": 0, "title": "Plasmonic Bi metal as cocatalyst and photocatalyst: The case of Bi/(BiO)2CO3 and Bi particles.", "venue": "Journal of colloid and interface science", "year": 2017 } ]
"BiOCl" and "BI2O3"
[ { "abstract": "Abstract For the first time we report novel BiOCl/Bi2O3 photocatalyst functional under visible light irradiation. Even though both the individual BiOCl and Bi2O3 show very low photocatalytic efficiency under visible light irradiation, their heterojunctions provide unexpectedly high efficiency in decomposing organic compounds. Furthermore, the BiOCl/Bi2O3 can induce complete mineralization without formation of intermediate species by utilizing the holes generated in the VB of BiOCl. Compared to the Degussa P25, it demonstrates 5.7 times of efficiency in evolving CO2 from gaseous 2 propanol (IP) and 10.5 times of efficiency in removing aqueous 1,4 terephthalic acid (TA) under visible light l 420 nm irradiation. In this BiOCl/Bi2O3 system the BiOCl seems to work as main photocatalyst, while the role of Bi2O3 is a sensitizer absorbing visible light. The photocatalytic mechanism has been proposed based on the relative band position of these two semiconductors.", "author_names": [ "Seung Yong Chai", "Yong Joo Kim", "M H Jung", "Ashok Kumar Chakraborty", "Dongwoon Jung", "Wan In Lee" ], "corpus_id": 95952334, "doc_id": "95952334", "n_citations": 415, "n_key_citations": 5, "score": 1, "title": "Heterojunctioned BiOCl/Bi2O3, a new visible light photocatalyst", "venue": "", "year": 2009 }, { "abstract": "The paper reviews solid catalyzed oxidation and reduction processes for the treatment of wastewater that contains small concentrations of toxic compounds and for which separation is not economical while biological treatment is not feasible. Specifically, the objectives are (1) to understand the interactions between catalytic materials and various pollutants, (2) to provide a database for catalyst selection, and (3) to assess the potential of these processes for commercialization. The review suggests the following well investigated solutions: (1) Supported metal (Ru/CeO2, Pt/CeO2, and Ru/C) and metal oxides (CuO ZnO CoO, MnO2/CeO2, CoO/Bi2O3, and V2O5/Al2O3) are the most promising catalysts for the destruction of refractory organic compounds with nearly 100% selectivity to CO2; (2) CoO/CeO2 and MnO2/CeO2 are the most active catalysts for ammonia oxidation at temperatures of 263 400 degC; (3) activated carbon, preferably in the presence of copper ions, is an active catalyst for the oxidation of cyanides and", "author_names": [ "Yurii I Matatov-Meytal and", "Moshe Sheintuch" ], "corpus_id": 96747757, "doc_id": "96747757", "n_citations": 359, "n_key_citations": 5, "score": 0, "title": "Catalytic Abatement of Water Pollutants", "venue": "", "year": 1998 }, { "abstract": "A Bi2O3 nanoparticle modified BiOCl composite was synthesized by a solvothermal method combined with in situ reduction and oxidation in KBH4 and H2O2 solutions respectively. The thickness of a BiOCl nanosheet and the amount of Bi2O3 nanoparticle can be adjusted by changing the KBH4 concentration. The structure, morphology, elemental composition and optical absorption performance were characterized by using an X ray diffraction diffractometer, a scanning electron microscope, a high resolution transmission electron microscope, an X ray photoelectron spectroscope and a UV Vis diffuse reflection spectroscope respectively. A nanolayered hierarchical structure of BiOCl was observed, and Bi2O3 nanoparticles were found to be evenly distributed on the surface/interface of the nanosheets. The photocatalytic activity of the composite was tested by the degradation of 40 mg L( 1) methyl orange solution under UV light illumination. The Bi2O3/BiOCl composite prepared in a KBH4 concentration of 0.02 M achieved the highest photocatalytic rate of 95.7% in 8 min under UV light illumination with a kinetic constant of 0.3125 l min( 1) The photocatalytic mechanism of the composite has been discussed.", "author_names": [ "Jiajia Hu", "Guangqing Xu", "Jinwen Wang", "Jun Lv", "Xinyi Zhang", "Ting Xie", "Zhi-xiang Zheng", "Yucheng Wu" ], "corpus_id": 1441003, "doc_id": "1441003", "n_citations": 31, "n_key_citations": 1, "score": 0, "title": "Photocatalytic property of a Bi2O3 nanoparticle modified BiOCl composite with a nanolayered hierarchical structure synthesized by in situ reactions.", "venue": "Dalton transactions", "year": 2015 }, { "abstract": "This work is devoted to the preparation of carbon supported bismuth palladium catalysts for the selective oxidation of glucose to gluconic acid, and to the understanding of the promoting role played by Bi in these catalysts. Catalysts were prepared according to various experimental procedures from two kinds of precursors, containing either classical inorganic ligands (chloride, nitrate) or organic ligands of the carboxylate type: the acetates and derivatives of the pyrazine 2,3 dicarboxylic acid. Depending on the precursors used, the catalytic performances were found to be very different; catalysts prepared by deposition of acetate type precursors display the highest activity. The incorporation of bismuth in the Pd/C catalysts was confirmed to increase drastically the catalytic activity. The catalysts were characterized before and after their use in the catalytic operation by XRD, XPS, BET and IR. Depending on the preparation procedure used, the presence of BiOCl, Bi2O3 and several Bi Pd alloys in the bimetallic catalysts after the activation step was deduced from XRD studies. Partial dissolution of bismuth during the catalytic tests was demonstrated by atomic absorption analysis of the reaction medium and elaborate investigations were undertaken to understand the individual effects of the various constituents of the reaction mixture on the dissolution process. Monometallic Bi/C catalysts were found to lose significantly larger amounts of bismuth than bimetallic Pd Bi/C catalysts. Both glucose and gluconate appear as responsible for the dissolution of the promoting element. Notwithstanding the increase in the conversion rate observed when two monometallic Pd/C and Bi/C catalysts were used simultaneously, it was shown that the promoting role of bismuth was not merely dictated by the presence of bismuth in solution.", "author_names": [ "Mireille Wenkin", "Roland Touillaux", "Patricio Ruiz", "Bernard Delmon", "Michel Devillers" ], "corpus_id": 98214221, "doc_id": "98214221", "n_citations": 81, "n_key_citations": 2, "score": 0, "title": "Influence of metallic precursors on the properties of carbon supported bismuth promoted palladium catalysts for the selective oxidation of glucose to gluconic acid", "venue": "", "year": 1996 }, { "abstract": "alpha Bi2O3 is the thermodynamically stable phase of Bi2O3 at room temperature. We have performed a theoretical and experimental investigation of its electronic structure using a combination of gradient corrected density functional theory (DFT) along with x ray photoemission and O K shell x ray absorption and emission spectroscopies. We examine the nature of bonding in alpha Bi2O3 and in particular explore the nature of the stereochemically active Bi electron lone pair. The Bi 6s states are found to be concentrated at the bottom of the valence band but the states contributing to the lone pair on Bi are derived from the top of the valence band. Mixing between O 2p and Bi 6s states is found to be crucial in producing the asymmetric density on Bi. The role of the lone pair in the fast ion conductor delta Bi2O3 is also investigated, through calculation of the electronic structure with and alignment of oxygen vacancies. Alignment of the vacancies along results in the most energetically favorable configuration of the delta phase, contrary to previous force field calculations and electrostatic arguments which favor the alignment.", "author_names": [ "Aron Walsh", "Graeme W Watson", "David J Payne", "Russell G Edgell", "Jinghua Guo", "Per Anders Glans", "Timothy Learmonth", "Kevin E Smith" ], "corpus_id": 123143889, "doc_id": "123143889", "n_citations": 150, "n_key_citations": 2, "score": 0, "title": "Electronic structure of the alpha and delta phases of Bi2O3: A combined ab initio and x ray spectroscopy study", "venue": "", "year": 2006 }, { "abstract": "Abstract Although Bi based compounds are effective photocatalysts for degrading organic pollutants under visible light irradiation, their visible light photocatalytic activities are still low and far from practical application. In this study, novel graphene functionalized Bi bridge Z scheme black BiOCl/Bi2O3 (black BiOCl Bi Bi2O3/rGO) heterojunctions with oxygen vacancies were prepared via in situ Fe reduction of BiOCl/graphene oxide nanoplates. In comparison with BiOCl/graphene oxide nanoplates, the black BiOCl Bi Bi2O3/rGO heterojunctions have stronger visible light absorption, and exhibit more efficient charge separation and higher visible light photocatalytic activity in degrading 2 nitrophenol (2NP) The black BiOCl Bi Bi2O3/rGO0.4 shows the highest visible light photocatalytic activity with almost complete degradation of 2NP, which is attributed to proper bandgap match between black BiOCl and Bi2O3, multiple charge transfer channels via Bi bridge and rGO, and efficient charge separation. Of special importance, black BiOCl Bi Bi2O3/rGO heterojunction can effectively treat real industrial wastewater with 70.3% COD removal efficiency, and it shows superior long term stability. Additionally, a possible photocatalytic mechanism of black BiOCl Bi Bi2O3/rGO heterojunctions based on multiple charge transfer channels was proposed.", "author_names": [ "Fang Deng", "Qian Zhang", "Lixia Yang", "Xubiao Luo", "Aijie Wang", "Shenglian Luo", "Dionysios D Dionysiou" ], "corpus_id": 102996610, "doc_id": "102996610", "n_citations": 111, "n_key_citations": 0, "score": 0, "title": "Visible light responsive graphene functionalized Bi bridge Z scheme black BiOCl/Bi2O3 heterojunction with oxygen vacancy and multiple charge transfer channels for efficient photocatalytic degradation of 2 nitrophenol and industrial wastewater treatment", "venue": "", "year": 2018 }, { "abstract": "In this work, we have developed 3D hybrid microstructures consisting of a short ferromagnetic CoNi segment for wireless magnetic control, coupled to a photocatalytic Bi2O3/BiOCl segment for water remediation under UV visible light. These hybrid microstructures (pillars and helices) were fabricated using 3D photolithography and template assisted electrodeposition, followed by in situ creation of a Bi2O3/BiOCl heterojunction after oxidation of Bi. This heterojunction is not only active under a wider solar spectrum but also ensures sufficient charge separation and hence low electron hole recombination rate. As a result, these hybrid microstructures were able to degrade rhodamine B dye with a 90% efficiency in 6 hours. On application of magnetic fields we were able to precisely control the structures and collect them for reuse. Cytotoxicity tests were performed on our hybrid structures and a 95% cell viability was reported showing that our structures are biocompatible.", "author_names": [ "Fajer Mushtaq", "Miguel Guerrero", "Mahmut Selman Sakar", "Marcus Hoop", "Andre Machado Lindo", "Jordi Sort", "Xiang-Zhong Chen", "Bradley J Nelson", "Eva Pellicer", "Salvador Pane" ], "corpus_id": 94676417, "doc_id": "94676417", "n_citations": 64, "n_key_citations": 1, "score": 0, "title": "Magnetically driven Bi2O3/BiOCl based hybrid microrobots for photocatalytic water remediation", "venue": "", "year": 2015 }, { "abstract": "Hydrous bismuth oxides (HBOs) have been investigated as a possible adsorbent for fluoride removal from water. Apart from bismuth trioxide (Bi2O3) compound, three additional HBOs, named as HBO1, HBO2, and HBO3 were synthesized in the laboratory and examined for their relative potentials for fluoride removal from aqueous solutions. HBO1 was observed to have highest fluoride removal at 10mg/L initial concentration in aqueous environment. Among competitive anions, sulfate and chloride affect the fluoride removal by HBO1 more adversely than bicarbonate. Characterization of HBOs using X ray diffraction (XRD) pattern analyses indicated crystalline structures, and the broad chemical composition of materials showed successive increase of Bi(OH)3 from HBO1 to HBO3, with decrease of BiOCl in the same order. Fourier Transform Infrared (FTIR) spectroscopy analyses indicated presence of Bi O bond and successively increasing number of peaks corresponding to OH ion from HBO1 to HBO3. Scanning Electron Microscopic (SEM) images of HBOs show rough and porous structure of the materials. Presence of higher proportion of chloride compound in HBO1 with respect to others appears to be the factor responsible for its better performance in fluoride removal from aqueous solutions.", "author_names": [ "Arun Lal Srivastav", "Prabhat K Singh", "Varsha Srivastava", "Yogesh Chandra Sharma" ], "corpus_id": 5836998, "doc_id": "5836998", "n_citations": 86, "n_key_citations": 3, "score": 0, "title": "Application of a new adsorbent for fluoride removal from aqueous solutions.", "venue": "Journal of hazardous materials", "year": 2013 }, { "abstract": "The encapsulation of bismuth as BiOCl/Bi2O3 within ultra short (ca. 50 nm) single walled carbon nanocapsules (US tubes) has been achieved. The Bi@US tubes have been characterized by high resolution transmission electron microscopy (HR TEM) energy dispersive X ray spectroscopy (EDS) thermogravimetric analysis (TGA) X ray photoelectron spectroscopy (XPS) and Raman spectroscopy. Bi@US tubes have been used for intracellular labeling of pig bone marrow derived mesenchymal stem cells (MSCs) to show high X ray contrast in computed tomography (CT) cellular imaging for the first time. The relatively high contrast is achieved with low bismuth loading (2.66% by weight) within the US tubes and without compromising cell viability. X ray CT imaging of Bi@US tubes labeled MSCs showed a nearly two fold increase in contrast enhancement when compared to unlabeled MSCs in a 100 kV CT clinical scanner. The CT signal enhancement from the Bi@US tubes is 500 times greater than polymer coated Bi2S3 nanoparticles and several fold that of any clinical iodinated contrast agent (CA) at the same concentration. Our findings suggest that the Bi@US tubes can be used as a potential new class of X ray CT agent for stem cell labeling and possibly in vivo tracking.", "author_names": [ "Eladio J Rivera", "Lesa A Tran", "Mayra Hernandez-Rivera", "Diana M Yoon", "Antonios G Mikos", "Irene A Rusakova", "Benjamin Y Cheong", "Maria da Graca Cabreira-Hansen", "James T Willerson", "Emerson C Perin", "Lon J Wilson" ], "corpus_id": 7757523, "doc_id": "7757523", "n_citations": 39, "n_key_citations": 1, "score": 0, "title": "Bismuth@US tubes as a Potential Contrast Agent for X ray Imaging Applications.", "venue": "Journal of materials chemistry. B", "year": 2013 }, { "abstract": "The title material is prepared by solid state reaction of a stoichiometric mixture of Bi2O3, BiOCl, and Nb2O5 (evacuated silica tube, 1173 K, 20 h) and characterized by powder XRD and UV/VIS diffuse reflectance spectroscopy.", "author_names": [ "Hironori Fujito", "Hironobu Kunioku", "Daichi Kato", "Hajime Suzuki", "Masanobu Higashi", "Hiroshi Kageyama", "Ryu Abe" ], "corpus_id": 196896491, "doc_id": "196896491", "n_citations": 15, "n_key_citations": 1, "score": 0, "title": "Layered Perovskite Oxychloride Bi4NbO8Cl: A Stable Visible Light Responsive Photocatalyst for Water Splitting.", "venue": "", "year": 2016 } ]
SGX FPGA trust
[ { "abstract": "ion level Root of trust functionality Structural (how) examples Example Threats Typical HW design activities System and application Secure platforms e.g. Trusted Execution (Trustzone, SGX, TEE) HSM, Secure Element to support isolation, integrity, attestation, security application development Processor general purpose e.g. shadow stack SW vulnerabilities ISA, HW/SW co design Processor domain specific Crypto specific RTL Timing attacks Constant number of clock cycles Register Transfer Crypto specific Building blocks, Side Channel Attack, Logic synthesis Logic Resistance to SCA, Power, EM, fault Masking, Circuit styles Side Channel attack, fault FPGA tools, standard cell design Circuit and technology Source of entropy TRNG, PUF, Secure SRAM Temperature, glitches SPICE simulations Physical Tamper Resistance Shields, sensors Probing, heating Layout activities Table 1: Design abstraction layers linked to threat models, root of trust and design activities chip. Typical examples are co processors to support public key or secret key cryptographic algorithms. Time at the processor level is typically measured in instruction cycles. Both general purpose and domain specific processors are composed together from computational units, multipliers and ALU's, memory and interconnect. These modules are typically described at the register transfer level: constant time and resistance against side channel attacks become the focus. Time at this level is typically measured in clock cycles. Multipliers, ALU's, memories, interconnect and bus infrastructure are created from gates and flip flops at the logic level. At this design abstraction level, focus is on leakage through physical side channels, power, electro magnetic, and fault attacks. Time is typically measured in absolute time (nsec) based on the available standard cell libraries or FPGA platforms. The design of entropy sources requires knowledge and insights into the behavior of transistors and the underlying Complementary Metal Oxide Semiconductor (CMOS) technology.The design of these hardware security primitives is therefore positioned at the circuit and transistor level. Similarly the design of sensors and shields against physical tampering require insight into the technology. At the circuit and technology level it is measured in absolute time, e.g. nsec delay or GHz clock frequency. The table 1 does not aim to be complete. The idea is to illustrate each abstraction layer with an example. In the next sections, the hardware security goals and their associated threat models will be discussed in detail in relation to and relevance for each abstraction layer. KA Hardware Security October 2019 Page 6 The Cyber Security Body Of Knowledge www.cybok.org 2 MEASURING HARDWARE SECURITY Depending on the commercial application domain, several industrial and government organizations have issued standards or evaluation procedures. The most well known ones are the FIPS 140 2 (and the older FIPS 140 1) the Common Criteria (CC) evaluation and in the financial world the EMVCO. FIPS 140 2 mostly focuses on the implementation security of cryptographic algorithms. Common Criteria are applicable to IT security in general. 2.1 FIPS140 2 FIPS140 2 is a US NIST standard used for the evaluation of cryptographic modules. FIPS140 2 defines security levels from 1 to 4 (1 being the lowest) The following gives a description of the four levels from a physical hardware security point of view. Next to the physical requirements, there are also roles, services and authentication requirements (for more details see [7] and other KAs) Security level 1 only requires than an approved cryptographic algorithm be used, e.g. AES or SHA 3, but does not impose physical security requirements. Hence a software implementation could meet level 1. Level 2 requires a first level of tamper evidence. Level 3 also requires the tamper evidence, but on top requires tamper resistance. NIST defines tampering as an intentional but unauthorized act resulting in the modification of a system, components of systems, its intended behavior, or data, [8] Tamper evidence means that there is a proof or testimony that tampering with a hardware module has happened. E.g. a broken seal indicates that a device was opened. A light sensor might observe that the lid of a chip package was lifted. Tamper resistance means that on top of tamper evidence, protection mechanisms are added to the device. E.g. by extra coating or dense metal layers, it is difficult to probe the key registers. Level 4 increases the requirements such that the cryptographic module can operate in physically unprotected environments. In this context, the physical side channel attacks pose an important threat. If any of these physical components depend on sensitive data being processed, information is leaked. Since the device is under normal operation, a classic tamper evidence mechanism will not realize that the device is under attack. See later in section 6. 2.2 Common criteria and EMVCo \"Common Criteria for information technology security evaluation\" is an international standard for IT product security (ISO/IEC 15408) in short known as Common Criteria (CC) CC is a very generic procedure applicable to the security evaluation of IT products. Several parties are involved in this procedure. The customer will define a set of security specifications for its product. The manufacturer will design a product according to these specifications. An independent evaluation lab will verify if the product fulfills the claims made in the security requirements. Certification bodies will issue a certification that the procedure was correctly followed and that the evaluation lab indeed confirmed the claims made. The set of security specifications are collected in a so called protection profile. Depending on the amount of effort put into the security evaluation, the CC defines different Evaluation Assurance Levels (EALs) It ranges from basic functionally testing, corresponding KA Hardware Security October 2019 Page 7 The Cyber Security Body Of Knowledge www.cybok.org to EAL1, to formally verified design and tested, corresponding to the highest level EAL7. CC further subdivides the process of evaluation into several classes, where most of the classes verify the conformity of the device under test. The 5th class (AVA) deals with the actual vulnerability assessment. It is the most important class from a hardware security viewpoint as it searches for vulnerabilities and associated tests. It will assign a rating on the difficulty to execute the test, called the identification, and the possible benefit an attacker can gain from the penetration, called the exploitation. The difficulty is a function of the time required to perform the attack, the expertise of the attacker from layman to multiple experts, how much knowledge of the device is required from simple public information to detailed hardware source code, the number of samples required, and the cost and availability of equipment to perform the attack, etc. A high difficulty level will result in a high score and a high level of the AVA class. The highest score one can obtain is an AVA level of 5, which is required to obtain a top EAL score. Its usage is well established in the field of smartcards and secure elements as they are used in telecom, financial, government ID's applications. It is also used in the field of Hardware Security Modules, Trusted Platform Modules and some more [9] For certain classes of applications minimum sets of requirements are defined into protection profiles. There exists protection profiles for Trusted Platform Module (TPM) Javacards, Biometric passports, SIM cards, secure elements, etc. Since certification comes from one body, there exist agreements between countries so that the certifications in one country are recognized in other countries. As an exception EMVCo is a private organization to set the specifications for worldwide interoperability of payment transactions. It has its own certification procedure similar to CC. Please note that the main purpose of a common criteria evaluation is to verify that an IT product delivers the claims promised in the profile. It does not mean that there are no vulnerabilities left. A good introduction to the topic can be found in [10] and a list of certified products on [9] 2.3 SESIP: Security Evaluation Standard for IoT Platforms In the context of IoT security evaluation, a recent initiative is the SESIP Security Evaluation scheme [11] currently at version 1.2. IoT devices are typically small, light weight 'things' with limited accessibility via internet. Several levels of threat model for IoT are possible: from only remote internet access, over various remote software attack options, to also physical attack resistance. A comprehensive set of security functional requirements are defined: identification and attestation, product lifecycle, secure communication, software and physical attack resistance, cryptographic functionality including random number generation, and some compliance functionality to e.g. provide secure encrypted storage or provide reliable time. Similar to Common Criteria, SESIP provides several levels of assurance. Level 1 is the lowest level and consists of a self assessment. The highest level of SESIP consists of a full CC evaluation similar to smart cards or secure elements. The levels in between cover from a black box penetration testing over white box penetration testing with or without time limitations. KA Hardware Security October 2019 Page 8 The Cyber Security Body Of Knowledge www.cybok.org 3 SECURE PLATFORMS This section describes the goals and the state of the art in secure platforms. At this high level of abstraction the system designer receives a complete chip or board as trusted computing base. The system designers assume that the trusted root delivers a set of cryptographic functions, protected by the hardware and software inside", "author_names": [ "Ingrid M R Verbauwhede" ], "corpus_id": 207853938, "doc_id": "207853938", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Hardware Security Knowledge Area Issue 1 0", "venue": "", "year": 2019 }, { "abstract": "ion level Root of trust functionality Structural (how) examples Example Threats Typical HW design activities System and application Secure platforms e.g. Trusted Execution (Trustzone, SGX, TEE) HSM, Secure Element to support isolation, integrity, attestation, security application development Processor general purpose e.g. shadow stack SW vulnerabilities ISA, HW/SW co design Processor domain specific Crypto specific RTL Timing attacks Constant number of clock cycles Register Transfer Crypto specific Building blocks, Side Channel Attack, Logic synthesis Logic Resistance to SCA, Power, EM, fault Masking, Circuit styles Side Channel attack, fault FPGA tools, standard cell design Circuit and technology Source of entropy TRNG, PUF, Secure SRAM Temperature, glitches SPICE simulations Physical Tamper Resistance Shields, sensors Probing, heating Layout activities Table 1: Design abstraction layers linked to threat models, root of trust and design activities In an attempt to order the topics, table 1 summarizes this organization. The different abstraction layers are identified (first column) from a hardware perspective. The highest level (system and software) sits on top of the hardware platform. E.g. a system designer assumes that a secure platform is available. Thus the secure platform is the root of trust, providing security functionality. The second column describes the functionality provided by the root of trust. The third column describes how this functionality might be implemented. E.g. at the highest abstraction layer this might be by providing a Trusted Execution Module or a secure element, etc. The fourth column describes the threat models and attack categories at that abstraction layer. E.g. at system level, the system designer assumes that they will receive a module that provides isolation, integrity, attestation, etc. The last column describes typical design activities at this particular design abstraction layer.", "author_names": [ "Ingrid M R Verbauwhede" ], "corpus_id": 204857261, "doc_id": "204857261", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Hardware Security", "venue": "", "year": null }, { "abstract": "ion level Root of trust functionality Structural (how) examples Example Threats Typical HW design activities System and application Secure platforms e.g. Trusted Execution (Trustzone, SGX, TEE) HSM, Secure Element to support isolation, integrity, attestation, SW development Processor general purpose e.g. shadow stack SW vulnerabilities HW/SW co design Processor domain specific Crypto specific RTL Timing attacks Behavioral synthesis Register Transfer Crypto specific Building blocks, SCA attack, Logic synthesis Logic Resistance to SCA, Power, EM, fault Masking, Circuit styles SCA attack, fault FPGA tools, standard cell design Circuit and technology Source of entropy TRNG, PUF, Secure SRAM Temp, glitches SPICE simulations Physical Tamper Resistance Shields, sensors Probing, heating Layout activities Table 1: Design abstraction layers linked to threat models, root of trust and design activities In an attempt to order the topics, table 1 summarises this organisation. The different abstraction layers are identified (first column) from a hardware perspective. The highest level (system and software) sits on top of the hardware platform. E.g. a system designer assumes that a secure platform is available. Thus the secure platform is the root of trust, providing security functionality. The second column describes the functionality provided by the root of trust. The third column describes how this functionality might be implemented. E.g. at the highest abstraction layer this might be by providing a Trusted Execution Module or a secure element. The fourth column describes the threat models and attack categories at that abstraction layer. For instance, at the system level, the system designer assumes to receive a module that provides isolation, integrity, attestation, etc. The last column describes typical design activities at this particular design abstraction layer. This exercise is repeated for each abstraction layer and described in detail in each of the following sections. At the processor level, one can distinguish general purpose programmable processors and domain", "author_names": [ "Ingrid M R Verbauwhede" ], "corpus_id": 201084119, "doc_id": "201084119", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "HARDWARE SECURITY KNOWLEDGE AREA DRAFT FOR COMMENT", "venue": "", "year": null }, { "abstract": "Platforms combining Central Processing Systems (CPUs) with Field Programmable Gate Arrays (FPGAs) have become popular, as they promise high performance with energy efficiency. This is the result of the combination of FPGA accelerators tuned to the application, with the CPU providing the programming flexibility. Unfortunately, the security of these new platforms has received little attention: The classic software security assumption that hardware is immutable no longer holds. It is expected that attack surfaces will expand and threats will evolve, hence the trust models, and security solutions should be prepared. The attacker model should be enhanced and consider the following three basic entities as the source of threats: applications run by users, accelerators designed by third party developers, and the cloud service providers enabling the computation on their platforms. In our work, we review current trust models and existing security assumptions and point out their shortcomings. We survey existing research that target secure remote FPGA configuration, the protection of intellectual property, and secure shared use of FPGAs. When combined, these are the foundations to build a solution for secure use of FPGAs in the cloud. In addition to analysing the existing research, we provide discussions on how to improve it and disclose various concerns that have not been addressed yet.", "author_names": [ "Furkan Turan", "Ingrid M R Verbauwhede" ], "corpus_id": 230609687, "doc_id": "230609687", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Trust in FPGA accelerated Cloud Computing", "venue": "ACM Comput. Surv.", "year": 2021 }, { "abstract": "This paper proposes a method to protect DMA data transfer that can be used to offload computation to an accelerator. The proposal minimizes changes in the hardware platform and to the application and SW stack. The paper describes the end to end scheme to protect communication between an application running inside a SGX enclave and a FPGA accelerator optimized for bandwidth and latency and details the implementation of AES GCM hardware engines with high bandwidth and low latency.", "author_names": [ "Santosh K Ghosh", "Luis S Kida", "Soham Jayesh Desai", "Reshma Lal" ], "corpus_id": 211220422, "doc_id": "211220422", "n_citations": 5, "n_key_citations": 0, "score": 1, "title": "A >100 Gbps Inline AES GCM Hardware Engine and Protected DMA Transfers between SGX Enclave and FPGA Accelerator Device", "venue": "IACR Cryptol. ePrint Arch.", "year": 2020 }, { "abstract": "Intel SGX is a security solution promising strong and practical security guarantees for trusted computing. However, recent reports demonstrated that such security guarantees of SGX are broken due to access pattern based side channel attacks, including page fault, cache, branch prediction, and speculative execution. In order to stop these side channel attackers, Oblivious RAM (ORAM) has gained strong attention from the security community as it provides cryptographically proven protection against access pattern based side channels. While several proposed systems have successfully applied ORAM to thwart side channels, those are severely limited in performance and its scalability due to notorious performance issues of ORAM. This paper presents TrustOre, addressing these issues that arise when using ORAM with Intel SGX. TrustOre leverages an external device, FPGA, to implement a trusted storage service within a completed isolated environment secure from side channel attacks. TrustOre tackles several challenges in achieving such a goal: extending trust from SGX to FPGA without imposing architectural changes, providing a verifiably secure connection between SGX applications and FPGA, and seamlessly supporting various access operations from SGX applications to FPGA.We implemented TrustOre on the commodity Intel Hybrid CPU FPGA architecture. Then we evaluated with three state of the art ORAM based SGX applications, ZeroTrace, Obliviate, and Obfuscuro, as well as an end to end key value store application. According to our evaluation, TrustOre based applications outperforms ORAM based original applications ranging from 10x to 43x, while also showing far better scalability than ORAM based ones. We emphasize that since TrustOre can be deployed as a simple plug in to SGX machine's PCIe slot, it is readily used to thwart side channel attacks in SGX, arguably one of the most cryptic and critical security holes today.", "author_names": [ "Hyunyoung Oh", "Adil Ahmad", "Seonghyun Park", "Byoungyoung Lee", "Yunheung Paek" ], "corpus_id": 226228347, "doc_id": "226228347", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "TRUSTORE: Side Channel Resistant Storage for SGX using Intel Hybrid CPU FPGA", "venue": "CCS", "year": 2020 }, { "abstract": "", "author_names": [ "Melanie D Berg" ], "corpus_id": 196017358, "doc_id": "196017358", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "ASIC/FPGA Trust Assessment Framework", "venue": "", "year": 2018 }, { "abstract": "Field programmable gate arrays (FPGAs) are widely used in real time, data intensive, and mission critical system designs. In the space of trusted computing, FPGA based security modules have appeared in a number of widely used security conscious devices. The Cisco Trust Anchor module (TAm) is one such example that is deployed in a significant number of enterprise network switches, routers, and firewalls. We discuss several novel direct FPGA bitstream manipulation techniques that exploit the relative simplicity of input and output pin configuration structures. We present an analysis of the efficacy of Cisco TAm and discuss both the high level architectural flaws of the TAm as well as implementation specific vulnerabilities in a TAmprotected Cisco router. By combining techniques presented in this paper with other recent advancements in FPGA bitstream manipulation, we demonstrate the feasibility of reliable remote exploitation of all Cisco TAms implemented using Xilinx Spartan 6 FPGAs. The TAm exploit described in this paper allows the attacker to fully bypass all Trust Anchor functionality, including hardware assisted secure boot, and to stealthily inject persistent malicious implants within both the TAm FPGA and the application processor. Lastly, we discuss the applicability of our bitstream manipulation techniques to other FPGA based devices and propose several practical mitigations.", "author_names": [ "Jatin Kataria", "Rick Housley", "Joseph Pantoga", "Ang Cui" ], "corpus_id": 201803833, "doc_id": "201803833", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Defeating Cisco Trust Anchor: A Case Study of Recent Advancements in Direct FPGA Bitstream Manipulation", "venue": "WOOT USENIX Security Symposium", "year": 2019 }, { "abstract": "Data provenance refers to records of the inputs, entities, systems, and processes that influence data of interest, providing a historical record of the data and its origins. Secure data provenance is vital to ensure accountability, forensics investigation of security attacks and privacy preservation. In this paper, we propose Prov Trust, a decentralized and auditable SGX based data provenance system relying on highly distributed ledgers. This consensually shared and synchronized database allows anchored data to have public witness, providing tamper proof provenance data, enabling the transparency of data accountability, and enhancing the secrecy and availability of the provenance data. Prov Trust relies on Intel SGX enclave to ensure a trusted execution of the provenance kernel to collect, store and query provenance records. The use of SGX enclave protects data provenance and users' credentials against malicious hosting and processing parties. Prov Trust does not rely on a trusted third party to store provenance data while performing their verification using smart contracts and voting process. The storage of the provenance data in Prov Trust is done using either the log events of Smart Contracts or blockchain's transactions depending on the provenance change event, which enables low storage costs. Finally, Prov Trust ensures an accurate privacy preserving auditing process based on blockchain traces and achieved thanks to events' logs that are signed by SGX enclaves, transactions being registered after each vote session, and sealing the linking information using encryption schemes.", "author_names": [ "Nesrine Kaaniche", "Sana Belguith", "Maryline Laurent-Maknavicius", "Ashish Gehani", "Giovanni Russello" ], "corpus_id": 219690988, "doc_id": "219690988", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Prov Trust: Towards a Trustworthy SGX based Data Provenance System", "venue": "ICETE", "year": 2020 }, { "abstract": "", "author_names": [ "Luis S Kida", "Soham Jayesh Desai", "A N Trivedi", "Reshma Lal", "Vincent Scarlata", "Santosh K Ghosh" ], "corpus_id": 227232266, "doc_id": "227232266", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "HCC: 100 Gbps AES GCM Encrypted Inline DMA Transfers Between SGX Enclave and FPGA Accelerator", "venue": "ICICS", "year": 2020 } ]
Bulk electronic transport impacts on electron transfer at conducting polymer electrode– electrolyte interfaces.
[ { "abstract": "Significance Spreading electrochemical technologies, such as energy, bioelectrochemical devices, and industrial electrochemical synthesis, require low cost large area electrodes. Conducting polymers possess a unique combination of properties compared with most of the inorganic electrodes: acid resistance, the absence of surface insulating oxide, low temperature and solution processability, a high natural abundance of their elements, molecular porosity. Conducting polymers are inhomogeneous conductors composed of ordered and disordered regions through which electronic transport takes place via percolation paths. We discovered that the density of percolation paths in the bulk of the material dictates the rate of electron transfer at the electrolyte polymer electrode interface. This reveals one of the key parameters of designs to achieve efficient electrochemical technologies based on polymer electrodes. Electrochemistry is an old but still flourishing field of research due to the importance of the efficiency and kinetics of electrochemical reactions in industrial processes and (bio )electrochemical devices. The heterogeneous electron transfer from an electrode to a reactant in the solution has been well studied for metal, semiconductor, metal oxide, and carbon electrodes. For those electrode materials, there is little correlation between the electronic transport within the electrode material and the electron transfer occurring at the interface between the electrode and the solution. Here, we investigate the heterogeneous electron transfer between a conducting polymer electrode and a redox couple in an electrolyte. As a benchmark system, we use poly(3,4 ethylenedioxythiophene) (PEDOT) and the Ferro/ferricyanide redox couple in an aqueous electrolyte. We discovered a strong correlation between the electronic transport within the PEDOT electrode and the rate of electron transfer to the organometallic molecules in solution. We attribute this to a percolation based charge transport within the polymer electrode directly involved in the electron transfer. We show the impact of this finding by optimizing an electrochemical thermogalvanic cell that transforms a heat flux into electrical power. The power generated by the cell increased by four orders of magnitude on changing the morphology and conductivity of the polymer electrode. As all conducting polymers are recognized to have percolation transport, we believe that this is a general phenomenon for this family of conductors.", "author_names": [ "Kosala Wijeratne", "Ujwala Ail", "Robert E Brooke", "Mikhail Yu Vagin", "Xianjie Liu", "Mats Fahlman", "Xavier Crispin" ], "corpus_id": 53246055, "doc_id": "53246055", "n_citations": 21, "n_key_citations": 0, "score": 1, "title": "Bulk electronic transport impacts on electron transfer at conducting polymer electrode electrolyte interfaces", "venue": "Proceedings of the National Academy of Sciences", "year": 2018 }, { "abstract": "Abstract Oxidation of ferrocene at a poly(3 octylthiophene) (POT) film electrode has been investigated by using cyclic voltammetry, rotating disc electrode voltammetry and electrochemical impedance spectroscopy. The POT film electrode was prepared by galvanostatic electropolymerization of 3 octylthiophene on a rotating Pt disc electrode. In the medium used, i.e. 0.1 M LiBF 4 propylene carbonate, the formal redox potentials of ferrocene and POT are 0.4 and 1.1 V vs. Ag|AgCl respectively. This makes it possible to study the oxidation of ferrocene at both undoped (semiconducting) POT and at doped (electronically conducting) POT. The voltammetric data show that the oxidation of ferrocene is kinetically controlled at undoped or lightly doped POT while ferrocene is oxidized at a diffusion limited rate at highly doped POT. Impedance data on the POT film electrode in solutions containing different concentrations of supporting electrolyte and ferrocene indicate that the ferrocene oxidation occurs in parallel with the doping process, resulting in a competition between ferrocene and doping ions for the available 'sites' at the polymer|solution interface. The kinetics of electron transfer at the polymer|solutio interface are discussed based on the Butler Volmer formulation of electrode kinetics.", "author_names": [ "Johan Bobacka", "Maria Grzeszczuk", "Ari Ivaska" ], "corpus_id": 95333483, "doc_id": "95333483", "n_citations": 30, "n_key_citations": 0, "score": 0, "title": "Electron transfer at conducting polymer film electrodes mechanism and kinetics of ferrocene oxidation at poly(3 octylthiophene)", "venue": "", "year": 1997 }, { "abstract": "DOI: 10.1002/aenm.202000219 energy density Li metal batteries, and they can greatly improve safety by replacing the traditional flammable liquid electrolyte.[1 4] In recent years, the ion conductivity of SSEs has been greatly enhanced to a level comparable to that of liquid electrolytes,[2,5 7] which is critical for fast charging/discharging. To date, ion transport has primarily been characterized by macroscopic measurements such as electrochemical impedance spectroscopy (EIS) galvanostatic profiles of charging/ discharging, and nuclear magnetic resonance relaxometry.[8,9] These measurements essentially reflect an average of microscopic ion transport phenomena. Ion transport in SSEs can be far more complicated than in liquid electrolytes, where it is fundamentally isotropic and uniform. Furthermore, detailed microscopic transport characterization studies are currently lacking in this field.[10] Theoretical studies have proposed that ion transport can be governed by highly crystalline orientationdependent ionic hopping inside the ceramic lattice,[11,12] and some SSEs can even act as 1D[13 15] or 2D ion conductors.[16] The polycrystalline and glassy ceramic ion conductors often contain multiple phases with different crystalline structures and significant chemical nonuniformity; these phases thus complicate efforts to define ion transport properties. The bulk SSE may also have a significant volume fraction of disordered grain/particle boundaries versus interior regions of small sized grains.[17,18] All these factors can complicate our understanding of ion transport phenomena. In addition, ion transport can be further complicated by the formation of the solid electrolyte interphase (SEI) layer through chemical reaction of the SSE and electrode materials[19,20] and by the formation of the spacecharge layer by Li ion transfer across the interfaces.[21,22] To expose the details of ion conduction processes, it is necessary to use characterization methods that measure ion transport with nm scale spatial resolution to improve our understanding and tune the ion conduction in solid state batteries (SSBs) Currently, the two major directions inorganic ceramicbased and polymer based for developing SSEs pose challenges unique to the chosen approach. Inorganic ceramics SSEs have superior ion conductivity, comparable to liquid electrolyte, but they are also accompanied by chemical interaction Replacing the liquid electrolyte in lithium batteries with solid state ion conductor is promising for next generation energy storage that is safe and has high energy density. Here, nanometer resolution ionic and electronic transport imaging of Li3PS4 (LPS) a solid state electrolyte (SSE) is reported. This nm resolution is achieved by using a logarithm scale current amplifier that enhances the current sensitivity to the fA range. Large fluctuations of ion current one to two orders of magnitude on the LPS and on the LPS region of a polymer/LPS bulk hybrid SSE that must be mitigated to eliminate Li dendrite formation and growth, are found. This ion current fluctuation is understood in terms of highly anisotropic transport kinetic barriers along the different crystalline axes due to different grain orientations in the polycrystalline and glass ceramic materials. The results on the bulk hybrid SSE show a sharp transition of ionic and electronic transport at the LPS/polymer boundary and decreases in average ionic current with decreasing polyimine particle size and with extensive cycling. The results elucidate the mechanism of polyimine extension into interparticles to prevent Li dendrite growth. This work opens up novel characterization of charge transport, which relates to Li plating and stripping for solid state batteries.", "author_names": [ "Chunsheng Jiang", "Nathan Arthur Dunlap", "Yejing Li", "Harvey L Guthrey", "Ping Liu", "Sehee Lee", "Mowafak M Al-Jassim" ], "corpus_id": 216033689, "doc_id": "216033689", "n_citations": 9, "n_key_citations": 0, "score": 0, "title": "Nonuniform Ionic and Electronic Transport of Ceramic and Polymer/Ceramic Hybrid Electrolyte by Nanometer Scale Operando Imaging for Solid State Battery", "venue": "", "year": 2020 }, { "abstract": "A double submerged impinging jet (DSIJ) cell has been built allowing an identical hydrodynamic regime to be established on both faces of a bipolar electrode consisting of either a Pt sheet or a free standing polypyrrole (PPy) membrane. Both electrode materials have been studied in this cell, in the presence of electrolytes containing redox couples. The experimental current potential characteristics and impedance diagrams relative to PPy may be analyzed as the result of two parallel paths of charge transport involving (i) convective diffusion of the redox species in solution, electron transfer at the polymer/electrolyte interface, and electron transport within the film and (ii) migration of nonelectroactive ions in solution, ion transfer at the polymer/electrolyte interface, and ion transport within the film. In the most favorable circumstance of a fast redox couple and a low ionic conductivity of the membrane, comparison between Pt and PPy explicitly shows an electrocatalytic effect of the latter.", "author_names": [ "Claude Deslouis", "++ and Marco M Musiani", "Bernard Tribollet" ], "corpus_id": 98340363, "doc_id": "98340363", "n_citations": 27, "n_key_citations": 0, "score": 0, "title": "Free Standing Membranes for the Study of Electrochemical Reactions Occurring at Conducting Polymer/Electrolyte Interfaces", "venue": "", "year": 1996 }, { "abstract": "The kinetics of heterogeneous electron transfer across films of electronically conducting nickel 4,4',4',4' tetraaminophthalocyanine polymer (poly(NiTAPc) are reported. Poly(NiTAPc) which is formed by oxidative electropolymerization of NiTAPc, acts as an n doped electronic conductor between about 0.8 and 2.0 V vs. Ag/AgCl. Within this range it sustains diffusion limited charge transfer to one electron bulk solution reactants at their anticipated formal potentials. However, the rates of heterogeneous electron transfer to these species are diminished by a small, uniform amount that is exponentially dependent on film thickness. Results are interpreted in terms of a porous electrode model in which electron transfer occurs at the polymer solution interface, a large bulk capacitance arises from an interior pore volume that is inaccessible to diffusing reactants and a resistive element (suggested to be pores of electrolyte trapped between aggregrates of the polymer) is present which acts to reduce apparent values of ks,h. It is demonstrated that electronically conducting polymer films do not accelerate the rate of electron transfer to solution reactants but rather restore kinetics to their anticipated values by preventing suface involvement.", "author_names": [ "Haiqin Xi", "Franklin A Schultz" ], "corpus_id": 93824919, "doc_id": "93824919", "n_citations": 11, "n_key_citations": 0, "score": 0, "title": "Heterogeneous electron transfer at electrodes coated with electronically conducting nickel tetraaminophthalocyanine polymer films", "venue": "", "year": 1993 }, { "abstract": "Organic electronics based on organic semiconductors offer tremendous advantages compared to traditional inorganic counterparts such as low temperature processing, light weight, low manufacturing cost, high throughput and mechanical flexibility. Many key electronic processes in organic electronic devices, e.g. charge injection/extraction, charge recombination and exciton dissociation, occur at interfaces, significantly controlling performance and function. Understanding/modeling the interface energetics at organic electrode/organic organic heterojunctions is one of the crucial issues for organic electronic technologies to provide a route for improving device efficiency, which is the aim of the research presented in this thesis.Integer charge transfer (ICT) states pre existed in the dark and created as a consequence of Fermi level equilibrium at donor acceptor interface have a profound effect on open circuit voltage in organic bulk heterojunction photovoltaics. ICT state formation causes vacuum level misalignment that yields a roughly constant effective donor ionization potential to acceptor electron affinity energy difference at the donor acceptor interface, even though there is a large variation in electron affinity for the fullerene series. The large variation in open circuit voltage for the corresponding device series instead is found to be a consequence of trap assisted recombination via integer charge transfer states. Based on the results, novel design rules for optimizing open circuit voltage and performance of organic bulk heterojunction solar cells are proposed.Doping and insertion of interlayer are two established methods for enhancing charge injection/extraction properties at organic electrode interface. By studying the energy level alignment behavior at low to intermediate doping levels for molecule doped conjugated polymer/electrode interfaces, we deduce that two combined processes govern the interface energetics: (i) equilibration of the Fermi level due to oxidation (or reduction) of polymer sites at the interface as per the ICT model and (ii) a double dipole step induced by image charge from the dopant polymer charge transfer complex that causes a shift of the work function. Such behavior is expected to hold in general for low to intermediate level doped organic semiconductor systems. The unified model is further extended to be suitable for conjugated electrolyte/electrode interfaces, revealing the design rules for achieving the smallest charge injection/extraction barrier for both thin tunneling and thick charge transporting conjugated electrolyte interlayers.To probe into the energy level spatial extension at interfaces, we employ the original approach of building and characterizing multilayers composed of a well defined number of polymer monolayers with the Langmuir Shafer method to control polymer film uniformity and thicknesses, avoiding the problems associated with spin coating ultrathin films. The disordered/amorphous films feature smaller, and in fact negligible, energy level bending compared to the more well ordered films, in contradiction with existing models. It is found that that energy level bending depends on the ICT state distribution rather than the density of states of the neutral polymer chains in relation to the Fermi energy, thus taking into account the Coulomb energy associated with charging the polymer chain and transferring a charge across the interface. Based on this work, a general model for energy level bending in absence of significant doping of conjugated polymer films is proposed.Organic semiconductors are sensitive to ambient atmosphere that can influence the energetics. The degradation effects of common PCBM film induced by oxygen and water are found to be completely different. Upon exposure to oxygen, the work function is down shifted by 0.15 eV compared to the ICT curve of the pristine PCBM film, originating from the weak interaction between the fullerene part of PCBM and oxygen, and this can be reversed by thermal treatment in vacuum. The down shift in energetics will cause a loss in open circuit voltage at electrode interface, but aids free charge generation at donor acceptor interface. Upon exposure to water, there is irreversible extensive broadening and bleaching of the valence electronic structure features as well as a substantial decrease of work function and ionization potential, severely degrading the transport properties.Overall, the research results in this thesis thus give a deeper understanding of interface phenomena in organic electronics, especially regard to organic solar cells, aimed to further improve the device operation efficiency and lifetime.", "author_names": [ "Qinye Bao", "Xianjie Liu", "Slawomir Braun", "Feng Gao", "Mats Fahlman" ], "corpus_id": 138249426, "doc_id": "138249426", "n_citations": 26, "n_key_citations": 0, "score": 0, "title": "Energetics at Doped Conjugated Polymer/Electrode Interfaces", "venue": "", "year": 2015 }, { "abstract": "Multiheme cytochromes, located on the bacterial cell surface, function as long distance 10 nm) electron conduits linking intracellular reactions to external surfaces. This extracellular electron transfer process, which allows microorganisms to gain energy by respiring solid redox active minerals, also facilitates the wiring of cells to electrodes. While recent studies suggested that a chiral induced spin selectivity effect is linked to efficient electron transmission through biomolecules, this phenomenon has not been investigated in the extracellular electron conduits. Using magnetic conductive probe atomic force microscopy, Hall voltage measurements, and spin dependent electrochemistry of the decaheme cytochromes MtrF and OmcA from the metal reducing bacterium Shewanella oneidensis MR 1, we show that electron transport through these extracellular conduits is spin selective. Our study has implications for understanding how spin dependent interactions and magnetic fields may control electron transport across biotic abiotic interfaces in both natural and biotechnological systems.", "author_names": [ "Suryakant Mishra", "Sahand Pirbadian", "Amitabha Mondal", "Mohamed Y El-Naggar", "Ron Naaman" ], "corpus_id": 202545201, "doc_id": "202545201", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Spin Dependent Electron Transport through Bacterial Cell Surface Multiheme Electron Conduits", "venue": "", "year": 2019 }, { "abstract": "Abstract Hierarchical CuNiO2 nanospheres composed of large number of spikes, which look like a dandelion flower like morphologies are grown on nickel foam via a facile and low cost hydrothermal technique. These CuNiO2 electrodes are used as an efficient electrode material for supercapacitor without using any binders or conducting polymer additives. The structural, composition and morphological behaviors of the CuNiO2 electrodes are characterized using X ray diffraction, X ray photoelectron spectroscopy, scanning electron microscopy and transmission electron microscopy methods. The CuNiO2 nanoarchitectures provide the abundant active sites, high conductivity and rapid charge transport, which resulting the low charge transfer resistance at the interface of electrode electrolyte. Cyclic voltammetry and galvanostatic charge discharge plateaus from the CuNiO2 electrode exhibit the Faradic battery type redox behavior, which is distinct form the profiles of carbon based materials. As a battery type electrode, the dandelion flower like CuNiO2 nanoarchitectures exhibits the outstanding electrochemical performances with a high specific capacity ~111.52 mA h g 1 at 2 A g 1) superb rate capability ~81.4% retains even at 10 A g 1) and excellent cycling life ~89.13% at 6 A g 1 over 3000 cycles) respectively. Therefore, with the above findings, CuNiO2 material has remarkable application potential in supercapacitors and could be effectively applied in other energy storage technologies.", "author_names": [ "Hyungmin Joo", "Chandu V V Muralee Gopi", "Rajangam Vinodh", "Hee-Jee Kim", "Sangaraju Sambasivam", "Ihab M Obaidat" ], "corpus_id": 202946728, "doc_id": "202946728", "n_citations": 9, "n_key_citations": 0, "score": 0, "title": "Facile synthesis of flexible and binder free dandelion flower like CuNiO2 nanostructures as advanced electrode material for high performance supercapacitors", "venue": "", "year": 2019 }, { "abstract": "Organic electronics based on organic semiconductors offer tremendous advantages compared to traditional inorganic counterparts such as low temperature processing, light weight, low manufacturing cost, high throughput and mechanical flexibility. Many key electronic processes in organic electronic devices, e.g. charge injection/extraction, charge recombination and exciton dissociation, occur at interfaces, significantly controlling performance and function. Understanding/modeling the interface energetics at organic electrode/organic organic heterojunctions is one of the crucial issues for organic electronic technologies to provide a route for improving device efficiency, which is the aim of the research presented in this thesis.Integer charge transfer (ICT) states pre existed in the dark and created as a consequence of Fermi level equilibrium at donor acceptor interface have a profound effect on open circuit voltage in organic bulk heterojunction photovoltaics. ICT state formation causes vacuum level misalignment that yields a roughly constant effective donor ionization potential to acceptor electron affinity energy difference at the donor acceptor interface, even though there is a large variation in electron affinity for the fullerene series. The large variation in open circuit voltage for the corresponding device series instead is found to be a consequence of trap assisted recombination via integer charge transfer states. Based on the results, novel design rules for optimizing open circuit voltage and performance of organic bulk heterojunction solar cells are proposed.Doping and insertion of interlayer are two established methods for enhancing charge injection/extraction properties at organic electrode interface. By studying the energy level alignment behavior at low to intermediate doping levels for molecule doped conjugated polymer/electrode interfaces, we deduce that two combined processes govern the interface energetics: (i) equilibration of the Fermi level due to oxidation (or reduction) of polymer sites at the interface as per the ICT model and (ii) a double dipole step induced by image charge from the dopant polymer charge transfer complex that causes a shift of the work function. Such behavior is expected to hold in general for low to intermediate level doped organic semiconductor systems. The unified model is further extended to be suitable for conjugated electrolyte/electrode interfaces, revealing the design rules for achieving the smallest charge injection/extraction barrier for both thin tunneling and thick charge transporting conjugated electrolyte interlayers.To probe into the energy level spatial extension at interfaces, we employ the original approach of building and characterizing multilayers composed of a well defined number of polymer monolayers with the Langmuir Shafer method to control polymer film uniformity and thicknesses, avoiding the problems associated with spin coating ultrathin films. The disordered/amorphous films feature smaller, and in fact negligible, energy level bending compared to the more well ordered films, in contradiction with existing models. It is found that that energy level bending depends on the ICT state distribution rather than the density of states of the neutral polymer chains in relation to the Fermi energy, thus taking into account the Coulomb energy associated with charging the polymer chain and transferring a charge across the interface. Based on this work, a general model for energy level bending in absence of significant doping of conjugated polymer films is proposed.Organic semiconductors are sensitive to ambient atmosphere that can influence the energetics. The degradation effects of common PCBM film induced by oxygen and water are found to be completely different. Upon exposure to oxygen, the work function is down shifted by 0.15 eV compared to the ICT curve of the pristine PCBM film, originating from the weak interaction between the fullerene part of PCBM and oxygen, and this can be reversed by thermal treatment in vacuum. The down shift in energetics will cause a loss in open circuit voltage at electrode interface, but aids free charge generation at donor acceptor interface. Upon exposure to water, there is irreversible extensive broadening and bleaching of the valence electronic structure features as well as a substantial decrease of work function and ionization potential, severely degrading the transport properties.Overall, the research results in this thesis thus give a deeper understanding of interface phenomena in organic electronics, especially regard to organic solar cells, aimed to further improve the device operation efficiency and lifetime.", "author_names": [ "Qinye Bao", "Simone Fabiano", "Mattias Andersson", "Slawomir Braun", "Zhengyi Sun", "Xavier Crispin", "Magnus Berggren", "Xianjie Liu", "Mats Fahlman" ], "corpus_id": 107247417, "doc_id": "107247417", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "The energetics of the semiconducting polymer electrode interface for solution processed electronics", "venue": "", "year": 2015 }, { "abstract": "The thermoelectric transport properties of a metal ceramic interface based on Al and g Al2O3 are explored by employing the non equilibrium Green's function formalism (NEGF) coupled with density functional theory (DFT) However, to acquire the phonon thermal conductance, the parameterized ReaxFF potential is utilized for computing the intrinsic force constants of propagating phonons across the interface. Several interfacial electronic properties such as the charge transfer, the potential barrier, and the atomic orbital overlap are critically analyzed based on the DFT derived results of the electrostatic difference potential, the electron density difference, and the spin polarized density of states in the fully relaxed structure of the interface. Within the NEGF framework, both the electron and phonon transmission coefficients are estimated for the variations of bias voltage and temperature gradient across the interface. The strong orbital overlap and the scattering of electrons and phonons at the nanometer size interface suppress the lattice thermal conductivity significantly compared to the electron transport, which in turn enhances the thermoelectric performance of the Al/Al2O3 composite, in contrast to the bulk material of Al. Moreover, a steep rise of power factor induced by the increased transmission of charge carriers with temperature improves the energy conversion efficiency of the material. The present findings could pave the way for developing thermoelectric materials based on metal ceramic composites.", "author_names": [ "Pabitra Narayan Samanta", "Jerzy Leszczynski" ], "corpus_id": 21728306, "doc_id": "21728306", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "High temperature thermoelectric transport behavior of the Al/g Al2O3 interface: impact of electron and phonon scattering at nanoscale metal ceramic contacts.", "venue": "Physical chemistry chemical physics PCCP", "year": 2018 } ]
HIGH-PRESSURE STRUCTURAL TRANSFORMATIONS IN SEMICONDUCTOR NANOCRYSTALS
[ { "abstract": "Pressure induced structural transformations in semiconductor nanocrystals are examined. High pressure Raman spectroscopy, EXAFS, X ray diffraction, and optical absorption are discussed as methods for studying these transformations in CdSe, CdS, and Si nanocrystals. In these nanocrystal systems, each technique shows an elevation in solid solid structural transformation pressure as crystallite size decreases. By analogy with melting in nanocrystals, this elevation in transformation pressure is explained in terms of an increase in surface energy in the newly formed high pressure phase crystallites. The increase in surface energy is in turn the result of transition path induced changes in the shape of the nanocrystals. These changes convert spherical nanocrystals with low index, low energy surfaces into oblate or prolate crystallites with higher index, higher energy surfaces. The elevation in structural transformation pressure in nanocrystals is thus a kinetic rather than a thermodynamic phenomenon.", "author_names": [ "Sarah H Tolbert", "A Paul Alivisatos" ], "corpus_id": 30725480, "doc_id": "30725480", "n_citations": 199, "n_key_citations": 0, "score": 1, "title": "High pressure structural transformations in semiconductor nanocrystals.", "venue": "Annual review of physical chemistry", "year": 1995 }, { "abstract": "Abstract Pressure induced structural phase transitions have been studied in CdSe, CdS, InP and Si semiconductor nanocrystals. Nanocrystals transform via single nucleation of the phase transition with a kinetic barrier that increases in increasing cluster size. The structural transition path causes a shape change in the nanocrystals, which dictates the surface energy and thus the kinetic and thermodynamic stability of the transformed nanocrystal. These finite size effects can be used to tune the metastability of the nanocrystals versus pressure. Enhanced metastability allows structural and optical measurements in a regime inaccessible to the bulk solid, as well as possible recovery of the dense high pressure phase to atmospheric pressure.", "author_names": [ "Amy B Herhold", "Chia-Chun Chen", "C S Jun Johnson", "Sarah H Tolbert", "A Paul Alivisatos" ], "corpus_id": 97146219, "doc_id": "97146219", "n_citations": 12, "n_key_citations": 0, "score": 0, "title": "Structural transformations and metastability in semiconductor nanocrystals", "venue": "", "year": 1999 }, { "abstract": "The melt spun (MS) Ti50Ni25Cu25 alloy and the Zr62Cu22Al10Fe5Dy1 bulk metallic glass (BMG) were subjected to high pressure torsion (HPT) X ray diffraction (XRD) measurements show a shift of the first diffraction halo to a low angle after HPT processing, which corresponds to an increase in the values of the radius of the first coordination sphere and the free volume. Direct density measurements confirmed an increase in free volume values. A special TEM procedure was used for a detailed study of the microstructure of both amorphous alloys after HPT processing. The study revealed the formation of a large density of shear bands (SBs) in both alloys. Nanocrystals are formed directly in shear bands as a result of strain induced nanocrystallization. Amorphous nanoclusters with a size of 20 nm are formed in an amorphous matrix surrounding the SBs in the HPT processed MS alloy Ti50Ni25Cu25. The formation of nanoclusters was not observed in BMG Zr62Cu22Al10Fe5Dy1 after HPT processing.", "author_names": [ "Dmitry V Gunderov", "Evgeniy Boltynjuk", "Evgeniy V Ubyivovk", "Anna Churakova", "Galina Abrosimova", "V D Sitdikov", "Askar R Kilmametov", "Ruslan Z Valiev" ], "corpus_id": 139311817, "doc_id": "139311817", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "High pressure torsion induced structural transformations in Ti and Zr based amorphous alloys", "venue": "", "year": 2018 }, { "abstract": "X ray diffraction was used to monitor the structure of 45 A diameter CdSe nanocrystals as they transformed repeatedly between fourfold and sixfold coordinated crystal structures. Simulations of the diffraction patterns reveal that a shape change occurs as the crystals transform. They also show that stacking faults are generated in the transition from the high to the low pressure phase. The shape change and stacking fault generation place significant constraints on the possible microscopic mechanism of the phase transition.", "author_names": [ "" ], "corpus_id": 31364309, "doc_id": "31364309", "n_citations": 135, "n_key_citations": 4, "score": 0, "title": "Shape change as an indicator of mechanism in the high pressure structural transformations of CdSe nanocrystals", "venue": "Physical review letters", "year": 2000 }, { "abstract": "Understanding structural stability and phase transformation of nanoparticles under high pressure is of great scientific interest, as it is one of the crucial factors for design, synthesis, and application of materials. Even though high pressure research on nanomaterials has been widely conducted, their shape dependent phase transition behavior still remains unclear. Examples of phase transitions of CdS nanoparticles are very limited, despite the fact that it is one of the most studied wide band gap semiconductors. In this study, we have employed in situ synchrotron wide angle X ray scattering (WAXS) and transmission electron microscopy (TEM) to investigate the high pressure behaviors of CdS nanoparticles as a function of particle shapes. We observed that CdS nanoparticles transform from wurtzite to rocksalt phase at elevated pressure in comparison to their bulk counterpart. Phase transitions also vary with particle shape rod shaped particles show a partially reversible phase transition and the onset of the structural phase transition pressure decreases with decreasing surface to volume ratios, while spherical particles undergo irreversible phase transition with relatively low phase transition pressure. In addition, TEM images of spherical particles exhibited sintering induced morphology change after high pressure compression. Calculations of the bulk modulus reveals that spheres are more compressible than rods in the wurtzite phase. These results indicate that the shape of the particle plays an important role in determining their high pressure properties. Our study provides important insights into the understanding of the phase structure property relationship, which may guide future design and synthesis of nanoparticles for promising applications.", "author_names": [ "Lingyao Meng", "J Matthew D Lane", "Luke Baca", "Jackie Tafoya", "Tommy Ao", "Brian Stoltzfus", "Marcus D Knudson", "Dane Morgan", "Kevin N Austin", "Changyong Park", "Paul Chow", "Yuming Xiao", "Ruipeng Li", "Yang Qin", "Hongyou Fan" ], "corpus_id": 214617319, "doc_id": "214617319", "n_citations": 5, "n_key_citations": 0, "score": 0, "title": "Shape Dependence of Pressure Induced Phase Transition in CdS Semiconductor Nanocrystals.", "venue": "Journal of the American Chemical Society", "year": 2020 }, { "abstract": "We have observed and identified two crystalline peaks at ~270 cm 1 and ~400 cm 1 in nanocrystalline silicon during both compression and decompression. We attribute the first peak to the orthorhombic Imma phase (Si XI) and the second one to the TO mode of the silicon metallic b Sn phase (Si II) Also, in the process of decompression, we observed a first order like transition from a highly coordinated metallic crystalline b Sn phase to the normal tetrahedral LDA phase of the amorphous semiconductor. When the pressure is completely relieved, the powder regains its initial crystalline structure, which suggests that the amorphous phase has retained some structural features of the parent crystalline phase.We have observed and identified two crystalline peaks at ~270 cm 1 and ~400 cm 1 in nanocrystalline silicon during both compression and decompression. We attribute the first peak to the orthorhombic Imma phase (Si XI) and the second one to the TO mode of the silicon metallic b Sn phase (Si II) Also, in the process of decompression, we observed a first order like transition from a highly coordinated metallic crystalline b Sn phase to the normal tetrahedral LDA phase of the amorphous semiconductor. When the pressure is completely relieved, the powder regains its initial crystalline structure, which suggests that the amorphous phase has retained some structural features of the parent crystalline phase.", "author_names": [ "Nikolay N Ovsyuk", "Sergey G Lyapin" ], "corpus_id": 214239619, "doc_id": "214239619", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Raman spectra of Si nanocrystals under high pressure: Metallization and solid state amorphization", "venue": "", "year": 2020 }, { "abstract": "Here, we report comprehensive studies on the high pressure structural and electrical transport properties of the layered transition metal chalcogenide (Cr2S3) up to 36.3 GPa. A structural phase transition was observed in the rhombohedral Cr2S3 near 16.5 GPa by the synchrotron angle dispersive X ray diffraction measurement using a diamond anvil cell. Through in situ resistance measurement, the electric resistance value was detected to decrease by an order of three over the pressure range of 7 15 GPa coincided with the structural phase transition. Measurements on the temperature dependence of resistivity indicate that it is a semiconductor to metal transition in nature. The results were also confirmed by the electronic energy band calculations. Above results may shed a light on optimizing the performance of Cr2S3 based applications under extreme conditions.", "author_names": [ "Chunyu Li", "Feng Ke", "Qingyang Hu", "Zhenhai Yu", "Jinggeng Zhao", "Zhi-Qian Chen", "Hao Yan" ], "corpus_id": 53351758, "doc_id": "53351758", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Correlated structural and electronic phase transformations in transition metal chalcogenide under high pressure", "venue": "", "year": 2016 }, { "abstract": "Considering the large lattice mismatch induced interface strain between nonepitaxial grown monocrystalline semiconductor shell and metal core, we studied the solid state phase transformation of such nonepitaxial grown Au@CdS core/shell NCs under high pressure in this paper. Consistent with HRTEM characterizations, the high resolution Raman spectra and synchrotron angle dispersive X ray diffraction (ADXRD) spectra evolution were utilized to investigate the hydrostatic pressure (0 24 GPa) induced gradual phase transformation. Due to the strong lattice interactions between Au core and CdS shell, the different behavior and improved stability under high pressure appeared compared to single quantum dots (QDs)", "author_names": [ "Muwei Ji", "Hongzhi Wang", "Yu Gong", "Hai-Xia Cheng", "Lirong Zheng", "Xinyuan Li", "Liu Ying Huang", "Jia Liu", "Zhihua Nie", "Qiaoshi Zeng", "Meng Xue Xu", "Jiajia Liu", "Xiaoxu Wang", "Ping Qian", "Caizhen Zhu", "Jin Wang", "Xiao-Da Li", "Jiatao Zhang" ], "corpus_id": 53220801, "doc_id": "53220801", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "High Pressure Induced in Situ Solid State Phase Transformation of Nonepitaxial Grown Metal@Semiconductor Nanocrystals.", "venue": "The journal of physical chemistry letters", "year": 2018 }, { "abstract": "", "author_names": [ "Juanita Wickham", "Amy B Herhold", "A Paul Alivisatos" ], "corpus_id": 121961012, "doc_id": "121961012", "n_citations": 8, "n_key_citations": 0, "score": 0, "title": "Erratum: Shape Change as an Indicator of Mechanism in the High Pressure Structural Transformations of CdSe Nanocrystals [Phys. Rev. Lett. 84, 923 (2000)", "venue": "", "year": 2000 }, { "abstract": "Abstract TiO2 and ZnO, two semiconductors with promising optical properties, are considered as potential candidates for solar and photocatalytic applications. Although chemical methods have been primarily used to enhance the optical properties of these oxides, the current authors recently reported enhanced photocatalytic performance of pure TiO2 and ZnO by plastic straining due to the generation of high pressure phases and oxygen vacancies. In this study, to improve the optical properties further, large fractions of ZnO/TiO2 interphase boundaries are also introduced by application of high pressure torsion (HPT) straining to a mixture of anatase TiO2 and wurtzite ZnO powders. It was found that the amounts of oxygen vacancies and nanograined high pressure TiO2 II and rocksalt ZnO phases increase with increasing plastic strain. Moreover, due to the plastic strain effect, the rutile TiO2 phase is formed at room temperature, which is at least 600 K below the reported anatase to rutile transition temperature. These structural features, together with the formation of large fraction of interphase boundaries, lead to electron spin resonance, optical bandgap narrowing, diminishing of the band to band photoluminescence and thus, improvement of photocatalytic hydrogen generation. Despite improvements in the photocatalytic activity of TiO2 ZnO composites after large straining, photocatalytic activity becomes poor by processing at ultra large strains due to the significant reduction in crystallinity.", "author_names": [ "Jacqueline Hidalgo-Jimenez", "Qing Bo Wang", "Kaveh Edalati", "Jorge M Cubero-Sesin", "Hadi Razavi-Khosroshahi", "Yoshifumi Ikoma", "Dionisio Gutierrez-Fallas", "Fernando A Dittel-Meza", "Juan Carlos Rodriguez-Rufino", "Masayoshi Fuji", "Zenji Horita" ], "corpus_id": 202225935, "doc_id": "202225935", "n_citations": 13, "n_key_citations": 0, "score": 0, "title": "Phase transformations, vacancy formation and variations of optical and photocatalytic properties in TiO2 ZnO composites by high pressure torsion", "venue": "", "year": 2020 } ]
an ising computer
[ { "abstract": "In the near future, one of the main processes is solving large combinatorial optimization problems. However, the performance growth of von Neumann architecture will slow due to the end of semiconductor scaling. To resolve this problem, we propose an Ising computer that maps the optimization problems to the ground state search of Ising models. We previously proposed a computer that finds the ground state of Ising models by simulated annealing (SA) approximately. Though the solution quality of the previous prototype is comparable to that of SA, enhancing the solution quality will be required to solve real world applications. In this paper, we present our FPGA based Ising computer that executes simulated quantum annealing by using a path integral quantum Monte Carlo method for Ising models on a 48 by 48 king graph with 8 bit couplings. We also propose a shared random number supply, which contributes to decrease the number of random number generators to two. Experimental results indicate that the proposed Ising computer is more than 15 times faster to obtain 99.9% solution with a probability of 99% than SA running on a state of the art CPU.", "author_names": [ "Takuya Okuyama", "Masato Hayashi", "Masanao Yamaoka" ], "corpus_id": 11843866, "doc_id": "11843866", "n_citations": 18, "n_key_citations": 3, "score": 1, "title": "An Ising Computer Based on Simulated Quantum Annealing by Path Integral Monte Carlo Method", "venue": "2017 IEEE International Conference on Rebooting Computing (ICRC)", "year": 2017 }, { "abstract": "Masanao Yamaoka, Ph.D. Chihiro Yoshimura Masato Hayashi Takuya Okuyama Hidetaka Aoki Hiroyuki Mizuno, Ph.D. OVERVIEW: A major challenge facing AI is the enormous computational load it imposes, of which combinational optimization makes up a large part. Hitachi has devised a computing technology based on a new paradigm that is capable of solving combinatorial optimization problems efficiently using an Ising model, and has built a prototype 20k spin Ising computer chip using a 65 nm process. An Ising chip represents a combinatorial optimization problem by mapping it onto an Ising model based on the spin of magnetic materials, and solves the problem by taking advantage of the system's natural tendency to converge. This convergence is implemented using a CMOS circuit. In addition to demonstrating its ability to solve combinatorial optimization problems and operate at 100 MHz, the prototype chip has been demonstrated to consume approximately 1,800 times less power to obtain the solution than would be required by a conventional computer with a von Neumann architecture.", "author_names": [ "Masanao Yamaoka", "D Ph", "Chihiro Yoshimura", "Masato Hayashi", "Takuya Okuyama", "Hidetaka Aoki", "Hiroyuki Mizuno" ], "corpus_id": 19864386, "doc_id": "19864386", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Advanced Research into AI Ising Computer", "venue": "", "year": 2016 }, { "abstract": "Masanao Yamaoka, Ph.D. Chihiro Yoshimura Masato Hayashi Takuya Okuyama Hidetaka Aoki Hiroyuki Mizuno, Ph.D. OVERVIEW: The optimization of social infrastructure systems will be among the requirements of Hitachi's Social Innovation Business in the future, therefore, there will be a need to solve combinatorial optimization problems. Hitachi has devised a computing technology based on a new paradigm that is capable of solving combinatorial optimization problems efficiently using an Ising model, and has built a prototype 20,000 spin Ising chip using a 65 nm process. An Ising chip represents a combinatorial optimization problem by mapping it onto an Ising model based on the spin of magnetic materials, and solves the problem by taking advantage of the system's natural tendency to converge. This convergence is implemented using a CMOS circuit. In addition to demonstrating its ability to solve combinatorial optimization problems and operate at 100 MHz, the prototype chip consumes approximately 1,800 times less power to obtain the solution than required by a conventional Neumann architecture computer.", "author_names": [ "Masanao Yamaoka", "D Ph", "Chihiro Yoshimura", "Masato Hayashi", "Takuya Okuyama", "Hidetaka Aoki", "Hiroyuki Mizuno" ], "corpus_id": 13364316, "doc_id": "13364316", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "New Computing Paradigm for Analyzing Increasingly Complex Social Infrastructure Systems Ising Computer", "venue": "", "year": 2015 }, { "abstract": "We present an exact simulation of a one dimensional transverse Ising spin chain with a quantum computer. We construct an efficient quantum circuit that diagonalizes the Ising Hamiltonian and allows to obtain all eigenstates of the model by just preparing the computational basis states. With an explicit example of that circuit for $n=4$ spins, we compute the expected value of the ground state magnetization, the time evolution simulation and provide a method to also simulate thermal evolution. All circuits are run in IBM and Rigetti quantum devices to test and compare them qualitatively.", "author_names": [ "Alba Cervera-Lierta" ], "corpus_id": 119298346, "doc_id": "119298346", "n_citations": 47, "n_key_citations": 0, "score": 0, "title": "Exact Ising model simulation on a quantum computer", "venue": "", "year": 2018 }, { "abstract": "Ising machines have attracted attention, which is expected to obtain better solutions of various combinatorial optimization problems at high speed by mapping the problems to natural phenomena. A slot placement problem is one of the combinatorial optimization problems, regarded as a quadratic assignment problem, which relates to the optimal logic block placement in a digital circuit as well as optimal delivery planning. Here, we propose a mapping to the Ising model for solving a slot placement problem with additional constraints, called a constrained slot placement problem, where several item pairs must be placed within a given distance. Since the behavior of Ising machines is stochastic, the obtained solution does not always satisfy the slot placement constraint, which is different from the conventional methods such as the conventional simulated annealing. To resolve the problem, we propose an interpretation method in which a feasible solution is generated by post processing procedures. Using an Ising machine computer, feasible solutions could be obtained up to 50 times faster than the conventional simulated annealing without degrading accuracy for constrained slot placement problems with 6 x 6 slots and 27 items at the maximum.", "author_names": [ "Sho Kanamaru", "Kazushi Kawamura", "Shu Tanaka", "Yoshinori Tomita", "Hidetoshi Matsuoka", "Kaoru Kawamura", "Nozomu Togawa" ], "corpus_id": 210930092, "doc_id": "210930092", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Mapping Constrained Slot Placement Problems to Ising Models and its Evaluations by an Ising Machine", "venue": "2019 IEEE 9th International Conference on Consumer Electronics (ICCE Berlin)", "year": 2019 }, { "abstract": "Floorplanning of modules has been a significant role in VLSI design automation and it can be formulated as the \"Rectangle Packing Problem.\" Ising model based computers (or annealing machines) are the type of a non von Neumann computer and recently expected to solve combinatorial optimization problems efficiently. In this paper, we propose a mapping of \"Rectangle Packing Problem\" for solving it by the annealing machines. In our proposed mapping, a sequence pair is represented on an Ising model. Our proposed approach maps a \"Rectangle Packing Problem\" with N rectangles onto a 3N3 spin logical Ising model. Experimental results demonstrate that through the proposed approach we can solve the problem with 18 rectangles at the maximum on a fully connected annealing machine and the problem with three rectangles at the maximum on 20k spin CMOS annealing machine.", "author_names": [ "Kotaro Terada", "Daisuke Oku", "Sho Kanamaru", "Shu Tanaka", "Masato Hayashi", "Masanao Yamaoka", "Masao Yanagisawa", "Nozomu Togawa" ], "corpus_id": 46977812, "doc_id": "46977812", "n_citations": 12, "n_key_citations": 0, "score": 0, "title": "An Ising model mapping to solve rectangle packing problem", "venue": "2018 International Symposium on VLSI Design, Automation and Test (VLSI DAT)", "year": 2018 }, { "abstract": "We consider Grover's search algorithm on a model quantum computer implemented on a chain of four or five nuclear spins with first and second neighbour Ising interactions. Noise is introduced into the system in terms of random fluctuations of the external fields. By averaging over many repetitions of the algorithm, the output state becomes effectively a mixed state. We study its overlap with the nominal output state of the algorithm, which is called the fidelity. We analyse the behaviour of the fidelity as a function of the noise intensity for static and random noise on either the Larmor frequencies or the Rabi frequency, and we compare our results to theoretical predictions and numerical simulations which are based on more abstract quantum computer models.", "author_names": [ "Thomas Gorin", "Lorena Lara", "Gustavo V Lopez" ], "corpus_id": 118823447, "doc_id": "118823447", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Simulation of static and random errors on Grover's search algorithm implemented in an Ising nuclear spin chain quantum computer with a few qubits", "venue": "", "year": 2010 }, { "abstract": "An Ising computing that is specialized to solve combinatorial optimization problems is proposed. The computing maps problems to an Ising model and solves the optimization problems by its own convergence property. We proposed a CMOS implementation of Ising computing and fabricated two prototypes of the computer. The 1st generation prototype confirmed the power efficiency is 1800 times higher than that of the conventional vonNeumann computers. The 2nd generation prototype is organized by FPGA, and used to explore its applications.", "author_names": [ "Masanao Yamaoka" ], "corpus_id": 38479970, "doc_id": "38479970", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "An ising computing to solve combinatorial optimization problems", "venue": "2017 Fifth Berkeley Symposium on Energy Efficient Electronic Systems Steep Transistors Workshop (E3S)", "year": 2017 }, { "abstract": "Given samples from an unknown multivariate distribution <inline formula> <tex math notation=\"LaTeX\"$p$ /tex math>/inline formula> is it possible to distinguish whether <inline formula> <tex math notation=\"LaTeX\"$p$ /tex math>/inline formula> is the product of its marginals versus <inline formula> <tex math notation=\"LaTeX\"$p$ /tex math>/inline formula> being far from every product distribution? Similarly, is it possible to distinguish whether <inline formula> <tex math notation=\"LaTeX\"$p$ /tex math>/inline formula> equals a given distribution <inline formula> <tex math notation=\"LaTeX\"$q$ /tex math>/inline formula> versus <inline formula> <tex math notation=\"LaTeX\"$p$ /tex math>/inline formula> and <inline formula> <tex math notation=\"LaTeX\"$q$ /tex math>/inline formula> being far from each other? These problems of testing independence and goodness of fit have received enormous attention in statistics, information theory, and theoretical computer science, with sample optimal algorithms known in several interesting regimes of parameters. Unfortunately, it has also been understood that these problems become intractable in large dimensions, necessitating exponential sample complexity. Motivated by the exponential lower bounds for general distributions as well as the ubiquity of Markov random fields (MRFs) in the modeling of high dimensional distributions, we initiate the study of distribution testing on <italic>structured</italic> multivariate distributions, and in particular, the prototypical example of MRFs: <italic>the Ising Model</italic> We demonstrate that, in this structured setting, we can avoid the curse of dimensionality, obtaining sample, and time efficient testers for independence and goodness of fit. One of the key technical challenges we face along the way is bounding the variance of functions of the Ising model.", "author_names": [ "Constantinos Daskalakis", "Nishanth Dikkala", "Gautam Kamath" ], "corpus_id": 201145239, "doc_id": "201145239", "n_citations": 14, "n_key_citations": 1, "score": 0, "title": "Testing Ising Models", "venue": "IEEE Transactions on Information Theory", "year": 2019 }, { "abstract": "We implement Grover's quantum search algorithm on a nuclear spin chain quantum computer, taking Ising type interactions between nearest and second nearest neighbours into account. The performance of this implementation is studied by numerical simulations with four spins. We determine the temporal behaviour of the fidelity during the algorithm, and we compute the final fidelity as a function of the Rabi frequency. For the latter, we obtain pronounced maxima at frequencies which fulfil the condition of the 2pk method with respect to the second nearestneighbour interactions. (Some figures in this article are in colour only in the electronic version)", "author_names": [ "Gustavo V Lopez", "Thomas Gorin", "Lorena Lara" ], "corpus_id": 18796310, "doc_id": "18796310", "n_citations": 6, "n_key_citations": 0, "score": 0, "title": "Simulation of Grover's quantum search algorithm in an Ising nuclear spin chain quantum computer with first and second nearest neighbour couplings", "venue": "", "year": 2008 } ]
The modelling and simulation of Bi2Te3 thermoelectric generators in synopsys tcad
[ { "abstract": "This paper demonstrates the modelling and simulation of thermoelectric generators using Synopsys Technology Computer Aided Design (TCAD) semiconductor design and simulation software. A single Bi2Te3 thermoelectric couple, and a seven couple Bi2Te3 thermoelectric module, have been modelled in Synopsys TCAD and simulated for thermoelectric power generation. The simulation results correctly demonstrate that when a thermoelectric couple or module is subjected to a temperature gradient, electrical power is generated at the load, with higher power levels output as the temperature gradient increases, or as the number of thermoelectric couples contained within the device increases. The simulation results obtained in TCAD, which uses in built semiconductor physics equations, have been compared to results obtained through numerical calculation using a different set of thermoelectric equations, and the two results are in close agreement. The Synopsys TCAD models can be developed further to investigate novel device structures, and will enable new thermoelectric materials to be investigated within the framework of the simulation environment in an attempt to improve the performance, efficiency, and cost of thermoelectric devices", "author_names": [ "Christopher Gould", "Noel Y A Shammas" ], "corpus_id": 197637727, "doc_id": "197637727", "n_citations": 0, "n_key_citations": 0, "score": 1, "title": "The Modelling and Simulation of Bi2Te3 Thermoelectric Generators in Synopsys TCAD", "venue": "", "year": 2015 }, { "abstract": "A patient specific fluid structure interaction (FSI) model of a phase contrast magnetic resonance angiography (PC MRA) imaged arteriovenous fistula is presented. The numerical model is developed and simulated using a commercial multiphysics simulation package where a semi implicit FSI coupling scheme combines a finite volume method blood flow model and a finite element method vessel wall model. A pulsatile mass flow boundary condition is prescribed at the artery inlet of the model, and a three element Windkessel model at the artery and vein outlets. The FSI model is freely available for analysis and extension. This work shows the effectiveness of combining a number of stabilisation techniques to simultaneously overcome the added mass effect and optimise the efficiency of the overall model. The PC MRA data, fluid model, and FSI model results show almost identical flow features in the fistula; this applies in particular to a flow recirculation region in the vein that could potentially lead to fistula failure.", "author_names": [ "Winston Guess", "B Dheeraj Reddy", "Andrew T McBride", "Bruce S Spottiswoode", "J Downs", "T Franz Centre for Research in Computational", "Applied Mechanics", "University of Cape Town", "South Africa", "Department of Electrical Engineering", "Faculty of Electrical Engineering", "the Environment", "South Africa Department of Mathematics", "Applied Mathematics", "South Africa Infrastructure", "", "School of Electrical Engineering", "The University of Glasgow", "Scotland Division of Biomedical Engineering", "Department of Biology", "Faculty of Nuclear Sciences", "South Africa Siemens Medical Solutions Usa Inc", "Usa Division of General Surgery", "Department of Surgery", "Groote Schuur Hospital", "Cape Town", "South Africa Bioengineering Science Research Group", "Engineering Sciences", "University of Southampton" ], "corpus_id": 204907471, "doc_id": "204907471", "n_citations": 9, "n_key_citations": 0, "score": 0, "title": "Fluid structure interaction modelling and stabilisation of a patient specific arteriovenous access fistula", "venue": "", "year": 2017 }, { "abstract": "In this letter, we consider the concept of mobile crowd machine learning (MCML) for a federated learning model. The MCML enables mobile devices in a mobile network to collaboratively train neural network models required by a server while keeping data on the mobile devices. The MCML thus addresses data privacy issues of traditional machine learning. However, the mobile devices are constrained by energy, CPU, and wireless bandwidth. Thus, to minimize the energy consumption, training time, and communication cost, the server needs to determine proper amounts of data and energy that the mobile devices use for training. However, under the dynamics and uncertainty of the mobile environment, it is challenging for the server to determine the optimal decisions on mobile device resource management. In this letter, we propose to adopt a deep $Q$ learning algorithm that allows the server to learn and find optimal decisions without any a priori knowledge of network dynamics. Simulation results show that the proposed algorithm outperforms the static algorithms in terms of energy consumption and training latency.", "author_names": [ "Tran The Anh", "Nguyen Cong Luong", "Dusit Tao Niyato", "Dong In Kim", "Li-Chun Wang" ], "corpus_id": 54461092, "doc_id": "54461092", "n_citations": 24, "n_key_citations": 4, "score": 0, "title": "Efficient Training Management for Mobile Crowd Machine Learning: A Deep Reinforcement Learning Approach", "venue": "IEEE Wireless Communications Letters", "year": 2019 }, { "abstract": "We explore the high spectral resolution X ray data towards the quasar 3C273 to search for signals of hot \\sim10^{6 7} K) X ray absorbing gas co located with two established intergalactic FUV OVI absorbers. We analyze the soft X ray band grating data of all XMM Newton and Chandra instruments to search for the hot phase absorption lines at the FUV predicted redshifts. The viability of potential line detections is examined by adopting the constraints of a physically justified absorption model. The WHIM hypothesis is investigated with a complementary 3D galaxy distribution analysis, and by comparison of the measurement results to the WHIM properties in the EAGLE cosmological, hydrodynamical simulation. At FUV redshift z=0.09017, we measured signals of two hot ion species, OVIII and NeIX, with a $3.9\\sigma$ combined significance level. Considering the line features in all instruments collectively and assuming collisional equilibrium for absorbing gas, we were able to constrain the temperature $kT=0.26\\pm0.03$ keV) and the column density $N_H\\times{Z_\\odot/Z}=1.3_{ 0.5}+0.6}\\times10^{19} cm$ 2} of the absorber. Thermal analysis indicates that FUV and X ray absorption relate to different phases, with estimated temperatures $T_{FUV}\\approx3\\times10^5$ and $T_{X ray}\\approx3\\times10^6$ K, which match the EAGLE predictions for WHIM at the FUV/X ray measured $N_{ion} ranges. We detected a large scale galactic filament crossing the sightline at the redshift of the absorption, linking the absorption to this structure. This study provides insights into co existing warm and hot gas within a WHIM filament and estimates the ratio of the hot and warm phases. Because the hot phase is thermally distinct from the OVI gas, the estimated baryon content of the absorber is increased, conveying the promise of X ray follow up studies of FUV detected WHIM in refining the picture of the missing baryons.", "author_names": [ "Jussi Ahoranta", "Jukka Nevalainen", "Nastasha A Wijers", "Alexis Finoguenov", "Massimiliano Bonamente", "Elmo Tempel", "Evan M Tilton", "Joop Schaye", "J S Kaastra", "Ghassem Gozaliasl Department of Physics", "University of Helsinki", "", "Department of Engineering Physics", "University of Alabama in Huntsville", "Tartu Observatory", "University of Tartu", "Leiden Observatory", "Leiden University", "The Netherlands", "Nasa National Space Science", "Technology Center", "Leibniz-Institut fur Astrophysik Potsdam", "Deparment of PhysicsAstronomy", "Regis University", "Sron Netherlands Institute for Space Research", "Finnish Magic Consortium Finnish Centre of Astronomy with Eso" ], "corpus_id": 209376511, "doc_id": "209376511", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "Hot WHIM counterparts of FUV O VI absorbers: Evidence in the line of sight towards quasar 3C 273", "venue": "", "year": 2019 }, { "abstract": "When monitoring a reactor site for nuclear nonproliferation purposes, the presence of an unknown or hidden nuclear reactor could be obscured by the activities of a known reactor of much greater power nearby. Thus when monitoring reactor activities by the observation of antineutrino emissions, one must discriminate known background reactor fluxes from possible unknown reactor signals under investigation. To quantify this discrimination, we find the confidence to reject the (null) hypothesis of a single proximal reactor, by exploiting directional antineutrino signals in the presence of a second, unknown reactor. In particular, we simulate the inverse beta decay (IBD) response of a detector filled with a 1 kT fiducial mass of Gadolinium doped liquid scintillator in mineral oil. We base the detector geometry on that of WATCHMAN, an upcoming antineutrino monitoring experiment soon to be deployed at the Boulby mine in the United Kingdom whose design and deployment will be detailed in a forthcoming white paper. From this simulation, we construct an analytical model of the IBD event distribution for the case of one $4\\mathrm{ GWt}\\pm2\\ reactor 25 km away from the detector site, and for an additional, unknown, 35 MWt reactor 3 to 5 km away. The effects of natural background rejection cuts are approximated. Applying the model, we predict $3\\sigma$ confidence to detect the presence of an unknown reactor within five weeks, at standoffs of 3 km or nearer. For more distant unknown reactors, the $3\\sigma$ detection time increases significantly. However, the relative significance of directional sensitivity also increases, providing up to an eight week speedup to detect an unknown reactor at 5 km away. Therefore, directionally sensitive antineutrino monitoring can accelerate the mid field detection of unknown reactors whose operation might otherwise be masked by more powerful reactors in the vicinity.", "author_names": [ "D L Danielson", "Oluwatomi A Akindele", "Morgan Askins", "Marc Bergevin", "Adam Bernstein", "Jonathan Burns", "A Carroll", "J P Coleman", "R Collins", "Chris Connor", "Douglas Cowen", "Ferenc Dalnoki-Veress", "Steven A Dazeley", "Milind Vaman Diwan", "Juanita Aguilar Duron", "Stephen T Dye", "Jonathan Eisch", "Anthony C Ezeribe", "Vincent Fischer", "Richard F Foster", "Katarzyna Frankiewicz", "Christopher Grant", "John Gribble", "J He", "Christopher Holligan", "G Holt", "W Huang", "Igor Jovanovic", "Liz Kneale", "L Korkeila", "V A Kudryavtsev", "P Kunkle", "R Lap Keung Mak", "John G Learned", "P M Lewis", "Viacheslav A Li", "Xiao-ming Liu", "Magdalena Malek", "J Maricic", "Christopher Michael Mauger", "Neil McCauley", "C J Metelko", "Robert W Mills", "Franz Muheim", "A St J Murphy", "M D Needham", "Kurtis Alan Nishimura", "Gabriel D Orebi Gann", "Sean Paling", "Teal Pershing", "L Pickard", "B Pinheiro", "Steve Quillin", "Stephen Rogers", "A Scarff", "Yan Jie Schnellbach", "Paul Scovell", "Theresa Shaw", "V E Shebalin", "G D Smith", "Michael Burghard Smy", "N J C Spooner", "Felicia Sutanto", "Robert C Svoboda", "Lee F Thompson", "C Toth", "Mark Robert Vagins", "S Ventura", "Matthew J Wetstein", "Minerva Group", "Lawrence Berkeley National Laboratory", "Department of Engineering Physics", "University of California Davis", "Theoretical Physics Division", "Los Alamos National Laboratory", "University of California Berkeley", "Atomic Weapons Establishment", "University of Liverpool", "Boston University", "Pennsylvania State University", "Department of Astronomy", "", "Middlebury Institute of International Studies at Monterey", "James Martin Center for Nonproliferation Studies", "Brookhaven National Laboratory", "University of Hawai'i at Manoa", "Iowa State University", "The University of Sheffield", "University of Pennsylvania", "Univ of Michigan in Ann Arbor", "The University of Edinburgh", "Boulby Underground Laboratory", "University of California Irvine" ], "corpus_id": 202565782, "doc_id": "202565782", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Directionally Accelerated Detection of an Unknown Second Reactor with Antineutrinos for Mid Field Nonproliferation Monitoring", "venue": "", "year": 2019 }, { "abstract": "This document summarizes how far the Nancy Grace Roman Space Telescope Coronagraph Instrument (Roman CGI) will go toward demonstrating high contrast imaging and spectroscopic requirements for potential future exoplanet direct imaging missions, illustrated by the HabEx and LUVOIR concepts. The assessment is made for two levels of assumed CGI performance: (i) current best estimate (CBE) as of August 2020, based on laboratory results and realistic end to end simulations with JPL standard Model Uncertainty Factors (MUFs) (ii) CGI design specifications inherited from Phase B requirements. We find that the predicted performance (CBE) of many CGI subsystems compares favorably with the needs of future missions, despite providing more modest point source detection limits than future missions. This is essentially due to the challenging pupil of the Roman Space Telescope; this pupil pushes the coronagraph masks sensitivities to misalignments to be commensurate with future missions. In particular, CGI will demonstrate active low order wavefront control and photon counting capabilities at levels of performance either higher than, or comparable to, the needs of future missions.", "author_names": [ "Bertrand Mennesson", "Roser Juanola-Parramon", "B Nemati", "Garreth J Ruane", "Vanessa P Bailey", "Matthew R Bolcar", "S Martin", "Neil T Zimmerman", "Christopher C Stark", "Laurent Pueyo", "Dominic J Benford", "Eric J Cady", "Brendan P Crill", "Ewan S Douglas", "B Scott Gaudi", "Jeremy N Kasdin", "Brian D Kern", "John E Krist", "Jeffrey W Kruk", "Thomas S Luchik", "Bruce A Macintosh", "Avi M Mandell", "D Mawet", "Ilya Y Poberezhskiy", "Jason D Rhodes", "A J Eldorado Riggs", "Margaret C Turnbull", "Aki Roberge", "Fang Shi", "Nick Siegler", "Karl R Stapelfeldt", "Marie Ygouf", "Robert T Zellem", "Fire Laboratory", "California Institute of Technology", "NASA's Goddard Space Flight Center", "The University of Alabama in Huntsville", "Space Telescope Sceince Institute", "Nasa Headquarters", "University of Arizona", "Ohio State University", "University of San Francisco", "Stanford University", "Seti Institute", "Caltech Ipac" ], "corpus_id": 221112127, "doc_id": "221112127", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "Paving the Way to Future Missions: the Roman Space Telescope Coronagraph Technology Demonstration", "venue": "", "year": 2020 }, { "abstract": "The paper presents new high angular resolution ALMA 1.3 mm dust continuum observations of the protoplanetary system AS 209 in the Ophiuchus star forming region. The dust continuum emission is characterized by a main central core and two prominent rings at $r 75\\$au and $r 130\\$au intervaled by two gaps at at $r 62\\$au and $r 103\\$au. The two gaps have different widths and depths, with the inner one being narrower and shallower. We determined the surface density of the millimeter dust grains using the 3D radiative transfer disk code \\textsc{dali} According to our fiducial model the inner gap is partially filled with millimeter grains while the outer gap is largely devoid of dust. The inferred surface density is compared to 3D hydrodynamical simulations (FARGO 3D) of planet disk interaction. The outer dust gap is consistent with the presence of a giant planet $M_{\\rm planet} \\sim 0.8\\,M_{\\rm Staturn} the planet is responsible for the gap opening and for the pile up of dust at the outer edge of the planet orbit. The simulations also show that the same planet can give origin to the inner gap at $r 62\\$au. The relative position of the two dust gaps is close to the 2:1 resonance and we have investigated the possibility of a second planet inside the inner gap. The resulting surface density (including location, width and depth of the two dust gaps) are in agreement with the observations. The properties of the inner gap pose a strong constraint to the mass of the inner planet $M_{\\rm planet} 0.1\\,M_{\\rm J} In both scenarios (single or pair of planets) the hydrodynamical simulations suggest a very low disk viscosity \\alpha 10^ 4} Given the young age of the system (0.5 1 Myr) this result implies that the formation of giant planets occurs on a timescale of \\lesssim$ 1\\,Myr.", "author_names": [ "D Fedele", "Marco Tazzari", "R A Booth", "Leonardo Testi", "Cathie J Clarke", "Ilaria Pascucci", "Agnes Kospal", "", "Simon Bruderer", "Th Henning", "R Teague INAF-Osservatorio Astrofisico di Arcetri", "Institute of Radio Astronomy", "University of Cambridge", "European Southern Observatory", "Planetary Laboratory", "The University of Arizona", "Konkoly Observatory", "Research Centre in Astronomy", "Earth Sciences", "Hungarian Academy of Sciences", "Max Planck Institute for Radio Astronomy" ], "corpus_id": 86857570, "doc_id": "86857570", "n_citations": 99, "n_key_citations": 12, "score": 0, "title": "ALMA continuum observations of the protoplanetary disk AS 209. Evidence of multiple gaps opened by a single planet", "venue": "", "year": 2017 }, { "abstract": "We present an extensive study of the BL Lac object Mrk 501 based on a data set collected during the multi instrument campaign spanning from 2009 March 15 to 2009 August 1, which includes, among other instruments, MAGIC, VERITAS, Whipple 10 m, and Fermi LAT to cover the g ray range from 0.1 GeV to 20 TeV; RXTE and Swift to cover wavelengths from UV tohard X rays; and GASP WEBT, which provides coverage of radio and optical wavelengths. Optical polarization measurements were provided for a fraction of the campaign by the Steward and St. Petersburg observatories. We evaluate the variability of the source and interband correlations, the g ray flaring activity occurring in May 2009, and interpret the results within two synchrotron self Compton (SSC) scenarios. Aims. The multiband variability observed during the full campaign is addressed in terms of the fractional variability, and the possible correlations are studied by calculating the discrete correlation function for each pair of energy bands where the significance was evaluated with dedicated Monte Carlo simulations. The space of SSC model parameters is probed following a dedicated grid scan strategy, allowing for a wide range of models to be tested and offering a study of the degeneracy of model to data agreement in the individual model parameters, hence providing a less biased interpretation than the \"single curve SSC model adjustment\" typically reported in the literature. Methods. We find an increase in the fractional variability with energy, while no significant interband correlations of flux changes are found on the basis of the acquired data set. The SSC model grid scan shows that the flaring activity around May 22 cannot be modeled adequately with a one zone SSC scenario (using an electron energy distribution with two breaks) while it can be suitably described within a two (independent) zone SSC scenario. Here, one zone is responsible for the quiescent emission from the averaged 4.5 month observing period, while the other one, which is spatially separated from the first, dominates the flaring emission occurring at X rays and very high energy >100 GeV, VHE) g rays. The flaring activity from May 1, which coincides with a rotation of the electric vector polarization angle (EVPA) cannot be satisfactorily reproduced by either a one zone or a two independent zone SSC model, yet this is partially affected by the lack of strictly simultaneous observations and the presence of large flux changes on sub hour timescales (detected at VHE g rays) Results. The higher variability in the VHE emission and lack of correlation with the X ray emission indicate that, at least during the 4.5 month observing campaign in 2009, the highest energy (and most variable) electrons that are responsible for the VHE g rays do not make a dominant contribution to the ~1 keV emission. Alternatively, there could be a very variable component contributing to the VHE g ray emission in addition to that coming from the SSC scenario. The studies with our dedicated SSC grid scan show that there is some degeneracy in both the one zone and the two zone SSC scenarios probed, with several combinations of model parameters yielding a similar model to data agreement, and some parameters better constrained than others. The observed g ray flaring activity, with the EVPA rotation coincident with the first g ray flare, resembles those reported previously for low frequency peaked blazars, hence suggesting that there are many similarities in the flaring mechanisms of blazars with different jet properties.", "author_names": [ "M L Ahnen", "Stefano Ansoldi", "Lucio Angelo Antonelli", "P Antoranz", "A Babic", "B Banerjee", "Priyadarshini Bangale", "Ulisses Barres DE Almeida", "J A Barrio", "J Becerra Gonz'alez", "W Bednarek", "Elisa Bernardini", "Alessio Berti", "Barbara Biasuzzi", "Adrian Biland", "O Blanch", "S Bonnefoy", "G Bonnoli", "F Borracci", "Thomas Bretz", "Sara Buson", "Alessandro Carosi", "Arka Chatterjee", "R Clavero", "Pierre Colin", "E Colombo", "Jose Luis Contreras", "J Cortina", "Stefano Covino", "Paolo Da Vela", "Francesco Dazzi", "Alessandro De Angelis", "Barbara De Lotto", "Emma de Ona Wilhelmi", "Federico Di Pierro", "Marlene Doert", "Alberto Dom'inguez", "D Dominis Prester", "Daniela Dorner", "Michele Doro", "Sabrina Einecke", "D Glawion", "D Elsaesser", "M Engelkemeier", "Vandad Fallah Ramazani", "A Fern'andez-Barral", "David Carreto Fidalgo", "M V Fonseca", "L Font", "Katharina Frantzen", "Christian Fruck", "D Morachis Galindo", "R J Garc'ia L'opez", "M Garczarczyk", "D Garrido Terrats", "Markus Gaug", "Paola Giammaria", "Nikola Godinovi'c", "Adiv Gonzalez Munoz", "Dariusz Gora", "Daniel A Guberman", "D Hadasch", "A Hahn", "Yoshitaka Hanabata", "Masaaki Hayashida", "J Herrera", "Juergen Hose", "D Hrupec", "Gareth Hughes", "W Idec", "Kazuhito Kodani", "Yusuke Konno", "H Kubo", "J Kushida", "Antonio La Barbera", "D Lelas", "E Lindfors", "S Lombardi", "Francesco Longo", "M A L'opez", "Rub'en L'opez-Coto", "P Majumdar", "M Makariev", "K Mallot", "Galina Maneva", "Marina Manganaro", "Karl Mannheim", "Laura Maraschi", "Benito Marcote", "Mose Mariotti", "M C Mart'inez", "D Mazin", "Uta Menzel", "J M Miranda", "R Mirzoyan", "A Moralejo", "E Moretti", "Daisuke Nakajima", "V V Neustroev", "Andrzej Niedzwiecki", "M Nievas Rosillo", "K Nilsson", "K Nishijima", "K Noda", "L Nogu'es", "A -K Overkemping", "Simona Paiano", "Joaquim Palacio", "M Palatiello", "D Paneque", "Riccardo Paoletti", "Josep Maria Paredes", "Xavier Paredes-Fortuny", "Giovanna Pedaletti", "Michele Peresano", "Luca Perri", "Massimo Persic", "Juri Poutanen", "P G Prada Moroni", "E Prandini", "I Puljak", "Ignasi Reichardt", "Wolfgang Rhode", "Marc Rib'o", "J Rico", "J Rodriguez Garcia", "T Saito", "Konstancja Satalecka", "S Schroder", "Cornelia Schultz", "Thomas Schweizer", "Steven N Shore", "Aimo K Sillanpaa", "J Sitarek", "Iva Snidaric", "D Sobczynska", "A Stamerra", "T Steinbring", "Marcel Strzys", "T Suri'c", "Leo O Takalo", "Fabrizio Tavecchio", "P Temnikov", "Tomislav Terzi'c", "Diego Tescaro", "Masahiro Teshima", "Julia Thaele", "Diego F Torres", "Takeshi Toyama", "Aldo Treves", "G Vanzo", "V Verguilov", "I Vovk", "John E Ward", "Martin Will", "M H Wu", "Roberta Zanin", "Anushka Udara Abeysekara", "Simon Archambault", "Avery Archer", "W Benbow", "R Bird", "M Buchovecky", "James Henry Buckley", "Viatcheslav Bugaev", "Michael P Connolly", "Wei Wei Cui", "Hugh Dickinson", "Abraham D Falcone", "Qi Feng", "John Park Finley", "H Fleischhack", "A Flinders", "Lucy Fortson", "G H Gillanders", "Sean Griffin", "Jeff Grube", "Moritz Hutten", "David Hanna", "Jamie Holder", "Thomas Brian Humensky", "Philip Kaaret", "Payel Kar", "Nathan C Kelley-Hoskins", "M P Kertzman", "D Kieda", "M Krause", "Frank Krennrich", "M J Lang", "Gernot Maier", "Andrew McCann", "Patrick J Moriarty", "Reshmi Mukherjee", "Daniel Nieto", "Stephan O'Brien", "R A Ong", "N Otte", "Nahee Park", "Jeremy S Perkins", "Ana Pichel", "Martin Pohl", "Alexis Popkow", "E Pueschel", "Joseph F Quinn", "K Ragan", "Philip T Reynolds", "Gordon T Richards", "E Roache", "Adrian C Rovero", "Cameron B Rulten", "Iftach H Sadeh", "M Santander", "Glenn H Sembroski", "K Shahinyan", "Igor Telezhinsky", "J V Tucci", "Joshua Tyler", "Scott Patrick Wakely", "A Weinstein", "P Wilcox", "Alina Wilhelm", "D A Williams", "Benjamin Zitzer", "Stefano Villata", "C M Raiteri", "Hugh D Aller", "M F Aller", "V M Larionov", "A Arkharov", "Dmitry Blinov", "Natalia V Efimova", "Tatiana S Grishina", "Vladimir A Hagen-Thorn", "Evgenia N Kopatskaya", "Liudmilla V Larionova", "Elena G Larionova", "Daria A Morozova", "Ivan S Troitsky", "Rolando Ligustri", "Paolo Calcidese", "Andrei V Berdyugin", "O M Kurtanidze", "M G Nikolashvili", "Givi N Kimeridze", "Lorand A Sigua", "S O Kurtanidze", "Revaz Chigladze", "W P Chen", "Ekaterina Koptelova", "Takanori Sakamoto", "Alberto Carlo Sadun", "J Ward Moody", "Cameron J Pace", "Richard L Pearson", "Yoichi Yatsu", "Y A Mori", "A Carraminyana", "Luis Carrasco", "E De la Fuente", "Jay Norris", "P Smith", "Ann Elizabeth Wehrle", "Mark A Gurwell", "Alma C Zook", "Claudio Pagani", "Matteo Perri", "M Capalbi", "Andrea Cesarini", "H A Krimm", "Y Y Kovalev", "Yu A Kovalev", "Eduardo Ros", "A B Pushkarev", "M L Lister", "Kirill V Sokolovsky", "Matthias Kadler", "Glenn Piner", "Anne Lahteenmaki", "Merja Tornikoski", "Emmanouil Angelakis", "Thomas P Krichbaum", "Ioannis Nestoras", "Lars Fuhrmann", "J Anton Zensus", "Pietro Cassaro", "Andrea Orlati", "Giuseppe Maccaferri", "P Leto", "Marcello Giroletti", "Joseph L Richards", "W Max-Moerbeck", "Applied Biosciences Eth Zurich", "", "Universita' di Udine", "Infn Trieste", "Inaf - National Institute for Astrophysics", "Universit'a di Siena", "Infn sez di Pisa", "Croatian Magic Consortium", "Rudjer Boskovic Institute", "University of Rijeka", "University of Split", "University of Zagreb", "Saha Institute of Nuclear Physics", "Max-Planck-Institut fur extraterrestrische Physik", "Universidad Complutense", "Instituto de Astrof'isica de Canarias", "Universidad de la Laguna", "Dpto Astrof'isica", "University of L'od'z", "Deutsches Elektronen-Synchrotron", "Institut de F'isica d'Altes Energies", "The Barcelona Institute of Science", "Universitat Wurzburg", "Universita di Padova", "Institute for Space Sciences", "Technische Universitat Dortmund", "Finnish Magic Consortium", "Tuorla Astronomical Observatory", "University of Turku", "Astronomy Division", "University of Oulu", "Unitat de F'isica de les Radiacions", "Departament de F'isica", "Universitat Aut'onoma de Barcelona", "Japanese Magic Consortium", "The University of Tokyo", "Department of Engineering Physics", "Hakubi Center", "Kyoto University", "Tokai University", "The University of Tokushima", "Inst for Nucl Research", "Nucl Energy", "Universita' di Pisa", "Now at Centro Brasileiro de Pesquisas F'isicas", "Rio de Janeiro", "Nasa Goddard Space Flight Center", "Humboldt University of Berlin", "Institut fur theoretische Physik", "Berlin Germany", "also at University of Trieste", "now at Ecole polytechnique f'ed'erale de Lausanne", "now at Max-Planck-Institut fur Kernphysik", "also at Japanese Magic Consortium", "now at Finnish Centre for Astronomy with Eso", "also at INAF-Trieste", "Dept of Physics Astronomy", "University of Bologna", "also at Isdc - Science Data Center for Astrophysics", "A Misaki Department of Physics", "Department of Physics Astronomy", "University of Maryland", "College Park", "University of Utah", "Salt lake City", "Physics Department", "M L Sukhadia University", "Washington State University", "St Louis", "Fred Lawrence Whipple Observatory", "Harvard--Smithsonian Center for Astrophysics", "School of Cosmic Physics", "University College Dublin", "University of Southern California", "Los P Angeles", "National University of Ireland Galway", "Purdue University", "West Lafayette", "Center for Computational Astrophysics", "Tsinghua University", "Iowa State University", "525 Davey Lab", "Pennsylvania State University", "University Park", "University of Minnesota", "Astronomy Department", "Adler Planetarium", "Astronomy Museum", "the Bartol Research Institute", "University of Delaware", "Columbia University", "New York", "University of Iowa", "Van Allen Hall", "Iowa City", "DePauw University", "Barnard College", "Enrico Fermi Institute", "University of Chicago", "Instituto de Astronomia y Fisica del Espacio", "Ciudad de Buenos Aires", "Institute of Metal Physics", "University of Potsdam", "Department of Astrophysical Sciences", "Cork Institute of Technology", "Georgia Institute of Technology", "Santa Cruz Institute for Particle Physics", "Santa Cruz", "Argonne National Laboratory", "University of Johannesburg", "South Africa", "INAF-Osservatorio Astrofisico di Torino", "University of Michigan", "Ann Arbor", "Astronomical Institute", "St Petersburg State University", "St Petersburg", "Pulkovo Astronomical Observatory", "Institute for Particle Physics", "University of Crete", "Foundation for Research", "Circolo Astrofili Talmassons", "Osservatorio Astrofisico della Regione Autonoma Valle d'Aosta", "Abastumani Astrophysical Observatory", "Engelhardt Astronomical Observatory", "Kazan Federal University", "Guangzhou University", "Graduate Institute of Astronomy", "National Central University", "College of Materials Science", "952 Engineering", "Aoyama Gakuin University", "Sagamihara Kanagawa", "University of Colorado Denver", "Brigham Young University", "Tokyo Institute of Technology", "Meguro City", "Instituto Nacional de Astrof'isica", "'optica y Electr'onica", "Instututo de Astronomia y Meteorologia", "Dpto de Fisica", "Universidad de Guadalajara", "Steward Observatory", "University of Arizona", "Space Telescope Science Institute", "Pomona College", "University of Leicester", "Asi Science Data Center", "Via del Politecnico", "I-00133 Roma", "University of Trento", "Center for Space Research", "Exploration in Space Science", "Universities Space Research Association", "Astro Space Center of the Lebedev Physical Institute", "Max--Planck--Institut fur Radioastronomie", "Observatori Astronomic", "Universitat Politecnica de Valencia", "Departament d'Astronomia i Astrof'isica", "Crimean Astrophysical Observatory", "Sternberg Astronomical Institute", "Mcgill University", "Institute of Radio Astronomy", "Space Applications", "Remote Sensing", "National Observatory of Athens", "Whittier College", "Aalto University Metsahovi Radio Observatory", "Inaf Istituto di Radioastronomia", "Sezione di Noto", "Stazione Radioastronomica di Medicina", "M Guainazzi Iasfinaf Bologna", "Inaf - Osservatorio Astrofisico di Catania", "Cahill Center for Astronomy", "California Institute of Technology" ], "corpus_id": 11162445, "doc_id": "11162445", "n_citations": 35, "n_key_citations": 5, "score": 0, "title": "Multiband variability studies and novel broadband SED modeling of Mrk 501 in 2009", "venue": "", "year": 2016 }, { "abstract": "Using N body simulations, we have modeled the production and evolution of diffuse, low surface brightness intracluster light (ICL) in three simulated galaxy clusters. Using an observational definition of ICL to be luminosity at a surface brightness uV> 26.5 mag/arcsec 2 we have found that the fraction of cluster luminosity contained in ICL generally increases as clusters evolve, although there are large deviations from this trend over short timescales, including sustained periods of decreasing ICL luminosity. Most ICL luminosity increases come in short, discrete events which are highly correlated with group accretion events within the cluster. In evolved clusters we find that 10 15% of the clusters' luminosity is at ICL surface brightness. The morphological structure of the ICL changes with time, evolving from a complex of filaments and smallscale, relatively high surface brightness features early in a cluster's history, to a more diffuse and amorphous cluster scale ICL envelope at later times. Finally, we also see a correlation between the evolution of ICL at different surface brightnesses, including a time delay between the evolution of faint and extremely faint surface brightness features which is traced to the differing dynamical timescales in the group and cluster environment. Subject headings: galaxies: clusters: general galaxies: evolution galaxies interactions galaxies: kinematics and dynamics methods: N body simulations", "author_names": [ "Craig S Rudick", "J Christopher Mihos", "Cameron McBride Department of Astronomy", "Case Western Reserve Univesity", "Now in the Department of Physics", "", "University of Pittsburgh" ], "corpus_id": 1625346, "doc_id": "1625346", "n_citations": 98, "n_key_citations": 12, "score": 0, "title": "The Formation and Evolution of Intracluster Light", "venue": "", "year": 2006 }, { "abstract": "We present a theoretical model to predict the properties of an observed $z 5.72 Lyman \\alpha$ emitter galaxy CIV absorption pair separated by 1384 comoving kpc/h. We use the separation of the pair and an outflow velocity/time travelling argument to demonstrate that the observed galaxy cannot be the source of metals for the CIV absorber. We find a plausible explanation for the metal enrichment in the context of our simulations: a dwarf galaxy with $M_{\\star} 1.87 \\times$ 10${9} M_{\\odot} located 119 comoving kpc/h away with a wind velocity of \\sim$ 100 km/s launched at $z \\sim$ 7. Such a dwarf $M_{\\text{UV} 20.5) is fainter than the detection limit of the observed example. In a general analysis of galaxy CIV absorbers, we find galaxies with 20.5 M_{\\text{UV} 18.8 are responsible for the observed metal signatures. In addition, we find no correlation between the mass of the closest galaxy to the absorber and the distance between them, but a weak anti correlation between the strength of the absorption and the separation of galaxy absorber pairs.", "author_names": [ "Luz 'Angela Garc'ia", "Edoardo Tescari", "Emma V Ryan-Weber", "J S B Wyithe Centre for Astrophysics", "", "Swinburne University of Technology", "Arc Centre of Excellence for All-Sky Astrophysics in 3 Physics", "The University of Melbourne" ], "corpus_id": 54654290, "doc_id": "54654290", "n_citations": 6, "n_key_citations": 1, "score": 0, "title": "Theoretical study of an LAE C IV absorption pair at z 5.7", "venue": "", "year": 2017 } ]
electron effective mass and electronic structure in
[ { "abstract": "Abstract The transport properties and optical transmittance and absorption spectra for the nostoichiometric amorphous indium gallium zinc oxide (a IGZO) films with gallium and zinc deficiencies are investigated. The resistivity and carrier concentration variation with temperature both reveal that the films possess degenerate semiconductor (or metal) characteristics. The thermopower is negative and decreases linearly with decreasing temperature, indicating the electron diffusion thermopower governs the thermal transport process in each film. Using free electron like model, we extracted the electron effective mass, which is about three times as large as that of the stoichiometric one and increases with increasing carrier (electron) concentration. Neglecting the variation in the energy with the wavevector near the valence band maximum and using the free electron like model, we also obtained the electron effective mass via the optical absorption spectra measurement. The magnitude of the effective mass obtained via optical spectra measurement is comparable to that obtained via thermopower measurement for each film. Our results strongly suggest that the nostoichiometric a IGZO films possess free electron like pseudo energy bandstructure.", "author_names": [ "Xin Zhu", "Yang Yang", "X D Liu", "Zhi-Qing Li" ], "corpus_id": 202950206, "doc_id": "202950206", "n_citations": 1, "n_key_citations": 0, "score": 1, "title": "Electron effective mass and electronic structure in nonstoichiometric amorphous Indium Gallium Zinc Oxide films", "venue": "", "year": 2020 }, { "abstract": "The transport properties and optical transmittance and absorption spectra for the nostoichiometric amorphous Indium Gallium Zinc Oxide (a IGZO) films with Gallium and Zinc deficiencies are investigated. The resistivity and carrier concentration variation with temperature both reveal that the films possess degenerate semiconductor (or metal) characteristics. The thermopower is negative and decreases linearly with decreasing temperature, indicating the electron diffusion thermopower governs the thermal transport process in each film. Using free electron like model, we extracted the electron effective mass, which is about three times as large as that of the stoichiometric one and increases with increasing carrier (electron) concentration. Neglecting the variation in the energy with the wavevector near the valence band maximum and using the free electron like model, we also obtained the electron effective mass via the optical absorption spectra measurement. The magnitude of the effective mass obtained via optical spectra measurement is comparable to that obtained via thermopower measurement for each film. Our results strongly suggest that the nostoichiometric a IGZO films possess free electron like pseudo energy bandstructure.", "author_names": [ "Xin Zhu", "Yang Yang", "Xin-Dian Liu", "Zhi-Qing Li" ], "corpus_id": 119268852, "doc_id": "119268852", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Electron effective mass and electronic structure in nonstoichiometric a IGZO films.", "venue": "", "year": 2018 }, { "abstract": "The electronic structure, density of states (DOS) effective mass are calculated for tetragonal TlInSe2 from first principle in the framework of density functional theory (DFT) The electronic structure of TlInSe2 has been investigated by Quantum Wise within GGA. The calculated band structure by Hartwigsen Goedecker Hutter (HGH) pseudopotentials (psp) shows both the valence band maximum and conduction band minimum located at the T point of the Brillouin zone. Valence band maximum at the T point and the surrounding parts originate mainly from 6s states of univalent Tl ions. Bottom of the conduction band is due to the contribution of 6p states of Tl and 5s states of In atoms. Calculated DOS effective mass for holes and electrons are mDOS h* 0.830m e, mDOS h* 0.492m e, respectively. Electron effective masses are fairly isotropic, while the hole effective masses show strong anisotropy. The calculated electronic structure, density of states and DOS effective masses of TlInSe2 are in good agreement with existing theoretical and experimental results.", "author_names": [ "Narmin A Ismayilova", "Guseyn Orudzhev", "Sakin H Jabarov" ], "corpus_id": 125492868, "doc_id": "125492868", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "First principle calculation of the electronic structure, DOS and effective mass TlInSe2", "venue": "", "year": 2017 }, { "abstract": "The crystal and electronic structure of semiconductor nanowire systems have shown sensitive response to mechanical strain, enabling novel and improved electrical, and optoelectrical properties in nanowires by strain engineering. Here, the response of current voltage (I V) characteristics and band structure of individual p doped GaAs nanowires to bending deformation is studied by in situ electron microscopy combined with theoretical simulations. The I V characteristics of the nanowire change from linear to nonlinear as bending deformation is applied. The nonlinearity increases with strain. As opposed to the case of uniaxial strain in GaAs, the bending deformation does not give rise to a change in the band gap of GaAs nanowire according to in situ electron energy loss spectroscopy (EELS) measurements. Instead, the response to bending deformation can be explained by strain induced valence band shift, which results in an energy barrier for charge carrier transport along the nanowire. Moreover, the electron effective mass decreases as the strain changes from compressive to tensile across the GaAs nanowire in the bent region. Results from this study shed light on the complex interplay between lattice strain, band structure, and charge transport in semiconductor nanomaterials.", "author_names": [ "Lunjie Zeng", "Thomas Kanne", "Jesper Nygard", "Peter Krogstrup", "Wolfgang Jager", "Eva Olsson" ], "corpus_id": 164583295, "doc_id": "164583295", "n_citations": 5, "n_key_citations": 0, "score": 0, "title": "The Effect of Bending Deformation on Charge Transport and Electron Effective Mass of p doped GaAs Nanowires", "venue": "physica status solidi (RRL) Rapid Research Letters", "year": 2019 }, { "abstract": "The effective mass is a convenient descriptor of the electronic band structure used to characterize the density of states and electron transport based on a free electron model. While effective mass is an excellent first order descriptor in real systems, the exact value can have several definitions, each of which describe a different aspect of electron transport. Here we use Boltzmann transport calculations applied to ab initio band structures to extract a density of states effective mass from the Seebeck Coefficient and an inertial mass from the electrical conductivity to characterize the band structure irrespective of the exact scattering mechanism. We identify a Fermi Surface Complexity Factor: {N}_{\\rm{v}\\ast {K}\\ast $Nv*K* from the ratio of these two masses, which in simple cases depends on the number of Fermi surface pockets {N}_{\\rm{v}\\ast (Nv* and their anisotropy K* both of which are beneficial to high thermoelectric performance as exemplified by the high values found in PbTe. The Fermi Surface Complexity factor can be used in high throughput search of promising thermoelectric materials.Electronic materials: In search of the right massA simple method for determining a material's thermoelectric properties is developed by researchers in the United States and Belgium. Jeffrey Snyder from Northwestern University and his co workers' model could simplify the search for materials that efficiently generate electricity from waste heat. Even though the environment of an electron in a solid is very complex, the way an electron moves through a solid's lattice of atoms can be treated as if it is moving in free space. However, because of the influence of its environment an effective mass, not its true mass, is used to model the movement of electrons and that material's properties. But this effective mass can be defined in several ways depending on which material property is being modeled. Snyder et al. determine that the ratio of two different effective masses, as computed from different electronic properties, could be a good method to identify novel thermoelectric materials and can be associated with the \"complexity\" of the electronic structure.", "author_names": [ "Zachary M Gibbs", "Francesco Ricci", "Guodong Li", "Hong Zhu", "Kristin Aslaug Persson", "Gerbrand Ceder", "Geoffroy Hautier", "Anubhav Jain", "Gerald Jeffrey Snyder" ], "corpus_id": 42324699, "doc_id": "42324699", "n_citations": 84, "n_key_citations": 3, "score": 0, "title": "Effective mass and Fermi surface complexity factor from ab initio band structure calculations", "venue": "npj Computational Materials", "year": 2017 }, { "abstract": "Abstract The first principles hybrid density functional theory is applied to the contrastive investigation on the structural and electronic properties of wurtzite ZnO under hydrostatic strain and uniaxial strain along c axial, and the effect of strain on electron effective mass. It is observed that the structural transformation of the wurtzite ZnO occurs under tensile hydrostatic strain and compressive uniaxial strain. The transformation of ZnO from wurtzite phase to graphitelike phase is accompanied by the transition from a direct to indirect band gap. The deformation potentials that quantify the changes of band gap with strain are also reported. When the hydrostatic strain changes from compression to tension, the electron effective masses at G point in S T and D directions, and average electron effective mass decrease linearly. For the uniaxial strain, the changes of electron effective mass are dissimilar to the hydrostatic results.", "author_names": [ "Yaqin Wang", "Wu Tang", "Junhao Zhu", "Jie Liu" ], "corpus_id": 135657538, "doc_id": "135657538", "n_citations": 12, "n_key_citations": 0, "score": 0, "title": "Strain induced change of band structure and electron effective mass in wurtzite ZnO: A first principles study", "venue": "", "year": 2015 }, { "abstract": "Abstract Density functional theory was used to calculate the electronic band structure, effective mass, and optical dispersion of 2 mercapto 5 methyl 1,3,4 thiadiazole (MMTD) The all electron, full potential, linearized augmented plane wave method was used. The exchange correlation potential was treated using the local density approximation, generalized gradient approximation, and modified Becke Johnson approximation. The calculated band structure shows that MMTD has a direct energy bandgap. The partial density of states revealed strong hybridization between N p, C p, N s, and H s orbitals. The electronic charge density distribution confirmed partial ionic and strong covalent C N, C C, C H, and N N bonds. We also calculated the optical dielectric function and related optical properties (refractive index, extinction coefficient, absorption coefficient, and reflectivity)", "author_names": [ "Sikander Azam", "Ali H Reshak" ], "corpus_id": 98446585, "doc_id": "98446585", "n_citations": 6, "n_key_citations": 0, "score": 0, "title": "Electronic structure, effective mass, and optical dispersion of 2 mercapto 5 methyl 1,3,4 thiadiazole: density functional theory calculations", "venue": "", "year": 2014 }, { "abstract": "In this paper, we studied the electronic properties, effective masses, and carrier mobility of monolayer \\hbox {MoS}_2$$MoS2 using density functional theory calculations. The carrier mobility was considered by means of ab initio calculations using the Boltzmann transport equation coupled with deformation potential theory. The effects of mechanical biaxial strain on the electronic properties, effective mass, and carrier mobility of monolayer \\hbox {MoS}_2$$MoS2 were also investigated. It is demonstrated that the electronic properties, such as band structure and density of state, of monolayer \\hbox {MoS}_2$$MoS2 are very sensitive to biaxial strain, leading to a direct indirect transition in semiconductor monolayer \\hbox {MoS}_2$$MoS2. Moreover, we found that the carrier mobility and effective mass can be enhanced significantly by biaxial strain and by lowering temperature. The electron mobility increases over 12 times with a biaxial strain of 10% while the carrier mobility gradually decreases with increasing temperature. These results are very useful for the future nanotechnology, and they make monolayer \\hbox {MoS}_2$$MoS2 a promising candidate for application in nanoelectronic and optoelectronic devices.", "author_names": [ "Huynh V Phuc", "Nguyen Ngoc Hieu", "Bui D Hoi", "Nguyen Van Hieu", "Tran Viet Thu", "Nguyen Man Hung", "Victor V Ilyasov", "Nikolai A Poklonski", "Chuong Van Nguyen" ], "corpus_id": 104130114, "doc_id": "104130114", "n_citations": 29, "n_key_citations": 0, "score": 0, "title": "Tuning the Electronic Properties, Effective Mass and Carrier Mobility of MoS2 Monolayer by Strain Engineering: First Principle Calculations", "venue": "Journal of Electronic Materials", "year": 2017 }, { "abstract": "The band engineering using strain and electric field of monolayer silicon phosphide (2D SiP) has been investigated by first principle calculation. The biaxial strain is used to tune the electronic band gap from 1.91 to 0.7 eV by applying compressive strain 0 10% and 1.91 1.0 eV by tensile strain from 0 to 12% Furthermore, SiP shows metallic behaviour beyond 12% of compressive strain and 14% of tensile strain. However, the negligible effect of the external electric field on the electronic band structure of 2D SiP shows the sustainability of the monolayer. The stress vs strain curve shows the excellent mechanical stability of the monolayer SiP. 2D SiP also shows low electron effective mass for higher carrier mobility. Further, optical properties of the monolayer show the UV region absorption. Our results provide insights to possible mechanical tuning of SiP monolayer by applying external strain for nano electronic and nano optoelectronic device application.", "author_names": [ "Shivam Kansara", "Prabal Dev Bhuyan", "Yogesh Sonvane", "Sanjeev K Gupta" ], "corpus_id": 189842114, "doc_id": "189842114", "n_citations": 8, "n_key_citations": 0, "score": 0, "title": "Two dimensional silicon phosphide: low effective mass and direct band gap for future devices applications", "venue": "Journal of Materials Science", "year": 2019 }, { "abstract": "In this letter, we analyze a conceptual design for the operation of an Otto cycle heat machine driven by adiabatic modifications on the electronic effective mass. Such tailoring of it can be implemented, for instance, via the application of external electron fields in some materials, as in Gallium Nitride (GaN) We show that due both the energy quantization on this structure and the adiabatic transformation of the effective mass, the machine performance can be improved. The realization of classically inconceivable Otto machines, with an incompressible working substance, can be realized as well. Our finds hold in cases where the electronic effective mass, in the low temperature regime, remains constant during the isochoric strokes.", "author_names": [ "Cleverson Filgueiras" ], "corpus_id": 199405341, "doc_id": "199405341", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Quantum heat machines enabled by the electronic effective mass", "venue": "", "year": 2019 } ]
Large-field step-structure surface measurement using a femtosecond laser
[ { "abstract": "We present a femtosecond laser based interferometry for step structure surface measurement with a large field of view. A height axial scanning range of 348 um is achieved by using the method of repetition frequency scanning with reference to the Rb atomic clock and the optical path length difference design for 21 times of the pulse interval. A combined method, which includes the envelope peak positioning method for rough measurement, synthetic wavelength interferometry for connection, and carrier wave interferometry for fine measurement, is proposed to reconstruct the surface. A three step specimen with heights of approximately 20, 50, and 70 um was successfully measured with a height precision of 7 nm, and the accuracy was verified by a commercial white light interferometer. The diameter of the field of view that was demonstrated was 17.3 mm, which could be much larger owing to the high spatial coherence of the femtosecond laser. The results show that the femtosecond laser system combines the step structure measurement performance of white light interferometry and the high precision large field performance of phase shifting interferometry, indicating its potential for widespread use in ultra precision manufacturing of micro/nano devices, such as semiconductor chips, integrated circuits, and micro electro mechanical systems.", "author_names": [ "Yue Wang", "Guangyao Xu", "Shilin Xiong", "Guanhao Wu" ], "corpus_id": 220976180, "doc_id": "220976180", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Large field step structure surface measurement using a femtosecond laser.", "venue": "Optics express", "year": 2020 }, { "abstract": "We present a femtosecond laser based interferometer for step structure surface measurement. The synthetic wavelength method is adopted to bridge the envelope positioning and carrier phase extraction methods. A three step surface is precisely reconstructed.", "author_names": [ "Yue Wang", "Guangyao Xu", "Shilin Xiong", "Guanhao Wu" ], "corpus_id": 221718563, "doc_id": "221718563", "n_citations": 0, "n_key_citations": 0, "score": 1, "title": "Large Field Step Structure Surface Profilometry using a Femtosecond Laser", "venue": "2020 Conference on Lasers and Electro Optics (CLEO)", "year": 2020 }, { "abstract": "We test an erbium doped fiber femtosecond laser for its potential as a light source for a coherence scanning interferometer for large field of view profiling of rough silicon carbide (SiC) surfaces. This infrared fiber pulse laser is able to provide a relatively long temporal coherence length of ~30 mm to be appropriate for coherence scanning of rough surfaces. At the same time, it offers a high degree of spatial coherence comparable to that of a monochromatic continuous wave laser to achieve a large measurement field of view. In addition, the highly maintained linear polarization of the pulse laser source permits overcoming the low specular reflectance of rough SiC surfaces by polarization based optical power splitting control between the reference and measurement arms.", "author_names": [ "Yang Lu", "Jiyong Park", "Liandong Yu", "Seung-Woo Kim" ], "corpus_id": 19098086, "doc_id": "19098086", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "3D profiling of rough silicon carbide surfaces by coherence scanning interferometry using a femtosecond laser.", "venue": "Applied optics", "year": 2018 }, { "abstract": "In this work we investigated the optical and electrical properties of Au Ag nanoalloys in various volume ratios. The nanoparticles have been prepared from gold and silver ions reduced by direct irradiation femtosecond laser. The samples were added into a quartz cuvette and irradiated for 10 minutes. Each sample was observed the optical property where surface plasmon resonance (SPR) peak was existed. In addition, electrical conductivity of the colloids was derived from the measurement of the correspond zeta potential by dynamic light scattering (DLS) method. The results showed that the SPR peak of Au Ag nanoalloy were shifted almost linearly in between 409 nm for Ag and 530 nm for Au depending on their volume fraction. The conductivity measurement showed that Au0Ag100 (pure Ag) nanoparticles has the highest value and Au100Ag0 (pure Au) nanoparticles has the lowest value, and interestingly, Au Ag nanoalloys have the values between Au0Ag100 and Au100Ag0. Briefly, this work revealed that both optical and electrical properties of Au Ag nanoalloys can be easily tuned by regulating the volume fraction between the two elements.", "author_names": [ "A Z Nur Hidayah", "Yuliati Herbani" ], "corpus_id": 231782614, "doc_id": "231782614", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Optical and Electrical Properties of Gold Silver Nanoalloys Synthesized through Photochemical Reduction using Femtosecond Laser", "venue": "The Journal of Pure and Applied Chemistry Research", "year": 2020 }, { "abstract": "Fast, precise 3 D measurement of discontinuous step structures fabricated on microelectronic products is essential for quality assurance of semiconductor chips, flat panel displays, and photovoltaic cells. Optical surface profilers of low coherence interferometry have long been used for the purpose, but the vertical scanning range and speed are limited by the micro actuators available today. Besides, the lateral field of view extendable for a single measurement is restricted by the low spatial coherence of broadband light sources. Here, we cope with the limitations of the conventional low coherence interferometer by exploiting unique characteristics of femtosecond laser pulses, i.e. low temporal but high spatial coherence. By scanning the pulse repetition rate with direct reference to the Rb atomic clock, step heights of ~69.6 mm are determined with a repeatability of 10.3 nm. The spatial coherence of femtosecond pulses provides a large field of view with superior visibility, allowing for a high volume measurement rate of ~24,000 mm3/s.", "author_names": [ "Woo-Deok Joo", "Seungman Kim", "Jiyong Park", "Keun-woo Lee", "Joo-hyung Lee", "Seungchul Kim", "Young-Jin Kim", "Seung-Woo Kim" ], "corpus_id": 207325992, "doc_id": "207325992", "n_citations": 23, "n_key_citations": 2, "score": 0, "title": "Femtosecond laser pulses for fast 3 D surface profilometry of microelectronic step structures.", "venue": "Optics express", "year": 2013 }, { "abstract": "Abstract. The intermode beats generated by direct detection of a mode locked femtosecond laser represent inherent high quality and high frequency modulations suitable for electro optical distance measurement (EDM) This approach has already been demonstrated as a robust alternative to standard long distance EDM techniques. However, we extend this idea to intermode beating of a wideband source obtained by spectral broadening of a femtosecond laser. We aim at establishing a technological basis for accurate and flexible multiwavelength distance measurement. Results are presented from experiments using beat notes at 1 GHz generated by two bandpass filtered regions from both extremes of a coherent supercontinuum ranging from 550 to 1050 nm. The displacement measurements performed simultaneously on both colors on a short distance setup show that noise and coherence of the wideband laser are adequate for achieving accuracies of about 0.01 mm on each channel with a potential improvement by accessing higher beat notes. Pointing and power instabilities have been identified as dominant sources of systematic deviations. Nevertheless, the results demonstrate the basic feasibility of the proposed technique. We consider this a promising starting point for the further development of multiwavelength EDM enabling increased accuracy over long distances through dispersion based integral refractivity compensation and for remote surface material probing along with distance measurement in laser scanning.", "author_names": [ "David Salido-Monzu", "Andreas Wieser" ], "corpus_id": 125283042, "doc_id": "125283042", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Simultaneous distance measurement at multiple wavelengths using the intermode beats from a femtosecond laser coherent supercontinuum", "venue": "", "year": 2018 }, { "abstract": "We present experimental measurements of the femtosecond time scale generation of strong magnetic field fluctuations during the interaction of ultrashort, moderately relativistic laser pulses with solid targets. These fields were probed using low emittance, highly relativistic electron bunches from a laser wakefield accelerator, and a line integrated $B$ field of $2.70 \\pm 0.39\\\\rm kT\\\\mu m$ was measured. Three dimensional, fully relativistic particle in cell simulations indicate that such fluctuations originate from a Weibel type current filamentation instability developing at submicron scales around the irradiated target surface, and that they grow to amplitudes strong enough to broaden the angular distribution of the probe electron bunch a few tens of femtoseconds after the laser pulse maximum. Our results highlight the potential of wakefield accelerated electron beams for ultrafast probing of relativistic laser driven phenomena.", "author_names": [ "Gaurav Raj", "Olena Kononenko", "M F Gilljohann", "Antoine Doche", "Xavier Davoine", "Clement Caizergues", "Y -Y Chang", "J P Couperus Cabadag", "Alexander Debus", "H Ding", "Michael Forster", "J-P Goddet", "Thomas Heinemann", "Thomas Kluge", "Thomas Kurz", "Richard Pausch", "Pascal Rousseau", "P San Miguel Claveria", "S Schobel", "A Siciak", "K Steiniger", "Amar Tafzi", "S Yu", "B Hidding", "Alberto Martinez de la Ossa", "A Irman", "S Karsch", "A Dopp", "Ulrich Schramm", "Laurent Gremillet", "Sebastien Corde" ], "corpus_id": 198967878, "doc_id": "198967878", "n_citations": 5, "n_key_citations": 0, "score": 0, "title": "Probing ultrafast magnetic field generation by current filamentation instability in femtosecond relativistic laser matter interactions", "venue": "", "year": 2020 }, { "abstract": "Femtosecond pulse lasers provide novel possibilities to high precision optical profilometry for quality assurance of step structures on 3D microelectronic products based on its time and frequency domain characteristics.", "author_names": [ "Young-Jin Kim", "Minah Choi", "Jiyong Park", "Sang-won Hyun", "Woo-Deok Joo", "Seung-Woo Kim" ], "corpus_id": 5794178, "doc_id": "5794178", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "High precision 3 D surface measurement of step structures using femtosecond lasers", "venue": "2015 11th Conference on Lasers and Electro Optics Pacific Rim (CLEO PR)", "year": 2015 }, { "abstract": "Fast, precise 3 D measurement of step structures fabricated on microelectronic products is essential for quality assurance of semiconductor, flat panel display and photovoltaic products. Optical interferometers have long been used, but not that wide spread for step structures due to their phase ambiguity or low spatial coherence. Femtosecond pulse lasers can provide novel possibilities to optical profilometry both in the time and the frequency domain. In the time domain, step surfaces can be measured over wide area by exploiting low temporal but high spatial coherence of femtosecond pulses; in the frequency domain, multi wavelength interferometry permits the absolute measurement over the discontinued surface profiles while maintaining the sub wavelength measurement precision.", "author_names": [ "Young-Jin Kim", "Minah Choi", "Keun-woo Lee", "Heesuk Jang", "Jiyong Park", "Seung-Woo Kim" ], "corpus_id": 136876997, "doc_id": "136876997", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Precision 3D surface measurement of step structures using mode locked femtosecond pulses", "venue": "Other Conferences", "year": 2015 }, { "abstract": "Abstract This paper investigates the effects of femtosecond laser surface texturing on the interfacial bond strength of steel and a thermoplastic polymer PA 6 film. Ultimately the textures will be used for hybrids that are manufactured using a near infrared (NIR) laser assisted automated tape placement (ATP) process and carbon fibre PA 6 composites, therefore the NIR absorptance of the textured metal substrate is also of interest. To identify the influence of different surface structures on the bonding strength, lap shear samples were manufactured with laser textures varying in pulse length, hatch distance and ablated depth and tested by ASTM D 3165. The surface structures were analysed with white light interferometry (WLI) and scanning electron microscopy (SEM) Additional optical measurements in the infrared radiation range of 900 nm to 1100 nm were executed with a spectrophotometer to evaluate the laser absorptance for the NIR automated tape placement process. The lap shear strength increased to the highest value of 31.9 MPa with a tooth shaped laser texture using a 275 fs laser pulse width, a hatch distance of 600 mm an ablated depth of 40 mm. Also the absorptance for this sample increased by approximately 13% to 73% compared to the unprocessed pickled steel.", "author_names": [ "Victoria Zinnecker", "Christopher Stokes-Griffin", "Steve J Madden", "Andrei V Rode", "Paul P Compston" ], "corpus_id": 141451726, "doc_id": "141451726", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Investigation of the effects of femtosecond laser metal surface texturing on bonding of PA 6 to steel", "venue": "", "year": 2019 } ]
Precision Manufacturing Process Monitoring with Acoustic Emission
[ { "abstract": "Current demands in high technology industries such as semiconductor, optics, MEMS, etc. have predicated the need for manufacturing processes that can fabricate increasingly smaller features reliably at very high tolerances. In situ monitoring systems that can be used to characterize, control, and improve the fabrication of these smaller features are therefore needed to meet increasing demands in precision and quality. This paper discusses the unique requirements of monitoring of precision manufacturing processes, and the suitability of acoustic emission (AE) as a monitoring technique at the precision scale. Details are then given on the use of AE sensor technology in the monitoring of precision manufacturing processes; grinding, chemical mechanical planarization (CMP) and ultraprecision diamond turning in particular. q 2005 Elsevier Ltd. All rights reserved.", "author_names": [ "D E Leea", "I Hwanga", "C M O Valenteb", "Jeane Freitas de Oliveirab", "D A Dornfelda" ], "corpus_id": 54665038, "doc_id": "54665038", "n_citations": 123, "n_key_citations": 9, "score": 1, "title": "Precision manufacturing process monitoring with acoustic emission", "venue": "", "year": 2005 }, { "abstract": "Abstract Current demands in high technology industries such as semiconductor, optics, MEMS, etc. have predicated the need for manufacturing processes that can fabricate increasingly smaller features reliably at very high tolerances. In situ monitoring systems that can be used to characterize, control, and improve the fabrication of these smaller features are therefore needed to meet increasing demands in precision and quality. This paper discusses the unique requirements of monitoring of precision manufacturing processes, and the suitability of acoustic emission (AE) as a monitoring technique at the precision scale. Details are then given on the use of AE sensor technology in the monitoring of precision manufacturing processes; grinding, chemical mechanical planarization (CMP) and ultraprecision diamond turning in particular.", "author_names": [ "Dae-Eun Lee", "Inkil Hwang", "C M O Valente", "Joao Fernando Gomes de Oliveira", "David A Dornfeld" ], "corpus_id": 221189871, "doc_id": "221189871", "n_citations": 119, "n_key_citations": 2, "score": 0, "title": "Precision manufacturing process monitoring with acoustic emission", "venue": "", "year": 2006 }, { "abstract": "Demands in high technology industries such as semiconductor, optics, MEMS, etc. have predicated the need for manufacturing processes that can fabricate increasingly smaller features reliably at very high tolerances. In situ monitoring systems that can be used to characterize, control, and improve the fabrication of these smaller features are therefore needed to meet increasing demands in precision and quality. This paper discusses the unique requirements of monitoring of precision manufacturing processes, and the suitability of acoustic emission (AE) as a monitoring technique at the precision scale. Details are then given on the use of AE sensor technology in the monitoring of precision manufacturing processes; grinding, chemical mechanical planarization (CMP) and ultraprecision diamond turning in particular.", "author_names": [ "Inkil Hwang", "C M O Valente" ], "corpus_id": 110683425, "doc_id": "110683425", "n_citations": 20, "n_key_citations": 0, "score": 0, "title": "Precision Manufacturing Process Monitoring with Acoustic Emission", "venue": "", "year": 2006 }, { "abstract": "International Journal of Machine Tools Manufacture 46 (2006) 176 188 www.elsevier.com/locate/ijmactool Precision manufacturing process monitoring with acoustic emission D.E. Lee a I. Hwang a C.M.O. Valente b J.F.G. Oliveira b D.A. Dornfeld a, a Laboratory for Manufacturing Automation, Department of Mechanical Engineering, University of California, Berkeley, CA 94720 1740, USA b University of Sao Paulo, Nucleus of Advanced Manufacturing, Sao Carlos, Brazil Received 27 January 2005; accepted 7 April 2005 Available online 13 June 2005 Abstract Current demands in high technology industries such as semiconductor, optics, MEMS, etc. have predicated the need for manufacturing processes that can fabricate increasingly smaller features reliably at very high tolerances. In situ monitoring systems that can be used to characterize, control, and improve the fabrication of these smaller features are therefore needed to meet increasing demands in precision and quality. This paper discusses the unique requirements of monitoring of precision manufacturing processes, and the suitability of acoustic emission (AE) as a monitoring technique at the precision scale. Details are then given on the use of AE sensor technology in the monitoring of precision manufacturing processes; grinding, chemical mechanical planarization (CMP) and ultraprecision diamond turning in particular. q 2005 Elsevier Ltd. All rights reserved. Keywords: Acoustic emission; Precision; Process monitoring 1. Introduction Current demands in high technology industries such as semiconductor, optics, MEMS, etc. have predicated the need for manufacturing processes that can fabricate increasingly smaller features reliably at very high toler ances. This increasing demand for the ability to fabricate features at smaller length scales and at greater precision can be represented in the Taniguchi curve (Fig. 1) which demonstrates that the smallest achievable accuracy (and, as a consequence, smallest reproducible feature) decreases as a function of time [1] In situ monitoring systems that can be used to characterize, control, and improve the fabrication of these smaller features are therefore needed to meet increasing demands in precision and quality. Sensor based monitoring yields valuable information about the manufacturing process that can serve the dual purpose of process control and quality monitoring, and will ultimately be the part of any fully automated manufacturing environment. However, a high degree of confidence and reliability in characterizing Corresponding author. Tel. C1 510 642 0906; fax: C1 510 643 7492. E mail addresses: [email protected] (C.M.O. Valente) dornfeld@me. berkeley.edu (D.A. Dornfeld) the manufacturing process is required for any sensor to be utilized as a monitoring tool. As demonstrated in a previous review paper by Dornfeld et al. [2] acoustic emission (AE) has demonstrated a high degree of confidence in character izing various phenomena related to material removal, particularly at the microscale, hence lending credence to its suitability for precision manufacturing process monitor ing. This work serves to demonstrate sensitivity of AE at the three different manufacturing regimes outlined in the Taniguchi curve; the normal/conventional, precision, and ultraprecision scales (Fig. 1) 2. Requirements for sensor technology at the precision scale In material removal processes at the precision scale, the undeformed chip thickness can be on the order of a few microns or less, and can approach the nanoscale in some cases. At these length scales, the surface, subsurface, and edge condition of machined features and the fundamental mechanism for chip formation are much more intimately affected by the material properties and microstructure of the workpiece material, such as ductile/brittle behavior, crystal lographic orientation of the material at the tool/chip interface, and microtopographical features such as voids, secondary phases, and interstitial particulates [3,4] 0890 6955/ see front matter q 2005 Elsevier Ltd. All rights reserved. doi:10.1016/j.ijmachtools.2005.04.001", "author_names": [ "D E Lee", "Inkil Hwang", "C M O Valente", "J F G Oliviera", "David A Dornfeld" ], "corpus_id": 116457349, "doc_id": "116457349", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "Precision Manufacturing Process Monitoring with Acoustic Emission eScholarship", "venue": "", "year": 2006 }, { "abstract": "Current demands in high technology industries such as semiconductor, optics, MEMS, etc. have predicated the need for manufacturing processes that can fabricate increasingly smaller features reliably at very high tolerances. In situ monitoring systems that can be used to characterize, control, and improve the fabrication of these smaller features are therefore needed to meet increasing demands in precision and quality. This paper discusses the unique requirements of monitoring of precision manufacturing processes, and the suitability of acoustic emission (AE) as a monitoring technique at the precision scale. Details are then given on the use of AE sensor technology in the monitoring of precision manufacturing processes; grinding, chemical mechanical planarization (CMP) and ultraprecision diamond turning in particular. q 2005 Elsevier Ltd. All rights reserved.", "author_names": [ "D E Leea", "I Hwanga", "C M O Valenteb", "Jeane Freitas de Oliveirab", "D A Dornfelda" ], "corpus_id": 59016468, "doc_id": "59016468", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Green Manufacturing and Sustainable Manufacturing Partnership Title Precision Manufacturing Process Monitoring with Acoustic Emission Permalink", "venue": "", "year": 2005 }, { "abstract": "To ensure overall quality of a precision large scale component, a tool condition monitoring (TCM) technique for multi step form milling is presented. The form milling of fir tree slots for a steam turbine rotor is an appropriate example that requires a fine surface finish and high dimensional accuracy. Therefore, we propose a novel TCM system based on a multi sensor fusion strategy which utilises the combination of spindle motor current and acoustic emission (AE) as well as adaptive thresholding for multiple manufacturing steps (roughing, semi finishing and finishing) To investigate the tool deterioration process, tool longevity tests using a test piece are carried out for each step. With the aid of qualitative inspection, it is found that AE signals provide comprehensive tool state information regarding tool flank wear, crack propagation and severe adhesive wear. In addition, by intentionally adding a bundle of chips to the surface, bursts of AE of large amplitudes occur in finishing, which provides the possibility of discovering anomalous events related to surface quality. By careful consideration of such characteristics, provisional alert levels are determined using a two dimensional diagram with respect to both sensors. The strategy is verified throughout the actual manufacturing processes of the rotors. The proposed TCM system shows not only an excellent ability to prevent catastrophic tool failure and surface irregularities in form milling but also acceptable expendability for various groove specifications.", "author_names": [ "Masahiro Uekita", "Yasuhiro Takaya" ], "corpus_id": 114979407, "doc_id": "114979407", "n_citations": 24, "n_key_citations": 0, "score": 0, "title": "Tool condition monitoring for form milling of large parts by combining spindle motor current and acoustic emission signals", "venue": "", "year": 2017 }, { "abstract": "This paper considers the application of the method of acoustic emission (AE) for the control of welding quality in process of its manufacturing. Features AE method to detect in real time defects of weld joint during manual submerged arc welding and in cooling were studied. Set of informative AE parameters after the analysis of AE monitoring and data of arbitration methods of nondestructive testing was offered, which form a space of criteria for separating the signals from defects and interference that accompany the welding process. Determination of the coordinates of defects with high precision makes it possible to correct them in the operative welding process with a minimum of metal excision; reduces the volume of application of traditional testing methods.", "author_names": [ "Victor P Gomera", "Evgeny J Nefedyev", "Anatoly D Smirnov" ], "corpus_id": 116827408, "doc_id": "116827408", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "On the Possibility of Producing Defect Free Welds under Acoustic Emission Monitoring", "venue": "", "year": 2017 }, { "abstract": "The use of fine micro structured rapidly solidified aluminium (RSA) alloys in manufacturing of optical mould inserts has recently increased because of the advantages these alloys offer at a relatively low cost. The fine microstructure of RSA imparts these alloys a high ultimate strength and thus make them suitable candidates as mould materials. As part of our research in the area of manufacture of optical mould inserts, this paper presents a monitoring technique established to identify the behaviour of diamond tool wear during the ultra high precision machining of RSA 905 for optical mould insert production. Acoustic emission (AE) is employed as a precision sensing technique and further processed to correlate AE rms feature with the process performance. The initial results of tool wear measurement indicate an adequate rise in amplitude of RMS. The setup for the AE sensor system exhibits excellent sensitivity to the cutting mechanism, especially for the detection of the initial engagement between the tool and workpiece.", "author_names": [ "Oluwole A Olufayo", "Khaled A Abou-El-Hossein" ], "corpus_id": 59130846, "doc_id": "59130846", "n_citations": 8, "n_key_citations": 0, "score": 0, "title": "Acoustic Emission Monitoring in Ultra High Precision Machining of Rapidly Solidified Aluminium", "venue": "", "year": 2013 }, { "abstract": "Current demands of machining hard and brittle materials at very small tolerances have predicated the need for precision and high efficiency grinding. In situ monitoring systems based on acoustic emission (AE) provide a new way to control the surface damage and integrality of the components. However, a high degree of confidence and reliability in characterizing the manufacturing process is required for AE to be utilized as a monitoring tool. The authors established AE based online monitoring system and studied technique parameters versus the waveforms of AE under different working conditions. The results show that there are obvious mapping relations between the technique parameters of grinding and the effective values of the AE signals. Grinding along different directions would result in different strength of AE signal. Comparing with grinding along first longitude, fewer AE signal is released when grinding along latitude and better surface quality is generated. Similar variation tendency is observed no matter between AE root mean square (RMS) and linear speed or between surface roughness and linear speed which justify some kind of correlation may exist between AE RMS and surface roughness. The distance between the AE transducer and the AE source should be less than 80 mm while monitoring the process of grinding composite ceramics.", "author_names": [ "Xuesong Han", "Tianyu Wu" ], "corpus_id": 109858016, "doc_id": "109858016", "n_citations": 29, "n_key_citations": 0, "score": 0, "title": "Analysis of acoustic emission in precision and high efficiency grinding technology", "venue": "", "year": 2013 }, { "abstract": "Tool wear is a complex phenomenon, it worsens surface quality, increases power consumption, and causes rejection of machined parts. Tool wear has a direct effect on the quality of the surface finish of the workpiece, dimensional precision and ultimately the cost of the parts produced. In modern automated manufacturing machines, tool monitoring system for automated machines should be capable of operating on line and interpret the working condition of machining process at a given point in time. Therefore, there is a need to develop a continuous tool monitoring systems that would notify operator the state of tool in order to avoid tool failure or undesirable circumstances. This study therefore uses acoustic emission (AE) sensing techniques, signal processing and Artificial Neural Networks (ANN) frameworks to model and validate the machining process. The AE showed effects of tool breakage and ANN predictions closest to the experimental cutting parameters were obtained. It was also shown that the ANN prediction model obtained is a useful, reliable and quite effective tool for modeling tool wear of carbide tools when working on stainless steel. Thus, the results of the present research can be successfully applied in the manufacturing industry to reduce the time, energy and high experimental costs.", "author_names": [ "Peter Babatunde Odedeyi", "Khaled A Abou-El-Hossein", "Muhammad Mukhtar Liman", "Abubakar I Jumare", "Abdulqadir N Lukman" ], "corpus_id": 139136480, "doc_id": "139136480", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Acoustic Emission in Stainless Steel End Milling with Carbide Tools", "venue": "", "year": 2017 } ]
SiC power devices and applications in quasi-z-source
[ { "abstract": "The wide band gap Silicon Carbide (SiC) material made power semiconductor devices have attracted increasing attentions in modern power electronics applications. They are able to not only provide wonderful performance of higher switching frequency, higher power, higher voltages, and higher junction temperature than silicon power devices, but also introduce significant decrease in the system volume and weight, and provide high reliability and high efficiency to power electronic systems. In this paper, a review of SiC devices in terms of characteristics, development, and applications are presented. And the SiC power device based quasi Z Source matrix converter and inverter are compared with the Silicon IGBT based ones, respectively, demonstrating a competitive solution for the future development of such converters/inverters.", "author_names": [ "Maoxing Li", "Yushan Liu", "Haitham Abu-Rub", "Baoming Ge", "Zainal Salam" ], "corpus_id": 23721546, "doc_id": "23721546", "n_citations": 7, "n_key_citations": 0, "score": 1, "title": "SiC power devices and applications in quasi z source converters/inverters", "venue": "2015 IEEE Conference on Energy Conversion (CENCON)", "year": 2015 }, { "abstract": "This paper presents a comparative study between a conventional boosted VSI electric/hybrid electric vehicle (EV/HEV) powertrain converter with alternative topologies including bidirectional CSI, Silicon (Si) based current fed Quasi Z Source Inverter (CF qZSI) and Silicon Carbide (SiC) based CF qZSI. The higher switching frequency operation of SiC MOSFETs enables the size reduction of reactive elements necessary for bi directional power flow through the input Z network, and results in higher efficiency and power density of the overall system. Research results support this claim, bolstering the possibility to replace conventional VSIs with CSIs in the future using wide bandgap (WBG) devices.", "author_names": [ "Faris E Alfaris", "Subhashish Bhattacharya" ], "corpus_id": 30299974, "doc_id": "30299974", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "A current fed quasi Z source inverter with SiC power modules for EV/HEV applications", "venue": "2017 IEEE Energy Conversion Congress and Exposition (ECCE)", "year": 2017 }, { "abstract": "The conventional single phase quasi Z source (QZS) inverter has a high leakage current as it is connected to the grid. To address this problem, this paper proposes a transformerless QZS inverter, which can reduce the leakage current for single phase grid tied applications. The proposed inverter effectively alleviates the leakage current problem by removing high frequency components for the common mode voltage. The operation principle of the proposed inverter is described together with its control strategy. A control scheme is presented for regulating the DC link voltage and the grid current. A 1.0 kW prototype inverter was designed and tested to verify the performance of the proposed inverter. Silicon carbide (SiC) power devices were applied to the proposed inverter to increase the power efficiency. The experimental results showed that the proposed inverter achieved high performance for leakage current reduction and power efficiency improvement.", "author_names": [ "Woo-Young Choi", "M Yang" ], "corpus_id": 84836100, "doc_id": "84836100", "n_citations": 6, "n_key_citations": 0, "score": 0, "title": "Transformerless Quasi Z Source Inverter to Reduce Leakage Current for Single Phase Grid Tied Applications", "venue": "Electronics", "year": 2019 }, { "abstract": "The paper presents results of the experimental investigation of full SiC quasi z source (QZS) inverter. The study is devoted to a single phase dc ac solution which comprises SiC diode and SiC MOSFETs and demonstrates 97.1% efficiency. The experimental results that confirm efficient performance of the converter were presented and discussed. Due to its high efficiency and safe operation under high semiconductor devices temperatures the developed solution is feasible for PV applications. CEC efficiency characteristic was measured. The temperature behavior of semiconductors and heatsinks was investigated and detailed results were presented. The study aimed 1.8 kW as nominal power, however inverter was verified for secure operation and demonstrated acceptable efficiency for up to +33% from nominal power.", "author_names": [ "Serhii Stepenko", "Oleksandr Husev", "Dmitri Vinnikov", "Sergio Pires Pimentel", "Anatoliy Prystupa" ], "corpus_id": 211060579, "doc_id": "211060579", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Experimental Efficiency and Thermal Parameters Evaluation in Full SiC Quasi Z Source Inverter", "venue": "2019 IEEE 60th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON)", "year": 2019 }, { "abstract": "The wide band gap Silicon Carbide (SiC) and gallium nitride (GaN) materials based semiconductor devices have attracted tremendous attentions in modern power electronics applications. They provide much higher switching frequency and higher junction temperature than silicon power devices, thus to significantly decrease the system volume and weight, especially on passive components. In this paper, the characteristics of the SiC and GaN materials and devices are overviewed. A front end isolated quasi Z source cascade multilevel inverter (qZS CMI) based Photovoltaic (PV) power system is proposed to insulate the PV array from high voltage grid and make possible of PV grounding, thus to enhance the system reliability and safety. The SiC and GaN devices applied to the front end isolation of qZS inverter module are compared. Experimental results verify the proposed front end isolated qZS CMI and comparison results, demonstrating a competitive solution for the future development of such inverters.", "author_names": [ "Yushan Liu", "Baoming Ge", "Haitham Abu-Rub", "Haiyu Zhang", "Robert S Balog" ], "corpus_id": 40268446, "doc_id": "40268446", "n_citations": 5, "n_key_citations": 0, "score": 0, "title": "Comparison of SiC and GaN devices for front end isolation of quasi Z source cascaded multilevel photovoltaic inverter", "venue": "2016 IEEE Energy Conversion Congress and Exposition (ECCE)", "year": 2016 }, { "abstract": "Wide bandgap (WBG) semiconductors including gallium nitride (GaN) and silicon carbide (SiC) offer significant performance improvement compared with conventional silicon power devices. The quasi Z source cascaded multilevel inverter (qZS CMI) provides many advantages over the conventional CMI while applied in photovoltaic (PV) systems. In this paper, two solutions are proposed and compared to the design goal of a high efficiency and low cost qZS CMI based 1 MW/11 kV PV system. The first solution is based on 650 V GaN enhancement mode high electron mobility transistors (E HEMT) and 650 V SiC Schottky diodes. The second solution uses 1200 V SiC power modules and 1200 V SiC Schottky diodes. The power losses and costs of the two candidate designs are compared in details. It is concluded that the first solution shows lower power losses and costs per quasi Z source inverter (qZSI) module. However, due to the low voltage rating of GaN E HEMTs, more qZSI modules are needed to achieve the overall 11 kV inverter rating. Therefore, the second solution shows lower total power loss and cost in the medium voltage, MW scale qZS CMI PV system.", "author_names": [ "Haiyu Zhang", "Baoming Ge", "Yushan Liu", "Sertac Bayhan", "Robert S Balog", "Haitham Abu-Rub" ], "corpus_id": 21642794, "doc_id": "21642794", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "Comparison of GaN and SiC power devices in application to MW scale quasi Z source cascaded multilevel inverters", "venue": "2016 IEEE Energy Conversion Congress and Exposition (ECCE)", "year": 2016 }, { "abstract": "", "author_names": [ "Zhan Haiyu", "Ge Baoming", "Li Yushan", "Bayhan Sertac", "S Balog Robert", "Abu Rub Haitham" ], "corpus_id": 116842801, "doc_id": "116842801", "n_citations": 6, "n_key_citations": 1, "score": 0, "title": "Comparison of GaN and SiC power devices in application to MW scale quasi Z source cascaded multilevel inverters", "venue": "", "year": 2016 }, { "abstract": "Silicon Carbide (SiC) based single phase quasi Z source inverter (qZSI) is proposed in this paper to provide a high power density and cost benefit solution for the Photovoltaic (PV) power application. Bulky qZS impedance network is unavoidable in conventional single phase qZSI even with SiC power devices, due to handling double line frequency (2o) ripple. The design of SiC based single phase qZSI is addressed in this paper through power loss evaluation and impedance parameters determination with active power filter's phase leg for compensting the 2o ripple. All passive components are small in size and weight under the high switching frequency of SiC devices. Power devices' losses of SiC and Si based inverter are compared. Simulation results at the designed parameters are introduced to validate the proposed solution.", "author_names": [ "Yushan Liu", "Haitham Abu-Rub", "Yichang Wu", "Khalid A Ghazi" ], "corpus_id": 46956366, "doc_id": "46956366", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Design of SiC based single phase quasi Z source inverter", "venue": "2018 IEEE 12th International Conference on Compatibility, Power Electronics and Power Engineering (CPE POWERENG 2018)", "year": 2018 }, { "abstract": "This paper discusses issues related to application of SiC power devices to new family of power converters. Impedance source converters show unique feature, buck boost characteristics due to specific impedance network. Passive elements of this network may be seriously reduced with the switching frequency increase, possible with fast switching SiC transistors. On the other hand, switching conditions of the power devices are more severe than in traditional voltage source or current source converters. These issues are discussed on the base of the 6kVA/100kHz quasi Z source inverter example.", "author_names": [ "Jacek Rabkowski" ], "corpus_id": 22815090, "doc_id": "22815090", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "SiC Power Devices in Impedance Source Converters", "venue": "2016 European Conference on Silicon Carbide Related Materials (ECSCRM)", "year": 2016 }, { "abstract": "This paper presents an in depth analysis of the driving loss for SiC MOSFETs in real applications to help designer determined the power rating of proper gate driving power supplies and select proper gate resistors with enough power rating. A detailed loss estimation method is provided based on the datasheet information. Results verifies that the total driving loss power is determined by driving voltage, device total gate charge and switching frequency. The driving loss distribution analysis with the consideration of the nonlinearity of device gate capacitance is presented which indicates that the loss on turn on and turn off resistors is different, and the loss distribution is related with device working conditions. The power supply implementation for negative voltage driving is also discussed. If two separate voltage sources provide the driving power, each of them must provide real power to drive device gate. If only one voltage source provides the total driving power, a voltage divider circuit or voltage regulate circuit is needed only to provide voltage reference, there is no current through the divider or regulator. No real power is needed from the divider or regulator circuit. Experimental test results are provided to verify all the analysis.", "author_names": [ "Xuning Zhang", "Gin Sheh", "In-Hwan Ji", "Sujit Banerjee" ], "corpus_id": 169034105, "doc_id": "169034105", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "In Depth Analysis of Driving Loss and Driving Power Supply Structure for SiC MOSFETs", "venue": "2019 IEEE Applied Power Electronics Conference and Exposition (APEC)", "year": 2019 } ]
Mussel-Inspired Surface Chemistry for Multifunctional Coatings
[ { "abstract": "We report a method to form multifunctional polymer coatings through simple dip coating of objects in an aqueous solution of dopamine. Inspired by the composition of adhesive proteins in mussels, we used dopamine self polymerization to form thin, surface adherent polydopamine films onto a wide range of inorganic and organic materials, including noble metals, oxides, polymers, semiconductors, and ceramics. Secondary reactions can be used to create a variety of ad layers, including self assembled monolayers through deposition of long chain molecular building blocks, metal films by electroless metallization, and bioinert and bioactive surfaces via grafting of macromolecules.", "author_names": [ "Haeshin Lee", "Shara M Dellatore", "William M Miller", "Phillip B Messersmith" ], "corpus_id": 206509131, "doc_id": "206509131", "n_citations": 6612, "n_key_citations": 116, "score": 1, "title": "Mussel Inspired Surface Chemistry for Multifunctional Coatings", "venue": "Science", "year": 2007 }, { "abstract": "", "author_names": [ "Haeshin Lee" ], "corpus_id": 97873978, "doc_id": "97873978", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Multifunctional Coatings Mussel Inspired Surface Chemistry for", "venue": "", "year": 2007 }, { "abstract": "Abstract Mussel inspired surface modification has been received great attention due to the universal adhesive properties of catechols for fabrication of multifunctional coatings, especially for gluing hydrophilic polymers to fabricate underwater superoleophobic materials utilizing in oil/water separation. Despite the extensive research carried out on this topic, the similarity and discrepancy between catecholamine and catecholic amino acid on surface modification and post functionalization have not been fully addressed yet. In this work, underwater superoleophobic surfaces have been successfully developed by a two step dip coating method with mussel inspired coatings and subsequent zwitterionic sulfobetaine methacrylate (SBMA) grafting onto stainless steel meshes and used in oil/water separation. Here, dopamine and 3,4 dihydroxy L phenylalanine were both served as mussel adhesives. More specifically, small molecule zwitterion rather than polyzwitterion was functionalized onto mussel inspired coatings to minimize the effect of surface topography on surface wettability. The modified surfaces were characterized by scanning electron microscopy (SEM) atomic force microscopy (AFM) and contact angle measurements to observe the surface morphology, estimate the surface roughness, and evaluate the wettability, respectively. It showed that SBMA modified meshes with polydopamine (PDA) or poly(3,4 dihydroxy L phenylalanine) (PDOPA) layer possessed quite different surface roughness, while both presented excellent oil repellency in water with underwater oil contact angles of 153deg 160deg, indicating a less dependence on surface roughness. Although by using the small molecule as the hydrophilic functionalized groups, the as prepared meshes exhibited good self cleaning and oil/water separation performance (separation efficiency >98% for hexane and >97% for soybean oil) and outstanding recyclability with 98% separation efficiency after 30 cycles. This method provides insight into different properties of polycatechols and simplifies the fabrication process through the use of small molecule zwitterion rather than zwitterionic polymer. Besides, the modified meshes also exhibited excellent stability for long term use. The resulting underwater superoleophobicity and robust self cleaning ability promise an ideal candidate for oil/water separation and oil contamination restriction.", "author_names": [ "Xiaolu Chen", "Yadan Zhai", "Xia Han", "Honglai Liu", "Ying Hu" ], "corpus_id": 133497968, "doc_id": "133497968", "n_citations": 17, "n_key_citations": 0, "score": 0, "title": "Surface chemistry dominated underwater superoleophobic mesh with mussel inspired zwitterionic coatings for oil/water separation and self cleaning", "venue": "Applied Surface Science", "year": 2019 }, { "abstract": "Heparin, an important polysaccharide, has been widely used for coatings of cardiovascular devices because of its multiple biological functions including anticoagulation and inhibition of intimal hyperplasia. In this study, surface heparinization of a commonly used 316L stainless steel (SS) was explored for preparation of a multifunctional vascular stent. Dip coating of the stents in an aqueous solution of dopamine and hexamethylendiamine (HD) (PDAM/HD) was presented as a facile method to form an adhesive coating rich in primary amine groups, which was used for covalent heparin immobilization via active ester chemistry. A heparin grafting density of about 900 ng/cm(2) was achieved with this method. The retained bioactivity of the immobilized heparin was confirmed by a remarkable prolongation of the activated partial thromboplastin time (APTT) for about 15 s, suppression of platelet adhesion, and prevention of the denaturation of adsorbed fibrinogen. The Hep PDAM/HD also presented a favorable microenvironment for selectively enhancing endothelial cell (EC) adhesion, proliferation, migration and release of nitric oxide (NO) and at the same time inhibiting smooth muscle cell (SMC) adhesion and proliferation. Upon subcutaneous implantation, the Hep PDAM/HD exhibited mitigated tissue response, with thinner fibrous capsule and less granulation formation compared to the control 316L SS. This number of unique functions qualifies the heparinized coating as an attractive alternative for the design of a new generation of stents.", "author_names": [ "Ying Yang", "Pengkai Qi", "Feng Wen", "Xiangyang Li", "Qin Xia", "Manfred F Maitz", "Zhilu Yang", "Ru Shen", "Qiufen Tu", "Nan Huang" ], "corpus_id": 5511478, "doc_id": "5511478", "n_citations": 88, "n_key_citations": 0, "score": 0, "title": "Mussel inspired one step adherent coating rich in amine groups for covalent immobilization of heparin: hemocompatibility, growth behaviors of vascular cells, and tissue response.", "venue": "ACS applied materials interfaces", "year": 2014 }, { "abstract": "After more than four billion years of evolution, nature has created a large number of fascinating living organisms, which show numerous peculiar structures and wonderful properties. Nature can provide sources of plentiful inspiration for scientists to create various materials and devices with special functions and uses. Since Messersmith proposed the fabrication of multifunctional coatings through mussel inspired chemistry, this field has attracted considerable attention for its promising and exiciting applications. Polydopamine (PDA) an emerging soft matter, has been demonstrated to be a crucial component in mussel inspired chemistry. In this review, the recent developments of PDA for mussel inspired surface modification are summarized and discussed. The biomedical applications of PDA based materials are also highlighted. We believe that this review can provide important and timely information regarding mussel inspired chemistry and will be of great interest for scientists in the chemistry, materials, biology, medicine and interdisciplinary fields.", "author_names": [ "Meiying Liu", "Guangjian Zeng", "Ke Wang", "Qing Wan", "Lei Tao", "Xiaoyong Zhang", "Yen Wei" ], "corpus_id": 35107757, "doc_id": "35107757", "n_citations": 352, "n_key_citations": 2, "score": 0, "title": "Recent developments in polydopamine: an emerging soft matter for surface modification and biomedical applications.", "venue": "Nanoscale", "year": 2016 }, { "abstract": "Mussel inspired chemistry has been broadly exploited for multifunctional coatings in the surface modification of applied materials. Polyphenols are ubiquitous in plant tissues and far less expensive than polydopamine for mussel inspired chemistry. Herein, we report a facile and effective method to modify porous membranes via the co deposition of catechol (CCh) and polyethyleneimine (PEI) The membrane structures and properties were investigated by ATR/FTIR, XPS, FESEM, zeta potential, water contact angle and pure water flux measurements. The results reveal that the membranes deposited with a CCh PEI mass ratio of 1:0.25 show excellent hydrophilicity, ultrahigh water permeation flux and distinguished surface charges. These membranes were used to decolorize anionic dye solutions during filtration with superior removal efficiencies of over 99% Moreover, they have good reusability over repeated operations with a simple regeneration process.", "author_names": [ "Wen-Ze Qiu", "Hao-Cheng Yang", "Ling-Shu Wan", "Zhi-kang Xu" ], "corpus_id": 93161437, "doc_id": "93161437", "n_citations": 107, "n_key_citations": 1, "score": 0, "title": "Co deposition of catechol/polyethyleneimine on porous membranes for efficient decolorization of dye water", "venue": "", "year": 2015 }, { "abstract": "Kang et al. \"Bioinspired single bacterial cell force spectroscopy' Langmuir, 2009, 25(17):9656 9659. Ku et al. \"General functionalization route for cell adhesion on non wetting surfaces' Biomaterials, 2010.31:2535 2541. Lee et al. \"Mussel inspired surface chemistry for multifunctional coatings\" Science, 2007, 318(5849) 1 10. Ku et al. \"Human endothelial cell growth on mussel inspiried nanofiber scaffold for vascular tissue engineering' Biomaterials, 2010, 31:9431 9437. Ozgen et al. \"Evaluation of long term transport ability of a bioartificial renal tubule device using LLC PK1 cells' Nephrol Dial Transplant, 2004, 19:2198 2207. The 5' SBE International Conference on Bioengineering and Nano technology, Singapore: Poster presentation on Aug. 3, 2010. Pre senter: Ming Ni. The 5\" SBE International Conference on Bioengineering and Nano technology, Singapore: Oral conference presentation on Aug. 4. 2010. Presenter: Daniele Zink. Seminar on Sep. 13, 2010 European Conference on Biomaterials, Tampere Finland. Presenter: Ming Ni. The 5' SBE International Conference on Bioengineering and Nano technology, Singapore: IBN Labtour on Aug. 3, 2010. Presenter: Daniele Zink. Doraiswamy, Matrix assisted pulsed laser evaporation of DOPA modified poly(ethylene glycol) thin films, J. Adhesion Sci Technol (2007) p. 1 13. Humes et al. Initial clinical results of the bioartificial kidney containing human cells in ICU patients with acute renal failure. Kidney Int 66:1578 1588 (2004) Ku et al. General functionalization route for cell adhesion on non wetting surfaces, Biomaterials, 31:2535 2541 (2010) Lee et al. Mussel Inspired Surface Chemistry for Multifunctional Coatings, Science, 318:426 (2007) Lee et al. A reversible wet/dry adhesive inspired by mussels and geckos, Nature, 448:338 (Jul. 19, 2007) Lee et al. Single molecule mechanics of mussel adhesion, PNAS, 103, 12999 13003 (Aug. 29, 2006) Ni et al. Characterization of membrane materials and membrane coatings for bioreactor units of bioartificial kidneys, Biomaterials, 32, pp. 1465 1476, 2011. (Continued)", "author_names": [ "Daniele Zink" ], "corpus_id": 208056282, "doc_id": "208056282", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "MEMBRANES OF BOARTIFICIAL, KIDNEYS", "venue": "", "year": 2017 }, { "abstract": "Abstract Plant inspired polyphenols are capable of forming substrate independent coatings for versatile functionalization via oxidative self polymerization in an alkalescent aqueous solution. However, shortcomings like low uniformity and lengthy reaction times discourage their large scale implementations. In this work, we report a benign surface modification method for the highly effective, inexpensive construction of catechol (CA) coatings using sodium periodate (SP) as a trigger. Compared with recent progress in polyphenol coatings, our strategy achieved by far the fastest superhydrophilic modification rate ever reported. UV vis spectroscopy, atomic force microscopy (AFM) and ellipsometry are used to gain insights into the reaction kinetics of oxidant triggered polymerization and deposition of CA. SP assisted CA films with good homogeneity were efficiently deposited on various dense and porous substrates. Ultimately, the unprecedentedly superhydrophilic/underwater superoleophobic coating layer was applied on the initially hydrophobic polyvinylidene fluoride (PVDF) membrane, endowing the porous membrane with extremely high pure water permeability, favorable heavy metal adsorption ability, and outstanding oil/water separation performance. Due to the chemical versatility of CA, we envision that this novel coating technique holds significant potentials in tailoring the surface properties of different materials for a wide range of applications.", "author_names": [ "Yulan Chen", "Qingxia Liu" ], "corpus_id": 105752200, "doc_id": "105752200", "n_citations": 22, "n_key_citations": 0, "score": 0, "title": "Oxidant induced plant phenol surface chemistry for multifunctional coatings: Mechanism and potential applications", "venue": "", "year": 2019 }, { "abstract": "Specific selectivity of vascular cells and antithrombogenicity are crucial factors for the long term success of vascular implants. In this work, a novel concept of mussel inspired \"built up\" surface chemistry realized by sequential stacking of a copper dopamine network basement, followed by a polydopamine layer is introduced to facilitate the combination of nitric oxide (NO) catalysis and vascular cell selectivity. The resultant \"built up\" film allowed easy manipulation of the content of copper ions and the density of catechol/quinone groups, facilitating the multifunctional surface engineering of vascular devices. For example, the chelated copper ions in the copper dopamine network endow a functionalized vascular stent with a durable release of NO via catalytic decomposition of endogenous S nitrosothiol. Meanwhile, the catechol/quinone groups on the film surface allow the facile, secondary grafting of the REDV peptide to develop a selectivity for vascular cells, as a supplement to the functions of NO. As a result, the functionalized vascular stent perfectly combines the functions of NO and REDV, showing excellent antithrombotic properties and competitive selectivity toward the endothelial cells over the smooth muscle cells, hence impressively promotes re endothelialization and improves anti restenosis in vivo. Therefore, the first mussel inspired \"built up\" surface chemistry can be a promising candidate for the engineering of multifunctional surfaces.", "author_names": [ "Xiangyang Li", "Jingxia Liu", "Tong Yang", "Hua Qiu", "Lei Lu", "Qiufen Tu", "Kaiqin Xiong", "Nan Huang", "Zhilu Yang" ], "corpus_id": 211564642, "doc_id": "211564642", "n_citations": 17, "n_key_citations": 0, "score": 0, "title": "Mussel inspired \"built up\" surface chemistry for combining nitric oxide catalytic and vascular cell selective properties.", "venue": "Biomaterials", "year": 2020 }, { "abstract": "In this work, surface functionalized microcapsules from porous carbon nanospheres (PCNs) were successfully prepared by mussel inspired chemistry with polydopamine (PDA) and metal free photoinduced electron transfer atom transfer radical polymerization (PET ATRP) These functional microcapsules are introduced into self healing hydrogels to enhance its mechanical strength. The PCNs synthesized by a simple soft template method are mixed with linseed oil (LO) for loading of the biomass healing agent and the microcapsules are first prepared by coating PDA. PDA coatings were used to immobilize ATRP initiator for initiating 4 vinylpyridine (4VP) on the surface of microcapsules by PET ATRP. Using these functional microcapsules, the self healing efficiency was about 92.5% after 4 hrs at ambient temperature and the healed tensile strength can be held at 2.5 MPa with a fracture strain of 625.2% All results indicated that the surface functionalized microcapsules for self healing hydrogels have remarkable biocompatibility and mechanical properties.", "author_names": [ "Dechao Fan", "Guang-lin Wang", "Anyao Ma", "Wenxiang Wang", "Hou Chen", "Liangjiu Bai", "Huawei Yang", "Donglei Wei", "Lixia Yang" ], "corpus_id": 206498784, "doc_id": "206498784", "n_citations": 17, "n_key_citations": 0, "score": 0, "title": "Surface Engineering of Porous Carbon for Self Healing Nanocomposite Hydrogels by Mussel inspired Chemistry and PET ATRP.", "venue": "ACS applied materials interfaces", "year": 2019 } ]
threshold voltage implant transconductance mosfet nmos
[ { "abstract": "Abstract Two dimensional (2D) analytical threshold voltage model for Linearly Graded Binary Metal Alloy (LGBMA) gate electrode with Dielectric Pocket (DP) Metal Oxide Semiconductor (MOSFET) has been developed by solving 2 D Poisson's equation using evanescent mode analysis technique. In this proposed model, first time the idea of work function engineering is incorporated for DP MOSFET to bring an improvement over different short channel effects (SCEs) In present paper, the expressions for surface potential and threshold voltage are derived along with drain current, transconductance and drain conductance. Moreover, this model also predicts the variations of different SCEs like threshold voltage roll off, Drain induced Barrier Lowering (DIBL) and sub threshold swing along the channel length correctly. All analytical results are verified by ATLAS 2D simulator.", "author_names": [ "Priyanka Saha", "Subir Kumar Sarkar" ], "corpus_id": 115366728, "doc_id": "115366728", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Threshold Voltage Modelling of Linearly Graded Binary Metal Alloy Gate Electrode with DP MOSFET", "venue": "", "year": 2020 }, { "abstract": "This paper presents the effect of Gamma Irradiated on threshold voltage, surface mobility and transconductance on N channel MOSFET devices at a gate oxide thickness of 15 nanometers, which is fabricated at Thai Microelectronics Center by 0.8 micron CMOS technology. Then gamma irradiation (Co 60) at a total dose varied from 1 to 10 \\mathbf{kGy} By performing five rounds to observe changes in threshold voltage when the devices were exposed to gamma rays for measurement to extraction threshold Voltage (VTH) into the surface mobility (Uo) from IDSVs VGs curves in the saturation region. As a result, the threshold Voltage reduces at highest dose by approximately 38% compared with conventional N channel MOSFET, for a parameter extraction in the level 3 model for MOSFET SPICE parameters is presented.", "author_names": [ "Amonrat Kerdpradist", "Anucha Ruangphanit", "Wisut Titiroongruang", "Rangson Muanghlua" ], "corpus_id": 155106824, "doc_id": "155106824", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "The Effect of Gamma Irradiation on Threshold Voltage and Channel Mobility Degradation of NMOS", "venue": "2018 International Electrical Engineering Congress (iEECON)", "year": 2018 }, { "abstract": "Threshold voltage is a fundamental parameter for MOSFET device and technology characterization. Multiple threshold voltage extraction methods are compared in this paper with experimental data over a wide temperature range from 40degC to 150degC for 5V and 1.8V NMOS devices in a 180 nm BCD process. Consistent results are found among two linear extrapolation methods from the drain current versus gate voltage transfer characteristics and transition method for MOSFETs biased in the linear region. The difference in temperature coefficients of extracted threshold voltages from different methods are compared and the different trends of scaled drain currents at extracted threshold voltages over temperature are analyzed to reveal the underestimation of threshold voltage temperature coefficients in gm/Id methods.", "author_names": [ "Yu-Hsing Cheng" ], "corpus_id": 174820503, "doc_id": "174820503", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Comparison of MOSFET Threshold Voltage Extraction Methods with Temperature Variation", "venue": "2019 IEEE 32nd International Conference on Microelectronic Test Structures (ICMTS)", "year": 2019 }, { "abstract": "The objective of this paper is to research the impact of electrical and physical parameters that characterize the complementary MOSFET transistors (NMOS and PMOS transistors) in the CMOS inverter for static mode of operation. In addition to this, the paper also aims at exploring the directives that are to be followed during the design phase of the CMOS inverters that enable designers to design the CMOS inverters with the best possible performance, depending on operation conditions. The CMOS inverter designed with the best possible features also enables the designing of the CMOS logic circuits with the best possible performance, according to the operation conditions and designers' requirements.", "author_names": [ "Milaim Zabeli", "Nebi Caka", "Myzafere Limani", "Qamil Kabashi" ], "corpus_id": 116434293, "doc_id": "116434293", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Role of MOSFETs Transconductance Parameters and Threshold Voltage in CMOS Inverter Behavior in DC Mode", "venue": "", "year": 2017 }, { "abstract": "The aim of this paper is to show the influence of the threshold voltage and transconductance parameters that characterize the NMOS transistors on the behavior of NMOS inverters in static and switching conditions of operation, as well as set directive that should be followed during the design phase of NMOS inverters that enable designers to design NMOS inverters with the best possible performance, depending on operation conditions. Designing the NMOS inverters with controlled parameters that characterize NMOS transistors in NMOS inverters also enables designers to design the logic circuits based in NMOS inverters (NMOS logic) with the best possible performance, according to the operation conditions and designers' requirements.", "author_names": [ "Milaim Zabeli", "Nebi Caka", "Myzafere Limani" ], "corpus_id": 35588389, "doc_id": "35588389", "n_citations": 1, "n_key_citations": 0, "score": 1, "title": "Role of the threshold voltage and transconductance parameters of NMOS transistors in NMOS inverter performance for static and switching conditions of operation", "venue": "", "year": 2014 }, { "abstract": "This work presents low voltage high performance design of Fully Balanced Operational Transconductance Amplifier (FBOTA) using Dynamic Threshold Metal Oxide Semiconductor (DTMOS) transistor with adaptive biasing. The primary stage of fully differential OTA and active loads are implemented using DTMOS transistors and simple area efficient NMOS adaptive biasing circuit is used at the reference side of the biasing current mirror to provide higher slew rate with less power dissipation. The proposed circuit operates at 0.5V power supply and offers an average slew rate of 156 V/yS with 0.105mW power dissipation. It provides 83.6 dB dc gain and 39.18 MHz gain bandwidth along with phase margin of 64deg. Its performance has been verified by using Mentor Graphics Eldospice simulation tool with TSMC 0.18 ym CMOS technology.", "author_names": [ "Mihika Mahendra", "Shweta Kumari", "Maneesha Gupta" ], "corpus_id": 216042463, "doc_id": "216042463", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Low Voltage High Performance Fully Balanced Operational Transconductance Amplifier with Improved Slew Rate", "venue": "2020 7th International Conference on Signal Processing and Integrated Networks (SPIN)", "year": 2020 }, { "abstract": "This paper presents a study of the effect of the gate voltage dependent mobility on the threshold voltage extraction in long channel MOSFETs by the transconductance change method and recently proposed transconductance to current ratio change method, using analytical modeling and experimental data obtained on advanced silicon on insulator (SOI) FinFETs and ultrathin body SOI MOSFETs with ultrathin high gate dielectrics. It is shown that, at vanishingly small drain voltage and constant mobility, both methods yield the same values, coinciding with the position of the maximum of the second derivative of the inversion carrier density in respect to the gate voltage. However, such is not the case anymore when considering gate voltage dependence of mobility around threshold. Analytical expressions for the errors in the values obtained by both methods due to mobility variation around threshold are obtained. Based on analytical modeling and experimental data, it is demonstrated that, for the same mobility variation, the resulting error on the extraction caused by the gate voltage dependent mobility is much smaller for the transconductance to current ratio change method than for the transconductance change method.", "author_names": [ "Tamara Rudenko", "Valeriya Kilchytska", "Mohd Khairuddin Md Arshad", "J P Raskin", "Alexei Nazarov", "Denis Flandre" ], "corpus_id": 2315905, "doc_id": "2315905", "n_citations": 45, "n_key_citations": 3, "score": 0, "title": "On the MOSFET Threshold Voltage Extraction by Transconductance and Transconductance to Current Ratio Change Methods: Part I Effect of Gate Voltage Dependent Mobility", "venue": "IEEE Transactions on Electron Devices", "year": 2011 }, { "abstract": "In this paper, we study the effect of the drain voltage on the threshold voltage extraction in long channel MOSFETs by the transconductance change and transconductance to current ratio change methods, using analytical modeling and experimental data obtained on advanced UTB SOI MOSFETs. It is shown that, although these two methods have the same physical background, they feature radically different behaviors with respect to the drain voltage effect. In particular, the transconductance change method yields a threshold voltage value, which regularly increases with drain voltage, and interpretation, as well as analytical expression for this dependence, is provided. In contrast, for the transconductance to current ratio change method, the increase of the extracted threshold voltage value with drain voltage is smaller and rapidly saturates; hence, the threshold voltage extraction is more stable and reliable. Modeling derivations are found to be in excellent agreement with measurements on long channel UTB SOI MOSFETs as well as 2 D simulations.", "author_names": [ "Tamara Rudenko", "Valeriya Kilchytska", "Mohd Khairuddin Md Arshad", "J P Raskin", "Alexei Nazarov", "Denis Flandre" ], "corpus_id": 22506826, "doc_id": "22506826", "n_citations": 37, "n_key_citations": 2, "score": 0, "title": "On the MOSFET Threshold Voltage Extraction by Transconductance and Transconductance to Current Ratio Change Methods: Part II Effect of Drain Voltage", "venue": "IEEE Transactions on Electron Devices", "year": 2011 }, { "abstract": "In this paper, a comparative analysis of nanoscaled triple metal gate (TMG) recessed source/drain (Re S/D) fully depleted silicon on insulator (FD SOI) MOSFET has been presented for the design of the pseudo NMOS inverter in the nanometer regime. For this, firstly, an analytical modeling of threshold voltage has been proposed in order to investigate the short channel immunity of the studied device and also verified against simulation results. In this structure, the novel concept of backchannel inversion has been utilized for the study of device performance. The threshold voltage has been analyzed by varying the parameters of the device like the ratio of metal gate length and the recessed source/drain thickness for TMG Re S/D SOI MOSFET. Drain induced barrier lowering (DIBL) has also been explored in terms of recessed source/drain thickness and the metal gate length ratio to examine short channel effects (SCEs) For the exact estimation of results, the comparison of the existing multimetal gate structures with TMG Re S/D SOI MOSFET has also been taken under study in terms of electrostatic performance, i.e. threshold voltage, subthreshold slope, and on off current ratio. These structures are investigated with the TCAD numerical simulator from Silvaco ATLAS. Furthermore, for the first time, TMG Re S/D FD SOI MOSFET based pseudo NMOS inverter has been designed to observe the device performance at circuit levels. It has been found that the device offers high noise immunity with optimum switching characteristics, and the propagation delay of the studied circuit is recorded as 0.43 ps.", "author_names": [ "A Hari Priya", "Nilesh Anand Srivastava", "Ram Awadh Mishra" ], "corpus_id": 140835870, "doc_id": "140835870", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Design and Analysis of Nanoscaled Recessed S/D SOI MOSFET Based Pseudo NMOS Inverter for Low Power Electronics", "venue": "Journal of Nanotechnology", "year": 2019 }, { "abstract": "Abstract In this paper, using numerical simulations, analytical modeling and experimental data, we validate the applicability of the transconductance to current ratio (gm/ID) derivative (d(gm/ID)/dVG) method for extracting the threshold voltage (VTH) in junctionless (JL) MOSFETs and show its advantages over the commonly used transconductance derivative (or double derivative of drain current) method (dgm/dVGd2ID/dVG2) It is shown that, although both methods are based on the same theoretical VTH criterion, the d(gm/ID)/dVG method is more accurate than the d2ID/dVG2 method due to its lesser sensitivity to the gate voltage dependent mobility and series resistance parasitic effects, being particularly important in JL MOSFETs.", "author_names": [ "Tamara Rudenko", "Alexei Nazarov", "Sylvain Barraud", "Valeria Kilchytska", "Denis Flandre" ], "corpus_id": 214406829, "doc_id": "214406829", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "A method for threshold voltage extraction in junctionless MOSFETs using the derivative of transconductance to current ratio", "venue": "", "year": 2020 } ]
Ag CO2 reduction
[ { "abstract": "Abstract To construct semiconductor based photocatalysts for carbon dioxide (CO2) reduction with high activity and stability remains a long term goal. Herein, we report a ternary Ag Cu2O/ZnO nanorods (NRs) hybrid catalyst with efficient charge carrier separation/transfer and CO2 adsorption capacity, which demonstrates much improved activity in comparison with bare ZnO NRs for photocatalytic CO2 reduction to carbon monoxide (CO) under UV vis light. Mechanistic studies reveal that the deposited Cu2O enhances the CO2 chemisorption on the surface of catalysts and the formation of Z scheme system between Cu2O and ZnO facilitates the photogenerated charge separation. The subsequent assembly of Ag nanoparticles (NPs) onto Cu2O is able to further promote the transfer of electrons due to the \"electron sink\" effect of Ag, which leads to the higher photocatalytic activity. As such, the synergy effect of strong CO2 chemisorption and multiple electrons transfer results in the boosted photocatalytic activity of Ag Cu2O/ZnO NRs for CO2 reduction. In addition, compared with the binary Cu2O/ZnO NRs, the activity of Ag Cu2O/ZnO NRs can be well maintained after multiple cycle reaction. The possible reason is that the deposited Ag can alleviate the self photoreduction of Cu2O by transferring the excess electrons accumulated in the conduction band (CB) of Cu2O, thus preserving the stability of the Ag Cu2O/ZnO NRs photocatalyst.", "author_names": [ "Fengyu Zhang", "Yonggui Li", "Ming-Yu Qi", "Zi-Rong Tang", "Yi-Jun Xu" ], "corpus_id": 209705682, "doc_id": "209705682", "n_citations": 57, "n_key_citations": 0, "score": 1, "title": "Boosting the activity and stability of Ag Cu2O/ZnO nanorods for photocatalytic CO2 reduction", "venue": "", "year": 2020 }, { "abstract": "Abstract Spinel ZnFe2O4 microspheres coupled with Ag/TiO2 nanorods (NRs) to develop Z scheme heterojunction were fabricated using a facile hydrothermal approach and were tested for photocatalytic CO2 conversion to fuels. ZnFe2O4/Ag/TiO2 NRs composite presents remarkably improved CO2 photo activity for CO production, which was 1.49 folds higher than ZnFe2O4/TiO2 NRs and 4.12 times that using pristine ZnFe2O4 microspheres. Similar trends were obtained to produce methane and methanol over composite catalyst. Selective CO and hydrocarbon fuels production was obviously due to double charge transfer approach in indirect Z scheme heterojunction with superior charge carrier separation and high visible light absorption. Interestingly, CO evolution under visible light with ZnFe2O4/Ag/TiO2 NRs was declined by 7.48 folds than using UV light irradiation. This was apparently due to the inappropriate VB position of ZnFe2O4 for stimulating CO2 reduction under visible light irradiation. Comparing morphological effects, coupling TiO2 NRs with Ag/ZnFe2O4, production of CO was 1.40 folds higher than using TiO2 NPs with Ag/ZnFe2O4 composite due to 1D TiO2 NRs and ZnF2O4 were beneficial for promoting charge carrier separation. This work provides a new approach for preparing ZnFe2O4 based structured Z scheme hetero junction for stimulating photocatalytic conversion of CO2 to selective fuels and would be promising for energy application.", "author_names": [ "Muhammad Tahir" ], "corpus_id": 213655793, "doc_id": "213655793", "n_citations": 28, "n_key_citations": 1, "score": 1, "title": "Well designed ZnFe2O4/Ag/TiO2 nanorods heterojunction with Ag as electron mediator for photocatalytic CO2 reduction to fuels under UV/visible light", "venue": "", "year": 2020 }, { "abstract": "Abstract Graphitic carbon nitride (g C3N4) usually exhibits weak photocatalytic activity for CO2 reduction and pollutant degradation. Herein, the visible light activities of g C3N4 nanosheets are successfully improved by co modifying SnO2 and Ag, with ~10 time and ~8 time improvement respectively for CO2 conversion and 2,4 dichlorophenol (2,4 DCP) degradation as compared to the pure g C3N4. Mainly based on the transient state surface photovoltage responses, transient state photoluminescence spectra and electrochemical analyses, it is confirmed that the improved photoactivities are attributed to the synergistic effect of the prolonged charge lifetime and the provided catalytic function by modifying SnO2 and Ag, respectively. In addition, the synergistic effect is also feasible by replacing Ag with Au. This work will provide an effective strategy for designing high activity g C3N4 based photocatalysts.", "author_names": [ "Wajid Ali", "Xuliang Zhang", "Xinxin Zhang", "Sharafat Ali", "Lina Zhao", "Shabana Shaheen", "Liqiang Jing" ], "corpus_id": 208710326, "doc_id": "208710326", "n_citations": 20, "n_key_citations": 0, "score": 1, "title": "Improved visible light activities of g C3N4 nanosheets by co modifying nano sized SnO2 and Ag for CO2 reduction and 2,4 dichlorophenol degradation", "venue": "", "year": 2020 }, { "abstract": "Understanding the structural and compositional sensitivities of the electrochemical CO2 reduction reaction (CO2RR) is fundamentally important for developing highly efficient and selective electrocatalysts. Here, we use Ag/Cu nanocrystals to uncover the key role played by the Ag/Cu interface in promoting CO2RR. Nanodimers including the two constituent metals as segregated domains sharing a tunable interface are obtained by developing a seeded growth synthesis, wherein preformed Ag nanoparticles are used as nucleation seeds for the Cu domain. We find that the type of metal precursor and the strength of the reducing agent play a key role in achieving the desired chemical and structural control. We show that tandem catalysis and electronic effects, both enabled by the addition of Ag to Cu in the form of segregated nanodomain within the same catalyst, synergistically account for an enhancement in the Faradaic efficiency for C2H4 by 3.4 fold and in the partial current density for CO2 reduction by 2 fold compared with the pure Cu counterpart. The insights gained from this work may be beneficial for designing efficient multicomponent catalysts for electrochemical CO2 reduction.", "author_names": [ "Jianfeng Huang", "M Driss Mensi", "Emad Oveisi", "Valeria Mantella", "Raffaella Buonsanti" ], "corpus_id": 58614871, "doc_id": "58614871", "n_citations": 127, "n_key_citations": 1, "score": 1, "title": "Structural Sensitivities in Bimetallic Catalysts for Electrochemical CO2 Reduction Revealed by Ag Cu Nanodimers.", "venue": "Journal of the American Chemical Society", "year": 2019 }, { "abstract": "Abstract The electrocatalytic reduction of carbon dioxide (CO2) is a promising way to reduce CO2 and to produce valuable products. However, CO2 reduction still have challenges, such as, low catalytic activity, selectivity, and stability of catalysts. In the present study, we prepared Ag nanolayers (2, 5, and 10 nm) sputtered on polycrystalline Zn (AgZn) catalysts by a physical vapor deposition for the electrochemical CO2 reduction to carbon monoxide (CO) Among them, a 2 nm Ag layer deposited catalyst on Zn showed the faradaic efficiency of 84.2% with a CO partial current density of 2.97 mA cm 2 at 1.0 V vs. RHE. Such enhanced electrochemical reduction activity, selectivity, and stability were attributed to the synergetic effect between Ag and Zn, which was confirmed by X ray photoelectron spectroscopy (XPS) data. The results indicate that the proposed catalyst can provide one of efficient ways for the CO2 reduction reaction.", "author_names": [ "Wen Guo", "Kyu-Seung Shim", "Yong-Tae Kim" ], "corpus_id": 219442335, "doc_id": "219442335", "n_citations": 9, "n_key_citations": 0, "score": 1, "title": "Ag layer deposited on Zn by physical vapor deposition with enhanced CO selectivity for electrochemical CO2 reduction", "venue": "", "year": 2020 }, { "abstract": "Silver nanostructures with hierarchical porosities of multiple length scales have been synthesized through electrochemical reduction of silver benzenethiolate nanoboxes. The porous Ag nanostructures exhibit superior catalytic performance towards electrochemical reduction of CO2. The Faradaic efficiency of reducing CO2 to CO can be close to 100% at high cathodic potentials, benefiting from the re adsorbed benzenethiolate ions on Ag surface that can suppress hydrogen evolution reaction (HER) Density functional theory calculations using SCAN functional reveal that the disfavored H binding on the benzenethiolate modified Ag surface is responsible for inhibiting HER. The mass specific activity of CO2 reduction can be over 500 A/g because the multiple scale porosities maximize the diffusion of reactive species to and away from the Ag surface. The unique multiscale porosities and surface modification of the as synthesized Ag nanostructures make them a class of promising catalysts for electrochemical reduction of CO2 in protic electrolytes to achieve maximum activity and selectivity.", "author_names": [ "Sasitha C Abeyweera", "Jie Yu", "John P Perdew", "Qimin Yan", "Yugang Sun" ], "corpus_id": 214600141, "doc_id": "214600141", "n_citations": 16, "n_key_citations": 0, "score": 0, "title": "Hierarchically 3D Porous Ag Nanostructures Derived from Silver Benzenethiolate Nanoboxes: Enabling CO2 Reduction with a Near Unity Selectivity and Mass Specific Current Density over 500 A/g.", "venue": "Nano letters", "year": 2020 }, { "abstract": "Abstract The electrochemical conversion of waste carbon dioxide into hydrocarbon fuels represent a promising strategy for clean and sustainable energy production. However, the design of outstanding electrocatalysts that can reduce CO2 in an efficient and selective manner is challenging, and the fundamental understanding on reaction mechanism is still limited. Herein, we report the preparation of self supported Ag Cu bimetallic catalysts with bicontinuous nanoporous geometries and adjustable compositions through an electrochemical anodizing/dealloying process of Ag52Cu39Sn9 alloy foil to exploit their performances in electrocatalytic CO2 reduction. By changing the compositions from Ag91Cu9 to Ag65Cu35, the variations in atomic arrangement and electronic structure around the active sites bring synergistic effects on the binding strength of different reaction intermediates, realizing tunable product selectivity from CO to formate at high Faradaic efficiencies. In situ Raman analysis and density functional theory calculations confirm that as the Ag Cu atomic ratio shifts, the variations of formation free energies and desorption capacities of intermediates lead to different reaction pathways and final products. The findings in this study provide a promising route to modulate the atomic structures and improve the properties of electrocatalysts towards CO2 fixation.", "author_names": [ "Wenjun Zhang", "Chenhong Xu", "Y Hu", "Songyuan Yang", "Lianbo Ma", "L Wang", "Peiyang Zhao", "Caixing Wang", "Jing Ma", "Zhong Jin" ], "corpus_id": 218788573, "doc_id": "218788573", "n_citations": 15, "n_key_citations": 0, "score": 0, "title": "Electronic and geometric structure engineering of bicontinuous porous Ag Cu nanoarchitectures for realizing selectivity tunable electrochemical CO2 reduction", "venue": "", "year": 2020 }, { "abstract": "Abstract It is significant to enhance the charge separation and extend the visible light range for the TS 1 molecular sieve based photocatalysts to improve the photocatalytic performance for CO2 reduction reaction (CO2RR) Here, dimension matched 2D/2D hydroxylated g C3N4/TS 1 (hCN/TS 1) nanocomposites have been successfully fabricated and further modified by nanosized Ag by the photoreduction method. The optimum Ag modified hCN/TS 1 exhibits 7 time CO2 conversion than that of 2D TS 1. Based on the surface photovoltage responses, monochromatic photocurrent action spectra and electrochemical reduction curves, it is confirmed that the exceptional photoactivity is attributed to the greatly enhanced charge separation via the Z scheme mode between hCN and TS 1 at intimately connected interfaces, extended visible light absorption endowed by hCN, and Ag nanoparticles as the effective electron capturers with favorable catalytic function for activating CO2 molecules. This work showcases an effective and feasible design strategy to fabricate highly effective TS 1 molecular sieve based photocatalysts for practical application.", "author_names": [ "Wensen Li" ], "corpus_id": 219433906, "doc_id": "219433906", "n_citations": 10, "n_key_citations": 0, "score": 0, "title": "Synthesis of nanosized Ag modified 2D/2D hydroxylated g C3N4/TS 1 Z scheme nanocomposites for efficient photocatalytic CO2 reduction", "venue": "", "year": 2020 }, { "abstract": "Abstract Artificial photosynthesis from CO2 reduction to methane is severely hampered by the kinetically challenging eight electron transfer process. Accumulated electrons has been demonstrated can decrease this kinetic barrier. However, charge accumulation were mainly reported in several homogenous systems because of its difficulties in heterogenous systems. Here we identify that highly accumulated electrons exist in Au loaded ultrathin MoS2 under light irradiation, resulting in a superior performance of CO2 reduction to methane. The selectivity for methane is up to 80 with an average production rate of about 19.38 mmolg 1 h 1 in pure water. Further detailed studies reveal that plasmon excited hot electrons transfer from Au to charged excitons in ultrathin MoS2 promotes electron accumulation and multi electron CO2 reduction kinetics for methane generation. This is further supported by the CO2 reduction performance of Ag MoS2. Along with the vanished accumulated electrons, CO is the main product with a selectivity of 98", "author_names": [ "Songmei Sun", "Qi An", "Motonori Watanabe", "Ju-Hsiang Cheng", "Hack Ho Kim", "Taner Akbay", "Atsushi Takagaki", "Tatsumi Ishihara" ], "corpus_id": 216209280, "doc_id": "216209280", "n_citations": 9, "n_key_citations": 0, "score": 0, "title": "Highly correlation of CO2 reduction selectivity and surface electron Accumulation: A case study of Au MoS2 and Ag MoS2 catalyst", "venue": "", "year": 2020 }, { "abstract": "The design and preparation of novel photocatalysts to enhance photocatalytic activity and selectivity for CO2 reduction is highly important both theoretically and practically. Herein, we develop an electrospinning and wet impregnation method to obtain an Ag and MgO co modified TiO2 nanofibrous mat. Ag nanoparticles (NPs) as electron traps can effectively separate electron hole pairs and reduce their recombination in TiO2 nanofibres due to the formation of Schottky barriers. Accumulation of photogenerated electrons in the Ag NPs can enhance the formation of CH4. The obtained Ag MgO TiO2 composite mat exhibits extended visible light absorption owing to the surface plasmon resonance effect of the Ag NPs. Deposition of MgO NPs, as basic sites, could facilitate the adsorption of CO2 molecules. The synergetic effects of Ag and MgO as a bi cocatalyst contribute to enhanced photocatalytic activity and CH4 selectivity for CO2 reduction. Isotope (13C) tracer experiments confirm that the products are produced from photocatalytic reduction of the CO2 source instead of organic contaminants. This report highlights the importance of the synergistic effect of Ag and MgO NPs on enhancing the photocatalytic activity and selectivity of TiO2 based materials.", "author_names": [ "Feiyan Xu", "Kai Meng", "Bei Cheng", "Jiaguo Yu", "Wingkei Ho" ], "corpus_id": 105462217, "doc_id": "105462217", "n_citations": 47, "n_key_citations": 0, "score": 0, "title": "Enhanced Photocatalytic Activity and Selectivity for CO2 Reduction over a TiO2 Nanofibre Mat Using Ag and MgO as Bi Cocatalyst", "venue": "", "year": 2019 } ]
admitance trap SiC
[ { "abstract": "The temperature and carrier trapping effects on the electrical characteristics of a 4H silicon carbide (4H SiC) metal oxide semiconductor field effect transistor (MOSFET) dimensioned for a low breakdown voltage (BVDS) are investigated. Firstly, the impact of the temperature is evaluated referring to a fresh device (defects free) In particular, the threshold voltage (Vth) channel mobility (uch) and on state resistance (RON) are calculated in the temperature range of 300 K to 500 K starting from the device current voltage characteristics. A defective MOSFET is then considered. A combined model of defect energy levels inside the 4H SiC bandgap (deep and tail centers) and oxide fixed traps is taken into account referring to literature data. The simulation results show that the SiO2/4H SiC interface traps act to increase RON, reduce uch, and increase the sensitivity of Vth with temperature. In more detail, the deep level traps in the mid gap have a limited effect in determining RON once the tail traps contributions have been introduced. Also, for gate biases greater than about 2Vth (i.e. VGS 12 V) the increase of mobile carriers in the inversion layer leads to an increased screening of traps which enhances the MOSFET output current limiting the RON increase in particular at low temperatures. Finally, a high oxide fixed trap density meaningfully influences Vth (negative shifting) and penalizes the device drain current over the whole explored voltage range.", "author_names": [ "Hichem Bencherif", "Lakhdar Dehimi", "Fortunato Pezzimenti", "Francesco Giuseppe Della Corte" ], "corpus_id": 131897352, "doc_id": "131897352", "n_citations": 25, "n_key_citations": 0, "score": 0, "title": "Temperature and SiO2/4H SiC interface trap effects on the electrical characteristics of low breakdown voltage MOSFETs", "venue": "Applied Physics A", "year": 2019 }, { "abstract": "The impact of crystal faces of 4H SiC in SiO2/4H SiC structures on interface trap densities and mobilities were examined by a method that utilizes Hall effect measurements and split capacitance voltage measurements to clarify the mechanism of high field effect mobilities in SiO2/4H SiC and The characterization results show that high field effect mobilities in nitrided SiO2/4H SiC and are caused by both lower interface trap densities near the conduction band edge and higher Hall mobilities compared to those in nitrided SiO2/4H SiC (0001) and", "author_names": [ "Tetsuo Hatakeyama", "Takeyoshi Masuda", "Mitsuru Sometani", "Shinsuke Harada", "Dai Okamoto", "Hiroshi Yano", "Yoshiyuki Yonezawa", "Hajime Okumura" ], "corpus_id": 104317618, "doc_id": "104317618", "n_citations": 6, "n_key_citations": 0, "score": 0, "title": "Impact of crystal faces of 4H SiC in SiO2/4H SiC structures on interface trap densities and mobilities", "venue": "", "year": 2019 }, { "abstract": "We report the physical and electrical characterization of the inversion layer carrier and the shallow interface trap sites with n and p channel SiC MOSFET in terms of high temperature electronics. This work proposes a physical model that explains the difference between Id Vg measurement result and calculation result supposing the ideal condition with Pao and Sah double ideal in room temperature. The measurement at 500degC confirmed our model so that inversion carrier were thermally excided, they could not be easily trapped by shallow trap sites, and Id Vg measurement result approached the ideal condition.", "author_names": [ "Shintaro Sato", "Masahiro Masunaga", "Yuki Mori", "Nobuyuki Sugii", "Akio Shima" ], "corpus_id": 200057927, "doc_id": "200057927", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Impact of Interface Trap Density of SiC MOSFET in High Temperature Environment", "venue": "Materials Science Forum", "year": 2019 }, { "abstract": "We present preliminary results on minority carrier traps in as grown n type 4H SiC Schottky barrier diodes. The minority carrier traps are crucial for charge trapping and recombination processes. In this study, minority carrier traps were investigated by means of minority carrier transient spectroscopy (MCTS) and high resolution Laplace MCTS measurements. A single minority carrier trap with its energy level position at Ev 0.28 eV was detected and assigned to boron related defects.", "author_names": [ "Ivana Capan", "Yuichi Yamazaki", "Yuya Oki", "Tomislav Brodar", "Takahiro Makino", "Takeshi Ohshima" ], "corpus_id": 198389447, "doc_id": "198389447", "n_citations": 3, "n_key_citations": 0, "score": 1, "title": "Minority Carrier Trap in n Type 4H SiC Schottky Barrier Diodes", "venue": "Crystals", "year": 2019 }, { "abstract": "In this paper, the results of electrical reliability measurements of commercially available 1200 V Silicon Carbide (SiC) MOSFETs are reported. The threshold voltage shift caused by interface states and the trapped charges near the SiC/SiO2 interface is observed under positive and negative DC bias stress over 50 hours. Threshold voltage reduction with temperature is also reported for devices from different vendors. Negative shift of subthreshold characteristics under the negative bias stress and high drain bias at elevated temperature indicates that the threshold voltage of the devices should be increased by at least 1 2 V. Extracted interface state density using subthreshold $I V$ curves indicates very different SiC/SiO2 interface for devices from different vendors.", "author_names": [ "Susanna Yu", "Minseok Kang", "Tianshi Liu", "Diang Xing", "Arash Salemi", "Marvin H White", "Anant K Agarwal" ], "corpus_id": 211227259, "doc_id": "211227259", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "Bias Induced Threshold Voltage Instability and Interface Trap Density Extraction of 4H SiC MOSFETs", "venue": "2019 IEEE 7th Workshop on Wide Bandgap Power Devices and Applications (WiPDA)", "year": 2019 }, { "abstract": "SPICE modeling of silicon carbide (SiC) MOSFET considering the influence of interface traps has been carried out, which is able to describe the characteristics of the MOS transistors in all operation modes at different interface trap densities and measurement temperatures. This modeling employs the SPICE level 1 model of MOSFET, but the constant mobility in the piecewise current equations has been replaced by the advanced mobility expression, which can exactly reflect the effect of SiC/SiO2 interface traps on the electrical characteristics of SiC MOSFET. Key parameters in the advanced mobility model are obtained according to charge sheet model (CSM) of MOS system. The static characteristics of the developed SiC MOSFET model have been validated with the production Datasheet, and the dynamic characteristics have been experimentally verified in Boost converter. Based on the developed model, the effect of SiC/SiO2 interface trap densities on the switching performances of SiC MOSFET has been quantitatively discussed, and reasonable gate driving voltage of SiC MOSFET with different interface trap densities has been revealed.", "author_names": [ "Yuming Zhou", "Hangzhi Liu", "Tingting Yang", "Bing Wang" ], "corpus_id": 56272699, "doc_id": "56272699", "n_citations": 7, "n_key_citations": 1, "score": 0, "title": "SPICE modeling of SiC MOSFET considering interface trap influence", "venue": "", "year": 2018 }, { "abstract": "The reverse bias current voltage characteristics of commercial 1200 V 4H silicon carbide junction barrier Schottky (SiC JBS) rectifiers are studied both experimentally and through numerical simulation. The reverse leakage current measured from physical devices is observed to display both a strong temperature and field dependence. A model is presented to explain the observed behavior based on a combination of trap assisted tunneling and a thermionic emission mechanism through a potential barrier located at the metal SiC interface. The study shows that a two level trapping model can be necessary to properly explain the measured data. Excellent agreement between the models and the measurements is obtained over a wide range of bias and temperature.", "author_names": [ "G Dolny", "Yang Sheng", "Yue Fu", "Simon C Li", "Rahul Radhakrishnan", "Richard L Woodin" ], "corpus_id": 117148643, "doc_id": "117148643", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Multi Level Trap Assisted Tunneling Model for the Field and Temperature Dependence of SiC JBS Reverse Leakage Current", "venue": "", "year": 2018 }, { "abstract": "The current voltage characteristics of a 4H SiC MOSFET dimensioned for a breakdown voltage of 650 V are investigated by means of a numerical simulation study that takes into account the defect state distribution at the oxide semiconductor interface in the channel region. The modelling analysis reveals that, for these low voltage devices, the channel resistance component plays a key role in determining the MOSFET specific ON state resistance (RON) under different voltage biases and temperatures. The RON value is in the order of a few mOxcm2.", "author_names": [ "Giuseppe de Martino", "Fortunato Pezzimenti", "Francesco G Della Corte" ], "corpus_id": 53950834, "doc_id": "53950834", "n_citations": 8, "n_key_citations": 0, "score": 0, "title": "Interface Trap Effects in the Design of a 4H SiC MOSFET for Low Voltage Applications", "venue": "2018 International Semiconductor Conference (CAS)", "year": 2018 }, { "abstract": "We report results on the interface trap density (Dit) of 4H and 6H SiC metal oxide semiconductor (MOS) capacitors with different interface chemistries. In addition to pure dry oxidation, we studied interfaces formed by annealing thermal oxides in NO or POCl3. The Dit profiles, determined by the C pss method, show that, although the as oxidized 4H SiC/SiO2 interface has a much higher Dit profile than 6H SiC/SiO2, after postoxidation annealing (POA) both polytypes maintain comparable Dit near the conduction band edge for the gate oxides incorporated with nitrogen or phosphorus. Unlike most conventional C V or G o based methods, the C pss method is not limited by the maximum probe frequency, therefore taking into account the \"fast traps\" detected in previous work on 4H SiC. The results indicate that such fast traps exist near the band edge of 6H SiC also. For both polytypes, we show that the total interface trap density (Nit) integrated from the C pss method is several times that obtained from the high low method. The results suggest that the detected fast traps have a detrimental effect on electron transport in metal oxide semiconductor field effect transistor (MOSFET) channels.", "author_names": [ "C Jiao", "Ayayi C Ahyi", "Sarit Dhar", "Dallas T Morisette", "Rachael L Myers-Ward" ], "corpus_id": 99847795, "doc_id": "99847795", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "Interface Trap Profiles in 4H and 6H SiC MOS Capacitors with Nitrogen and Phosphorus Doped Gate Oxides", "venue": "Journal of Electronic Materials", "year": 2017 }, { "abstract": "The paper aims at clarifying the carrier trapping influence on the electrical characteristics of silicon carbide (SiC) power MOSFETs and its inclusion in the simulation of SiC power mosfet based circuits. Special focus is given on the degradation of the switching characteristics due to carrier trapping at SiC/SiO2 interface defects. A compact SiC power mosfet model, considering the trap density in the framework of a complete surface potential description, has been developed by for accurate circuit simulation including power loss prediction. The carrier trapping is verified to cause a switching delay, which results in switching loss increase. To achieve low power loss, trap density reduction is shown to be vital. The maximum allowable trap density, which does not affect switching power loss, is discussed.", "author_names": [ "Yuta Tanimoto", "Atsushi Saito", "Kai Matsuura", "Hideyuki Kikuchihara", "Hans Jurgen Mattausch", "Mitiko Miura-Mattausch", "Noriaki Kawamoto" ], "corpus_id": 13436960, "doc_id": "13436960", "n_citations": 38, "n_key_citations": 2, "score": 0, "title": "Power Loss Prediction of High Voltage SiC mosfet Circuits With Compact Model Including Carrier Trap Influences", "venue": "IEEE Transactions on Power Electronics", "year": 2016 } ]
strain-optic Two dimensional material
[ { "abstract": "A two dimensional (2D) material, the holey 2D C2N (h2D C2N) crystal, has recently been synthesized. Here, we investigate the strain effects on the properties of this material by first principles calculations. We show that the material is quite soft with a small stiffness constant and can sustain large strains =12% It remains a direct gap semiconductor under strain, and the bandgap size can be tuned in a wide range as large as 1 eV. Interestingly, for biaxial strain, a band crossing effect occurs at the valence band maximum close to a 8% strain, leading to a dramatic increase of the hole effective mass. Strong optical absorption can be achieved by strain tuning with absorption coefficient ~106 cm 1 covering a wide spectrum. Our findings suggest the great potential of strain engineered h2D C2N in electronic and optoelectronic device applications.", "author_names": [ "Shan Guan", "Yingchun Cheng", "Chang Liu", "Junfeng Han", "Yunhao Lu", "Shengyuan A Yang", "Yugui Yao" ], "corpus_id": 123274062, "doc_id": "123274062", "n_citations": 94, "n_key_citations": 0, "score": 1, "title": "Effects of strain on electronic and optic properties of holey two dimensional C2N crystals", "venue": "", "year": 2015 }, { "abstract": "We report a previously unknown monolayer borophene allotrope and we call it super B with a flat structure based on the ab initio calculations. It has good thermal, dynamical, and mechanical stability compared with many other typical borophenes. We find that super B has a fascinating chemical bond environment consisting of standard sp, sp2 hybridizations and delocalized five center three electron p bond, called p(5c 3e) This particular electronic structure plays a pivotal role in stabilizing the super B chemically. By extra doping, super B can be transformed into a Dirac material from pristine metal. Like graphene, it can also sustain tensile strain smaller than 24% indicating superior flexibility. Moreover, due to the small atomic mass and large density of states at the Fermi level, super B has the highest critical temperature Tc of 20.8 K in single element superconductors at ambient condition. We attribute this high Tc of super B to the giant anharmonicity of two linear acoustic phonon branches and an unusually low optic phonon mode. These predictions provide new insight into the chemical nature of low dimensional boron nanostructures and highlight the potential applications of designing flexible devices and high Tc superconductor.", "author_names": [ "Zhibin Gao", "Mengyang Li", "Jian-Sheng Wang" ], "corpus_id": 208138935, "doc_id": "208138935", "n_citations": 3, "n_key_citations": 0, "score": 1, "title": "Insight into Two Dimensional Borophene: Five Center Bond and Phonon Mediated Superconductivity.", "venue": "ACS applied materials interfaces", "year": 2019 }, { "abstract": "Extrinsic Fabry Perot interferometer fibre optic sensors have been utilised to measure the internal matrix strain in a three dimensionally woven carbon fibre reinforced polymer composite. Extrinsic Fabry Perot interferometer sensors were embedded at two levels within a four layer three dimensional woven composite, and comparison surface measurements were provided through resistive strain gauging and biaxial extensometry. A variation in tensile strain was found from internal measurement compared with the material surface. Through correlation with micro graphical analysis, a link between the variations in mechanical performance and localised variations in fibre volume fraction could be established. Furthermore, the impact performance of the composite was measured and correlated with computed tomography.", "author_names": [ "Edward Archer", "J Broderick", "Saul Buchanan", "Alistair Mcilhagger" ], "corpus_id": 136008136, "doc_id": "136008136", "n_citations": 6, "n_key_citations": 0, "score": 0, "title": "Internal strain measurement and impact response of three dimensional angle interlock woven carbon fibre composites", "venue": "", "year": 2013 }, { "abstract": "Graphene as a two dimensional planar material has numerous advantages for realizing highperformance nano electromechanical systems (NEMS) such as nanoscale sensors including strain sensors, optical modulators or energy harvesters. Large Young's modulus (1 TPa for single layer graphene) ultra low weight, low residual stress and large breaking strength properties are important properties as two dimensional (2D) ultrathin resonators. Graphene resonators are recently utilized for low complexity design of nanoscale acousto optic sensors based on a novel theoretical model describing vibrating Forster resonance energy transfer (VFRET) mechanism. Proposed system combines the advantages of graphene with quantum dots (QDs) as donor and acceptor pairs with broad absorption spectrum, large cross sections, tunable emission spectra, size dependent emission wavelength, high photochemical stability and improved quantum yield. Device structure supporting wide band resonance frequencies including acoustic and ultrasound ranges promises high performance applications for challenging environments. Remote sensors and acousto optic communication channels are formed for in body applications, wireless body area sensor networks (WBASNs) space and interplanetary systems, microfluidics and visible light communication (VLC) based architectures.", "author_names": [ "Burhan Gulbahar", "Gorkem Memisoglu" ], "corpus_id": 106406338, "doc_id": "106406338", "n_citations": 5, "n_key_citations": 1, "score": 0, "title": "Graphene Based Acousto Optic Sensors with Vibrating Resonance Energy Transfer and Applications", "venue": "", "year": 2018 }, { "abstract": "A planar fiber optic strain sensor array (FOSSA) able to measure multi dimensional strains inside a material has been developed and tested. Three dimensional strain measurement is a developing technology that can eventually be employed for many applications including monitoring the strain field inside composite parts and structures, sensing for adaptive structures and intelligent vehicle highway systems and health monitoring systems for civil structures. A planar configuration was chosen to reduce the manufacturing difficulty and structural degradation of embedding optical sensors in more than one plane. Two extrinsic Fabry Perot interferometric sensors (EFPIs) and one polarimetric sensor were used to form the planar sensor array. The two EFPIs extract two normal strain components along the x and y axes. A polarimetric sensor in the same plane was used to extract the third normal strain acting on the z axis. The sensor array was embedded in an epoxy resin block and a load of 1000 lbs was applied normal to one face with a loading machine. The strains extracted from the embedded optical fiber sensors compared well with strains measured with surface bonded electrical strain gages. The difference in measured strain between the electrical strain gages and the fiber optic sensors was typically less than 3.4% on all three principal strain axes.", "author_names": [ "Barry G Grossmann", "Li-Tien Huang" ], "corpus_id": 111205553, "doc_id": "111205553", "n_citations": 22, "n_key_citations": 0, "score": 0, "title": "Fiber optic sensor array for multi dimensional strain measurement", "venue": "", "year": 1998 }, { "abstract": "Analytic expressions for low field mobility have been obtained in the high strained p type silicon structures with three and two dimensional hole gases. Much attention is paid to study how confinement in one spatial dimension changes the strain mobility enhancement in comparison with bulk material. The mobility enhancement factor has been calculated when applying both the uniaxial and biaxial strains. Acoustic and optic phonons, charged impurities, and surface roughness have been accepted as a scattering system. Our theoretical consideration is based on the quantum kinetic equation and a special form of the non equilibrium distribution function (shifted Fermi distribution) Results of the calculation are compared with known experimental data.Analytic expressions for low field mobility have been obtained in the high strained p type silicon structures with three and two dimensional hole gases. Much attention is paid to study how confinement in one spatial dimension changes the strain mobility enhancement in comparison with bulk material. The mobility enhancement factor has been calculated when applying both the uniaxial and biaxial strains. Acoustic and optic phonons, charged impurities, and surface roughness have been accepted as a scattering system. Our theoretical consideration is based on the quantum kinetic equation and a special form of the non equilibrium distribution function (shifted Fermi distribution) Results of the calculation are compared with known experimental data.", "author_names": [ "K L Kovalenko", "S I Kozlovskiy", "N N Sharan" ], "corpus_id": 125728681, "doc_id": "125728681", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Strain induced mobility enhancement in p type silicon structures: Bulk and quantum well (quantum kinetic approach)", "venue": "Journal of Applied Physics", "year": 2019 }, { "abstract": "Abstract The development of Fiber Optic Smart Structure Technology offers the promise ofundertaking \"real time\" structural measurements with built4n sensor systems. This new technology could avoid many of the mechanical failures that today result indeath, injury or environmental accidents. Eventually it could lead to radical new thinking in terms of engineering and structural integrity monitoring. An overview of this new field will be given with particular reference to our development and characterization of a number of fiber optic sensors for use as optical strain gauges. This includes: the development and testing of a fiber optic strain rosette for mapping two dimensional, strain fields; the measurements of strain fields withincomposites, and the demonstration of damage detection within composites by means of embedded optical fiber sensors. The first results of impact damage detection by a multilayered fiber optic grid fabricated within an aircraft wing leadingedge constructed of composite material, will also be revealed.", "author_names": [ "Raymond M Measures" ], "corpus_id": 136136985, "doc_id": "136136985", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Fiber Optic Smart Structures th:ii:.t itthc", "venue": "", "year": 2017 }, { "abstract": "This paper discusses a new sensor design based on optical fibre Bragg gratings which is being developed in the framework of the MASSFOS project 'Multi Axial Stress and Strain sensing of thermo hardened composite elements using Fibre Optic Sensors' project) The main technical objective of this ESA co funded project is the development of a monitoring system which measures dynamically the multi axial stress and strain in thermo hardened composite elements (made of space qualified material) The sensor consists of a 'High Birefringence fibre (HiBi fibre) in which two gratings are inscribed; each grating yields two distinct Bragg peaks. The first grating is sensitive to the total stress field in the material, while the second one is isolated from transverse stress components. By measuring the four Bragg peaks of the sensor, it is possible to determine the total strain field, plus the temperature inside a composite material. Theoretical simulations have indicated a good strain resolution of the proposed design. Experimental calibration of the sensor gave similar results.", "author_names": [ "Geert Luyckx", "Wim De Waele", "Joris Degrieck", "Wim Van Paepegem", "Johan Vlekken", "S Vandamme", "Karima Chah" ], "corpus_id": 138684915, "doc_id": "138684915", "n_citations": 20, "n_key_citations": 2, "score": 0, "title": "Three dimensional strain and temperature monitoring of composite laminates", "venue": "", "year": 2007 }, { "abstract": "An analytical model is developed for the arc fusion splicing of high silica fiber optic filaments. A one dimensional transient heat transfer physical model is developed from energy balance considerations to determine the temperature distribution of the fiber. Electric discharge heating is modeled empirically. Physical properties of the silica fiber optic material are modeled as functions of temperature. A two dimensional physical model of viscoelastic glass flow is developed from force balance considerations and includes deformation due to thermal, viscous and elastic strain. Maxwell stress strain relationships are assumed. Finite difference numerical algorithms are derived for an Lagrangian viewpoint. Simultaneous equations for heat transfer are solved implicitly in a tri diagonal matrix routine. Simultaneous equations for viscoelastic flow are solved by back substitution techniques that account for boundary condition transitions upon gap closure. Experiments were conducted using a commercial arc fusion splicer to provide experimental verification of the analytical model. Evolution of the fiber distortion was photographically recorded for repeated heating cycles of a continuous element and a free end respectively. The geometric distortion of the element with time was compared to predictions obtained with the analytical model.", "author_names": [ "Christopher W Long", "Walter Frost" ], "corpus_id": 136746666, "doc_id": "136746666", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Modeling of glass flow during arc fusion splicing of fiber optic filaments", "venue": "", "year": 1989 }, { "abstract": "Fiber optic grating sensors have been used to measure multi dimensional strain, pressure, temperature, corrosion and moisture. This paper presents a method of using fiber grating sensors to measure the position and velocity of a very fast event associated with a blast wave. A chirped fiber grating of 50 mm length is placed in a highly energetic material. The action of the shock wave is to destroy the fiber grating as it propagates along it. By using a spectral filter such as a chirped fiber grating in combination with high speed detectors the position and velocity of the shock wave may be determined. A layout of a system used to experimentally verify this technique is described and results presented for two different highly energetic materials.", "author_names": [ "Eric Udd", "Jerry Benterou" ], "corpus_id": 55701096, "doc_id": "55701096", "n_citations": 13, "n_key_citations": 0, "score": 0, "title": "Damage detection system with sub microsecond resolution", "venue": "SPIE Smart Structures and Materials Nondestructive Evaluation and Health Monitoring", "year": 2008 } ]
Plasmonic coupling at a metal/ semiconductor interface
[ { "abstract": "Integrating plasmonic nanoparticles with semiconductor substrates introduces strong optical resonances that extend and enhance the spectrum of photocatalytic and photovoltaic activity. The effect of plasmonic resonances has been variously attributed to the field nanoconfinement, plasmon exciton coupling, hot electron transfer, and so on, based on action spectra of enhanced photoactivity. It remains unclear, however, whether energized carriers in the substrate are generated by the transfer of plasmonically generated hot electrons from the metal, as broadly believed, or directly by dephasing of the plasmonic field at the interface. Here, we demonstrate the importance of the direct plasmonic coupling across the chemical interface for hot electron generation at a prototypical Ag nanocluster/TiO2 heterojunction by direct probing of the coherence and hot electron dynamics with two photon photoemission spectroscopy. Energy, time and material distributions of excitations in the Ag nanocluster/TiO2 heterojunction indicate that dielectric coupling with the substrate renormalizes the plasmon resonance of the Ag nanoparticle, and its dephasing directly generates hot electrons in TiO2 on a <10 fs timescale.The role of direct plasmonic coupling in hot electron generation at Ag/TiO2 interfaces is clarified by two photon photoemission spectroscopy.", "author_names": [ "Shijing Tan", "Adam Argondizzo", "Jindong Ren", "Liming Liu", "Jin Zhao", "Hrvoje Petek" ], "corpus_id": 125592128, "doc_id": "125592128", "n_citations": 124, "n_key_citations": 1, "score": 1, "title": "Plasmonic coupling at a metal/semiconductor interface", "venue": "", "year": 2017 }, { "abstract": "In this work, we prepared ultrathin MoS2 nanosheets with exposed active edge sites and high electric conductivity that can sufficiently absorb light in the visible region to enable solar energy conversion. The gold nanocrystal decorated MoS2 nanosheets facilitate sufficiently enhanced photoelectrochemical water splitting in the UV visible region. Different Au nanostructures, such as Au nanoparticles and nanorods, were modified on the surface of MoS2 nanosheets to promote photoelectrochemical water decomposition. By spin coating a synthetic gold modified MoS2 hybrid photoanode on a FTO substrate, the efficiency of photoelectrochemical water oxidation was significantly enhanced, by 2 times (nanorods) and 3.5 times (nanoparticles) in the visible infrared region; furthermore, the average optical resistance was reduced by a factor of two compared to the MoS2 photoanode without Au, and the photocurrent increases exponentially when the system bias was greater than 0.7 volts. The Au MoS2 metal semiconductor interface plays an important role in studying the surface plasmon interactions, charge transfer mechanism, and electric field amplification. This rational design for such a unique hybrid nanostructure explains the plasmon enhanced photoelectrochemical water splitting. This current contribution provides a new path for using the plasmonic metal/semiconductor heterostructure to effectively harvest UV visible light for solar fuel generation.", "author_names": [ "Asad Ali", "Farhana Akbar Mangrio", "Xiaoling Chen", "Yiwen Dai", "Kui Chen", "Xiaoliang Xu", "Ruixiang Xia", "Lixin Zhu" ], "corpus_id": 206138958, "doc_id": "206138958", "n_citations": 20, "n_key_citations": 0, "score": 0, "title": "Ultrathin MoS2 nanosheets for high performance photoelectrochemical applications via plasmonic coupling with Au nanocrystals.", "venue": "Nanoscale", "year": 2019 }, { "abstract": "Understanding and controlling the charge transfer behavior across the interface/junction in hybrid nanostructures is essential for various plasmon enhanced catalytic reactions. The rational design of plasmonic nanostructures offers a unique capability for eliminating the daunting complexity of the electronic effect induced by interfacial interactions and maximizing the conversion efficiency of solar energy into chemical energy by surface coupling. Herein, we tactfully construct a new type of plasmon driven photoanode based on plasmonic metal insulator semiconductor (PMIS) hetero nanostructures (Au@SiO2NP decorated a Fe2O3 nanorod array) by using Fe2O3 nanoarrays as model semiconductor structures and Au@SiO2 NPs as photosensitizers, for optimizing the photoelectrochemical (PEC) water splitting performance. The thin insulating layer (SiO2) of the hetero nanostructure has been found to play a crucial role in significantly enhancing the plasmon driven water splitting performance via eliminating the negative effect of surface states (resulting in Fermi level pinning and recombination) at the metal semiconductor interface, suppressing the recombination of current carriers, as well as maximizing the metal semiconductor barrier height. This study provides new insight into a novel plasmonic nanocatalyst design by rational interface engineering and will be of benefit for a better understanding of manipulating the interfacial electronic properties between plasmonic nanocrystals and semiconductors for catalytic applications.", "author_names": [ "Chuanping Li", "Ping Wang", "Haijuan Li", "Minmin Wang", "Jie Zhang", "Guohua Qi", "Yongdong Jin" ], "corpus_id": 51642548, "doc_id": "51642548", "n_citations": 16, "n_key_citations": 0, "score": 0, "title": "Plasmon driven water splitting enhancement on plasmonic metal insulator semiconductor hetero nanostructures: unraveling the crucial role of interfacial engineering.", "venue": "Nanoscale", "year": 2018 }, { "abstract": "It is desirable for optoelectronic devices to have the capability to simultaneously achieve excellent optical and electric features. Nevertheless, most investigations were performed separately for photon and electron management. In this work, we numerically propose and demonstrate a hybrid metal semiconductor interface, which offers multi band perfect light absorption and simultaneously retains the naturally perfect electrical conductivity of a flat metal film. Multi band anti reflection and near unity light absorption is observed in this hybrid metal semiconductor cavity based absorber (HMSA) Our results show that, the maximal absorption above 97% and the naturally perfect electric conductivity are realizable, suggesting the capability of providing both excellent optical and electric properties. Optical Mie like resonances in the semiconductor cavities and the hybrid coupling with plasmonic resonances by the metal resonators cooperatively support strong optical field confinement effects, which eventually create the light trapped in the HMSA. These features indicate a platform wherein excellent electrical conducting and multispectral light absorption are designed for potential optoelectronic applications.", "author_names": [ "Zhenping Huang", "Jian Chen", "Yi Liu", "Li Tang", "Guiqiang Liu", "Xiaoshan Liu", "Zheng-qi Liu" ], "corpus_id": 126106234, "doc_id": "126106234", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "Hybrid metal semiconductor cavities for multi band perfect light absorbers and excellent electric conducting interfaces", "venue": "", "year": 2017 }, { "abstract": "Current injection in organic semiconductors remains difficult to predict due in large part to the challenge of characterizing the contact energy barrier and interface density of states directly in organic electronic devices. Here, resonant coupling to surface plasmon polariton modes of a metal contact is demonstrated as a means to carry out internal photoemission (IPE) accurately in disordered organic semiconductor devices and enable direct measurement of the contact injection barrier by isolating true IPE from spurious sub gap organic photoconductivity. The substantial increase in sensitivity afforded by resonant coupling enables measurement in the low field injection regime where deviation from the standard Fowler prediction is explained quantitatively by the existence of a broad distribution of interface states. This technique is broadly applicable to metals and surface treatments commonly used in organic light emitting diodes, thin film transistors, and photovoltaics, and should therefore provide a quantitative basis to understand and model current injection in these devices over their entire operational lifetime.", "author_names": [ "Rijul Dhanker", "Neetu Chopra", "Noel C Giebink" ], "corpus_id": 137575374, "doc_id": "137575374", "n_citations": 5, "n_key_citations": 0, "score": 0, "title": "Plasmonic Internal Photoemission for Accurate Device In Situ Measurement of Metal Organic Semiconductor Injection Barriers", "venue": "", "year": 2014 }, { "abstract": "We systematically explore the performance of ultrathin amorphous silicon solar cells integrated on plasmonic substrates of several different morphologies. Angle resolved reflectance, external quantum efficiency measurements, and finite difference time domain simulations highlight the importance of the spacer layer in determining the mode profiles to which light can couple. Coupling mechanisms are found to strongly differ between periodic silver nanovoid arrays and randomly textured silver substrates. Tailoring the spacer thickness leads to 50% higher quantum efficiencies and short circuit current densities by tuning the coupling between the near field and trapped modes with enhanced optical path lengths. The balance of absorption for the plasmonic near field at the metal/semiconductor interface is analytically derived for a broad range of leading photovoltaic materials. This yields key design principles for plasmonic thin film solar cells, predicting strong near field enhancement only for CdTe, CuInGaSe2, and organic polymer devices.", "author_names": [ "Niraj Lal", "Hang Zhou", "Matthew M Hawkeye", "Jatin K Sinha", "Philip N Bartlett", "Gehan Amaratunga", "Jeremy J Baumberg" ], "corpus_id": 19538675, "doc_id": "19538675", "n_citations": 29, "n_key_citations": 0, "score": 0, "title": "Using spacer layers to control metal and semiconductor absorption in ultrathin solar cells with plasmonic substrates", "venue": "", "year": 2012 }, { "abstract": "Abstract The use of various plasmonic metals and insulators in silicon based metal insulator semiconductor insulator metal (MISIM) nanoplasmonic waveguides are investigated for use in waveguiding applications at 1.55 mm. Sputtered Au, Ag, and Cu as the metal cladding with thermally grown SiO 2 and ALD grown HfO 2 insulating dielectric spacer layers are examined to determine how their fabrication affects the crucial metal dielectric interface. The experimental propagation lengths and coupling efficiencies to silicon on insulator waveguides are compared to theoretical values, with the Au/SiO 2 MISIM nanoplasmonic waveguide showing a propagation length of 7.25 mm. The effect of including an adhesion layer for Au is shown to significantly increase losses.", "author_names": [ "Michael P Nielsen", "A Ashfar", "Kenneth C Cadien", "Abdulhakem Y Elezzabi" ], "corpus_id": 95998349, "doc_id": "95998349", "n_citations": 23, "n_key_citations": 0, "score": 0, "title": "Plasmonic materials for metal insulator semiconductor insulator metal nanoplasmonic waveguides on silicon on insulator platform", "venue": "", "year": 2013 }, { "abstract": "We firstly, in this review, introduce the optical properties of plasmonic metals, and then focus on introducing the unique optical properties of the noble metal metal oxide hybrid system by revealing the physical mechanism of plasmon exciton interaction, which was confirmed by theoretical calculations and experimental investigations. With this noble metal metal oxide hybrid system, plasmonic nanostructure semiconductor exciton coupling interactions for interface catalysis has been analyzed in detail. This review can provide a deeper understanding of the physical mechanism of exciton plasmon interactions in surface catalysis reactions.", "author_names": [ "Jingang Wang", "Naixing Feng", "Yingjun Sun", "Xijiao Mu" ], "corpus_id": 85520602, "doc_id": "85520602", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Nanoplasmon Semiconductor Hybrid for Interface Catalysis", "venue": "", "year": 2018 }, { "abstract": "A silicon based plasmonic waveguide was designed and fabricated for use at telecommunications wavelengths. This waveguide is interfaced to the silicon photonics platform by use of a tapered silicon on insulator waveguide. Simulations indicate that this scheme excites the transverse magnetic plasmonic mode and that the electric fields are confined to the silicon gold interface. Transmitted power is measured for several device lengths and the propagation distance and coupling efficiency are found to be 2.00 mm and 38.0% respectively. These results demonstrate the potential for integration between silicon photonics and silicon plasmonic devices and demonstrate the ability to incorporate silicon based plasmonic devices into complimentary metal oxide semiconductor electronic and photonic circuitry.", "author_names": [ "S Sederberg", "Vien Van", "Abdulhakem Y Elezzabi" ], "corpus_id": 119999706, "doc_id": "119999706", "n_citations": 40, "n_key_citations": 0, "score": 0, "title": "Monolithic integration of plasmonic waveguides into a complimentary metal oxide semiconductor and photonic compatible platform", "venue": "", "year": 2010 }, { "abstract": "Plasmonic Tamm states are interface modes formed at the boundary between a distributed Bragg mirror and a metallic layer [1] Their optical properties lie in between surface plasmons and microcavity photonic modes. In particular, the losses are reduced compared to a conventional plasmon. We will first describe some features of semiconductor quantum wells coupled to extended Tamm modes, like strong coupling with the excitons and lasing under optical pumping [2,3] The main advantage of the Tamm modes lies in the easy confinement of the mode which can be obtained only by structuring the metallic part of the structure [5] We will show that confined Tamm plasmon modes can be advantageously exploited for the realization of new kind of metal/semiconductor lasers [6] Laser emission is studied for Tamm structures with various diameters of the metallic disks which provide the confinement. A reduction of the threshold with the size is observed. The competition between the acceleration of the spontaneous emission and the increase of the losses leads to an optimal size, which is in good agreement with calculations (Fig. 1) The easy control of the mode properties offered by the patterning of the metallic layer can be applied to the control of the polarization of the emission. This effect will be discussed in the case of asymmetrical confined Tamm lasers.", "author_names": [ "Clementine Symonds", "G Lheureux", "S Azzini", "Aristide Lemaitre", "Pascale Senellart", "Joel Bellessa" ], "corpus_id": 123118952, "doc_id": "123118952", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Polarized metal/semiconductor sources based on confined Tamm plasmons", "venue": "", "year": 2014 } ]
Ab initio calculations of hardness, elastic, thermodynamic and electronic properties of metal nitrides (XN2; , Pt) under high-pressure
[ { "abstract": "We have performed first principles calculations within density functional theory (DFT) to investigate the hardness, elastic, thermodynamic and electronic properties of metal nitrides compounds (XN2; X Pd, Pt) in pyrite structure, under high hydrostatic pressure. The calculated structural properties match with those previously reported experimental and theoretical data. The Vickers hardness of PdN2 and PtN2 compounds were calculated in 7.5 and 27.7 GPa, respectively. We also calculated the electronic properties of both compounds. The calculated electronic band structure of PtN2 at either 0 GPa or 153 GPa reveals that this compound is an indirect semiconductor. The top of the valence band is located at M point, whereas the bottom of the conduction band at L point at zero pressure or at S point at 153 GPa. In the case of PdN2, it was found a conductor semiconductor transition at 104 GPa.", "author_names": [ "Jose Humberto Camacho-Garcia", "J C Moreno-Hernandez", "Ma L Ruiz-Peralta", "Alejandro Bautista-Hernandez", "Alejandro Escobedo-Morales" ], "corpus_id": 104431591, "doc_id": "104431591", "n_citations": 1, "n_key_citations": 0, "score": 1, "title": "Ab initio calculations of hardness, elastic, thermodynamic and electronic properties of metal nitrides (XN2; X Pd, Pt) under high pressure", "venue": "", "year": 2019 }, { "abstract": "Co2Zr and Co2Ti are both cubic crystals with a Cu2Mg type structure. The elastic, thermodynamic and electronic properties of the intermetallic compounds Co2Zr and Co2Ti are investigated by using ab initio plane wave pseudopotential density functional theory (PWPDFT) and generalized gradient approximation (GGA) under high temperature and pressure. The partially calculated results are consistent with the available experimental data. The elastic properties of Co2Zr and Co2Ti under high pressure were first studied by first principles calculations. The results indicate that the elastic constants, elastic modulus and Poisson's ratio are functions of pressure, indicating that the effect of pressure on the ductility and anisotropy is significant. The thermodynamic properties are also calculated by the quasi harmonic Debye model. In the range of 0~100 GPa pressure and 0~1500 K temperature, the Debye temperature Th, the heat capacity CV and the thermal expansion a vary with pressure and temperature. Co2Ti has a higher Debye temperature than Co2Zr under the same pressure. Decreasing temperature and increasing pressure have the same effects on CV and a. The electron density difference and density of states of Co2Zr and Co2Ti are finally investigated. The results show that both Co2Zr and Co2Ti are typically metal crystals but Co2Zr has greater covalence than Co2Ti.", "author_names": [ "Mi-An Xue", "Xiaoli Yuan", "Cheng Zhong", "Peng Wan" ], "corpus_id": 216495352, "doc_id": "216495352", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "First Principles Calculations on Elastic, Thermodynamic and Electronic Properties of Co2Zr and Co2Ti at High Temperature and Pressure", "venue": "", "year": 2020 }, { "abstract": "Abstract First principles calculations are performed to investigate the structural, elastic, and electronic properties of the Mo3.46B12 under pressure based on the generalized gradient approximation (GGA) proposed by Perdew Wang (PW91) The initial results show that the optimized lattice parameters at a pressure of zero GPa are in good agreement with the experimental and other theoretical results. The effect of high pressure on the crystal structure reveals that the compression along the c axis is stronger than along the a axis. We find that the elastic constants, elastic modulus, hardness, and Debye temperature (thD) increase and the Gruneisen parameter (g) decreases due to stiffening of the crystal structure under pressure. Consequently, the structure is mechanically stable, brittle, and elastically anisotropic. Finally, the density of states at Fermi level N(EF) decreases under pressure due to decreasing of the B 2p states. Graphical abstract", "author_names": [ "J Leon-Flores", "M Romero", "Julian Rosas", "R Escamilla" ], "corpus_id": 126552184, "doc_id": "126552184", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Ab initio study of structural, elastic, and electronic properties of Mo3.46B12 under high pressure", "venue": "The European Physical Journal B", "year": 2019 }, { "abstract": "The structural, electronic, magnetic and mechanical properties of the full Heusler Mn2CoGa have been systematically investigated by first principles calculations based on density functional theory. The determined ground state structural configuration and equilibrium lattice constant are consistent with previous theoretical and experimental studies. Mn2CoGa shows metallic nature since the band structure overlaps with the Fermi energy level in both spin directions. The total magnetic moment is mainly from the two transition metal atoms and it follows the Slater Pauling rule, MTotal ZTotal 24. Several mechanical parameters at the equilibrium lattice are calculated and provided. Mn2CoGa behaves in a brittle manner from the computed elastic moduli, whereas Mn2CoGa shows very strong anisotropy, which is revealed by the calculated direction dependent Young's modulus and shear modulus. In the last section, we further examine the thermodynamic properties of Mn2CoGa by employing the quasi harmonic Debye model and study the variation of its cell volume, thermal expansion coefficient, heat capacity, Gruneisen constant and Debye temperature under a pressure range from 0 to 5 GPa and temperature range from 0 to 1000 K. This study provides a detailed theoretical analysis of the full Heusler compound Mn2CoGa and can give helpful guidance for further relative research.", "author_names": [ "Liyu Hao", "Rabah Khenata", "Xiaotian Wang", "Tie Yang" ], "corpus_id": 199077007, "doc_id": "199077007", "n_citations": 7, "n_key_citations": 0, "score": 0, "title": "Ab Initio Study of the Structural, Electronic, Magnetic, Mechanical and Thermodynamic Properties of Full Heusler Mn2CoGa", "venue": "Journal of Electronic Materials", "year": 2019 }, { "abstract": "Ab initio calculations were used to study the properties of a series of hexagonal (Fe2N like) subcarbides M2C, where M Tc, Ru, Rh, Pd, Re, Os, Ir, and Pt, and to calculate their equilibrium structural parameters, electronic properties, phase stability, elastic constants, compression modulus, shear modulus, Young's modulus, compressibility, Pugh's indicator, Poisson ratio, elastic anisotropy indices, and also hardness, Debye temperature, sound velocity, and low temperature heat capacity. It is found based on these results that all the subcarbides are mechanically stable; however, their formation energies Eform are positive with respect to a mixture of d metal and graphite. In addition, the calculation of the phonon spectra of these subcarbides shows the existence of negative modes, which indicates their dynamical instability. Thus, a successful synthesis of these subcarbides at normal conditions is highly improbable.", "author_names": [ "D V Suetin", "I R Shein" ], "corpus_id": 125239481, "doc_id": "125239481", "n_citations": 7, "n_key_citations": 0, "score": 0, "title": "Electronic Structure, Mechanical and Dynamical Stability of Hexagonal Subcarbides M2C (M Tc, Ru, Rh, Pd, Re, Os, Ir, and Pt) Ab Initio Calculations", "venue": "", "year": 2018 }, { "abstract": "Abstract The mechanical, electronic and thermodynamic properties of MTe 2 (M Ni, Pd and Pt) under high pressure were investigated via the first principles calculations. According to our calculations of these trigonal crystals (space group of P3M1 No: 164) we found that all of them are fulfilled by the mechanical stability criteria under 31 GPa (for NiTe 2 37 GPa (for PdTe 2 and 73 GPa (for PtTe 2 The study on their structures revealed the elastic anisotropy of these isostructural compounds. Electronic structure calculations show that MTe 2 are semi metal. On the basis of the quasi harmonic Debye model, we also researches their thermodynamic properties.", "author_names": [ "Jinqiao Lei", "Ke Ping Liu", "Shan Huang", "Xiao-Chun Mao", "Bao-Sen Hou", "Jiao Tan", "Xiaolin Zhou" ], "corpus_id": 103709416, "doc_id": "103709416", "n_citations": 6, "n_key_citations": 0, "score": 0, "title": "Theoretical study of isostructural compounds MTe 2 (M Ni, Pd and Pt) on structure and thermodynamic properties under high pressures", "venue": "", "year": 2017 }, { "abstract": "The structural, electronic, elastic and thermodynamic properties of Curium Monopnictides CmX (X N, P, As, Sb and Bi) are investigated using first principles calculations based on the density functional theory (DFT) and full potential linearized augmented plane wave (FP LAPW) method under ambient condition and high pressure. The exchange correlation term is treated using two approximations spin polarized local density approximation (LSDA) and spin polarized generalized gradient approximation generalized (GGA) The structural parameters such as the equilibrium lattice parameters, bulk modulus and the total energies are calculated in two phases: namely NaCl (B1) and CsCl (B2) The obtained results are compared with the previous theoretical and experimental results. A structural phase transition from B1 phase to B2 phase for Curium pnictides has been obtained. The highest transition pressure is 122 GPa for CmN and the lowest one is 10.0 GPa for CmBi compound. The electronic properties show that these materials exhibit half metallic behavior in both phases. The magnetic moment is found to be around 7.0 mB. The mechanical properties of CmX (X N, P, As, Sb and Bi) are predicted from the calculated elastic constants. Our calculated results are in good agreement with the theoretical results in literature. The effect of pressure and temperature on the thermodynamic properties like the cell volume, bulk modulus and the specific heats C and CP, the entropy S and the Gruneisen parameter g have been foreseen at expanded pressure and temperature ranges.", "author_names": [ "Hakim Baaziz", "Dj Guendouz", "Z Charifi", "Salih Akbudak", "G Ugur", "S Ugur", "Khaouther Boudiaf" ], "corpus_id": 125723865, "doc_id": "125723865", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "Investigation of the structural, electronic, elastic and thermodynamic properties of Curium Monopnictides: An ab initio study", "venue": "", "year": 2017 }, { "abstract": "Abstract The structural, electronic, elastic and vibrational properties of boron nitride (BN) were analyzed using ab initio computational methods based on density functional theory. The exchange correlation energy functional was evaluated using the local density approximation (LDA) under pressure. BN crystallizes in hexagonal structure (h BN) with symmetry P 6 3 mmc The structural transform was obtained at the BN from h BN transformed into wurtzite (w BN) with symmetry P 6 3 mc at 12.5 GPa. During this phase transformation, intermediate states with space group P 3 m 1 and P3m1were observed. Besides, the electronic properties for the obtained stable phases of BN were calculated. Both structures have a semiconductor character with a direct band gap. We also made elastic and phonon calculations to understand the mechanical and dynamically stability of the obtained phases of BN. BN is stable in both phases. As a result of the literature searches, the obtained intermediate states were first predicted in this study. Thus, we believe that this study will guide the experimental studies to be conducted.", "author_names": [ "Cihan Kurkcu", "Cagatay Yamcicier" ], "corpus_id": 204201795, "doc_id": "204201795", "n_citations": 5, "n_key_citations": 0, "score": 0, "title": "Structural, electronic, elastic and vibrational properties of two dimensional graphene like BN under high pressure", "venue": "", "year": 2019 }, { "abstract": "Abstract The lattice parameters, cell volume, elastic constants, bulk modulus, shear modulus, Young's modulus and Poisson's ratio are calculated at zero pressure, and their values are in excellent agreement with the available data, for TiN, Ti2N and Ti3N2. By using the elastic stability criteria, it is shown that the three structures are all stable. The brittle/ductile behaviors are assessed in the pressures from 0 GPa to 50 GPa. Our calculations present that the performances for TiN, Ti2N and Ti3N2 become from brittle to ductile with pressure rise. The Debye temperature rises as pressure increase. With increasing N content, the enhancement of covalent interactions and decline of metallicity lead to the increase of the micro hardness. Their constant volume heat capacities increase rapidly in the lower temperature, at a given pressure. At higher temperature, the heat capacities are close to the Dulong Petit limit, and the heat capacities of TiN and Ti2N are larger than that of c BN. The thermal expansion coefficients of titanium nitrides are slightly larger than that of c BN. The band structure and the total Density of States (DOS) are calculated at 0 GPa and 50 GPa. The results show that TiN and Ti2N present metallic character. Ti3N2 present semiconducting character. The band structures have some discrepancies between 0 GPa and 50 GPa. The extent of energy dispersion increases slightly at 50 GPa, which means that the itinerant character of electrons becomes stronger at 50 GPa. The main bonding peaks of TiN, Ti2N and Ti3N2 locate in the range from 10 to 10 eV, which originate from the contribution of valance electron numbers of Ti s, Ti p, Ti d, N s and N p orbits. We can also find that the pressure makes that the total DOS decrease at the Fermi level for Ti2N. The bonding behavior of N Ti compounds is a combination of covalent and ionic nature. As N content increases, valence band broadens, valence electron concentration increases, and covalent interactions become stronger. This is reflected in shortening of Ti N bonds.", "author_names": [ "Ruike Yang", "Chuanshuai Zhu", "Qun Wei", "Zhengliang Du" ], "corpus_id": 99111084, "doc_id": "99111084", "n_citations": 46, "n_key_citations": 0, "score": 0, "title": "Investigations on structural, elastic, thermodynamic and electronic properties of TiN, Ti 2 N and Ti 3 N 2 under high pressure by first principles", "venue": "", "year": 2016 }, { "abstract": "The structural, elastic, thermodynamic and electronic properties of nonmetallic metal FeCrAs are studied within density function perturbation theory. The thermodynamic properties of FeCrAs were deduced based on phonon frequencies within the framework of the quasiharmonic approximation. The calculated elastic modulus under various pressures indicates that FeCrAs is mechanically stable under pressure. The pressure dependence of bulk and shear modulus, transverse and longitudinal sound velocities V (i.e. VS and VL) elastic Debye temperature ThE of FeCrAs have also been investigated. The calculated values of B/G indicate that FeCrAs presents high ductility under pressure. However, it is interesting that the value of B/G reaches a maximum under 40 GPa and almost remains unchanged when the pressure is above 70 GPa. The calculations show that the heat capacity CV of this material is close to the Dulong Petit limit 3R (about 224.61 J mol 1 K 1) at high temperature regime. The analysis of electronic properties nd that as the pressure increases, the absolute value of charge for As and Fe atom increases while Cr remains nearly a constant, indicating that the mechanic properties of FeCrAs under pressure should be mostly attributed to the interaction between Fe and As atoms.", "author_names": [ "Dewei He", "Yongjin Peng", "Yongjin He" ], "corpus_id": 55078149, "doc_id": "55078149", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Ab Initio Studies on Structural, Elastic, Thermodynamic and Electronic Properties of FeCrAs under Pressures", "venue": "", "year": 2015 } ]
over band-gap absorption
[ { "abstract": "Abstract This paper presents results of measurements of optical absorption in undoped epitaxial GaAs for photon energies below the band gap. Absorption spectra were determined from transmission spectra of a thin GaAs layer at several temperatures between 25 degC and 205 degC. We optimized our experiment to investigate the long wavelength part of the spectrum, where the absorption is relatively low, but significant from the point of view of applications of GaAs in semiconductor lasers. Absorption of 100 cm 1 was observed over 30 nm below the band gap at high temperatures.", "author_names": [ "Michal Wasiak", "Jaroslaw Walczak", "Marcin Motyka", "Filip K Janiak", "Artur Trajnerowicz", "Agata Jasik" ], "corpus_id": 99997449, "doc_id": "99997449", "n_citations": 3, "n_key_citations": 0, "score": 1, "title": "Below band gap absorption in undoped GaAs at elevated temperatures", "venue": "", "year": 2017 }, { "abstract": "Multi photon absorption and multiple exciton generation represent two separate strategies for enhancing the conversion efficiency of light into usable electric power. Targeting below band gap and above band gap energies, respectively, to date these processes have only been demonstrated independently. Here we report the combined interaction of both nonlinear processes in CsPbBr3 perovskite nanocrystals. We demonstrate nonlinear absorption over a wide range of below band gap excitation energies (0.5 0.8 Eg) Interestingly, we discover high order absorption processes, deviating from the typical two photon absorption, at specific energetic positions. These energies are associated with a strong enhancement of the photoluminescence intensity by up to 105. The analysis of the corresponding energy levels reveals that the observed phenomena can be ascribed to the resonant creation of multiple excitons via the absorption of multiple below band gap photons. This effect may open new pathways for the efficient conversion of optical energy, potentially also in other semiconducting materials.The effects of the combined interplay of below band gap multi photon absorption and multiple exciton generation have not been investigated. Here, the authors show the combined interaction of these processes in perovskite nanocrystals, leading to an enhanced interband photoluminescence emission under resonant conditions.", "author_names": [ "Aurora Manzi", "Yu Tong", "Julius Feucht", "En Ping Yao", "Lakshminarayana Polavarapu", "Alexander S Urban", "Jochen Feldmann" ], "corpus_id": 4901341, "doc_id": "4901341", "n_citations": 48, "n_key_citations": 0, "score": 0, "title": "Resonantly enhanced multiple exciton generation through below band gap multi photon absorption in perovskite nanocrystals", "venue": "Nature Communications", "year": 2018 }, { "abstract": "Summary Recent advances in the development of polymer acceptors and the investigation of molecular mass have boosted the power conversion efficiency (PCE) of all polymer solar cells (all PSCs) to approximately 11% Here, a fused aromatic ring constructed polymer acceptor PYT (Poly[(2,2' (2Z,2'Z) (12,13 bis(2 octyldodecyl) 3,9 diundecyl 12,13 dihydro[1,2,5]thiadiazolo[3,4e]thieno[2',3':4',5']thieno[2',3':4,5]pyrrolo[3,2 g]thieno[2',3':4,5]thieno[3,2 b] indole 2,10 diyl)bis(methanylylidene))bis(3 oxo 2,3 dihydro 1H indene 2,1 diylidene) dimalononitrile alt 2,5 thiophene) is reported, while a series of PYT polymers with different molecular masses (designated as PYTL, PYTM, and PYTH) are prepared to fine tune the molecular crystallinity and miscibility. Benefiting from the advantages of PYT series, which possess broad absorption with a narrow band of 1.40 1.44 eV and high absorption coefficients of over 1.00 x 105 cm 1, we investigated the blend miscibility and device performance of all PSCs based on a wide band gap polymer donor, PM6. The PYTM based all PSCs exhibit an excellent PCE of 13.44% outperforming those with PYTL (12.55% and PYTH (8.61% Our results provide insight into polymer acceptor backbone and molecular mass and suggest guidelines to rationally select polymers for all PSCs.", "author_names": [ "Wei Wang", "Qian Wu", "Rui Sun", "Jin-tang Guo", "Yao Wu", "Mumin Shi", "Wenyan Yang", "Hongneng Li", "Jie Min" ], "corpus_id": 218815767, "doc_id": "218815767", "n_citations": 66, "n_key_citations": 0, "score": 0, "title": "Controlling Molecular Mass of Low Band Gap Polymer Acceptors for High Performance All Polymer Solar Cells", "venue": "", "year": 2020 }, { "abstract": "Multilayer van der Waals (vdW) heterostructures assembled by diverse atomically thin layers have demonstrated a wide range of fascinating phenomena and novel applications. Understanding the interlayer coupling and its correlation effect is paramount for designing novel vdW heterostructures with desirable physical properties. Using a detailed theoretical study of two dimensional (2D) MoS2 graphene (GR) based heterostructures based on state of the art hybrid density functional theory, we reveal that for 2D few layer heterostructures, vdW forces between neighboring layers depend on the number of layers. Compared to that in the bilayer, the interlayer coupling in trilayer vdW heterostructures can significantly be enhanced by stacking the third layer, directly supported by short interlayer separations and more interfacial charge transfer. The trilayer shows strong light absorption over a wide range <700 nm) making it great potential for solar energy harvesting and conversion. Moreover, the Dirac point of GR", "author_names": [ "Liang Xu", "Wei-Qing Huang", "Wangyu Hu", "Bing-Xin Zhou", "Anlian Pan", "Gui-Fang Huang" ], "corpus_id": 119447288, "doc_id": "119447288", "n_citations": 76, "n_key_citations": 0, "score": 0, "title": "Two Dimensional MoS2 Graphene Based Multilayer van der Waals Heterostructures: Enhanced Charge Transfer and Optical Absorption, and Electric Field Tunable Dirac Point and Band Gap", "venue": "", "year": 2017 }, { "abstract": "(BiO)2CO3 hierarchical microspheres and (BiO)2CO3 nanoparticles with wide band gaps were fabricated by a simple hydrothermal method. The former showed higher visible light photocatalytic activity towards the removal of NO than the latter due to the enhanced extrinsic absorption benefiting from the strong light reflecting and scattering effects. The extrinsic absorption over (BiO)2CO3 hierarchical microspheres can induce the production of holes and electrons with visible light, subsequently generating active species to participate in photocatalytic reactions.", "author_names": [ "Ting Xiong", "Fan Dong", "Zhongbiao Wu" ], "corpus_id": 96728512, "doc_id": "96728512", "n_citations": 36, "n_key_citations": 0, "score": 0, "title": "Enhanced extrinsic absorption promotes the visible light photocatalytic activity of wide band gap (BiO)2CO3 hierarchical structure", "venue": "", "year": 2014 }, { "abstract": "Confirmation of 13CO2 photoconversion into a 13C product is crucial to produce solar fuel. However, the total reactant and charge flow during the reaction is complex; therefore, the role of light during this reaction needs clarification. Here, we chose Ag ZrO2 photocatalysts because beginning from adventitious C, negligible products are formed using them. The reactants, products, and intermediates at the surface were monitored via gas chromatography mass spectrometry and FTIR, whereas the temperature of Ag was monitored via Debye Waller factor obtained by in situ extended X ray absorption fine structure. With exposure to 13CO2, H2, and UV visible light, 13CO selectively formed, while 8.6% of the 12CO mixed in the product due to the formation of 12C bicarbonate species from air that exchanged with the 13CO2 gas phase during a 2 h reaction. By choosing the light activation wavelength, the CO2 photoconversion contribution ratio was charge separated at the ZrO2 band gap (l 248 nm) 70% localized at the Ag surface plasmon resonance (LSPR) (330 l 580 nm) 28% and characterized by a thermal energy of 295 K: 2% LSPR at the Ag surface was converted to heat at temperatures of up to 392 K, which provided an efficient supply of activated H species to the bicarbonate species, combined with separated electrons and holes above the ZrO2, which generated CO at a rate of 0.66 mmol h 1 gcat 1 with approximately zero order kinetics. Photoconversion of 13CO2 using moisture was also possible. Water photo oxidation step above ZrO2 was rate limited, and the side reactions that formed H2 above the Ag were successfully suppressed instead to produce CO via the Mg2+ addition to trap CO2 at the surface.", "author_names": [ "Hongwei Zhang", "Takao Itoi", "Takehisa Konishi", "Yasuo Izumi" ], "corpus_id": 85543440, "doc_id": "85543440", "n_citations": 23, "n_key_citations": 0, "score": 0, "title": "Dual Photocatalytic Roles of Light: Charge Separation at the Band Gap and Heat via Localized Surface Plasmon Resonance To Convert CO2 into CO over Silver Zirconium Oxide.", "venue": "Journal of the American Chemical Society", "year": 2019 }, { "abstract": "Two dimensional binary MX2 (M Ni, Pd and Pt; X P and As) exhibiting a beautiful pentagonal ring network is discussed through first principles calculations. We predict that MX2 sheets possess narrow direct band gaps of about 0.3 0.8 eV and ultrahigh mobilities both for holes and electrons. The hole mobility of PdAs2 is even up to 50 x 104 cm2 V 1 s 1 and the electron mobility of PtAs2 is up to 25 x 104 cm2 V 1 s 1. Besides fascinating electronic properties, 2D MX2 also exhibits optical absorption over the entire visible light range. Additionally, like graphene and carbon nanotubes, a MX2 sheet can be rolled into various forms of MX2 nanotubes as well. Interestingly, NiP2 nanotubes exhibit rich band gap behaviour which can be adjusted by the roll up vector. With a desired band gap, high carrier mobility and optical properties, 2D MX2 sheets promise to be potential candidates for electronic devices.", "author_names": [ "Shifeng Qian", "Xiaowei Sheng", "Xian Xu", "Yuxiang Wu", "Ning Lu", "Zhengbo Qin", "Jian Wang", "Caixia Zhang", "Er-yin Feng", "Wuying Huang", "Yong Zhou" ], "corpus_id": 139247707, "doc_id": "139247707", "n_citations": 20, "n_key_citations": 0, "score": 0, "title": "Penta MX2 (M Ni, Pd and Pt; X P and As) monolayers: direct band gap semiconductors with high carrier mobility", "venue": "", "year": 2019 }, { "abstract": "Two dimensional materials with an appropriate band gap and high carrier mobility are urgently desired in the field of nanoelectronics. We propose a novel two dimensional crystal monolayer TlP5, which is dynamically and thermodynamically stable and possesses a direct band gap of 2.02 eV with high carrier mobilities (13 960 cm2 V 1 s 1 for electrons and 7560 cm2 V 1 s 1 for holes) comparable to that of phosphorene. The band gap value and band characteristics of monolayer TlP5 can be adjusted by biaxial and uniaxial strains, and excellent optical absorption over the visible light range is predicted. These properties, especially the balanced high mobilities for not only the electrons but also the holes, make monolayer TlP5 an exciting functional material for future applications in nanoelectronics and optoelectronics.", "author_names": [ "Jun-Hui Yuan", "Alessandro Cresti", "Kan-Hao Xue", "Hai-Lei Su", "Li-Heng Li", "Naihua Miao", "Zhimei Sun", "Jia-Fu Wang", "Xiang-shui Miao" ], "corpus_id": 139451216, "doc_id": "139451216", "n_citations": 14, "n_key_citations": 1, "score": 0, "title": "TlP5: an unexplored direct band gap 2D semiconductor with ultra high carrier mobility", "venue": "", "year": 2019 }, { "abstract": "Perovskite oxide materials have grabbed enormous attention from various research groups all over the world due to their large application areas. The band gap engineering of those materials are important for optoelectronic researches especially for ferroelectric (FE) solar cells that have unique features such as having higher open circuit voltages than the band gap and their spontaneous polarization which leads to photovoltaic effect. Nevertheless, the most of the perovskite FE materials have wide band gaps that hamper the absorption of large solar spectrum. In the present study, it has been demonstrated the band gap of YMnO3 (YMO) which is one of the mostly studied FE materials, can be tuned via doping osmium (Os) into manganese (Mn) site. The band gap of YMO, 2.10 eV successfully is lowered to 1.61 eV. Polycrystalline YMnO3 and YMn1 xOsxO3 (YMOO) (x 0.01, 0.05, 0.10) thin films were synthesized on indium tin oxide (ITO) substrates at 500 degC by magnetron sputtering method. Their structural, chemical and optical band gap properties were studied and the results showed the Os doped YMO compounds could be a potential candidate for future ferroelectric solar cell studies.", "author_names": [ "Ozgur Polat", "F M Coskun", "Mustafa Coskun", "Zehra Durmus", "Yasemin Caglar", "Mujdat Caglar", "A Turut" ], "corpus_id": 139971695, "doc_id": "139971695", "n_citations": 13, "n_key_citations": 0, "score": 0, "title": "Tailoring the band gap of ferroelectric YMnO3 through tuning the Os doping level", "venue": "Journal of Materials Science: Materials in Electronics", "year": 2019 }, { "abstract": "Abstract In the present work and for the first time, tuning of the band gap width of the SrTiO3 (STO) perovskite to a value suitable for photocatalytic (PC) and photovoltaic (PV) applications is accomplished by the incorporation of Fe cation. Nanocrystalline SrTi0.9Fe0.1O2.968 (STFO) was prepared by a modified solid state reaction process including successive sequences of milling and calcinations at high temperature. The X ray diffraction (XRD) pattern revealed the formation of a single cubic perovskite phase of STFO with average crystallite size equaling ~30 nm. The local lattice strain on (h00) and (hh0) planes was found to decrease by Fe doping. The absorption spectrum deduced from diffused reflectance showed high intense broad structure extending over the range ~0.5 ~6 eV, whereas pure STO gave strong absorption only at the UV region (l", "author_names": [ "K Sedeek", "Sh A Said", "Taghreed Zaghloul Amer", "N Makram", "Hanan Hassan Hantour" ], "corpus_id": 105936850, "doc_id": "105936850", "n_citations": 7, "n_key_citations": 0, "score": 0, "title": "Band gap tuning in nanocrystalline SrTi0.9Fe0.1O2.968 perovskite type for photocatalytic and photovoltaic applications", "venue": "", "year": 2019 } ]
Quantum optics: A new way to correlate photons
[ { "abstract": "Resonance fluorescence from a semiconductor quantum dot unravels a rich two photon landscape of correlations, and may be used to test their quantum nonlocality.", "author_names": [ "F Laussy" ], "corpus_id": 1123429, "doc_id": "1123429", "n_citations": 4, "n_key_citations": 0, "score": 1, "title": "Quantum optics: A new way to correlate photons.", "venue": "Nature materials", "year": 2017 }, { "abstract": "Quantum communication relies on the availability of light pulses with strong quantum correlations among photons. An example of such an optical source is a single photon pulse with a vanishing probability for detecting two or more photons. Using pulsed laser excitation of a single quantum dot, a single photon turnstile device that generates a train of single photon pulses was demonstrated. For a spectrally isolated quantum dot, nearly 100% of the excitation pulses lead to emission of a single photon, yielding an ideal single photon source.", "author_names": [ "Peter Michler", "Alper Kiraz", "Christoph Becher", "Winston V Schoenfeld", "P M Petroff", "Lidong Zhang", "Evelyn L Hu", "Atac Imamoglu" ], "corpus_id": 13702745, "doc_id": "13702745", "n_citations": 1928, "n_key_citations": 24, "score": 0, "title": "A quantum dot single photon turnstile device.", "venue": "Science", "year": 2000 }, { "abstract": "Preface 1. Elements of probability theory 2. Random (or stochastic) processes 3. Some useful mathematical techniques 4. Second order coherence theory of scalar wavefields 5. Radiation from sources of any state of coherence 6. Second order coherence theory of vector electromagnetic fields 7. Some applications of second order coherence theory 8. Higher order correlations in optical fields 9. Semiclassical theory of photoelectric detection of light 10. Quantization of the free electromagnetic field 11. Coherent states of the electromagnetic field 12. Quantum correlations and photon statistics 13. Radiation from thermal equilibrium sources 14. Quantum theory of photoelectric detection of light 15. Interaction between light and a two level atom 16. Collective atomic interactions 17. Some general techniques for treating interacting systems 18. The single mode laser 19. The two mode ring laser 20. The linnear light amplifier 21. Squeezed states of light 22. Some quantum effects in nonlinear optics References Author index Subject index.", "author_names": [ "Leonard Mandel", "Emil Wolf" ], "corpus_id": 120605878, "doc_id": "120605878", "n_citations": 6764, "n_key_citations": 514, "score": 0, "title": "Optical Coherence and Quantum Optics", "venue": "", "year": 1995 }, { "abstract": "Quantum computers promise to increase greatly the efficiency of solving problems such as factoring large integers, combinatorial optimization and quantum physics simulation. One of the greatest challenges now is to implement the basic quantum computational elements in a physical system and to demonstrate that they can be reliably and scalably controlled. One of the earliest proposals for quantum computation is based on implementing a quantum bit with two optical modes containing one photon. The proposal is appealing because of the ease with which photon interference can be observed. Until now, it suffered from the requirement for non linear couplings between optical modes containing few photons. Here we show that efficient quantum computation is possible using only beam splitters, phase shifters, single photon sources and photo detectors. Our methods exploit feedback from photo detectors and are robust against errors from photon loss and detector inefficiency. The basic elements are accessible to experimental investigation with current technology.", "author_names": [ "Emanuel Knill", "Raymond Laflamme", "Gerard J Milburn" ], "corpus_id": 4362012, "doc_id": "4362012", "n_citations": 4128, "n_key_citations": 116, "score": 0, "title": "A scheme for efficient quantum computation with linear optics", "venue": "Nature", "year": 2001 }, { "abstract": "The interaction of matter and light is one of the fundamental processes occurring in nature, and its most elementary form is realized when a single atom interacts with a single photon. Reaching this regime has been a major focus of research in atomic physics and quantum optics for several decades and has generated the field of cavity quantum electrodynamics. Here we perform an experiment in which a superconducting two level system, playing the role of an artificial atom, is coupled to an on chip cavity consisting of a superconducting transmission line resonator. We show that the strong coupling regime can be attained in a solid state system, and we experimentally observe the coherent interaction of a superconducting two level system with a single microwave photon. The concept of circuit quantum electrodynamics opens many new possibilities for studying the strong interaction of light and matter. This system can also be exploited for quantum information processing and quantum communication and may lead to new approaches for single photon generation and detection.", "author_names": [ "Andreas Wallraff", "David I Schuster", "Alexandre Blais", "Luigi Frunzio", "R Stephanie Huang", "Johannes Majer", "S Sai Chetan Kumar", "Steven M Girvin", "Robert J Schoelkopf" ], "corpus_id": 4421569, "doc_id": "4421569", "n_citations": 2308, "n_key_citations": 77, "score": 0, "title": "Strong coupling of a single photon to a superconducting qubit using circuit quantum electrodynamics", "venue": "Nature", "year": 2004 }, { "abstract": "This book provides an elementary introduction to the subject of quantum optics, the study of the quantum mechanical nature of light and its interaction with matter. The presentation is almost entirely concerned with the quantized electromagnetic field. Topics covered include single mode field quantization in a cavity, quantization of multimode fields, quantum phase, coherent states, quasi probability distribution in phase space, atom field interactions, the Jaynes Cummings model, quantum coherence theory, beam splitters and interferometers, dissipative interactions, nonclassical field states with squeezing etc. 'Schrodinger cat' states, tests of local realism with entangled photons from down conversion, experimental realizations of cavity quantum electrodynamics, trapped ions, decoherence, and some applications to quantum information processing, particularly quantum cryptography. The book contains many homework problems and an extensive bibliography. This text is designed for upper level undergraduates taking courses in quantum optics who have already taken a course in quantum mechanics, and for first and second year graduate students.", "author_names": [ "Christopher C Gerry", "P L Knight" ], "corpus_id": 209908717, "doc_id": "209908717", "n_citations": 979, "n_key_citations": 107, "score": 0, "title": "Introductory quantum optics", "venue": "", "year": 2004 }, { "abstract": "Classical Models of Light Experiments with Photons Quantum Models of Light Basic Optical Components Photo currents: Generation and Detection The Laser Quantum Noise: Basic Measurements Sub Poissonian Light Squeezing Experiments Quantum Non demolition Experiments Applications of Quantum Optics Summary and Outlook Appendices Index.", "author_names": [ "Hans-A Bachor", "Timothy C Ralph" ], "corpus_id": 118649942, "doc_id": "118649942", "n_citations": 715, "n_key_citations": 52, "score": 0, "title": "A Guide to Experiments in Quantum Optics", "venue": "", "year": 1998 }, { "abstract": "Optical Signal Recording. Overview of Photon Counting Techniques. Multidimensional TCSPC Techniques. Building Blocks of Advanced TCSPC Devices. Application of Modern TCSPC Techniques. Detectors for Photon Counting. Practice of TCSPC Experiments. Final Remarks. References.", "author_names": [ "Wolfgang Becker" ], "corpus_id": 118274248, "doc_id": "118274248", "n_citations": 797, "n_key_citations": 81, "score": 0, "title": "Advanced Time Correlated Single Photon Counting Techniques", "venue": "", "year": 2005 }, { "abstract": "From the contents: A Historical Introduction. Quantum Statistics. Quantum Langevin Equations. Phase Space Methods. Quantum Markov Processes. Applying the Master Equation. Amplifiers and Measurement. Photon Counting. Interaction of Light with Atoms. Squeezing. The Stochastic Schrodinger Equation. Cascaded Quantum Systems. Supplement. Bibliography. Author Index. Index.", "author_names": [ "Crispin W Gardiner", "Paul Zoller" ], "corpus_id": 122444575, "doc_id": "122444575", "n_citations": 1064, "n_key_citations": 134, "score": 0, "title": "Quantum Noise: A Handbook of Markovian and Non Markovian Quantum Stochastic Methods with Applications to Quantum Optics", "venue": "", "year": 2004 }, { "abstract": "This review highlights the recent progress which has been made towards improved single photon detector technologies and the impact these developments will have on quantum optics and quantum information science.", "author_names": [ "Robert H Hadfield" ], "corpus_id": 121924095, "doc_id": "121924095", "n_citations": 1176, "n_key_citations": 46, "score": 0, "title": "Single photon detectors for optical quantum information applications", "venue": "", "year": 2009 } ]
industrial chemistry machine learning
[ { "abstract": "We describe five specific guiding principles for applications of machine learning (ML) to problems in chemistry and material sciences, using data from both experiments and simulations. The principles are the following: 1. Use ML for interpolation but with care for extrapolation; 2. Ensure consistency between sources of data and the targeted application; 3. Correlation is not causation; 4. Optimize information extraction when using ML; 5. Combine different methods, including experiments, theory, and computing to provide a larger window of applications. These principles were developed based on the applications that the authors have been actively involved in, in both industrial and academic settings. Each of these guiding principles is illustrated, using examples from biology, chemistry, physics, engineering, or material science. Examples include Mendeleev's periodic table, estimation of interface adhesion in semiconductor materials, measurements in chemical analysis for cancer chemistry, singularities in evolutionary biology, and the development of faster quantum chemistry methods. The use of specific examples, in turn, will help illustrate the basic premise behind each of the principles. We believe that these unique perspectives highlight potential fallacies in applying these techniques broadly to all problems in natural sciences and engineering, without appropriate bounding of accuracy and precision, especially in areas related to the chemical and materials sciences.", "author_names": [ "Sushant Shankar", "Richard N Zare" ], "corpus_id": 226376605, "doc_id": "226376605", "n_citations": 0, "n_key_citations": 0, "score": 1, "title": "Chapter 20. A Few Guiding Principles for Practical Applications of Machine Learning to Chemistry and Materials", "venue": "", "year": 2020 }, { "abstract": "Bond dissociation energy (BDE) an indicator of the strength of chemical bonds, exhibits great potential for evaluating and screening high performance materials and catalysts, which are of critical importance in industrial applications. However, the measurement or computation of BDE via conventional experimental or theoretical methods is usually costly and involved, substantially preventing BDE from being applied to large scale and high throughput studies. Therefore, a potentially more efficient approach for estimating BDE is highly desirable. To this end, we have combined first principles calculations and machine learning techniques, including neural networks and random forest, to explore the inner relationships between carbonyl structure and its BDE. Results show that machine learning can not only effectively reproduce the computed BDEs of carbonyls, but also in turn serve as guidance for the rational design of carbonyl structure aimed at optimizing performance.", "author_names": [ "Hai-shan Yu", "Xijun Wang", "Jinxiao Zhang", "Sheng Ye", "Yan Huang", "Yi Luo", "Edward H Sharman", "Shilu Chen", "Jun Jiang" ], "corpus_id": 216074603, "doc_id": "216074603", "n_citations": 5, "n_key_citations": 0, "score": 0, "title": "Using Machine Learning to Predict the Dissociation Energy of Organic Carbonyls.", "venue": "The journal of physical chemistry. A", "year": 2020 }, { "abstract": "In their research, \"Combining automated microfluidic experimentation with machine learning for efficient polymerization design,\" published in Nature Machine Intelligence, the collaborators, including doctoral student Benjamin Rizkin, employed a custom designed, rapidly prototyped microreactor in conjunction with automation and in situ infrared thermography to study exothermic (heat generating) polymerization reactions that are notoriously difficult to control when limited experimental kinetic data are available. By pairing efficient microfluidic technology with machine learning algorithms to obtain high fidelity datasets based on minimal iterations, they were able to reduce chemical waste by two orders of magnitude and catalytic discovery from weeks to hours.", "author_names": [], "corpus_id": 215798149, "doc_id": "215798149", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Researchers design intelligent microsystem for faster, more sustainable industrial chemistry", "venue": "", "year": 2020 }, { "abstract": "Abstract We present a study for predicting 15 molecular properties through the combination of a quantum mechanical database, taken from the quantum chemistry QM9 database, and feed forward deep neural networks approaches. The aim of the work is to show that the combination of a priori computed ab initio information and machine learning can support experimental work to speed up the discovery and formulation of novel compounds. The importance of this work also relies on the fact that through this computer aided molecular design approach no approximate or heuristic contribution methods are needed for physical and thermodynamic properties information. We show that using proper hyper parameters tuning of deep neural networks is possible, even with modest computational resources, to design the chemical structure of compounds matching target molecular properties making them feasible for practical industrial applications in diverse areas such as energy, water, food, health and transport economical sectors.", "author_names": [ "Darinel Valencia-Marquez", "Antonio Flores-Tlacuahuac" ], "corpus_id": 225122524, "doc_id": "225122524", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Improving molecular design through a machine learning approach", "venue": "", "year": 2020 }, { "abstract": "A machine learning technique, namely support vector regression, is implemented to enhance single walled carbon nanotube (SWCNT) thin film performance for transparent and conducting applications. We collected a comprehensive dataset describing the influence of synthesis parameters (temperature and CO2 concentration) on the equivalent sheet resistance (at 90% transmittance in the visible light range) for SWCNT films obtained by a semi industrial aerosol (floating catalyst) CVD with CO as a carbon source and ferrocene as a catalyst precursor. The predictive model trained on the dataset shows principal applicability of the method for refining synthesis conditions towards advanced optoelectronic performance of multi parameter processes such as nanotube growth. Further doping of the improved carbon nanotube films with HAuCl4 results in the equivalent sheet resistance of 39 O/ the lowest value achieved so far for SWCNT films.", "author_names": [ "Eldar M Khabushev", "Dmitry V Krasnikov", "Orysia T Zaremba", "Alexey P Tsapenko", "Anastasia E Goldt", "Albert G Nasibulin" ], "corpus_id": 204833885, "doc_id": "204833885", "n_citations": 17, "n_key_citations": 0, "score": 0, "title": "Machine Learning for Tailoring Optoelectronic Properties of Single Walled Carbon Nanotube Films.", "venue": "The journal of physical chemistry letters", "year": 2019 }, { "abstract": "Finding the `ideal\" catalyst is a matter of great interest in the communities of chemists and material scientists, partly because of its wide spectrum of industrial applications. Information regarding a physical parameter termed `adsorption energy\" which dictates the degrees of adhesion of an adsorbate on a substrate is a primary requirement in selecting the catalyst for catalytic reactions. Both experiments and \\textit{in silico} modelling are extensively being used in estimating the adsorption energies, both of which are \\textit{Edisonian} approach and demands plenty of resources and are time consuming. In this paper, employing a data mining approach, we predict the adsorption energies of mono atomic and di atomic gases on the surfaces of many transition metals (TMs) in no times. With less than a set of 10 simple atomic features, our predictions of the adsorption energies are within a root mean squared error (RMSE) of 0.4 eV with the quantum many body perturbation theory estimates, a computationally expensive method with a good experimental agreement. Based on the important features obtained from machine learning models, we construct a set of mathematical equation using compressed sensing technique to calculate adsorption energy. We also shows that the RMSE can be further minimized up to 0.10 eV by using the pre computed adsorption energies obtained with conventional exchange and correlation (XC) functional by a new set of scaling relations.", "author_names": [ "Sanjay K Nayak", "Satadeep Bhattacharjee", "Jung-Hae Choi" ], "corpus_id": 208813903, "doc_id": "208813903", "n_citations": 6, "n_key_citations": 0, "score": 0, "title": "Machine Learning and Scaling Laws for Prediction of Accurate Adsorption Energy.", "venue": "The journal of physical chemistry. A", "year": 2019 }, { "abstract": "This five day workshop consisted of a two day school introducing machine learning techniques for materials science with a mixture of presentations and hands on tutorials, followed by a three day conference made up of invited presentations, contributed talks, a poster session and a number of panel discussions. The event drew participants from primarily chemistry, physics and computer science backgrounds, all with a focus on applying machine learning to materials discovery or understanding. Some of the invited talks were given by industrial participants, complementing the academic research presenting, and offering a different view. Coffee breaks and a conference dinner/poster session allowed plenty of time for networking amongst this diverse group.", "author_names": [ "James Cumby" ], "corpus_id": 199582898, "doc_id": "199582898", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Machine Learning for Materials Science Report 2019", "venue": "", "year": 2019 }, { "abstract": "Heterogeneous catalysis plays a significant role in the modern chemical industry. Towards the rational design of novel catalysts, understanding reactions over surfaces is the most essential aspect. Typical industrial catalytic processes such as syngas conversion and methane utilisation can generate a large reaction network comprising thousands of intermediates and reaction pairs. This complexity not only arises from the permutation of transformations between species but also from the extra reaction channels offered by distinct surface sites. Despite the success in investigating surface reactions at the atomic scale, the huge computational expense of ab initio methods hinders the exploration of such complicated reaction networks. With the proliferation of catalysis studies, machine learning as an emerging tool can take advantage of the accumulated reaction data to emulate the output of ab initio methods towards swift reaction prediction. Here, we briefly summarise the conventional workflow of reaction prediction, including reaction network generation, ab initio thermodynamics and microkinetic modelling. An overview of the frequently used regression models in machine learning is presented. As a promising alternative to full ab initio calculations, machine learning interatomic potentials are highlighted. Furthermore, we survey applications assisted by these methods for accelerating reaction prediction, exploring reaction networks, and computational catalyst design. Finally, we envisage future directions in computationally investigating reactions and implementing machine learning algorithms in heterogeneous catalysis.", "author_names": [ "Jiayan Xu", "Peijun Hu" ], "corpus_id": 234360201, "doc_id": "234360201", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Perspective on computational reaction prediction using machine learning methods in heterogeneous catalysis.", "venue": "Physical chemistry chemical physics PCCP", "year": 2021 }, { "abstract": "Quantitative analysis of complex mixtures is a great challenge for spectral analysis. Bisphenol A (BpA) is a chemical predominantly used in manufacturing and is being replaced by other analogs due to its potential toxicity. Reliability methods is hence crucial for identification and quantification of bisphenol mixtures. In this study we present an attractive strategy for composition determination of BpA incorporated in its analogue mixtures. Terahertz spectra of four bisphenol components are analyzed using machine learning method (SVR) to learn the underlying model of the frequency against the target concentration of BpA in mixtures. The learned mode predicts the concentrations of the unknown samples with decision coefficient R2 0.98. Absorption spectra for bisphenols mixtures were successfully reconstructed by a hold out validation scheme. The results indicate the terahertz spectroscopy in combination with SVR is robust and accurate in mixture quantitative analysis and should play a significant role for industrial applications in the future.", "author_names": [ "Yiwen Sun", "Jialiang Huang", "Lianxin Shan", "Shuting Fan", "Zexuan Zhu", "Xudong Liu" ], "corpus_id": 232113723, "doc_id": "232113723", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Quantitative analysis of bisphenol analogue mixtures by terahertz spectroscopy using machine learning method.", "venue": "Food chemistry", "year": 2021 }, { "abstract": "In the past decades the automation industry was evolving at modest pace, mainly driven by innovations in mechanical design and utilizing basic principles from physics or chemistry. What was already known was continuously improved and aspects like requirements for environmental and human protection increased over the years and were more and more formalized leading i.e. to complex procedures for safety designs. The innovations of the IT world which continuously gained speed especially over the last decade were taken over rather reluctantly. But recent trends show a growing push of IT technologies into every field of industrial application, frequently named as industry 4.0 or 4th industrial revolution. We will look at opportunities and threads created by the technological changes, touch preconditions for success and pitfalls for failure. Analyzing the past trends of both IT and industrial automation will allow us for some astonishing predictions for the next decade.", "author_names": [ "Attila Michael Bilgic" ], "corpus_id": 52117324, "doc_id": "52117324", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "The Disruptive Power of Machine Learning and OoT in Automation Industry", "venue": "2018 IEEE 12th International Symposium on Applied Computational Intelligence and Informatics (SACI)", "year": 2018 } ]
High k Gate Dielectrics
[ { "abstract": "Recent advances in flexible and stretchable electronics (FSE) a technology diverging from the conventional rigid silicon technology, have stimulated fundamental scientific and technological research efforts. FSE aims at enabling disruptive applications such as flexible displays, wearable sensors, printed RFID tags on packaging, electronics on skin/organs, and Internet of things as well as possibly reducing the cost of electronic device fabrication. Thus, the key materials components of electronics, the semiconductor, the dielectric, and the conductor as well as the passive (substrate, planarization, passivation, and encapsulation layers) must exhibit electrical performance and mechanical properties compatible with FSE components and products. In this review, we summarize and analyze recent advances in materials concepts as well as in thin film fabrication techniques for high k (or high capacitance) gate dielectrics when integrated with FSE compatible semiconductors such as organics, metal oxides, quantum dot arrays, carbon nanotubes, graphene, and other 2D semiconductors. Since thin film transistors (TFTs) are the key enablers of FSE devices, we discuss TFT structures and operation mechanisms after a discussion on the needs and general requirements of gate dielectrics. Also, the advantages of high k dielectrics over low k ones in TFT applications were elaborated. Next, after presenting the design and properties of high k polymers and inorganic, electrolyte, and hybrid dielectric families, we focus on the most important fabrication methodologies for their deposition as TFT gate dielectric thin films. Furthermore, we provide a detailed summary of recent progress in performance of FSE TFTs based on these high k dielectrics, focusing primarily on emerging semiconductor types. Finally, we conclude with an outlook and challenges section.", "author_names": [ "Binghao Wang", "Wenhao Huang", "Lifeng Chi", "Mohammed Al-Hashimi", "Tobin J Marks", "Antonio F Facchetti" ], "corpus_id": 29169033, "doc_id": "29169033", "n_citations": 256, "n_key_citations": 0, "score": 0, "title": "High k Gate Dielectrics for Emerging Flexible and Stretchable Electronics.", "venue": "Chemical reviews", "year": 2018 }, { "abstract": "We investigated the effects of various high k gate dielectrics as well as microwave annealing (MWA) calcination and a postcalcination oxygen plasma treatment on the electrical properties and stability of electrospun indium gallium zinc oxide (IGZO) nanofiber (NF) based field effect transistors (FETs) We found that the higher the dielectric constant of the gate dielectric, the better the electric field is transferred, resulting in the better performance of the IGZO NF FET. In addition, the MWA calcined IGZO NF FET was superior to the conventional furnace annealing calcined device in terms of the electrical properties of the device and the operation of resistor loaded inverter, and it was proved that the oxygen plasma treatment further improved the performance. The results of the gate bias temperature stress test confirmed that the MWA calcination process and postcalcination oxygen plasma treatment greatly improved the stability of the IGZO NF FET by reducing the number of defects and charge traps. This verified that the MWA calcination process and oxygen plasma treatment effectively remove the organic solvent and impurities that act as charge traps in the chemical analysis of NF using X ray photoelectron spectroscopy. Furthermore, it was demonstrated through scanning electron microscopy and ultraviolet visible spectrophotometer that the MWA calcination process and postcalcination oxygen plasma treatment also improve the morphological and optical properties of IGZO NF.", "author_names": [ "Seong-Kun Cho", "Won-Ju Cho" ], "corpus_id": 221720955, "doc_id": "221720955", "n_citations": 1, "n_key_citations": 0, "score": 1, "title": "Performance Enhancement of Electrospun IGZO Nanofiber Based Field Effect Transistors with High k Gate Dielectrics through Microwave Annealing and Postcalcination Oxygen Plasma Treatment", "venue": "Nanomaterials", "year": 2020 }, { "abstract": "Abstract A layer by layer, in situ H2 plasma treatment in each cycle of atomic layer deposition, referred to as \"atomic layer hydrogen bombardment\" (ALHB) is applied to improve electrical properties of ZrO2 high k gate dielectrics. The H2 plasma bombardment facilitates the adatom migration due to energy delivery to each as deposited monolayer from the H2 plasma. In addition, the H2 plasma treatment contributes to the removal of precursor ligands for the release of steric hindrance. Hence the ALHB treatment leads to film densification and suppression of oxygen vacancies of ZrO2, as evidenced by X ray reflectivity and X ray photoelectron spectroscopy characterizations. As a result, ~90% decrease of gate leakage current is achieved in the ZrO2 high k gate dielectrics with capacitance equivalent thicknesses of ~1.3 nm and ~0.6 nm in metal insulator semiconductor and metal insulator metal capacitors, respectively. The results manifest that the ALHB treatment is a promising technique to enhance dielectric and electrical characteristics of nanoscale thin films, for further progress of advanced devices such as sensors, solar cells, memories, and nanoelectronics.", "author_names": [ "K T Huang", "Teng-Jan Chang", "Chun-Yuan Wang", "Sheng-Han Yi", "Chin I Wang", "Yu-Sen Jiang", "Yu-Tung Yin", "Hsin-Chih Lin", "Miin-Jang Chen" ], "corpus_id": 214327199, "doc_id": "214327199", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Leakage current lowering and film densification of ZrO2 high k gate dielectrics by layer by layer, in situ atomic layer hydrogen bombardment", "venue": "", "year": 2020 }, { "abstract": "The total ionizing dose (TID) response of indium gallium arsenide (InGaAs) MOSFETs with Al<sub>2</sub>O<sub>3</sub> gate dielectrics and several channel lengths is evaluated under different biases. DC static characteristics show large negative threshold voltage <inline formula> <tex math notation=\"LaTeX\"$V_{\\text {th} /tex math>/inline formula> shifts and subthreshold stretchout <italic>SS</italic> indicating net positive charge trapping in the gate oxide and generation of the interface and border traps. Hysteresis and <inline formula> <tex math notation=\"LaTeX\"$I_{d} /tex math>/inline formula> <inline formula> <tex math notation=\"LaTeX\"$V_{\\text {gs} /tex math>/inline formula> measurements from cryogenic to high temperatures show the important role of defects in the Al<sub>2</sub>O<sub>3</sub> gate dielectric to the TID response. Radiation induced hole trapping is attributed to oxygen vacancies in the Al<sub>2</sub>O<sub>3</sub> The relatively large hysteresis in these devices is attributed primarily to dangling Al bonds in the near interfacial Al<sub>2</sub>O<sub>3</sub> Analysis of the temperature dependence of <inline formula> <tex math notation=\"LaTeX\"$V_{\\text {th} /tex math>/inline formula> and <italic>SS</italic> suggests that the rate at which electrons leave the Al<sub>2</sub>O<sub>3</sub> during a positive to negative gate bias sweep is higher than the rate at which they enter during a negative to positive gate bias sweep.", "author_names": [ "Stefano Bonaldo", "En Xia Zhang", "Simeng E Zhao", "Vamsi Putcha", "Bertrand Parvais", "Dimitri Linten", "Simone Gerardin", "Alessandro Paccagnella", "Robert A Reed", "Ronald D Schrimpf", "Daniel M Fleetwood" ], "corpus_id": 213460351, "doc_id": "213460351", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Total Ionizing Dose Effects in InGaAs MOSFETs With High k Gate Dielectrics and InP Substrates", "venue": "IEEE Transactions on Nuclear Science", "year": 2020 }, { "abstract": "The gate oxide layer in vertical double diffused metal oxide semiconductor transistors (VDMOS) plays an important role for the failure of device in harsh radiation environment. The effect of strike of an ion on VDMOS and its effect on the oxide layer with high k dieletric has been observed in this work. Single event gate rupture (SEGR) event for conventional and superjunction VDMOS with SiO2 Si3N4 stack structure is studied in radiation environment. Atlas EDA tool from Silvaco is used for device simulation. It has been observed that the superjunction VDMOS device with SiO2Si3N4 stacks provide better susceptibility for SEGR as compared to the conventional device. The SEGR susceptibility in proposed device is increased by 133% and the area specific on resistance is reduced for a linear energy transfer (LET) of 98 MeVcm2/mg. The physical mechanism regarding SEGR in superjunction VDMOS with SiO2 Si3N4 stack is explained. Such technique can hardened the proposed VDMOS structures that are used in designing of DC to DC converters for satellite in space radiation environment.", "author_names": [ "Sanjeev M Ranjan", "Saikat Majumder", "Alok Naugarhiya" ], "corpus_id": 218564330, "doc_id": "218564330", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "SEGR Hardened Superjunction VDMOS with High K Gate Dielectrics", "venue": "2020 International Conference on Power Electronics IoT Applications in Renewable Energy and its Control (PARC)", "year": 2020 }, { "abstract": "In this paper we presented the analysis of Carbon Nanotube Field Effect Transistors (CNFETs) using various high k gate dielectric materials. The objective of this work was to choose the best possible material for gate dielectric. This paper also presented the study on the effect of thickness of gate dielectric on the performance of the device. For the analysis (19, 0) CNT was considered because the diameter of (19, 0) CNT is 1.49nm and the CNFETs have been fabricated with the CNT diameter of ~1.5nm. It has been observed that La 2 O 3 is the best gate dielectric material followed by HfO 2 and ZrO 2 It was also observed that as thickness of gate dielectric material reduces, drain current of CNFET increases. The outcomes of this study matches with the analytical results and hence confirm the results", "author_names": [ "Ankit Dixit", "Navneet Gupta" ], "corpus_id": 216337995, "doc_id": "216337995", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Simulations of the CNFETs using different high k gate dielectrics", "venue": "", "year": 2020 }, { "abstract": "Despite the recent progress in SiC power MOSFET technology and its commercialization, the defective MOS interface still hampers the exploitation of the full potential of these devices. We present results using our high k gate stack technology that shows significantly reduced density of interface states (Dit) along with superior threshold voltage (VTH) stability for low voltage SiC power MOSFETs. The findings indicate virtually no VTH shift during static characterization as function of the starting gate voltage and its ramp. Furthermore, dynamic switching results show virtually no threshold voltage shift for $V_{GS,start} \\gt 12$V.", "author_names": [ "Stephan Wirths", "Yulieth Arango", "Andrei Mihaila", "Marco Bellini", "Gianpaolo Romano", "Giovanni Alfieri", "Manuel Belanche", "Lars Knoll", "E Bianda", "Elena Mengotti" ], "corpus_id": 221283144, "doc_id": "221283144", "n_citations": 2, "n_key_citations": 0, "score": 1, "title": "Vertical Power SiC MOSFETs with High k Gate Dielectrics and Superior Threshold Voltage Stability", "venue": "2020 32nd International Symposium on Power Semiconductor Devices and ICs (ISPSD)", "year": 2020 }, { "abstract": "As the technology is scaled down, short channel effects such as Vt variation, leakage current, gate oxide tunneling become predominant due to the reduction in gate oxide thickness. When the device is scaled down beyond 22 nm technology, the use of SiO2 as gate oxide increases tunneling current, thereby increase in power consumption and reduction in reliability of devices. Equivalent gate oxide thickness can be reduced by using high k dielectric materials. FinFET(a type of DG MOSFET) integrated with a high k gate dielectric is a promising device in the subthreshold region. Simulations were performed using Sentarus TCAD. The parameters such as threshold voltage, OFF current, transconductance were analyzed. Simulation results clearly state that Short Channel Effects have been reasonably controlled by using high k spacer material. Index terms FinFET, Short Channel Effects, Effective Oxide Thickness(EOT),High k gate dielectric, SiO2, HfO2", "author_names": [ "Meenakshi Kailasam", "Murugesan Govindasamy" ], "corpus_id": 229938412, "doc_id": "229938412", "n_citations": 0, "n_key_citations": 0, "score": 1, "title": "Impact Of High K Gate Dielectrics On Short Channel Effects Of DG N Finfet", "venue": "", "year": 2020 }, { "abstract": "Because the dielectric constant (K) the leakage current density (Jg) and the interfacial state density (Dit) are critical to high K gate dielectrics, the layer by layer, in situ atomic layer bombardment (ALB) is proposed and explored to enhance these electrical properties in this study. The in situ helium/argon plasma bombardment was performed layer by layer in each cycle of atomic layer deposition (ALD) for preparing high K gate dielectrics. As compared with the untreated high K layer, the ALB treatment contributes to a significant reduction in Jg by ~3 orders of magnitude, together with an ~11% increase of K value and a decrease of Dit, of high K gate dielectrics. The suppressed Jg and the enhanced K value are ascribed to an increase of film density and a decrease of oxygen vacancies in the ZrO2 layer by the ALB treatment. The atomic annealing effect due to the ALB technique contributes to the decrease of Dit. The result demonstrates that the ALD together with the ALB technique is highly effective to", "author_names": [ "Teng-Jan Chang", "Wei-Hao Lee", "Chin I Wang", "Sheng-Han Yi", "Yu-Tung Yin", "Hsin-Chih Lin", "Miin-Jang Chen" ], "corpus_id": 197613644, "doc_id": "197613644", "n_citations": 6, "n_key_citations": 0, "score": 0, "title": "High K Gate Dielectrics Treated with in Situ Atomic Layer Bombardment", "venue": "ACS Applied Electronic Materials", "year": 2019 }, { "abstract": "Abstract Low temperature processable gate dielectrics with high dielectric constants, low leakage current, and good electrical/mechanical stabilities are largely pursued in diverse electronics including flexible transistors, wearable sensors, integrated logic circuits, and various optoelectronic devices. Here, we report low temperature photochemically activated gate dielectrics based on a lanthanum oxide aluminum oxide (La2O3 Al2O3 or LAO) alloy system for a utilization in flexible metal oxide thin film transistors (TFTs) With proper alloying of La2O3 and Al2O3 at an optimal ratio, synergetic effects could be achieved from both gate dielectric materials, high dielectric constant and excellent insulating properties. With a La:Al ratio of 2:8, LAO gate dielectrics with high dielectric constant (k 10.72) low surface roughness (0.517 nm) low leakage current density (1.7 x 10 10 A cm 2 @2 MV cm 1) and high breakdown field ~4.8 MV cm 1) were achieved. By utilizing the photo annealed LAO as a gate dielectric, low operating voltage =5 V) solution processed indium gallium zinc oxide (IGZO) TFTs having saturation mobility of 8.5 3.25 cm2 V 1s 1, linear mobility of 10.8 2.03 cm2 V 1s 1, subthreshold slope of 0.228 V dec 1, and on/off ratio of ~105 are demonstrated. Furthermore, the fabricated IGZO TFTs exhibited negligible hysteresis characteristics", "author_names": [ "Jaeyoung Kim", "Minho Kim", "Youngjin Kang", "Kyung-tae Kim", "Jae Sang Heo", "Sung Kyu Park", "Yong-Hoon Kim" ], "corpus_id": 219745503, "doc_id": "219745503", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Photoactivated high k lanthanum oxide aluminum oxide (La2O3 Al2O3) alloy type gate dielectrics for low voltage operating flexible transistors", "venue": "", "year": 2020 } ]
The Transmitter of the Visible Light Communication System
[ { "abstract": "In recent years, semiconductor lighting technology, also known as green lighting, has been developed rapidly. The light emitting diode (LED) is one of the most promising solid green light sources of the twenty first century.", "author_names": [ "Nan Chi" ], "corpus_id": 139619306, "doc_id": "139619306", "n_citations": 1, "n_key_citations": 0, "score": 1, "title": "The Transmitter of the Visible Light Communication System", "venue": "", "year": 2018 }, { "abstract": "The method of implementations visible light communication system and the transmitting and receiving devices, including post transmitting side data to be sent constellation modulation, maps the modulated signal to the light source corresponding to and data to be sent optical signal transmitted through; receiving end will receive the the optical signal into an electrical signal, and determines the signal constellation of modulated light source according to the received signal corresponding to the received data obtained after demodulation. In the present invention, the transmission end in accordance with the visible light source is placed constellation modulation constellation, and the data to be transmitted is a signal obtained after the modulation constellation, mapped onto constellation points corresponding to the position of light source and driving the light source, so that by an optical signal transmitted data to be sent to the receiver; the receiver terminal determines the corresponding constellation point constellation according to a modulation signal source emitting a position, to acquire data from the sender. By the process of the present invention, to achieve a visible light communication, a visible light to meet the future demand scenarios.", "author_names": [ "" ], "corpus_id": 133027750, "doc_id": "133027750", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Implemented method of visible light communication system and transmitter and receiver means", "venue": "", "year": 2013 }, { "abstract": "Due to limited interference range, the advantage of visible light communication (VLC) over wireless fidelity (WiFi) lies more in the unit area transmission rate rather than the single link transmission rate. To characterize the achievable transmission rate per unit area, we consider an indoor downlink VLC network with dense attocell configuration for the transmitters with single color light emitting diode (LED) and multi color LEDs, assuming binomial distributed users. We divide each attocell into central region and boundary region, and propose the transmission protocols based on such attocell division. We optimize the LED half power angle to maximize the mean achievable transmission rate per unit area. More specifically, we investigate the rates of cell center and cell boundary users under fairness consideration for the single LED transmitter system. We characterize the mean achievable transmission rate per unit area under the white light constraints in the multiple LEDs transmitter system. The performance of the proposed transmission protocols is evaluated by numerical results.", "author_names": [ "Jiaojiao Xu", "Chen Gong", "Jianghua Luo", "Zhengyuan Xu" ], "corpus_id": 220606788, "doc_id": "220606788", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "LED Half Power Angle Optimization for Ultra Dense Indoor Visible Light Communication Network Deployment", "venue": "IEEE Open Journal of the Communications Society", "year": 2020 }, { "abstract": "A high efficiency linear assisted Visible Light Communication (VLC) LED driver working as a transmitter is presented in this work. A linear assisted transmitter is based on the idea of using a high efficiency but bandwidth limited circuitry which delivers most of the power and a low efficiency but fast linear amplifier (linear assistance) which corrects the distortion of the signal. The presented solution takes advantage of the light in a VLC system by summing the contribution of the linear amplifier in light instead of electrically, which leads to a electrical isolation between both stages and a reduction of the complexity comparing to the traditional approach used in Envelope Tracking (ET) and Envelope Elimination and Restoration (EER) techniques. As experimental results, the design of a linear assisted Class E amplifier delivering a 16 PSK phase digital modulation with a 1 MHz carrier is presented. On one hand, the Class E amplifier delivers most of the power (92% of the signal power) at high efficiency (81 and, on the other hand, the linear amplifier only delivers the error signal at lower efficiency. The efficiency of the signal circuitry is up to 75% and the efficiency of the whole system considering the signal and the LED biasing circuitry is up to 85%", "author_names": [ "Daniel Garcia Aller", "Diego G Lamar", "Pablo Fernandez Miaja", "Juan Rodriguez", "Javier Sebastian" ], "corpus_id": 209321156, "doc_id": "209321156", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Design of a Linear Assisted VLC LED Transmitter Based on Summing the Light", "venue": "IECON 2019 45th Annual Conference of the IEEE Industrial Electronics Society", "year": 2019 }, { "abstract": "The emergence of visible light communication (VLC) as a subset of optical wireless communication (OWC) in the early 2000s has turned any light emitting diode (LED) source into a potential data transmitter. The design process for any VLC or OWC system typically involves a link budget analysis performed by studying the signal to noise ratio (SNR) at the receiver. Since this SNR strongly depends on the radiant flux collected by the receiver, an accurate model for this parameter is required. The point source model has been widely used since 1979 and generally provides a good approximation of the received radiant flux. However, it might be less accurate for typical extended lighting sources like LED panels or large area organic LEDs. In this paper, the radiant flux distribution of flat Lambertian rectangular or circular sources is derived from a vector analysis of their irradiance. It is then validated through actual measurements in the case of a circular source. The resulting extended source models thus better capture the light beam pattern of such transmitters to enable a more accurate link budget. It provides at the same time almost identical results to the point source model for small sources and can, therefore, be seen as a natural extension of this already widely used model.", "author_names": [ "Juan Camilo Valencia-Estrada", "Bastien Bechadergue", "Jorge Garcia-Marquez" ], "corpus_id": 220938199, "doc_id": "220938199", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Full Field Radiant Flux Distribution of Multiple Tilted Flat Lambertian Light Sources", "venue": "IEEE Open Journal of the Communications Society", "year": 2020 }, { "abstract": "In this paper, we propose a multi hop transmission system using visible light communication to transmit audio data. In our proposed transmission system, at the transmitter we encode audio data based on S/PDIF standard a popular standard for digital audio signal, and transmit the encoded audio signal via general LED. At each relay, digital audio signal is improved and amplified before sending. At the receiver, encoded audio signal from photodiode (PD) is decoded, amplified and coverted to analog audio signal. We evaluate our proposed transmission system in a room with flourescent light source. The audio signals obversed at the receiver show that with the support of relays, our proposed transmission system can provide high quality audio transmission from transmiter to receiver via multi hop relays at a long distance.", "author_names": [ "Le The Dung", "Seungwan Jo", "Beongku An" ], "corpus_id": 20771567, "doc_id": "20771567", "n_citations": 10, "n_key_citations": 1, "score": 0, "title": "VLC Based Multi hop Audio Data Transmission System", "venue": "GPC", "year": 2013 }, { "abstract": "In this paper, we present and evaluate a practical two way communication system that employs LED as both transmitting and receiving device. Specially, by employing analog switch to isolate transmitting receiving circuits and using microcontroller to control two different data flows going through this analog switch, we build a simple prototype consisting of two transceivers and several relays to demonstrate the possibility of efficiently delivering bi directional text message between two computer users via one hop and multi hop through visible light communication channel. Our proposed system can be further developed to transfer multimedia data which can be considered as an alternative to radio as communication medium.", "author_names": [ "Le The Dung", "Seungwan Jo", "Beongku An" ], "corpus_id": 43089195, "doc_id": "43089195", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Demonstration of Low Complexity LED to LED Two Way Visible Light Communication System", "venue": "2016 International Symposium on Computer, Consumer and Control (IS3C)", "year": 2016 }, { "abstract": "Visible light communications (VLC) is a short range optical wireless communication technology that uses light emitting diodes (LEDs) as lighting devices and data transmitters. This paper describes a multiuser VLC system using Hadamard coded modulation (HCM) for indoor data transmission. Considering the peak transmitted power limit of the LEDs, a DC reduced HCM (DCR HCM) is used to reduce the nonlinear clipping distortion. Since the Hadamard codewords have different bandwidth requirements for a given symbol rate, they can be assigned to users with varying hardware capabilities. Optimally assigning codewords to users is found to significantly improve the average throughput, up to twice higher than a random assignment for a typical scenario. When the number of active users is less than the size of the Hadamard matrix used, more than one codeword can be assigned per user, which further improves the throughput. This paper also examines a scenario where multiple lamps in an indoor space transmit the same data. Since the time of arrival for the received signals emitted from different lamps is different, the Hadamard codes received are no longer orthogonal, resulting in multiple access interference and inter chip interference. The number of acceptable codewords is computed based on the specific interference experienced in different parts of the indoor space. The spatial distribution of the maximum throughput is also simulated, showing that the ratio of the maximum to the minimum data rate can be as high as 10 when considering the entire area of a typical indoor room. This article is part of the theme issue 'Optical wireless communication'", "author_names": [ "Jie Lian", "Mohammad Noshad", "Maite Brandt-Pearce" ], "corpus_id": 211573396, "doc_id": "211573396", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Indoor multiuser visible light communication systems using Hadamard coded modulation", "venue": "Philosophical Transactions of the Royal Society A", "year": 2020 }, { "abstract": "The asynchronous nature of smartphone to smartphone (S2S) based on visible light communication (VLC) imposes a significant challenge on the speed of camera based receiver processing time and algorithm. Recent improvements on the smartphone camera hardware and the current release of the highly customised camera2 application programming interface (Camera2 API) have increased the smartphone's computational capability. This paper presents a comparative study of the acceleration frameworks, which can be used for image processing on Android device to maximize the code performance, thus reducing the computational time of data frame detection. An experimental S2S VLC system is developed for evaluation of the graphical processing unit acceleration (GPU) Android runtime (ART) and native development kit (NDK) based algorithms for processing the captured data. In addition, we determine the total number of processed pixels for multiple frames with the maximum possible detection frequency for S2S VLC. Using the additive property of RGB colour space, two sets of experiments are implemented: firstly the conversion from YUV to RGBA (Red Green Blue Alpha) using all of the available colour based data, which leads to ~500% of improvement in colour conversion time using NDK compared to ART. A gain of 200% is also achieved compared to GPU based algorithms. Secondly, the grayscale filtered YUV to RGBA conversion shows that NDK processing time is 200% faster than the direct ART, which outperforms GPU conversion at lower frame sizes. From the results findings, we propose an optimal approach for camera based VLC application development using Android smartphones.", "author_names": [ "Hubert Dzieciol", "Hoa Le Minh", "Zabih Ghassemlooy", "Pham Tien Dat", "Tran The Son" ], "corpus_id": 52895487, "doc_id": "52895487", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Comparative Study of Image Processing Performance of Camera Based Visible Light Communication Using Android Acceleration Frameworks", "venue": "2018 11th International Symposium on Communication Systems, Networks Digital Signal Processing (CSNDSP)", "year": 2018 }, { "abstract": "This paper proposes a novel design for a PC to PC USB based indoor visible light communications system. The USB interface enables the design to be suitable not only for a PC but also for a variety of mobile devices. The experimental results show that the bandwidth of the established VLC link reaches 8.0 MHz with a measured bit error rate of 10e 5 spanning a distance of 2.0m. The data communication uses the ON OFF keying non return zero (OOK NRZ) format, which is sufficient for transferring a high definition Youtube video stream.", "author_names": [ "Tran The Son", "Andrew Burton", "Hoa Le Minh", "Dang Quang Hien" ], "corpus_id": 201067280, "doc_id": "201067280", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Experimental study of PC to PC over a visible light channel using Li Fi USB dongle", "venue": "2019 26th International Conference on Telecommunications (ICT)", "year": 2019 } ]
Nitrogen-doped graphene based triboelectric nanogenerators
[ { "abstract": "Abstract Harvesting all sources of available clean energy is an essential strategy to contribute to healing current dependence on non sustainable energy sources. Recently, triboelectric nanogenerators (TENGs) have gained visibility as new mechanical energy harvester offering a valid alternative to batteries, being particularly suitable for portable devices. Here, the increased capacitance of a few layer graphene based electrode is obtained by incorporating nitrogen doped graphene (N graphene) enabling a 3 fold enhancement in TENGs' power output. The dependence of TENGs' performance on the electronic properties of different N graphene types, varying in the doping concentration and in the relative content of N pyridinic and N graphitic sites is investigated. These sites have different electron affinities, and synergistically contribute to the variation of the capacitive and resistive properties of N graphene and consequently, TENG performance. It is demonstrated that the power enhancement of the TENG occurs when the N graphene, an n semiconductor, is interfaced between the positive triboelectric material and the electrode, while a deterioration of the electrical performance is observed when it is placed at the interface with the negative triboelectric material. This behavior is explained in terms of the dependence of N graphene quantum capacitance on the electrode chemical potential which shifts according to the opposite polarization induced at the two electrodes upon triboelectrification.", "author_names": [ "Giuseppina Pace", "Michele Serri", "Antonio Esau Del Rio Castillo", "Alberto Ansaldo", "Simone Lauciello", "Mirko Prato", "Lea Pasquale", "Jan Luxa", "Vlastimil Maz'anek", "Zdenek Sofer", "Francesco Bonaccorso" ], "corpus_id": 236389186, "doc_id": "236389186", "n_citations": 0, "n_key_citations": 0, "score": 1, "title": "Nitrogen doped graphene based triboelectric nanogenerators", "venue": "", "year": 2021 }, { "abstract": "", "author_names": [ "Zhaoxiang Liu", "Zhizhen Zhao", "Xiangwen Zeng", "Xiuli Fu", "Youfan Hu" ], "corpus_id": 164586490, "doc_id": "164586490", "n_citations": 6, "n_key_citations": 0, "score": 0, "title": "Ultrathin, flexible and transparent graphene based triboelectric nanogenerators for attachable curvature monitoring", "venue": "Journal of Physics D: Applied Physics", "year": 2019 }, { "abstract": "Abstract Zinc oxide (ZnO) acting as a versatile material for electronic and optoelectronic devices shows promising applications in triboelectric nanogenerator (TENG) based self powered electronics. However, the output performances of ZnO based TENG are relatively low and the origin of contact electrification in the ZnO based TENG is unclear. Here, we fabricate a TENG by using ZnO film and polyimide (PI) acting as positive and negative friction layers, respectively. The work function of the ZnO film is modified by doping with elemental Al, and the conductivity of the ZnO film is additionally enhanced by the presence of graphene oxide sheets. The output performance is greatly improved and it is sensitive to the work function of ZnO film. These results reveal that electron transfer is the dominant process in contact electrification for ZnO based TENGs. This work provides significant insight into understanding the contact electrification properties of ZnO, thus allowing optimization of ZnO based self powered devices.", "author_names": [ "Dianlun Li", "Chaoxing Wu", "Lu Ruan", "Jiaxin Wang", "Zhirong Qiu", "Kun Qi Wang", "Ye Liu", "Yufei Zhang", "Tailiang Guo", "Jintang Lin", "Tae Whan Kim" ], "corpus_id": 219409719, "doc_id": "219409719", "n_citations": 12, "n_key_citations": 0, "score": 0, "title": "Electron transfer mechanisms for confirmation of contact electrification in ZnO/polyimide based triboelectric nanogenerators", "venue": "", "year": 2020 }, { "abstract": "The energy crisis and the rise of flexible electronics call for a renewable and flexible energy source due to the inconvenience of the traditional power supply. A triboelectric nanogenerator (TENG)", "author_names": [ "Huamin Chen", "Shaochun Zhang", "Yuxiao Zou", "Cheng Zhang", "Biao Zheng", "Chunlei Huang", "Bingwen Zhang", "Chao Xing", "Yun Xu", "Jianglong Wang" ], "corpus_id": 216515287, "doc_id": "216515287", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "Performance Enhanced Flexible Triboelectric Nanogenerator Based on Gold Chloride Doped Graphene", "venue": "", "year": 2020 }, { "abstract": "High demand for green and eco friendly triboelectric nanogenerators (TENGs) have multiplied the importance of their degradability for biomedical applications. However, the charge generation of the current eco friendly TENGs is generally limited. In this research, a flexible TENG based on Silk fibroin (SF) fibrous layer and polycaprolactone (PCL)/graphene oxide (GO) fibrous layer was developed. Moreover, PCL/GO layer was surface modified using various concentrations of GO (0, 1.5, 3, 6 and 9 wt% We demonstrated that surface modification using GO nanosheets significantly improved the output of TENG. Noticeably, the optimized GO modified layer resulted in the voltage of 100 V, current of 3.15 mA/ and power density of 72 mW/ Moreover, a thin PCL layer applied as the encapsulation layer does not significantly modulate the performance of the TENG. Furthermore, during 28 days of soaking in a buffer phosphate solution, the proposed TENG could successfully generate electricity. The TENG was also proposed for electrical stimulation of PC12 cells. Results confirmed that this self powered electrical stimulator could promote the attachment and proliferation of PC12 cells. Therefore, an eco friendly and cost effective TENG based on GO modified PCl/GO and silk fibrous layers shows potential to be used as a power source for biomedical applications.", "author_names": [ "Samira Parandeh", "Mahshid Kharaziha", "Fathallah Karimzadeh", "Farzad Hosseinabadi" ], "corpus_id": 219329508, "doc_id": "219329508", "n_citations": 10, "n_key_citations": 0, "score": 0, "title": "Triboelectric nanogenerators based on graphene oxide coated nanocomposite fibers for biomedical applications.", "venue": "Nanotechnology", "year": 2020 }, { "abstract": "A triboelectric nanogenerator (TENG) electrode sensitive to the adsorption of water molecules has been introduced to create a self powered humidity sensor. Graphene oxide (GO) nanosheets and graphene oxide nanoribbon (GONR) possessing oxygenated functional groups, as well as high dielectric constants, have been proposed as appropriate candidates for this purpose. GO papers have been fabricated in three forms, i.e. pure GO paper, uniform composites of GONR and GO, and double layer structures of GONR on top of GO. Results showed that all of the prepared paper based TENGs revealed excellent performances by maximum output voltage above 300 V. As active humidity sensors, the maximum voltage response values of 57% 124% and 78% were obtained for GO, GONR+GO, and GONR/GO TENGs, respectively. Besides high sensitivity and precision of all variants, GO+GONR TENG demonstrated a rapid response/recovery behavior (0.3/0.5 s) This phenomenon can be attributed to the higher oxygenated groups and defects on the edges of GONR, which leads to facilitating the bulk diffusion of water molecules. Our results open new avenues of GONR application as an additive to enhance the performance of self powered humidity sensors, as well as conventional hygrometers.", "author_names": [ "Faezeh Ejehi", "Raheleh Mohammadpour", "Elham Asadian", "Somayeh Fardindoost", "Pezhman Sasanpour" ], "corpus_id": 235801398, "doc_id": "235801398", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Enhancement of self powered humidity sensing of graphene oxide based triboelectric nanogenerators by addition of graphene oxide nanoribbons", "venue": "Microchimica Acta", "year": 2021 }, { "abstract": "Nowadays, great effort has been devoted to establishing wearable electronics with excellent stretchability, high sensitivity, good mechanical strength and multifunctional characteristics. Herein, a soft conductive hydrogel is rationally designed by proportionally mixing silk fibroin, polyacrylamide, graphene oxide and poly(3,4 ethylenedioxythiophene):poly(styrene sulfonate) The resultant hydrogel has considerable stretchability and compressibility, which enables to be assembled into a strain/pressure sensor with a wide sensing range (strain: 2% 600% pressure: 0.5 kPa 119.4 kPa) and reliable stability. Then, the corresponding sensor is capable to monitor a series of physical signals of human body (e.g. joint movement, facial gesture, pulse, breathing, etc. Especially, the hydrogel based sensor is biocompatible with no anaphylactic reaction on human skin. More interestingly, this conductive hydrogel exhibits positive response when it works in a triboelectric nanogenerator, consequently lighting up 20 commercial green light emitting diodes. Thus, this silk fibroin based hydrogel is a kind of multifunctional material towards wearable electronics with versatile applications in health and exercise monitors, soft robots and power source.", "author_names": [ "Faliang He", "Xingyan You", "Hao Gong", "Yun Yang", "Tian Bai", "Weiguo Wang", "Wenxi Guo", "Meidan Ye" ], "corpus_id": 210814321, "doc_id": "210814321", "n_citations": 65, "n_key_citations": 0, "score": 0, "title": "Stretchable, Biocompatible and Multifunctional Silk Fibroin based Hydrogels towards Wearable Strain/Pressure Sensors and Triboelectric Nanogenerators.", "venue": "ACS applied materials interfaces", "year": 2020 }, { "abstract": "Abstract In this research, an eco friendly triboelectric nanogenerator (TENG) with high output performance based on poly(caprolactone) (PCL)/graphene oxide (GO) and cellulose paper was developed. PCL/GO fibrous layers consisting of various concentrations of GO nanosheets (0, 0.5, 1, 2, 4 and 8 wt% were fabricated using a cost effective and simple electrospinning approach. Moreover, the role of GO concentration as well as the topography, thickness, and size of friction layers on the triboelectric performance was investigated. We realized that PCL/4 wt% GO cellulose paper produced an open circuit voltage, current density and maximum power density up to 120 V, 2.5 mA/m2 and 72.5 mW/m2, respectively. Results demonstrated that this open circuit voltage was 33% greater than that of flat PCL/4 wt% GO layer, confirming the role of the fibrous structure to promote charge density accumulation. Moreover, a 98% increase in the open circuit voltage was calculated for the TENG containing PCL 4 wt% GO with the dimension of 4 x 4 cm2. The output of triboelectric nanogenerator, driven by human hand tapping, was enough to light up at least 21 blue light emitting diodes (LEDs) continuously. This higher performance was attributed to the formation of nanopores and strengthened negative charges on PCL from the fibrous structure and oxygen functional groups of GO, respectively. We believed that the suggested triboelectric nanogenerator with high performance, low cost and easy fabrication process, as well as biocompatibility, has the potential to be applied for eco friendly power sources to resolve the electronic waste issue and for self powered biomedical devices.", "author_names": [ "Samira Parandeh", "Mahshid Kharaziha", "Fathallah Karimzadeh" ], "corpus_id": 139837493, "doc_id": "139837493", "n_citations": 43, "n_key_citations": 0, "score": 0, "title": "An eco friendly triboelectric hybrid nanogenerators based on graphene oxide incorporated polycaprolactone fibers and cellulose paper", "venue": "Nano Energy", "year": 2019 }, { "abstract": "Abstract Triboelectric nanogenerator (TENG) as a promising energy source, has attracted widespread attention for wearable applications due to its outstanding characteristics. Many approaches have been applied to improve the output performance. However, as an important development tendency, the stretchability and power density of stretchable TENG are far away from practical application. In this study, we developed a performance enhanced stretchable TENG based stretchable crumpled graphene (CG) The CG based TENG could generate output performance of 83.0 V and 25.78 mA. While the power density is 0.25 mW/cm2, which is 20 times over that of planar graphene based TENG. It was found that the output performance was proportional to the crumple degree. The remarkable enhancement is contributed to not only the enhanced effective contact area and surface roughness, but larger work function difference. This discovery provides a novel and simple physical method to control the work function of two dimensional material. The stretchable TENG could withstand large strain up to 120% while the output performance was quite stable. It could harvest energy under various complex deformation encountered in practical wearable situations. This work investigated the effect of crumple nanostructure on the performance of TENG and demonstrated a performance enhanced highly stretchable TENG, which proves a potential approach to developing practical stretchable TENG for wearable applications.", "author_names": [ "Huamin Chen", "Yun Xu", "Jiushuang Zhang", "Weitong Wu", "Guofeng Song" ], "corpus_id": 139582341, "doc_id": "139582341", "n_citations": 36, "n_key_citations": 0, "score": 0, "title": "Enhanced stretchable graphene based triboelectric nanogenerator via control of surface nanostructure", "venue": "Nano Energy", "year": 2019 }, { "abstract": "Abstract Wearable mechanical energy harvesting technologies have been achieved much attention for the wireless sustainable power source applications. In this study, we have fabricated polyvinylidene fluoride (PVDF)/graphene quantum dot (GQD) composite nanofibers (NFs) which showed improved triboelectric nanogenerator (TENG) performance. PVDF/GQD composite NFs were fabricated by an electrospinning method. Structural and chemical investigations show that the GQDs were embedded in the PVDF NFs and promoted the formation of polar b phase when an optimal amount of GQDs was incorporated. The PVDF/GQD NFs showed strong photoluminescence at a wavelength of 453 nm, which was attributed to the electronic transitions in the GQDs. As the GQD content increased from 0 to 5 vol% the maximum output power from TENG devices increased from 35 to 97 mW but decreased with further additions of GQDs. The enhancement and degradation of the TENG performance with increasing the GQD contents were due to the enhanced formation of polar b phase and the detrimental effect of conductive GQDs for charge trapping, respectively.", "author_names": [ "Geon-Ju Choi", "Seong-Ho Baek", "Sang-Seok Lee", "Firoz Shah Tuglak Khan", "Jae Hyun Kim", "I K Park" ], "corpus_id": 181651034, "doc_id": "181651034", "n_citations": 13, "n_key_citations": 0, "score": 0, "title": "Performance enhancement of triboelectric nanogenerators based on polyvinylidene fluoride/graphene quantum dot composite nanofibers", "venue": "Journal of Alloys and Compounds", "year": 2019 } ]
Active graphene–silicon hybrid diode for terahertz waves
[ { "abstract": "Controlling the propagation properties of the terahertz waves in graphene holds great promise in enabling novel technologies for the convergence of electronics and photonics. A diode is a fundamental electronic device that allows the passage of current in just one direction based on the polarity of the applied voltage. With simultaneous optical and electrical excitations, we experimentally demonstrate an active diode for the terahertz waves consisting of a graphene silicon hybrid film. The diode transmits terahertz waves when biased with a positive voltage while attenuates the wave under a low negative voltage, which can be seen as an analogue of an electronic semiconductor diode. Here, we obtain a large transmission modulation of 83% in the graphene silicon hybrid film, which exhibits tremendous potential for applications in designing broadband terahertz modulators and switchable terahertz plasmonic and metamaterial devices.", "author_names": [ "Quan Li", "Zhen Tian", "Xueqian Zhang", "Ranjan Singh", "Liang Liang Du", "Jianqiang Gu", "Jiaguang Han", "Weili Zhang" ], "corpus_id": 17789384, "doc_id": "17789384", "n_citations": 165, "n_key_citations": 0, "score": 1, "title": "Active graphene silicon hybrid diode for terahertz waves", "venue": "Nature communications", "year": 2015 }, { "abstract": "With simultaneous optical and electrical excitations, we experimentally demonstrate an active modulation of transmitted terahertz waves through a graphene silicon hybrid structure. A large transmission modulation of 83% was observed. Meanwhile, a \"diode\" behavior was found in such a structure, where terahertz waves transmits when biased with a positive voltage while attenuates under a low negative voltage.", "author_names": [ "Quan Li", "Xueqian Zhang", "Zhen Tian", "Ranjan Singh", "Liang Liang Du", "Jianqiang Gu", "Chunmei Ouyang", "Jiaguang Han", "Weili Zhang" ], "corpus_id": 9775798, "doc_id": "9775798", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Active terahertz modulations based on graphene silicon hybrid structures", "venue": "2015 40th International Conference on Infrared, Millimeter, and Terahertz waves (IRMMW THz)", "year": 2015 }, { "abstract": "Metamaterials offer enormous opportunities and unprecedented functionalities to manipulate electromagnetic waves enabling promising applications such as invisibility cloaking, superfocusing and subwavelength confinement. The exotic electromagnetic behavior of metamaterials was dramatically empowered by dynamic control through incorporation of active media. A prominent example is a graphene metamaterial an integration of a two dimensional monolayer graphene with a planar metasurface, where the unique optical and electronic properties of graphene is inherited by the metamaterial, thus opening up fascinating possibilities in electromagnetic wave control. Here, through a combination of continuous wave (CW) optical illumination and electrical gating, we demonstrate a giant active modulation of terahertz waves in a graphene silicon hybrid metamaterial at extremely low bias voltages. The highly tunable characteristics of the graphene metamaterial device under electrical bias and optical illumination open up new avenues for graphene based high performance integrated active photonic devices compatible with the silicon technology.", "author_names": [ "Quan Li", "Zhen Tian", "Xueqian Zhang", "Ningning Xu", "Ranjan Singh", "Jianqiang Gu", "Peng Lv", "Lin-Bao Luo", "Shuang Zhang", "Jiaguang Han", "Weili Zhang" ], "corpus_id": 54817780, "doc_id": "54817780", "n_citations": 63, "n_key_citations": 0, "score": 0, "title": "Dual control of active graphene silicon hybrid metamaterial devices", "venue": "", "year": 2015 }, { "abstract": "Broadband terahertz (THz) impedance matching is important for both spectral resolution improvement and THz anti radar technology. Herein, graphene silicon hybrid structure has been proposed for active broadband THz wave impedance matching with optical tunability. The main transmission pulse measured in the time domain indicates a modulation depth as high as 92.7% totally from the graphene silicon interface. The interface reflection from the graphene silicon junction implies that an impedance matching condition can be actively achieved by optical doping. To reveal the mechanism, we propose a graphene silicon heterojunction model, which gives a full consideration of both the THz conductivity of graphene and the loss in doped junction layer. The theory fits well with the experimental results. This work proves active THz wave manipulation by junction effect and paves the way for active anti reflection coating for THz components.", "author_names": [ "Wanyi Du", "Yixuan Zhou", "Zehan Yao", "Yuanyuan Huang", "Chuan He", "Longhui Zhang", "Yuhang He", "Lipeng Zhu", "Xinlong Xu" ], "corpus_id": 73412288, "doc_id": "73412288", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "Active broadband terahertz wave impedance matching based on optically doped graphene silicon heterojunction.", "venue": "Nanotechnology", "year": 2019 }, { "abstract": "A combination of continuous wave optical illumination and electrical gating leads to a giant active modulation ~61% of terahertz waves in a graphene silicon hybrid metamaterial at extremely low gate bias voltages (1 V) The highly tunable characteristics of the graphene metamaterial device under electrical bias and optical illumination open up new avenues for graphene based high performance integrated active devices compatible with the silicon technology.", "author_names": [ "Quan Li", "Zhen Tian", "Xueqian Zhang", "Ningning Xu", "Ranjan Singh", "Jianqiang Gu", "Jiaguang Han", "Shuang Zhang", "Weili Zhang" ], "corpus_id": 31234155, "doc_id": "31234155", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Active graphene silicon hybrid metamaterial devices", "venue": "2014 39th International Conference on Infrared, Millimeter, and Terahertz waves (IRMMW THz)", "year": 2014 }, { "abstract": "Tunable modulations of terahertz waves in a graphene/ferroelectric layer/silicon hybrid structure are demonstrated at low bias voltages. The modulation is due to the creation/elimination of an extra barrier in Si layer in response to the polarization in the ferroelectric Si:HfO2 layer. Considering the good compatibility of HfO2 with the Si based semiconductor process, the highly tunable characteristics of the graphene metamaterial device under ferroelectric effect open up new avenues for graphene based high performance integrated active photonic devices compatible with the silicon technology.", "author_names": [ "Ran Jiang", "Zheng-Ran Wu", "Zuyin Han", "Hyung-Suk Jung" ], "corpus_id": 21081767, "doc_id": "21081767", "n_citations": 6, "n_key_citations": 0, "score": 0, "title": "HfO 2 based ferroelectric modulator of terahertz waves with graphene metamaterial*", "venue": "", "year": 2016 }, { "abstract": "Compact heterodyne receivers operating in the terahertz range are needed for earth observation instruments, space science missions (e.g. ESA's \"Jupiter icy moons explorer JUICE\" and in the millimeter wave region for ground based applications such as security scanners. Existing terahertz heterodyne receivers are usually bulky due to complex hybrid integration and there is a strong need for a terahertz monolithic integration circuit \"TMIC\" platform that allows for higher circuit functionality, ease of assembly, and low loss at terahertz frequencies. Moreover, this part of the electromagnetic spectrum, where optical and microwave techniques meet, call for an integration scheme that can support both active THz electronics photonics. A possible solution is heterogeneous integration of THz devices (III V, graphene) on a silicon carrier, which also allows for advanced micromaching of passive components and interconnects such as waveguides and antennas. Progress on graphene THz detectors and integrated diode circuits for terahertz applications will be presented.", "author_names": [ "Jan Stake" ], "corpus_id": 136571677, "doc_id": "136571677", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Graphene electronics and integrated III V diode circuits for terahertz applications", "venue": "", "year": 2015 }, { "abstract": "A hybrid polymer/InP dual DBR laser at 1.5mm is presented as an optical source for heterodyne generation and detection of cw THz signals. The device consists of an active InP chip as an active gain element, end fire coupled to a polymer chip with thermo optically tunable phase shifters and Bragg gratings. Mode hop free tuning of 1.1 THz has been achieved on the single DBR lasers. The usability of such sources for heterodyne cw THz generation has been demonstrated in a coherent cw THz setup. Scans in the THz range show a resolution of the H2O absorption lines comparable to the results achievable with commercially available external cavity diode lasers.", "author_names": [ "David de Felipe", "Magnus Happach", "Simon Nellen", "Walter Brinker", "Moritz Kleinert", "Crispin Zawadzki", "Martin G Mohrle", "Norbert Keil", "Thorsten Gobel", "Klaus Petermann", "Martin Schell" ], "corpus_id": 124253464, "doc_id": "124253464", "n_citations": 12, "n_key_citations": 0, "score": 0, "title": "Hybrid polymer/InP dual DBR laser for 1.5 mm continuous wave terahertz systems", "venue": "SPIE OPTO", "year": 2016 }, { "abstract": "Metasurfaces provide great flexibility in tailoring light beams and reveal unprecedented prospects on novel functional components. However, techniques to dynamically control and manipulate the properties of metasurfaces are lagging behind. Here, for the first time to our knowledge, we present an active wave deflector made from a metasurface with phase discontinuities. The active metasurface is capable of delivering efficient real time control and amplitude manipulation of broadband anomalous diffraction in the terahertz regime. The device consists of complementary C shape split ring resonator elements fabricated on a doped semiconductor substrate. Due to the Schottky diode effect formed by the hybrid metal semiconductor, the real time conductivity of the doped semiconductor substrate is modified by applying an external voltage bias, thereby effectively manipulating the intensity of the anomalous deflected terahertz wave. A modulation depth of up to 46% was achieved, while the characteristics of broadband frequency responses and constant deflected angles were well maintained during the modulation process. The modulation speed of diffraction amplitude reaches several kilohertz, limited by the capacitance and resistance of the depletion region. The scheme proposed here opens up a novel approach to develop tunable metasurfaces.", "author_names": [ "Xiaoqiang Su", "Chunmei Ouyang", "Ningning Xu", "Wei Cao", "Xin Wei", "Guofeng Song", "Jianqiang Gu", "Zhen Tian", "John F O'Hara", "Jiaguang Han", "Weili Zhang" ], "corpus_id": 33530345, "doc_id": "33530345", "n_citations": 32, "n_key_citations": 0, "score": 0, "title": "Active metasurface terahertz deflector with phase discontinuities.", "venue": "Optics express", "year": 2015 }, { "abstract": "Abstract: Compact heterodyne receivers operating in the terahertz range are needed for earth observation instruments, space science missions (e.g. ESA's \"Jupiter icy moons explorer JUICE\" and in the millimeter wave region for ground based applications such as security scanners. Existing terahertz heterodyne receivers are usually bulky due to complex hybrid integration and there is a strong need for a terahertz monolithic integration circuit \"TMIC\" platform that allows for higher circuit functionality, ease of assembly, and low loss at terahertz frequencies. Moreover, this part of the electromagnetic spectrum, where optical and microwave techniques meet, call for an integration scheme that can support both active THz electronics photonics. A possible solution is heterogeneous integration of THz devices (III V, graphene) on a silicon carrier, which also allows for advanced micromaching of passive components and interconnects such as waveguides and antennas. This talk provides an overview of research on integrated diode circuits for terahertz applications. Progress on heterogeneous integration of HBV multipliers and Schottky diode mixers on silicon substrates (SOI) will be presented.", "author_names": [ "Josip Vukusic", "Aleksandra Malko", "Tomas Bryllert", "Omid Habibpour", "Audrey Rose Zak", "Michael A Andersson", "Jan Stake" ], "corpus_id": 86543438, "doc_id": "86543438", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Heterogeneous integration of terahertz electronics", "venue": "", "year": 2015 } ]
Controlled Etching of Au:SR Cluster Compounds
[ { "abstract": "When Au:SR cluster compounds (R (CH2)5CH3) with an Au metallic cluster core mass of ~14 kDa ~75 atoms, 1.1 nm equivalent diameter) are heated in neat dodecane thiol solution under inert atmosphere, species with smaller Au core masses are formed, presumably by the removal of Au atoms from the outermost surface layer of the cluster's Au core. This process was monitored through laser desorption mass spectrometry, optical absorption spectroscopy, and X ray diffraction, where all three methods indicate a substantial decrease >50% by mass or number of Au atoms) in the size of the cluster compound's inorganic core. The optical absorption spectra and laser desorption mass spectra of the compounds generated by etching are strikingly similar to compounds previously separated without an etching step. The dual function of the thiol as both stabilizing adsorbate and efficient etchant sets this cluster (or nanocrystal) system apart from other metallic and semiconductor systems.", "author_names": [ "+++ and T Gregory Schaaff", "Robert L Whetten" ], "corpus_id": 98555204, "doc_id": "98555204", "n_citations": 172, "n_key_citations": 4, "score": 1, "title": "Controlled Etching of Au:SR Cluster Compounds", "venue": "", "year": 1999 }, { "abstract": "Two hybridized skeleton borates [Zn(en)2][B7O12(OH) (1; en ethylenediamine) and [Cd(1,3 dap)2][B5O8(OH)*H2O (2; 1,3 dap 1,3 diaminopropane) were solvothermally synthesized. The boron oxide clusters formed 2D planes, and these planes formed a 3D structure through co oxygen links of metal complexes. Herein, a novel strategy has been developed, i.e. the derived guest carbon materials from semi decomposed borate are incorporated into the void of host borate crystals in situ during the thermal etching process. Moreover, the effect of temperature on fluorescence of derived carbon materials was studied. By controlling the calcining temperature, carbon dots with obvious free radicals can be found via ESR technique. Carbon dots in the ethanol phase exhibited variable photoluminescence. Furthermore, it derived semi decomposition carbon materials via thermal etching based on compounds 1 and 2. In an hydrogen cell reactor, carbon material Zn based catalyst 1 200 catalyzes CO2 reduction to CO with a selectivity that reaches 50.8% at 1.4 V vs RHE.", "author_names": [ "Ying Liu", "Kang Zhou", "Hong-li Chen", "Yun-Nan Gong", "Chun-Yang Pan" ], "corpus_id": 220586385, "doc_id": "220586385", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "A Way for Derived Carbon Materials by Thermal Etching Hybrid Borate for Electrochemical CO2 Reduction.", "venue": "Inorganic chemistry", "year": 2020 }, { "abstract": "The progress of research focused upon the etching of metal films or substrates using fluorine gases has been restricted by limited information regarding etching reactants and byproducts. Indeed, aspects of the etching mechanism itself remain unclear. In this study, a new reactive force field (ReaxFF) for Al F was developed to describe the interaction and reactions in Al F materials. The ReaxFF accurately reproduces the quantum mechanics derived training set for structures and energies of gaseous AlFx molecules and Al F crystals. Based on this Al F ReaxFF, the effects of chemical source (F/Al 1 6) and temperature (1000 1500 K) on the etching product and rate were studied. The formation of gaseous AlFx was revealed in five steps with the fluorine concentration being the prime factor affecting the etching products. Below the critical concentration ratio of F/Al 3, where the chemical driving force is insufficient, only four of the five steps occur and a AlFx cluster is formed without significant gaseous species; above this critical concentration, a fifth step happens, and isolated AlFx gaseous phases with much more negative formation energies, such as AlF4, AlF5, and AlF6, can be formed. Besides this concentration ratio, external parameters such as elevated temperature or higher voltage dischage may be an important energetic factor affecting the product quantity. These results may provide insights into controlling the formation kinetics of specific AlFx compounds or gaseous phases for the preparative chemistry of Al F porous catalyst, and the Al F ReaxFF provides a useful tool for studying the interaction and reaction of Al F materials at the atomic scale.", "author_names": [ "Yongli Liu", "Yang Qi", "Xian-quan Hu", "Adri C T Duin" ], "corpus_id": 203141750, "doc_id": "203141750", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Formation of AlFx Gaseous Phases during High Temperature Etching: A Reactive Force Field Based Molecular Dynamics Study", "venue": "", "year": 2019 }, { "abstract": "The synthesis of cluster based materials poses an exciting challenge for experimental chemistry. The main advantage of these materials compared to conventional bulk compounds is the simple tunability of the chemical and physical characteristics of individual clusters. As a consequence, cluster assemblies can theoretically be used for the creation of designer materials exhibiting specifically desired properties. Since superatoms reveal a large intrinsic thermodynamic stability and often very interesting tunable electronic characteristics, they seem to be an excellent choice as building blocks for the bulk. Here, we present a detailed first principles analysis of carefully chosen superatomic cluster binary and bulk assemblies, in order to determine which forces control the attractive interaction in superatomic solids, and how the individual cluster properties affect these assemblies. This study uses the highly tunable and stable Au13(RS(AuSR)2)6 cluster with a variety of dopants as a model system, while the principles are likely transferable to other ligand protected systems with a straightforward superatomic electron count, such as aluminum or sodium clusters. Three different superatomic materials based on doped gold clusters, boranes and C60s are constructed and evaluated. Beyond the verification that superatoms can be used to create materials that reveal emergent atom based solid like properties, various factors influencing superatomic materials, such as the EA, IP and relative sizes of the clusters, have been identified and critically evaluated.", "author_names": [ "Julia Schacht", "Nicola Gaston" ], "corpus_id": 3642403, "doc_id": "3642403", "n_citations": 5, "n_key_citations": 0, "score": 0, "title": "Cluster assemblies as superatomic solids: a first principles study of bonding electronic structure.", "venue": "Physical chemistry chemical physics PCCP", "year": 2018 }, { "abstract": "Abstract 10 nm scale Si pillars were fabricated using deposited Au, Ag, Cu clusters and colloidal Au particles as nuclei for formation of etch masks in SF6 microwave plasma etching at about 130degC. The pillar diameter is affected by the chemical species of the clusters, but is only weakly dependent on the cluster size. The average diameter of pillars fabricated with Au clusters is 9 nm, while those with Ag and Cu clusters are 19 and 24 nm, respectively. This is considered to be due to the difference in stability of the compounds of Au, Ag, and Cu with S or F, the components of the etching gas, which results in a different ability to form etch masks by condensation of SxFy species.", "author_names": [ "Tetsuya Tada", "Toshihiko Kanayama", "Kenji Koga", "Katrin Seeger", "S J Carroll", "Pascal Weibel", "Richard E Palmer" ], "corpus_id": 95009852, "doc_id": "95009852", "n_citations": 14, "n_key_citations": 0, "score": 0, "title": "Fabrication of size controlled 10 nm scale Si pillars using metal clusters as formation nuclei", "venue": "", "year": 1998 }, { "abstract": "Immersion of atomically flat, H terminated Si(111) surfaces in 7.6 M HI for 0.5 4 h caused spontaneous formation of nanosized clusters at the Si surface. X ray photoelectron spectroscopy analysis suggested that the clusters were composed of silicon iodides (such as SiHxI4 x) produced most probably by Si etching with HI. Atomic force microscopy inspection revealed that the immersion at a low temperature below about 30 degrees C led to the formation of long rod shaped clusters, oriented in the (112) direction or equivalents, whereas the immersion at a high temperature above 30 degrees C led to the formation of circular dot clusters, their size and shape changing abruptly at about 70 degrees C. It is shown experimentally that the formation of dot clusters at a high immersion temperature is explained on the basis of thermodynamics, whereas that of oriented rod clusters at a low temperature is explained by a kinetics controlled mechanism.", "author_names": [ "Akihito Imanishi", "Takeshi Hayashi", "Yoshihiro Nakato" ], "corpus_id": 40304339, "doc_id": "40304339", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Temperature dependence of formation of nanorods and dots of iodine compounds on an H terminated Si(111) surface in a concentrated HI solution.", "venue": "Langmuir the ACS journal of surfaces and colloids", "year": 2004 }, { "abstract": "Abstract The central theme of the project involved the interaction of ionized beams with solid surfaces. Such studies are relevant to materials synthesis problems because advanced manufacturing processes involve thin film growth and etching under conditions where energetic ions and clusters are present. While it is known that these ions play an important role, the details of their interactions are poorly understood. A significant part of the program during this funding period dealt with the development of instrumentation that would allow the formation of a beam of ionized clusters, the selection from that beam of those clusters that had a particular mass and energy, and then the deposition of those clusters onto a surface with full control over the parameters of deposition. The source was successfully built and tested. The goal was to study the atomic scale processes of this ionized cluster beam deposition (ICBD) The plan was to use scanning tunneling microscopy to characterize the clusters that were deposited and to determine the effect on the surface as a function of cluster energy and cluster size. jg p.6", "author_names": [ "John H Weaver" ], "corpus_id": 138663900, "doc_id": "138663900", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Thin Film Growth with Ions, Clusters, and Metal Compounds.", "venue": "", "year": 1995 }, { "abstract": "Method validation in capillary electrophoresis (CE) is substantial for the routine use in quality control. Thus all phenomena that can influence results in CE must be carefully characterised. Especially the inner surface of the fused silica capillaries plays an important role. Sometimes there is a big difference in the properties of capillaries from different batches. Inner capillary surface can be pictured by scanning electron microscopy (SEM) Nature, pattern and number of cracks and other surface defects altered from batch to batch. These surface defects were no artefacts of sample preparation, which could be excluded by comparing different preparation techniques and results from literature. Treatments with hydrofluoric acid cannot completely remove the surface cracks and defects in subsurface areas. Hydrofluoric acid treatment not only changes the surface roughness but also the inner capillary diameter. A correlation between etching time and increase of diameter is investigated. SEM also pictures adsorption phenomena, e.g. proteins are not adsorbed as a homogenous layer. Clusters at different regions are observed. Thus the z potential differs considerably with space, leading to losses in separation efficiency. SEM can readily be used to analyse the effectiveness of rinsing procedures. There are residuals of adsorbed compounds even after using aggressive rinsing reagents.", "author_names": [ "Stefan Kaupp", "Ronald W Steffen", "Hermann Watzig" ], "corpus_id": 94882916, "doc_id": "94882916", "n_citations": 34, "n_key_citations": 0, "score": 0, "title": "Characterisation of inner surface and adsorption phenomena in fused silica capillary electrophoresis capillaries", "venue": "", "year": 1996 }, { "abstract": "Abstract Over the past four years the University of Massachusetts Photonics Center, the Center for Advanced Materials and the Submillimeterwave Technology Laboratory in partnership with AFRL/SNHC has been developing technology for producing low defect density substrates and high quality epi substrate interfaces for ABCS device applications as well as developing fabrication and device concepts for incorporation into quantum cascade THz lasers. During the course of the project a number of new technologies were developed and demonstrated. A new Bridgeman magnetic stirring antimonide bulk growth method was developed and using the method uniformly selinium n type doped bulk GaSb crystals were grown; Bulk InGaSb crystals were grown; and GaAlSb bulk crystals were grown. In the areas of wafer polishing and epi substrate interface control, novel gas cluster ion beam (GCIB) final polishing methods and bromine ion beam assisted etching final polishing methods were developed that allow control of the epi substrate interface when molecular beam epitaxy is employed for epigrowth. In the THz portion of the project novel Thz photonic crystals were demonstrated and new phonon mediated THz quantum cascade laser design developed. The ABCS polishing work was moved toward commercialization through two joint collaborations with Galaxy Compound Semiconductors, Inc, a Phase I SBIR to develop bromine based GaSb GCIB polishing (AFRL) and a PhaseI/II project to develop novel final polishing techniques for GaSb and InSb wafers (DARPA) The THz work generated collaborations with Drs. T. Nelson and J.Ehret of WPAFB, Prof. Ram Mohan of WPI/Quantum Semiconductor Algorithms, Inc. and Dr. Kurt Linden of Spire Corp.", "author_names": [ "William D Goodhue", "David Francis Bliss", "Kannan Krishnaswami", "Shivashankar Vangala", "Jin Li", "B Zhu" ], "corpus_id": 107409807, "doc_id": "107409807", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Low Defect Density Substrates and High Quality Epi Substrate Interfaces for ABCS Devices and Progress Toward Phonon Mediated THz Lasers", "venue": "", "year": 2005 }, { "abstract": "Abstract Currently, oxygen atoms are intentionally introduced in Si crystals during Czochralski (CZ) pulling process. They usually come from a controlled out diffusion from the crucible, the expected role of these impurities being to generate silicon oxide clusters or micro precipitates. Afterwards, these small aggregates are able to getter residual metallic impurities which are introduced into the wafer during the integrated circuit fabrication process. This operation is called \"internal gettering\" and its successive steps, from \"embryo\" nucleation to precipitate condensation, require an adapted control adjustment. Convenient thermal cycles reduce the amount of metallic doping in the vicinity of the ICs, thus improving specifications such as diode leakage current or minority carrier lifetime or premature oxide breakdown. This is a key problem in VLSI technology as well as in CCDs. It is also worth noting that the device process itself (annealing) participates in the final texture of precipitates and in the dimension of the \"precipitate free zone\" (or denuded zone) underlying the wafer surface.Experimental means for observing the individual precipitates and their spatial distribution are not so diversified. X rays, EBIC or chemical etching are used to obtain images of these defects. The latter technique is the most widely used but not so precise; three dimensional exploration requires delicate preparation such as controlled bevel etching. It is also known that not all species of precipitates can be revealed by etching. Recently, a new technique called \"laser scanning tomography\" (LST) has been proposed. It consists of scanning the bulk of the wafer with a thin laser probe beam along a virtual plane; the scattered light image is recorded with a camera and computer reconstructed. LST is non destructive, very sensitive to all kinds and sizes of microprecipitates and has three dimensional capability; it does not need any special and time consuming preparation or vacuum conditions. Internal structures such as depleted zone (DZ) or striations or layered structures are easily detected and measured. Both qualitative (profiles, features) and quantitative (densities, size, dimensions, classification) information is obtained from LST images. LST has previously been used for III V compound defect analysis. In this communication we report the present state of the art of the investigations on CZ silicon.", "author_names": [ "J P Fillard" ], "corpus_id": 96744767, "doc_id": "96744767", "n_citations": 10, "n_key_citations": 0, "score": 0, "title": "Investigations of oxygen precipitates in Czochralski silicon wafers by using infrared tomography", "venue": "", "year": 1990 } ]
, , , , , and , J. Am. Chem. Soc., 2010, 132, 11642–11648.
[ { "abstract": "Electronic structure intrinsically controls the light absorbance, redox potential, charge carrier mobility, and consequently, photoreactivity of semiconductor photocatalysts. The conventional approach of modifying the electronic structure of a semiconductor photocatalyst for a wider absorption range by anion doping operates at the cost of reduced redox potentials and/or charge carrier mobility, so that its photoreactivity is usually limited and some important reactions may not occur at all. Here, we report sulfur doped graphitic C(3)N(4) (C(3)N(4 x)S(x) with a unique electronic structure that displays an increased valence bandwidth in combination with an elevated conduction band minimum and a slightly reduced absorbance. The C(3)N(4 x)S(x) shows a photoreactivity of H(2) evolution 7.2 and 8.0 times higher than C(3)N(4) under lambda 300 and 420 nm, respectively. More strikingly, the complete oxidation process of phenol under lambda 400 nm can occur for sulfur doped C(3)N(4) which is impossible for C(3)N(4) even under lambda 300 nm. The homogeneous substitution of sulfur for lattice nitrogen and a concomitant quantum confinement effect are identified as the cause of this unique electronic structure and, consequently, the excellent photoreactivity of C(3)N(4 x)S(x) The results acquired may shed light on general doping strategies for designing potentially efficient photocatalysts.", "author_names": [ "Gang Liu", "Ping Niu", "Chenghua Sun", "Sean C Smith", "Zhigang Chen", "Gao Q Lu", "Hui-Ming Cheng" ], "corpus_id": 29343205, "doc_id": "29343205", "n_citations": 1441, "n_key_citations": 5, "score": 1, "title": "Unique electronic structure induced high photoreactivity of sulfur doped graphitic C3N4.", "venue": "Journal of the American Chemical Society", "year": 2010 }, { "abstract": "Phosphorus doped hexagonal tubular carbon nitride (P TCN) with the layered stacking structure was obtained from a hexagonal rod like single crystal supramolecular precursor (monoclinic, C2/m) The production process of P TCN involves two steps: 1) the precursor was prepared by self assembly of melamine with cyanuric acid from in situ hydrolysis of melamine under phosphorous acid assisted hydrothermal conditions; 2) the pyrolysis was initiated at the center of precursor under heating, thus giving the hexagonal P TCN. The tubular structure favors the enhancement of light scattering and active sites. Meanwhile, the introduction of phosphorus leads to a narrow band gap and increased electric conductivity. Thus, the P TCN exhibited a high hydrogen evolution rate of 67 mmol h( 1) (0.1 g catalyst, l >420 nm) in the presence of sacrificial agents, and an apparent quantum efficiency of 5.68 at 420 nm, which is better than most of bulk g C3 N4 reported.", "author_names": [ "Shien Guo", "Zhao-Peng Deng", "Mingxia Li", "Baojiang Jiang", "Chungui Tian", "Qingjiang Pan", "Honggang Fu" ], "corpus_id": 39847337, "doc_id": "39847337", "n_citations": 566, "n_key_citations": 0, "score": 0, "title": "Phosphorus Doped Carbon Nitride Tubes with a Layered Micro nanostructure for Enhanced Visible Light Photocatalytic Hydrogen Evolution.", "venue": "Angewandte Chemie", "year": 2016 }, { "abstract": "Photocatalytic degradation by semiconductors is an ideal way to solve the environmental problem. Here, the porous Mn doped g C3N4 photocatalyst was synthesized by the calcination refluxing method. The as prepared g C3N4 exhibits the high activity of photocatalytic degradation under visible light irradiation 400nm) in the mixed system of Cr(VI) and organic pollutants. Especially, the photocatalytic activity of Cr(VI) reduction was increased from 9.5% to 76.5% while that of Rhodamine B(RhB) degradation was enhanced from 15.3% to 88.9% after 60min irradiation. The porous Mn doped g C3N4 still keeps the high degradation efficiency of mixed pollutants in the 7th running. Based on the computational modeling, the Mn doping and carboxyl modification affect the atomic arrangement and molecular orbital distribution of the g C3N4 semiconductor, leading to the enhancement of photo induced carrier separation. Additionally, the active oxygen species and intermediates in the photoreaction process were discovered by ESR measurement and UV vis test. The RhB degradation in synergistic photocatalysis not only inhibits the reverse reaction of Cr(VI) reduction, but also validly supply the photogenerated electrons by the photosensitization effect. This work may be useful for rationally designing photocatalysts and providing illuminating insights into the photocatalytic mechanism.", "author_names": [ "Ji-Chao Wang", "Cheng-Xing Cui", "Yucheng Li", "Lu Liu", "Yu-Ping Zhang", "Weina Shi" ], "corpus_id": 11965301, "doc_id": "11965301", "n_citations": 88, "n_key_citations": 0, "score": 0, "title": "Porous Mn doped g C3N4 photocatalysts for enhanced synergetic degradation under visible light illumination.", "venue": "Journal of hazardous materials", "year": 2017 }, { "abstract": "A heterogeneous WS2/g C3N4 composite photocatalyst was prepared by a facile ultrasound assisted hydrothermal method. The WS2/g C3N4 composite was used for photocatalytic regeneration of NAD+ to NADH, which were coupled with dehydrogenases for sustainable bioconversion of CO2 to methanol under visible light irradiation. Compared with pristine g C3N4 and the physical mixture of WS2 and g C3N4, the fabricated WS2/g C3N4 composite catalyst with 5 wt% of WS2 showed the highest activity for methanol synthesis. The methanol productivity reached 372.1 mmol h 1 gcat 1, which is approximately 7.5 times higher than that obtained using pure g C3N4. For further application demonstration, the activity of the WS2/g C3N4 composite catalyst toward photodegradation of Rhodamine B (RhB) was evaluated. RhB removal ratio approaching 100% was achieved in 1 hour by using the WS2/g C3N4 composite catalyst with 5 wt% of WS2, at an apparent degradation rate approximately 2.6 times higher than that of pure g C3N4. Based on detailed investigations on physiochemical properties of the photocatalysts, the significantly enhanced reaction efficiency of the WS2/g C3N4 composite was considered to be mainly benefiting from the formation of a heterojunction interface between WS2 and g C3N4. Upon visible light irradiation, the photo induced electrons can transfer from the conduction band of g C3N4 to WS2, thus recombination of electrons and holes was decreased and the photo harvesting efficiency was enhanced.", "author_names": [ "Peng Zeng", "Xiaoyuan Ji", "Zhi-guo Su", "Songping Zhang" ], "corpus_id": 103761791, "doc_id": "103761791", "n_citations": 14, "n_key_citations": 0, "score": 0, "title": "WS2/g C3N4 composite as an efficient heterojunction photocatalyst for biocatalyzed artificial photosynthesis", "venue": "", "year": 2018 }, { "abstract": "Novel photocatalysts CdSe quantum dots (QDs)/g C3N4 were successfully constructed. The structure, chemical composition, and optical properties of the prepared samples were investigated via a series of characterization techniques. The results indicated that CdSe QDs/g C3N4 photocatalysts exhibited remarkably enhanced photocatalytic activity for visible light induced H2 evolution compared to pristine g C3N4 and CdSe QDs and addition of 13.6 wt CdSe QDs into the composite photocatalyst generated the highest H2 production rate. The enhanced photocatalytic performance of CdSe QDs/g C3N4 can be attributed to the synergistic effects of excellent visible absorption and high charge separation efficiency from the heterostructure. This work could not only provide a facile method to fabricate semiconductor QDs modified g C3N4 photocatalysts but also contribute to the design for heterostructures.", "author_names": [ "Yunqian Zhong", "Weiwei Chen", "Shan Yu", "Zhang-hui Xie", "Shiqiang Wei", "Ying Zhou" ], "corpus_id": 139482996, "doc_id": "139482996", "n_citations": 17, "n_key_citations": 0, "score": 0, "title": "CdSe Quantum Dots/g C3N4 Heterostructure for Efficient H2 Production under Visible Light Irradiation", "venue": "ACS omega", "year": 2018 }, { "abstract": "Dicyanoacetylene (C4N2) is an unusual energetic molecule with alternating triple and single bonds (think miniature, nitrogen capped carbyne) which represents an interesting starting point for the transformation into extended carbon nitrogen solids. While pressure induced polymerization has been documented for a wide variety of related molecular solids, precise mechanistic details of reaction pathways are often poorly understood and the characterization of recovered products is typically incomplete. Here, we study the high pressure behavior of C4N2 and demonstrate polymerization into a disordered carbon nitrogen network that is recoverable to ambient conditions. The reaction proceeds via activation of linear molecules into buckled molecular chains, which spontaneously assemble into a polycyclic network that lacks long range order. The recovered product was characterized using a variety of optical spectroscopies, X ray methods, and theoretical simulations and is described as a predominately sp2 network com.", "author_names": [ "Huiyang Gou", "Li Zhu", "Haw-Tyng Huang", "Arani Biswas", "Derek W Keefer", "Brian L Chaloux", "Clemens Prescher", "Liuxiang Yang", "Duck Young Kim", "Matthew D Ward", "Jordan Oswald Lerach", "Shengnan Wang", "Artem R Oganov", "Albert Epshteyn", "John V Badding", "Timothy A Strobel" ], "corpus_id": 53682975, "doc_id": "53682975", "n_citations": 5, "n_key_citations": 0, "score": 0, "title": "From Linear Molecular Chains to Extended Polycyclic Networks: Polymerization of Dicyanoacetylene", "venue": "", "year": 2017 }, { "abstract": "", "author_names": [ "Lihua Lin", "Xinchen Wang" ], "corpus_id": 207858396, "doc_id": "207858396", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "A s a promising two dimensional conjugated polymer graphitic carbon nitride", "venue": "", "year": 2015 }, { "abstract": "Polymeric photocatalysts have been identified as promising materials for H2 production from water due to their comparative low cost and facile modification of the electronic structure. However, the majority only respond to a limited wavelength region (l 420 nm) with an apparent quantum yield (AQY) of 10.3% at 420 nm and 2.1% at 500 nm, measured under ambient conditions, which is closer to the real environment (instead of vacuum conditions) The strategy used here thus paves a new avenue to dramatically tune both the light absorption and charge separation to increase the activity of polymeric photocatalysts.", "author_names": [ "Yiou Wang", "Mustafa Kemal Bayazit", "Savio J A Moniz", "Qiushi Ruan", "Chi Ching Lau", "Natalia Martsinovich", "Junwang Tang" ], "corpus_id": 51950607, "doc_id": "51950607", "n_citations": 137, "n_key_citations": 1, "score": 0, "title": "Linker controlled polymeric photocatalyst for highly efficient hydrogen evolution from water", "venue": "", "year": 2017 }, { "abstract": "Defects are critically important for metal oxides in chemical and physical applications. Compared with the often studied oxygen vacancies, engineering metal vacancies in n type undoped metal oxides is still a great challenge, and the effect of metal vacancies on the physiochemical properties is seldom reported. Here, using anatase TiO2, the most important and widely studied semiconductor, we demonstrate that metal vacancies (VTi) can be introduced in undoped oxides easily, and the presence of VTi results in many novel physiochemical properties. Anatase Ti0.905O2 was synthesized using solvothermal treatment of tetrabutyl titanate in an ethanol glycerol mixture and then thermal calcination. Experimental measurements and DFT calculations on cell lattice parameters show the unstoichiometry is caused by the presence of VTi rather than oxygen interstitials. The presence of VTi changes the charge density and valence band edge of TiO2, and an unreported strong EPR signal at g 1.998 presents under room temperature. Contrary to normal n type and nonferromagnetic TiO2, Ti defected TiO2 shows inherent p type conductivity with high charge mobility, and room temperature ferromagnetism stronger than Co doped TiO2 nanocrystalline. Moreover, Ti defected TiO2 shows much better photocatalytic performance than normal TiO2 in H2 generation (4.4 fold) and organics degradation (7.0 fold for phenol) owing to the more efficient charge separation and transfer in bulk and at semiconductor/electrolyte interface. Metal defected undoped oxides represent a unique material; this work demonstrates the possibility to fabricate such material in easy and reliable way and thus provides new opportunities for multifunctional materials in chemical and physical devices.", "author_names": [ "Songbo Wang", "Lun Pan", "Jia Song", "Wenbo Mi", "Ji-Jun Zou", "Li Wang", "Xiangwen Zhang" ], "corpus_id": 207118193, "doc_id": "207118193", "n_citations": 330, "n_key_citations": 2, "score": 0, "title": "Titanium defected undoped anatase TiO2 with p type conductivity, room temperature ferromagnetism, and remarkable photocatalytic performance.", "venue": "Journal of the American Chemical Society", "year": 2015 }, { "abstract": "In this report, we rationally designed and fabricated P C3N4/ZnIn2S4 nanocomposites by in situ immobilizing ZnIn2S4 nanosheets onto the surface of mesoporous P doped graphite carbon nitrogen (P C3N4) nanosheets in a mixed solvothermal environment; their application to the photoreduction of 4 nitroaniline was used to estimate the photocatalytic performance. Different to the template route, here the mesoporous P C3N4 nanosheets were prepared with a template free strategy. The as fabricated P C3N4/ZnIn2S4 nanocomposites were systematically characterized by analyzing the phase structure, chemical components, electronic and optical properties and separation of charge carrier pairs. More importantly, these P C3N4/ZnIn2S4 heterostructures have been proven to be highly efficient visible light responsive photocatalysts for photo reduction, and meanwhile exhibit excellent photo stability during recycling runs. The sufficient evidence reveals that the significantly improved photocatalytic performance is mainly attributed to the more efficient charge carrier separation based on the construction of a close heterogeneous interface. This work may provide new insights into the utilization of P C3N4/ZnIn2S4 nanocomposites as visible light driven photocatalysts for comprehensive organic transformations in the field of fine chemical engineering.", "author_names": [ "Weitao Chen", "Tian-yu Liu", "Tingbo Huang", "Xiaoheng Liu", "Xu-jie Yang" ], "corpus_id": 205985655, "doc_id": "205985655", "n_citations": 146, "n_key_citations": 1, "score": 0, "title": "Novel mesoporous P doped graphitic carbon nitride nanosheets coupled with ZnIn2S4 nanosheets as efficient visible light driven heterostructures with remarkably enhanced photo reduction activity.", "venue": "Nanoscale", "year": 2016 } ]
two dimensional materials
[ { "abstract": "Rapid digital technology advancement has resulted in a tremendous increase in computing tasks imposing stringent energy efficiency and area efficiency requirements on next generation computing. To meet the growing data driven demand, in memory computing and transistor based computing have emerged as potent technologies for the implementation of matrix and logic computing. However, to fulfil the future computing requirements new materials are urgently needed to complement the existing Si complementary metal oxide semiconductor technology and new technologies must be developed to enable further diversification of electronics and their applications. The abundance and rich variety of electronic properties of two dimensional materials have endowed them with the potential to enhance computing energy efficiency while enabling continued device downscaling to a feature size below 5 nm. In this Review, from the perspective of matrix and logic computing, we discuss the opportunities, progress and challenges of integrating two dimensional materials with in memory computing and transistor based computing technologies. This Review discusses the recent progress and future prospects of two dimensional materials for next generation nanoelectronics.", "author_names": [ "Chunsen Liu", "Huawei Chen", "Shuiyuan Wang", "Qi Liu", "Yu-Gang Jiang", "David-Wei Zhang", "Ming Liu", "Peng Zhou" ], "corpus_id": 220431231, "doc_id": "220431231", "n_citations": 80, "n_key_citations": 1, "score": 1, "title": "Two dimensional materials for next generation computing technologies", "venue": "Nature Nanotechnology", "year": 2020 }, { "abstract": "Two dimensional materials including TMDCs, hBN, graphene, non layered compounds, black phosphorous, Xenes and other emerging materials with large lateral dimensions exceeding a hundred micrometres are summarised detailing their synthetic strategies. Crystal quality optimisations and defect engineering are discussed for large area two dimensional materials synthesis. Electronics and optoelectronics applications enabled by large area two dimensional materials are explored. Large area and high quality two dimensional crystals are the basis for the development of the next generation electronic and optical devices. The synthesis of two dimensional materials in wafer scales is the first critical step for future technology uptake by the industries; however, currently presented as a significant challenge. Substantial efforts have been devoted to producing atomically thin two dimensional materials with large lateral dimensions, controllable and uniform thicknesses, large crystal domains and minimum defects. In this review, recent advances in synthetic routes to obtain high quality two dimensional crystals with lateral sizes exceeding a hundred micrometres are outlined. Applications of the achieved large area two dimensional crystals in electronics and optoelectronics are summarised, and advantages and disadvantages of each approach considering ease of the synthesis, defects, grain sizes and uniformity are discussed.", "author_names": [ "Ali Zavabeti", "Azmira Jannat", "Li Zhong", "Azhar Ali Haidry", "Zhengjun Yao", "Jianzhen Ou" ], "corpus_id": 212736466, "doc_id": "212736466", "n_citations": 49, "n_key_citations": 0, "score": 0, "title": "Two Dimensional Materials in Large Areas: Synthesis, Properties and Applications", "venue": "Nano micro letters", "year": 2020 }, { "abstract": "The technological evolution has been progressing for centuries and will possibly increase at a higher rate in the 21st century. Currently, in this age of nanotechnology, the discovery of more economical and sustainable novel materials has considerably increased. The abundance of two dimensional (2D) materials has endowed them with a broad material platform in technical studies and in the expansion of nano and atomic level applications. The innovation of graphene has motivated considerable attention to the study of other novel 2D materials, known as modern day \"alchemy\" by which scientists are trying to convert most possible periodic table elements into 2D material structures and forms. 2D material devices with high quality and good optical encoder performance have a multitude of industrial applications. However, their stability and large size restrict their applications, but these problems can be overcome by functionalization and substrate based formation of 2D materials. Therefore, via this review, first, basic attributes of 2D materials are described, and the mechanisms to further enhance their properties are also summarized. Second, the applications of 2D materials are discussed, along with their advantages and disadvantages. Finally, some effective device fabrication approaches, such as heterostructure approaches, are applied to further enhance the properties of 2D materials; their novel device applications and opportunities are also presented. This updated review may provide new avenues for 2D material synthesis and development of more efficient devices compared to conventional devices in different fields.", "author_names": [ "Karim Khan", "Ayesha Khan Tareen", "Muhammad Aslam", "Renheng Wang", "Yupeng Zhang", "Asif Mahmood", "Zhengbiao Ouyang", "Han Zhang", "Zhongyi Guo" ], "corpus_id": 212985095, "doc_id": "212985095", "n_citations": 116, "n_key_citations": 1, "score": 0, "title": "Recent developments in emerging two dimensional materials and their applications", "venue": "", "year": 2020 }, { "abstract": "After the first unequivocal demonstration of spin transport in graphene (Tombros et al. 2007) surprisingly at room temperature, it was quickly realized that this novel material was relevant for both fundamental spintronics and future applications. Over the decade since, exciting results have made the field of graphene spintronics blossom, and a second generation of studies has extended to new two dimensional (2D) compounds. This Colloquium reviews recent theoretical and experimental advances on electronic spin transport in graphene and related 2D materials, focusing on emergent phenomena in van der Waals heterostructures and the new perspectives provided by them. These phenomena include proximity enabled spin orbit effects, the coupling of electronic spin to light, electrical tunability, and 2D magnetism.", "author_names": [ "Ahmet Avsar", "H Ochoa", "Francisco Guinea", "", "Bart Jan van Wees", "Ivan J Vera-Marun" ], "corpus_id": 202712801, "doc_id": "202712801", "n_citations": 85, "n_key_citations": 0, "score": 0, "title": "Colloquium: Spintronics in graphene and other two dimensional materials", "venue": "", "year": 2020 }, { "abstract": "Summary Two dimensional materials with abundant in plane pores (porous 2D materials) have shown high performances as catalysts, especially for photocatalysis and electrocatalysis, owing to their distinct microstructural advantages originating from both 2D materials and porous materials. Here, the recent progress in porous 2D materials in photocatalysis and electrocatalysis is reviewed. We first highlight the influence of their special structural merits on the processes of photocatalysis and electrocatalysis, including transport of ion and/or charge carriers, surface active sites, stability, modifications, electronic band structure, and light absorption properties. Representative synthetic methods for porous 2D materials are also introduced classified by top down and bottom up routes. In addition, their applications in different aspects of photocatalysis and electrocatalysis are presented systematically. In conclusion, we propose some opportunities and challenges for the development of porous 2D materials, with the hope of further facilitating the applications of these emerging advanced materials in photocatalysis and electrolysis.", "author_names": [ "He Wang", "Xuan Liu", "Ping Niu", "Shulan Wang", "Jian Shi", "Lei Li" ], "corpus_id": 219925528, "doc_id": "219925528", "n_citations": 37, "n_key_citations": 0, "score": 0, "title": "Porous Two Dimensional Materials for Photocatalytic and Electrocatalytic Applications", "venue": "", "year": 2020 }, { "abstract": "Smart drug delivery nano systems show significant changes in their physical or chemical properties in response to slight change in environmental physical and/or chemical signals, and further releasing drugs adjusted to the progression of the disease at the right target and rate intelligently. Two dimensional materials possess dramatic status extend all over various scientific and technological disciplines by reason of their exceptional unique properties in application of smart drug delivery nano systems. In this review, we summarized current progress to highlight various kinds of two dimensional materials drug carriers which are widely explored in smart drug delivery systems as well as classification of stimuli responsive two dimensional materials and the advantages and disadvantages of their applications. Consequently, we anticipate that this review might inspire the development of new two dimensional materials with smart drug delivery systems, and deepen researchers' understanding of smart nano carries based on two dimensional materials.", "author_names": [ "Hua Zhang", "Taojian Fan", "Wen Chen", "Yingchun Li", "Bing Wang" ], "corpus_id": 220647816, "doc_id": "220647816", "n_citations": 31, "n_key_citations": 0, "score": 0, "title": "Recent advances of two dimensional materials in smart drug delivery nano systems", "venue": "Bioactive materials", "year": 2020 }, { "abstract": "Graphene's success has shown that it is possible to create stable, single and few atom thick layers of van der Waals materials, and also that these materials can exhibit fascinating and technologically useful properties. Here we review the state of the art of 2D materials beyond graphene. Initially, we will outline the different chemical classes of 2D materials and discuss the various strategies to prepare single layer, few layer, and multilayer assembly materials in solution, on substrates, and on the wafer scale. Additionally, we present an experimental guide for identifying and characterizing single layer thick materials, as well as outlining emerging techniques that yield both local and global information. We describe the differences that occur in the electronic structure between the bulk and the single layer and discuss various methods of tuning their electronic properties by manipulating the surface. Finally, we highlight the properties and advantages of single few and many layer 2D materials in field effect transistors, spin and valley tronics, thermoelectrics, and topological insulators, among many other applications.", "author_names": [ "Sheneve Butler", "Shawna M Hollen", "Linyou Cao", "Yi Cui", "Jay A Gupta", "Humberto R Gutierrez", "Tony F Heinz", "Seung Sae Hong", "Jiaxing Huang", "Ariel Ismach", "Ezekiel Johnston-Halperin", "Masaru Kuno", "Vladimir V Plashnitsa", "Richard D Robinson", "Rodney S Ruoff", "Sayeef S Salahuddin", "Jie Shan", "Li Shi", "Michael G Spencer", "Mauricio Terrones", "Wolfgang Windl", "Joshua E Goldberger" ], "corpus_id": 25956623, "doc_id": "25956623", "n_citations": 3140, "n_key_citations": 7, "score": 0, "title": "Progress, challenges, and opportunities in two dimensional materials beyond graphene.", "venue": "ACS nano", "year": 2013 }, { "abstract": "Graphene and other two dimensional materials, such as transition metal dichalcogenides, have rapidly established themselves as intriguing building blocks for optoelectronic applications, with a strong focus on various photodetection platforms. The versatility of these material systems enables their application in areas including ultrafast and ultrasensitive detection of light in the ultraviolet, visible, infrared and terahertz frequency ranges. These detectors can be integrated with other photonic components based on the same material, as well as with silicon photonic and electronic technologies. Here, we provide an overview and evaluation of state of the art photodetectors based on graphene, other two dimensional materials, and hybrid systems based on the combination of different two dimensional crystals or of two dimensional crystals and other (nano)materials, such as plasmonic nanoparticles, semiconductors, quantum dots, or their integration with (silicon) waveguides.", "author_names": [ "Frank H L Koppens", "T Mueller", "Phaedon Avouris", "Andrea C Ferrari", "Miriam Serena Vitiello", "Marco Polini" ], "corpus_id": 30066262, "doc_id": "30066262", "n_citations": 2140, "n_key_citations": 10, "score": 0, "title": "Photodetectors based on graphene, other two dimensional materials and hybrid systems.", "venue": "Nature nanotechnology", "year": 2014 }, { "abstract": "Recently a new, large family of two dimensional (2D) early transition metal carbides and carbonitrides, called MXenes, was discovered. MXenes are produced by selective etching of the A element from the MAX phases, which are metallically conductive, layered solids connected by strong metallic, ionic, and covalent bonds, such as Ti2 AlC, Ti3 AlC2 and Ta4 AlC3 MXenes combine the metallic conductivity of transition metal carbides with the hydrophilic nature of their hydroxyl or oxygen terminated surfaces. In essence, they behave as \"conductive clays\" This article reviews progress both experimental and theoretical on their synthesis, structure, properties, intercalation, delamination, and potential applications. MXenes are expected to be good candidates for a host of applications. They have already shown promising performance in electrochemical energy storage systems. A detailed outlook for future research on MXenes is also presented.", "author_names": [ "Michael Naguib", "Vadym N Mochalin", "Michel W Barsoum", "Yury Gogotsi" ], "corpus_id": 32458694, "doc_id": "32458694", "n_citations": 2344, "n_key_citations": 3, "score": 0, "title": "25th anniversary article: MXenes: a new family of two dimensional materials.", "venue": "Advanced materials", "year": 2014 }, { "abstract": "Two dimensional (2D) materials have emerged as promising candidates for next generation electronic and optoelectronic applications. Yet, only a few dozen 2D materials have been successfully synthesized or exfoliated. Here, we search for 2D materials that can be easily exfoliated from their parent compounds. Starting from 108,423 unique, experimentally known 3D compounds, we identify a subset of 5,619 compounds that appear layered according to robust geometric and bonding criteria. High throughput calculations using van der Waals density functional theory, validated against experimental structural data and calculated random phase approximation binding energies, further allowed the identification of 1,825 compounds that are either easily or potentially exfoliable. In particular, the subset of 1,036 easily exfoliable cases provides novel structural prototypes and simple ternary compounds as well as a large portfolio of materials to search from for optimal properties. For a subset of 258 compounds, we explore vibrational, electronic, magnetic and topological properties, identifying 56 ferromagnetic and antiferromagnetic systems, including half metals and half semiconductors.The largest available database of potentially exfoliable 2D materials has been obtained via high throughput calculations using van der Waals density functional theory.", "author_names": [ "Nicolas Mounet", "Marco Gibertini", "Philippe Schwaller", "Davide Campi", "Andrius Merkys", "Antimo Marrazzo", "Thibault Sohier", "Ivano Eligio Castelli", "Andrea Cepellotti", "Giovanni Pizzi", "Nicola Marzari" ], "corpus_id": 205567359, "doc_id": "205567359", "n_citations": 734, "n_key_citations": 5, "score": 0, "title": "Two dimensional materials from high throughput computational exfoliation of experimentally known compounds", "venue": "Nature Nanotechnology", "year": 2018 } ]
Understanding Thickness-Dependent Electrical Characteristics in Conjugated Polymer Transistors With Top-Gate Staggered Structure
[ { "abstract": "Ketopyrrolopyrrole thieno[3,2 b] thiophene (DPPT TT) and indacenodithiophene co enzothiadiazole (IDT BT) were employed for organic field effect transistors (OFETs) to understand the effects of semiconductor channel thickness on electrical properties. The mobility was found nearly constant, whereas the threshold voltage, contact resistance, and subthreshold slope reached an optimum at a semiconductor layer thickness of about 40 nm. This value was related to the height of the source/drain electrodes. The device performance could be degraded when the thickness of semiconductor film is higher or lower than this critical value. Two different mechanisms are proposed to explain the experimental results.", "author_names": [ "Fanming Huang", "Mengjiao Li", "Yangtao Xu", "Anyang Cui", "Wenwu Li", "Yong Xu", "Junhao Chu", "Yong-Young Noh" ], "corpus_id": 155105655, "doc_id": "155105655", "n_citations": 4, "n_key_citations": 0, "score": 1, "title": "Understanding Thickness Dependent Electrical Characteristics in Conjugated Polymer Transistors With Top Gate Staggered Structure", "venue": "IEEE Transactions on Electron Devices", "year": 2019 }, { "abstract": "Introduction Solution processable n channel organic field effect transistors (OFETs) with high electron mobility have attracted growing interest because they enable low cost production of flexible CMOS like circuits based on OFETs. It is well known that electron transport in OFETs is strongly influenced by the chemical species of substrates such as hydroxyl groups and film crystallinity, and the hydrophobic treatment of substrates is essential to achieve high performance in bottom gate n channel OFETs. We have reported that the use of a top gate configuration enables extraction of high field effect mobility and better electrical stability in OFETs based on solution processable p type organic semiconductors without using hydrophobic substrates [1 3] Here, we report the performance of solution processed top gate n channel OFETs using a novel naphthalene diimide based n type conjugated polymer semiconductor, end capped poly{[N,N' bis(2 decyl tetradecyl) naphthalene 1,4,5,8 bis(dicarboximide) 2,6 diyl] alt 5,5' (2,2' biselenophene)(ePNDIBS) [4] Experimental The structure of n channel top gate OFETs fabricated in this study is schematically shown in Fig. 1. An electron injection layer of Cs2CO3 was formed on Au electrodes by spin coating from 2 ethoxyethanol. The ePNDIBS thin films were fabricated by spin coating chloroform based solutions, followed by annealing at 200 C. A fluoropolymer insulator CYTOPTM (CTL 809M, Asahi Glass) was spin coated onto ePNDIBS films and Al gate electrodes were evaporated on CYTOP layers to complete OFET fabrication. The preparation of organic films and FET measurements were performed in a N2 filled glove box. Results and discussion Typical output and transfer characteristics of top gate ePNDIBS FETs are shown in Fig. 2, together with the variation in transfer curves before and after a gate bias stress for 10 4 s. The fabricated top gate OFET devices exhibit a very stable operation with a negligible shift of threshold voltage and show a high electron field effect mobilities (average: 0.30 cm 2 V 1 s 1 highest: 0.51 cm 2 V 1 s 1 These values are comparable to or higher than the mobility reported in bottom gate devices with octyltrichlosilane treated SiO2 insulators (0.24 cm 2 V 1 s 1 [4] The results indicate that a highly ordered microstructure is spontaneously formed at the surface of solution processed ePNDIBS thin films similarity to regioregular polythiophenes [2,3] and the use of the top gate configuration is also effective for improving solution processable n channel OFETs. References [1] T. Endo et al. Appl. Phys. Express 3, 121601 (2010) [2] T. Kushida et al. Appl. Phys. Lett. 98, 063304 (2011) [3] K. Takagi et al. Org. Electron. 15, 372 (2014) [4] Y. J. Hwang, N. M. Murari, and S. A. Jenekhe, Polym. Chem. 4, 3187 (2013) Acknowledgements This work was financially supported by a Grant in Aid for Scientific Research on Innovative Areas \"New Polymeric Materials Based on Element Blocks\" (No. 24102011) from the MEXT and a Grant in Aid for Scientific Research (C) (No. 26420276) from the JSPS, Japan.", "author_names": [ "Yu Suenaga" ], "corpus_id": 113945682, "doc_id": "113945682", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "High Performance Top Gate Organic Field Effect Transistors Based on n Type Polymer Semiconductors", "venue": "", "year": 2015 }, { "abstract": "Abstract Semiconducting single walled carbon nanotubes (semi SWNTs) are attractive as they can be used enable high performance nano electronic devices. We report the enhanced ambipolar charge transport characteristics of the semi SWNT field effect transistors (FETs) based on a top gated staggered device structure. SWNTs were selectively dispersed in non polar organic solvents and sorted by a p conjugated polymer wrapping method. The sorted semi SWNTs solution was used simply to form a well percolated CNT network, and the top gate and bottom contact FETs showed relatively high and equivalent electron and hole mobilities with very high on/off current ratios and steep subthreshold slopes. The equivalent ambipolar charge transport behavior of semi SWNTs was used to demonstrate a reliable complementary like electronic circuits. The inverters showed a good switching threshold near the ideal point at half the driving bias, high gain, low hysteresis, and stability under repeatable operating conditions. They can thus be broadly applied as a fundamental circuit element in printed and flexible electronics.", "author_names": [ "Kang-Jun Baeg", "Hee Jin Jeong", "Seung Yol Jeong", "Joong Tark Han", "Geon-Woong Lee" ], "corpus_id": 100355556, "doc_id": "100355556", "n_citations": 6, "n_key_citations": 0, "score": 0, "title": "Enhanced ambipolar charge transport in staggered carbon nanotube field effect transistors for printed complementary like circuits", "venue": "", "year": 2017 }, { "abstract": "Since the discovery of conductivity in polymers and certain organic molecules, remarkable progress has been made in synthesizing organic materials, in understanding their properties and in developing them for use in electronic and optical devices as stated in A. J. Heeger (2001) Currently, polymer and organic light emitting diodes (LEDs) based in R. H. Friend et al. (1999) photovoltaic cells based in C. J. Brabec et a. (2003) and field effect transistor based in N. Stutzmann et al. (2003) are being pushed towards commercialization. Among all the conjugated polymers, poly(l,4phenylenevinyiene) or PPV as well as its derivative 2 methoxy 5 (2 ethylhexyloxy) p phenylenevinylene or MEH PPV have been extensively studied for LED applications. We present here a study of a single layer structure LED with MEH PPV as the active organic layer. Optical and electrical characterization completes the study of the electroluminescence obtained from such device.", "author_names": [ "Stephanie Cheylan", "Goncal Badenes", "Joaquim Puigdollers", "Cristobal Voz", "Ramon Alcubilla" ], "corpus_id": 18157206, "doc_id": "18157206", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Optical and electrical characteristics of LEDs based on a single organic layer", "venue": "Conference on Electron Devices, 2005 Spanish", "year": 2005 }, { "abstract": "TESI DI DOTTORATO A Study on Defects in Organic Semiconductors for Field Effect Transistors CANDIDATO: ROSALBA LIGUORI TUTOR: PROF. ALFREDO RUBINO COORDINATORE: PROF. ANGELO MARCELLI Anno Accademico 2012 2013 The understanding and the modeling of mechanisms involved in organic semiconductors are the aims of this Ph.D. thesis. In particular, the document focuses the attention on the role played by organic semiconductor defects on the electrical performance of organic based field effect transistors. Critical issues are, indeed, the localized states related to the presence of structural defects and chemical impurities. They dominate the charge carrier transport in organic semiconductors and define the quality of interfaces occurring in the transistors. Organic thin film transistors were fabricated with pentacene, a conjugated small molecule exhibiting p type behavior, in combination with various polymeric gate insulators. The relationship between the electrical characteristics and the physical processes of the organic devices was investigated, along with a study of their electrical stability, essential to allow the adoption of organic transistors in practical applications. Most of the unstable behaviors observed in the fabricated devices were justified by assuming a multiple trapping and release mechanism to occur, due to the high concentration of traps. Particular analyses of the physical properties of organic materials were presented, exploiting in detail the photo excitation of charge carriers to produce hidden phenomena, which were used for the characterization of localized states. Thanks to this expedient, interesting results were obtained and, in particular, a novel analytical model describing the creation and annihilation dynamics of metastable defects induced in a pentacene film by an ultraviolet irradiation was developed. The evolutions of two types of induced defects, referred to as slow and fast defects, were reconstructed through the proposed equations. Induced defects were demonstrated to control the degradation and recovery kinetics of conductivity. The physical mechanisms occurring in organic transistors at the semiconductor insulator interface, where the conducting channel is formed, were studied through photocurrent and photocapacitance measurements and were revealed to dominate important aspects of device performance and stability under realistic atmospheric conditions. For this reason, specific equations were developed to model the combined effects induced by light irradiation and bias stress. The proposed model allowed a simultaneous study of the light effect on material structure, the trapping process of minority charge carriers at the insulator semiconductor interface and the photoconductive efficiency in the organic semiconductor. Moreover, the new parameters introduced to describe the photoresponse evolution become characteristic figures of merit, fundamental to compare different organic devices by including information about the illumination time and the bias during measurements. Finally, a significant contribution to the modeling of an organic thin film transistor was given through the development of a complete electrical model able to describe the dynamics of the various processes occurring in the organic device. By exploiting the potentialities of admittance spectroscopy in an organic based metal insulator semiconductor capacitor, an equivalent circuit was built and increasingly improved though the introduction of new components. Thus, every element was connected to each other through different relationships, each describing a single process in the device, including the diffusion of mobile ions, the dispersive transport in pentacene bulk, the trapping mechanism at the insulator semiconductor interface and the contact resistance at the metal organic interface. The simulation results showed that the proposed analytical equations are very effective in predicting the electrical behavior of organic devices. This model, suitable for device simulations, also provides an efficient parameter extraction method, useful to compare the device properties with respect to the geometries, the materials and the deposition conditions.", "author_names": [ "Angelo Marcelli" ], "corpus_id": 59380994, "doc_id": "59380994", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "A Study on Defects in Organic Semiconductors for Field Effect Transistors", "venue": "", "year": 2014 }, { "abstract": "Organic semiconductors have unique properties compared to traditional inorganic materials such as amorphous or crystalline silicon. Some important advantages include their adaptability to low temperature processing on flexible substrates, low cost, amenability to high speed fabrication, and tunable electronic properties. These features are essential for a variety of next generation electronic products, including low power flexible displays, inexpensive radio frequency identification (RFID) tags, and printable sensors, among many other applications. Accordingly, the preparation of new materials based on p conjugated organic molecules or polymers has been a central scientific and technological research focus over the past decade. Currently, p channel (hole transporting) materials are the leading class of organic semiconductors. In contrast, high performance n channel (electron transporting) semiconductors are relatively rare, but they are of great significance for the development of plastic electronic devices such as organic field effect transistors (OFETs) In this Account, we highlight the advances our team has made toward realizing moderately and highly electron deficient n channel oligomers and polymers based on oligothiophene, arylenediimide, and (bis)indenofluorene skeletons. We have synthesized and characterized a \"library\" of structurally related semiconductors, and we have investigated detailed structure property relationships through optical, electrochemical, thermal, microstructural (both single crystal and thin film) and electrical measurements. Our results reveal highly informative correlations between structural parameters at various length scales and charge transport properties. We first discuss oligothiophenes functionalized with perfluoroalkyl and perfluoroarene substituents, which represent the initial examples of high performance n channel semiconductors developed in this project. The OFET characteristics of these compounds are presented with an emphasis on structure property relationships. We then examine the synthesis and properties of carbonyl functionalized oligomers, which constitute second generation n channel oligothiophenes, in both vacuum and solution processed FETs. These materials have high carrier mobilities and good air stability. In parallel, exceptionally electron deficient cyano functionalized arylenediimide derivatives are discussed as early examples of thermodynamically air stable, high performance n channel semiconductors; they exhibit record electron mobilities of up to 0.64 cm(2)/V*s. Furthermore, we provide an overview of highly soluble ladder type macromolecular semiconductors as OFET components, which combine ambient stability with solution processibility. A high electron mobility of 0.16 cm(2)/V*s is obtained under ambient conditions for solution processed films. Finally, examples of polymeric n channel semiconductors with electron mobilities as high as 0.85 cm(2)/V*s are discussed; these constitute an important advance toward fully printed polymeric electronic circuitry. Density functional theory (DFT) computations reveal important trends in molecular physicochemical and semiconducting properties, which, when combined with experimental data, shed new light on molecular charge transport characteristics. Our data provide the basis for a fundamental understanding of charge transport in high performance n channel organic semiconductors. Moreover, our results provide a road map for developing functional, complementary organic circuitry, which requires combining p and n channel transistors.", "author_names": [ "Hakan Usta", "Antonio F Facchetti", "Tobin J Marks" ], "corpus_id": 206819305, "doc_id": "206819305", "n_citations": 548, "n_key_citations": 0, "score": 0, "title": "n Channel semiconductor materials design for organic complementary circuits.", "venue": "Accounts of chemical research", "year": 2011 }, { "abstract": "Author(s) Phan, Hung Advisor(s) Nguyen, Thuc Quyen Abstract: The Field Effect Transistor (FET) is inarguably the most important circuit element in modern electronics. Metal Oxide FETs (MOSFETs) the most common type of FET, are integrated in microprocessors in almost all electronic devices: mobile phones, computers, digital cameras, and digital printers, to name a few. MOSFETs are normally fabricated on top of rigid single crystalline silicon, which requires high temperature processing ~1000 oC) Recently, a need has emerged for flexible electronics in a variety of applications. Examples include form fitting healthcare monitoring devices, flexible displays, and flexible radio frequency identification tags (RFID) Organic FETs (OFETs) are viable candidates for flexible electronics because they are based on semiconducting p conjugated materials, including small molecules and polymers, which can be solution processed at low temperature on flexible substrates. Solution processing may enable the use of high throughput methods such as roll to roll coating and inkjet printing for low cost manufacturing. In the molecular perspective, the limitless ability to tune the properties of these materials just by a small modification of the conjugated backbone or sidechains makes them attractive to both academic research and industrial manufacturing. Between the two materials, semiconducting polymers offer better potential for the formation and mechanical properties of thin films, compared to their small molecule counterparts.For OFETs to be industrially viable, however, they must first have high charge carrier mobility. Recent advances in molecular designs and device engineering have seen significant increases in the mobility of OFETs fabricated with conjugated polymer (or PFETs) In this dissertation, it is shown that obtaining polymer chain alignment is critical to improve the mobility of PFETs. In addition, the charge transport mechanism is investigated to explain the high mobility in PFETs with aligned polymers. Most importantly, the mechanism of electrical instability and non ideality (i.e. the double slope) of high mobility PFETs with a certain degree of ambipolarity is unraveled. Firstly, the alignment of polymer chains inside polymer fiber bundles is revealed by high resolution atomic force microscopy (AFM) This alignment is enabled by nano grooves of ca. 50 nm wide and 2 5 nm deep on SiO2 substrates used for fabricating the PFETs. Mobility of charge transport along the direction of the polymer fiber is an order of magnitude higher than that of charge transport perpendicular to the fiber direction. It indicates that aligned polymer chains facilitate fast intrachain charge transport.Secondly, the charge transport mechanism is determined to be the thermally activated hopping of charge carriers. This is an important finding because it has been speculated that band transport is possible in OFETs fabricated from well aligned polymer fibers. With a normal range of molecular weight (30 kDa to 100 kDa) which is feasible for industrial scale up, the stretched length of the corresponding polymer chain, ranging from 50 150 nm, is not enough to cross the full channel length of the OFETs. In addition, polymer chains in a solution processed thin film are likely to have kinks and twists that disrupt the perfect electronic coupling necessary for band transport. It implies that polymers should be designed to facilitate not only chain alignment but also the strong electronic coupling in the p p stacking direction between chains for efficient hoppings between chains with low energetic barriers.Finally, the electrical instability and its associated non ideal device characteristics is thoroughly investigated. Electrical stability is as important as high charge carrier mobility for OFETs to be commercialized in large scale production. The use of low bandgap donor acceptor (D A) polymers for obtaining high mobility introduces undesirable electron current in p type PFETs. The effect of electron transport and trapping on hole conduction in p type OFETs has not been addressed. In this work, p type PFETs fabricated with SiO2 dielectrics and with a certain degree of ambipolarity exhibit electrical instability (a change in current upon bias stressing) and non ideality (double slope in transfer curves) of hole current. It is determined that electron trapping and the subsequent formation of SiO charges on SiO2/polymer interface are the principle origins of the instability and the double slope. Suppressing these undesired properties is essential to make PFETs industrial viable. It should be noted that the double slope has been a long debate without a solid explanation in the OFET research community.In sum, this thesis shows a comprehensive understanding of the structure processing property relationship of PFETs fabricated with well aligned polymers. New findings in the thesis provide important guidelines for molecular design and device engineering of high mobility and practical PFETs. These guidelines have been successfully demonstrated by us and our collaborators at UCSB.", "author_names": [ "Hung Phan" ], "corpus_id": 197526391, "doc_id": "197526391", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Molecular Assembly and Device Physics of High Mobility Organic Field Effect Transistor Fabricated from Donor Acceptor Copolymers", "venue": "", "year": 2016 }, { "abstract": "Intensive studies of conjugated molecules and polymers are carried out all over the world with the intent of obtaining cheap and efficient organic electronic devices. The most mature application at the moment is the light emitting diode, but also photovoltaic cells and different types of transistors shows promising results. Interest in these materials is based on possibilities of 'simple' and cheap processing techniques, comparing to inorganic compounds, in the manufacturing of devices. The understanding of the electronic and chemical structure of the surfaces and interfaces of these materials is a basic requirement for understanding the characteristics of the potential devices. Understanding the electronic structure of the pristine materials enables conclusions to be drawn concerning electrical and optical properties in these materials. The behaviour of the interface between metals and conjugated materials is one of the primary factors determining the suitability of using certain electrode/organic material combinations in device applications.With this motivation, the electronic structure of both conjugated molecules and polymers surfaces and their interfaces to metals (and insulators) have been studied with mainly photoelectron spectroscopy (PES) In some cases complementary techniques have been needed and performed. This includes the four point probe technique for determining surface resistance and atomic force morphology for determining surface morphology. As well as synchrotron based techniques, such as near edge X ray absorption spectroscopy and resonant photoemission have been used. The main results compromised in this thesis are summarized below.Poly(3,4 ethylenedioxythiophene) polystyrenesulfonic acid (PEDOT PSS) is an aqueous colloidal dispersion consisting of doped conjugated polymer PEDOT with counter ions provided by the PSS chains. PEDOT PSS films have previously proven to have a grain like structure in which the grains have a ~30 A thick insulating PSS outermost layer. The conductivity of thin PEDOT PSS films has been improved through adding some high boiling point solvents to the PEDOT PSS blend. The major reason for this increase is a rearrangement of the morphology, in terms of an increase in the PEDOT to PSS ratio in the surface region (i.e. the insulating PPS layer is decreased for each grain).The initial stages of interface of PEDOT PSS with aluminum for contacting purposes has also been examined. Due to the many components in the PEDOT PSS film its reactions with alurninum was difficult to deduce. Therefore the aluminum interfaces with model molecules of each of the components of PEDOT PSS were investigated to discern this. Phenyl capped EDOT trimer was used as a model oligomer for neutral PEDOT. It has been shown that aluminum preferentially interacts and forms covalent bonds with C S carbons that causes a rearrangement of the charge density within the oligomer and breaks then conjugation. In PEDOT PSS blends the PEDOT part is left intact and alurninurn preferentially reacts with the SO3 H+ and/or SO3 species of the PSS part.A specific blend of conjugated materials used in photovoltaic cells is a one to four mixture of APFO 3 (a low band gap copolymer based on alternating fluorene and donor acceptor donor units) to PCBM (soluble C60 derivative) The electrode systems studied are the widely used Al and Al/LiF contacts. We demonstrate a thickness dependent effect of the LiF layer in the Al/LiF/organic structure. LiF has a protective effect for all thickness preventing formation at the Al/organic interface of Al organic complexes that destroy the P conjugation. In addition to this, there are two other beneficial effects (depending on LiF thickness) Decomposition of LiF occurs for thin enough layers in which the LiF species are in contact with both the organic film and the A1 atoms. This results in Li doping of the organic films and creates a low workfunction contact. For thicker (multi)layers, the dipole formed at the LiP/organic interface is retained as no decomposition of the LiF occurs upon Al deposition.We have shown the occurrence of interfacial dipoles at C60/LiF/Al interfaces and confirmed interfacial dipoles at Alq3/Al, C60/Al and Alq3/LiF/Al interfaces through vacuum level shifts. There is strong interaction with the substrates in all cases. There is evidence of covalent interaction between both Alq3 and C60 films with the AI substrates. The added LiF layer (between AI substrate and the organic film) prevents the covalent bonds from forming and the LiF does not dissociate in any case, unlike what is found in literature for the reverse order of deposition. For both Alq3 and C60 there is charge transfer from the Al substrate to the organic film through the LiF layer. However, if the thickness of the LiF layer exceeds 25 A this charge transfer is blocked. The evolution of the electronic structure upon n doping of the first Alq3 monolayer observed here is different from previous studies of n doping mer Alq3, indicating that there is preferential deposition and/or formation of the unusual facial isomer of Alq3 on the LiF/Al substrate. Our results are the first reported photoemission spectra of this isomer and its n doped state.The electronic structure of two new low band gap polymers (APFO 3 and APFO 7) based on donor acceptor donor groups copolymerized with fluorine units has been characterized. The valence band of APFO 3 seems to be highly dispersed and derived from orbitals delocalized over the whole polymer chain, where as the conduction band is nearly flat as it is derived from orbitals localized on the acceptor units. The existence of a dispersed valence band would predict good hole transporting properties, where as a flat conduction band would be expected to produce poor electron transporting properties. The electronic structure of APFO 7 has similarities to APFO 7 but it is also less clear. The larger size of the acceptor unit seem to distort both the valence band and conduction band shape as compared to APFO 3, however, so further work is needed to understand the more complex APFO 7 system.", "author_names": [ "Stina Jonsson", "William Raymond Salaneck", "Mats Fahlman" ], "corpus_id": 138063607, "doc_id": "138063607", "n_citations": 27, "n_key_citations": 0, "score": 0, "title": "X ray photoelectron spectroscopy study of the metal/polymer contacts involving aluminum and poly(3,4 ethylenedioxythiophene) poly(styrenesulfonic acid) derivatives", "venue": "", "year": 2003 }, { "abstract": "Intensive studies of conjugated molecules and polymers are carried out all over the world with the intent of obtaining cheap and efficient organic electronic devices. The most mature application at the moment is the light emitting diode, but also photovoltaic cells and different types of transistors shows promising results. Interest in these materials is based on possibilities of 'simple' and cheap processing techniques, comparing to inorganic compounds, in the manufacturing of devices. The understanding of the electronic and chemical structure of the surfaces and interfaces of these materials is a basic requirement for understanding the characteristics of the potential devices. Understanding the electronic structure of the pristine materials enables conclusions to be drawn concerning electrical and optical properties in these materials. The behaviour of the interface between metals and conjugated materials is one of the primary factors determining the suitability of using certain electrode/organic material combinations in device applications.With this motivation, the electronic structure of both conjugated molecules and polymers surfaces and their interfaces to metals (and insulators) have been studied with mainly photoelectron spectroscopy (PES) In some cases complementary techniques have been needed and performed. This includes the four point probe technique for determining surface resistance and atomic force morphology for determining surface morphology. As well as synchrotron based techniques, such as near edge X ray absorption spectroscopy and resonant photoemission have been used. The main results compromised in this thesis are summarized below.Poly(3,4 ethylenedioxythiophene) polystyrenesulfonic acid (PEDOT PSS) is an aqueous colloidal dispersion consisting of doped conjugated polymer PEDOT with counter ions provided by the PSS chains. PEDOT PSS films have previously proven to have a grain like structure in which the grains have a ~30 A thick insulating PSS outermost layer. The conductivity of thin PEDOT PSS films has been improved through adding some high boiling point solvents to the PEDOT PSS blend. The major reason for this increase is a rearrangement of the morphology, in terms of an increase in the PEDOT to PSS ratio in the surface region (i.e. the insulating PPS layer is decreased for each grain).The initial stages of interface of PEDOT PSS with aluminum for contacting purposes has also been examined. Due to the many components in the PEDOT PSS film its reactions with alurninum was difficult to deduce. Therefore the aluminum interfaces with model molecules of each of the components of PEDOT PSS were investigated to discern this. Phenyl capped EDOT trimer was used as a model oligomer for neutral PEDOT. It has been shown that aluminum preferentially interacts and forms covalent bonds with C S carbons that causes a rearrangement of the charge density within the oligomer and breaks then conjugation. In PEDOT PSS blends the PEDOT part is left intact and alurninurn preferentially reacts with the SO3 H+ and/or SO3 species of the PSS part.A specific blend of conjugated materials used in photovoltaic cells is a one to four mixture of APFO 3 (a low band gap copolymer based on alternating fluorene and donor acceptor donor units) to PCBM (soluble C60 derivative) The electrode systems studied are the widely used Al and Al/LiF contacts. We demonstrate a thickness dependent effect of the LiF layer in the Al/LiF/organic structure. LiF has a protective effect for all thickness preventing formation at the Al/organic interface of Al organic complexes that destroy the P conjugation. In addition to this, there are two other beneficial effects (depending on LiF thickness) Decomposition of LiF occurs for thin enough layers in which the LiF species are in contact with both the organic film and the A1 atoms. This results in Li doping of the organic films and creates a low workfunction contact. For thicker (multi)layers, the dipole formed at the LiP/organic interface is retained as no decomposition of the LiF occurs upon Al deposition.We have shown the occurrence of interfacial dipoles at C60/LiF/Al interfaces and confirmed interfacial dipoles at Alq3/Al, C60/Al and Alq3/LiF/Al interfaces through vacuum level shifts. There is strong interaction with the substrates in all cases. There is evidence of covalent interaction between both Alq3 and C60 films with the AI substrates. The added LiF layer (between AI substrate and the organic film) prevents the covalent bonds from forming and the LiF does not dissociate in any case, unlike what is found in literature for the reverse order of deposition. For both Alq3 and C60 there is charge transfer from the Al substrate to the organic film through the LiF layer. However, if the thickness of the LiF layer exceeds 25 A this charge transfer is blocked. The evolution of the electronic structure upon n doping of the first Alq3 monolayer observed here is different from previous studies of n doping mer Alq3, indicating that there is preferential deposition and/or formation of the unusual facial isomer of Alq3 on the LiF/Al substrate. Our results are the first reported photoemission spectra of this isomer and its n doped state.The electronic structure of two new low band gap polymers (APFO 3 and APFO 7) based on donor acceptor donor groups copolymerized with fluorine units has been characterized. The valence band of APFO 3 seems to be highly dispersed and derived from orbitals delocalized over the whole polymer chain, where as the conduction band is nearly flat as it is derived from orbitals localized on the acceptor units. The existence of a dispersed valence band would predict good hole transporting properties, where as a flat conduction band would be expected to produce poor electron transporting properties. The electronic structure of APFO 7 has similarities to APFO 7 but it is also less clear. The larger size of the acceptor unit seem to distort both the valence band and conduction band shape as compared to APFO 3, however, so further work is needed to understand the more complex APFO 7 system.", "author_names": [ "Stina Jonsson", "Elin Carlegrim", "Fengling Zhang", "William Raymond Salaneck", "Mats Fahlman" ], "corpus_id": 94166793, "doc_id": "94166793", "n_citations": 111, "n_key_citations": 1, "score": 0, "title": "Photoelectron spectroscopy of the contact between the cathode and the active layers in plastic solar cells the role of LiF", "venue": "", "year": 2005 }, { "abstract": "At the nanoscale measures can move from a mass scale analogue calibration to counters of discrete units. The shift redefines the possible levels of control that can be achieved in a system if adequate selectivity can be imposed. As an example as ionic substances pass through nanoscale pores, the quantity of ions is low enough that the pore can contain either negative or positive ions. Yet precise control over this selectivity still raises difficulties. In this issue researchers address the challenge of how to regulate the ionic selectivity of negative and positive charges with the use of an external charge. The approach may be useful for controlling the behaviour, properties and chemical composition of liquids and has possible technical applications for nanofluidic field effect transistors [1] Selectivity is a critical advantage in the administration of drugs. Nanoparticles functionalized with targeting moieties can allow delivery of anti cancer drugs to tumour cells, whilst avoiding healthy cells and hence reducing some of the debilitating side effects of cancer treatments [2] Researchers in Belarus and the US developed a new theranostic approach combining therapy and diagnosis to support the evident benefits of cellular selectivity that can be achieved when nanoparticles are applied in medicine [3] Their process uses nanobubbles of photothermal vapour, referred to as plasmonic nanobubbles, generated by plasmonic excitations in gold nanoparticles conjugated to diagnosis specific antibodies. The intracellular plasmonic nanobubbles are controlled by laser fluence so that the response can be tuned in individual living cells. Lower fluence allows non invasive high sensitive imaging for diagnosis and higher fluence can disrupt the cellular membrane for treatments. The selective response of carbon nanotubes to different gases has leant them to be used within various different types of sensors, as summarized in a review by researchers at the University of California, Riverside [4] Mangu et al in the US have developed highly sensitive and selective room temperature gas sensors made from composites of multiwalled carbon nanotubes and polymers [5] They report sensitivities as high as 28% when exposed to 100 ppm of NH(3) and 29.8% to 100 ppm of NO(2) Nanopore structures are also showing increasing promise for sensing and biophysical characterization applications, in particular DNA [6] An applied potential drives negatively charged DNA molecules through nanopores in a membrane and gives rise to current blockage pulses that are characteristic of specific analytes. Solid state nanopore structures hold advantages over biological pores, such as those in a haemolysin protein, as they are more resilient to experimental conditions, and ideally should also allow control of the nanopore diameter, channel length and surface composition. Asghar and colleagues have now reported a method that enables just that, 'a rapid solid state nanopore fabrication and controlled pore shrinking process which does provide simultaneous in situ control of surface properties' [7] In addition, they demonstrate the viability of the approach for single molecule sensor applications using double stranded DNA. In this issue, researchers in China report on a different approach which allows control over the transport of ionic fluids through nanopore type structures. They describe the rapid field effect control of electrical conductance in single nanotube nanofluidic transistors [1] Rather than seeking to control the charge of thenanotube inner surface, Gong and colleagues control polarity switching based on negative and positive ion selectivity using an external charge. 'The polarity of the nanotube can be reversed and tuned by the external field, which could find interesting applications in the field of ion separation and energy conversion' they explain, adding that the system may also find a use as a voltage sensor through the detection of the type of ions across the channel. The aim of achieving selectivity encompasses a huge range of fields in nanotechnology research, from sensing and medicine to nanoelectronics and self assembly. As our understanding of how nanosystems behave deepens, so too does the hunger to improve our capabilities, allowing greater precision and control in manipulating these systems. Selectivity is far from trivial when shrinking to systems of nanoscale dimensions, but the range of opportunities it brings just keeps on growing. References [1] Gong X, Li J, Guo C, Xu K and Hui Y 2012 Molecular switch for tuning ions across nanopores by an external electric field Nanotechnology 24 025502 [2] Brannon Peppas L and Blanchette J O 2004 Nanoparticle and targeted systems for cancer therapy Adv. Drug Deliv. Rev 56 1649 59 [3] Lukianova Hleb E Y, Hanna E Y, Hafner J H and Lapotko D O 2010 Tunable plasmonic nanobubbles for cell theranostics Nanotechnology 21 085102 [4] Zhang T, Mubeen S, Myung N V and Deshusses M A 2008 Recent progress in carbon nanotube based gas sensors Nanotechnology 19 332001 [5] Mangu R, Rajaputra S and Singh V P 2011 MWCNT polymer composites as highly sensitive and selective room temperature gas sensors Nanotechnology 22 215502 [6]Meller A, Nivon L, Brandin E, Golovchenko J and Branton D 2000 Rapid nanopore discrimination between single polynucleotide molecules Proc. Natl Acad. Sci. 97 1079 84 [7] Asghar W, Ilyas A, Deshmukh R R, Sumitsawan S, Timmons R B and Iqbal S M 2011 Pulsed plasma polymerization for controlling shrinkage and surface composition of nanopores Nanotechnology 22 285304.", "author_names": [ "Anna Demming" ], "corpus_id": 35104588, "doc_id": "35104588", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Nanotechnological selection.", "venue": "Nanotechnology", "year": 2013 } ]
Oxide_ Fundamentals, Materials and Device Technology
[ { "abstract": "Preface 1 General Properties of ZnO 1.1 Crystal Structure 1.2 Lattice Parameters 1.3 Electronic Band Structure 1.4 Mechanical Properties 1.5 Vibrational Properties 1.6 Thermal Properties 1.7 Electrical Properties of Undoped ZnO 2 ZnO Growth 2.1 Bulk Growth 2.2 Substrates 2.3 Epitaxial Growth Techniques 3 Optical Properties 3.1 Optical Processes in Semiconductors 3.2 Optical Transitions in ZnO 3.3 Defects in ZnO 3.4 Refractive Index of ZnO and MgZnO 3.5 Stimulated Emission in ZnO 3.6 Recombination Dynamics in ZnO 3.7 Nonlinear Optical Properties 4 Doping of ZnO 4.1 n Type Doping 4.2 p Type Doping 5 ZnO Based Dilute Magnetic Semiconductors 5.1 Doping with Transition Metals 5.2 General Remarks about Dilute Magnetic Semiconductors 5.3 Classification of Magnetic Materials 5.4 A Brief Theory of Magnetization 5.5 Dilute Magnetic Semiconductor Theoretical Aspects 5.6 Measurements Techniques for Identification of Ferromagnetism 5.7 Magnetic Interactions in DMS 5.8 Theoretical Studies on ZnO Based Magnetic Semiconductors 5.9 Experimental Results on ZnO Based Dilute Magnetic Semiconductors 6 Bandgap Engineering 6.1 MgxZn1 xO Alloy 6.2 BexZn1 xO Alloy 6.3 CdyZn1 yO Alloy 7 ZnO Nanostructures 7.1 Synthesis of ZnO Nanostructures 7.2 Applications of ZnO Nanostructures 8 Processing, Devices, and Heterostructures 8.1 A Primer to Semiconductor Metal Contacts 8.2 Ohmic Contacts to ZnO 8.3 Schottky Contacts to ZnO 8.4 Etching of ZnO 8.5 Heterostructure Devices 8.6 Piezoelectric Devices 8.7 Sensors and Solar Cells Based on ZnO Nanostructures 8.8 Concluding Remarks", "author_names": [ "Hadis Morkoc", "Umit Ozgur" ], "corpus_id": 136766400, "doc_id": "136766400", "n_citations": 783, "n_key_citations": 38, "score": 1, "title": "Zinc Oxide: Fundamentals, Materials and Device Technology", "venue": "", "year": 2009 }, { "abstract": "Abstract Polymer electrolyte membrane (PEM) fuel cells are electrochemical devices that directly convert the chemical energy stored in fuel into electrical energy with a practical conversion efficiency as high as 65% In the past years, significant progress has been made in PEM fuel cell commercialization. By 2019, there were over 19,000 fuel cell electric vehicles (FCEV) and 340 hydrogen refueling stations (HRF) in the U.S. ~8,000 and 44, respectively) Japan ~3,600 and 112, respectively) South Korea ~5,000 and 34, respectively) Europe ~2,500 and 140, respectively) and China ~110 and 12, respectively) Japan, South Korea, and China plan to build approximately 3,000 HRF stations by 2030. In 2019, Hyundai Nexo and Toyota Mirai accounted for approximately 63% and 32% of the total sales, with a driving range of 380 and 312 miles and a mile per gallon (MPGe) of 65 and 67, respectively. Fundamentals of PEM fuel cells play a crucial role in the technological advancement to improve fuel cell performance/durability and reduce cost. Several key aspects for fuel cell design, operational control, and material development, such as durability, electrocatalyst materials, water and thermal management, dynamic operation, and cold start, are briefly explained in this work. Machine learning and artificial intelligence (AI) have received increasing attention in material/energy development. This review also discusses their applications and potential in the development of fundamental knowledge and correlations, material selection and improvement, cell design and optimization, system control, power management, and monitoring of operation health for PEM fuel cells, along with main physics in PEM fuel cells for physics informed machine learning. The objective of this review is three fold: (1) to present the most recent status of PEM fuel cell applications in the portable, stationary, and transportation sectors; (2) to describe the important fundamentals for the further advancement of fuel cell technology in terms of design and control optimization, cost reduction, and durability improvement; and (3) to explain machine learning, physics informed deep learning, and AI methods and describe their significant potentials in PEM fuel cell research and development (R&D)", "author_names": [ "Yun Wang", "Bong-Kuk Seo", "Bowen Wang", "Nada Zamel", "Kui Jiao", "Xavier Cordobes Adroher" ], "corpus_id": 225400893, "doc_id": "225400893", "n_citations": 51, "n_key_citations": 0, "score": 0, "title": "Fundamentals, materials, and machine learning of polymer electrolyte membrane fuel cell technology", "venue": "", "year": 2020 }, { "abstract": "The long standing popularity of thermoelectric materials has contributed to the creation of various thermoelectric devices and stimulated the development of strategies to improve their thermoelectric performance. In this review, we aim to comprehensively summarize the state of the art strategies for the realization of high performance thermoelectric materials and devices by establishing the links between synthesis, structural characteristics, properties, underlying chemistry and physics, including structural design (point defects, dislocations, interfaces, inclusions, and pores) multidimensional design (quantum dots/wires, nanoparticles, nanowires, nano or microbelts, few layered nanosheets, nano or microplates, thin films, single crystals, and polycrystalline bulks) and advanced device design (thermoelectric modules, miniature generators and coolers, and flexible thermoelectric generators) The outline of each strategy starts with a concise presentation of their fundamentals and carefully selected examples. In the end, we point out the controversies, challenges, and outlooks toward the future development of thermoelectric materials and devices. Overall, this review will serve to help materials scientists, chemists, and physicists, particularly students and young researchers, in selecting suitable strategies for the improvement of thermoelectrics and potentially other relevant energy conversion technologies.", "author_names": [ "Xiaolei Shi", "Jin Zou", "Zhi-Gang Chen" ], "corpus_id": 220309798, "doc_id": "220309798", "n_citations": 197, "n_key_citations": 1, "score": 0, "title": "Advanced Thermoelectric Design: From Materials and Structures to Devices.", "venue": "Chemical reviews", "year": 2020 }, { "abstract": "Future development of the modern nanoelectronics and its flagships internet of things and artificial intelligence as well as many related applications is largely associated with memristive elements. This technology offers a broad spectrum of functionalities, however, it follows predominantly a phenomenological approach and crucial challenge/limit for further development remains variability and lack of fundamental materials' design strategy. Here we demonstrate the vital importance of materials' purity for determining memristors' functionalities, showing that part per million foreign elements significantly change the performance. By appropriate choice of chemistry and amount of doping material we can selectively enhance desired operation mode. We highlight how dopant dependent structure and charge/potential distribution in the space charge layers and the cell capacitance determine the device kinetics and functions. We evidence for first time experimentally the relation between materials properties and switching/neuromorphic performance, thus providing rules and directions for a rational design of memristive devices.", "author_names": [ "Michael J Lubben", "Felix Cuppers", "J Mohr", "Moritz von Witzleben", "Uwe Breuer", "Rainer Waser", "C Neumann", "Ilia Valov" ], "corpus_id": 119365048, "doc_id": "119365048", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Design of materials properties and device performance in memristive systems", "venue": "", "year": 2019 }, { "abstract": "Introduction of Organic Photovoltaic Devices. Fundamentals. Materials. Device and Manufacturing Technology.", "author_names": [ "Yang Yang", "Gang Li" ], "corpus_id": 136975870, "doc_id": "136975870", "n_citations": 24, "n_key_citations": 1, "score": 0, "title": "Progress in high efficient solution process organic photovoltaic devices fundamentals, materials, devices and fabrication", "venue": "", "year": 2015 }, { "abstract": "Electrochromic materials are those that exhibit reversible colour changes due to electrochemical reduction/oxidation (redox) reactions. Many classes of electrochromic materials have been reported over the decades. The observed colour changes of electrochromic materials have spanned across the entire UV visible and near infrared region. The useful electrochromic properties of these materials can be exploited through the fabrication of electrochromic devices. This chapter will summarize various classes of electrochromic materials, which range from metal oxides and small organic molecules to conjugated polymers. The architecture and components of electrochromic devices, and electrochromic performance parameters are also outlined. The fabrication methods of electrochromic devices are presented through the description of the typical device architecture, as well as common procedures of film processing and patterning. Understanding these fundamental aspects from materials to mechanism, as well as from device fabrication to key performance parameters, allows readers to fully understand and appreciate electrochromism technology as a holistic and interdisciplinary topic.", "author_names": [ "Wei Teng Neo", "Ming Hui Chua", "Jianwei Xu" ], "corpus_id": 140087673, "doc_id": "140087673", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "Chapter 2:Fundamentals of Electrochromic Materials and Devices", "venue": "", "year": 2018 }, { "abstract": "Introduction Evgueni Polikarpov and Daniel J. Gaspar Materials Substrates Abhinav Bhandari and Daniel J. Gaspar OLED Encapsulation Lorenza Moro, Damien Boesch, and Xianghui Zeng Transparent Electrodes for OLED Devices Roman Korotkov and Gary S. Silverman Charge Injection Layers Mark T. Greiner and Zheng Hong Lu Small Molecule Fundamentals Xin Xu and Michael S. Weaver Electron Transport Materials Hisahiro Sasabe and Junji Kido Hole Transport Materials Evgueni Polikarpov Conductivity Doping Falk Loeser, Max Tietze, Bjorn Lussem, and Jan Blochwitz Nimoth Development of Host Materials for High Efficiency Organic Light Emitting Devices Asanga B. Padmaperuma Phosphorescent Emitters Valentina A. Krylova and Mark E. Thompson Devices and Processing Microcavity Effects and Light Extraction Enhancement Min Hao Michael Lu Device Degradation Denis Y. Kondakov Vapor Deposition Methods and Technologies: Research to Manufacturing David W. Gotthold Solution Deposition Methods and Technologies: Research to Manufacturing Vsevolod V. Rostovtsev and Curtis R. Fincher Design Considerations for OLED Lighting Yuan Sheng Tyan Materials, Processing, and Applications Daniel J. Gaspar Index of Materials Subject Index", "author_names": [ "Daniel J Gaspar", "Evgueni Polikarpov" ], "corpus_id": 139046223, "doc_id": "139046223", "n_citations": 75, "n_key_citations": 0, "score": 0, "title": "OLED fundamentals materials, devices, and processing of organic light emitting diodes", "venue": "", "year": 2015 }, { "abstract": "Contact lenses are ocular prosthetic devices used by over 150 million people worldwide. Primary applications of contact lenses include vision correction, therapeutics, and cosmetics. Contact lens materials have significantly evolved over time to minimize adverse effects associated with contact lens wearing, to maintain a regular corneal metabolism, and to preserve tear film stability. This article encompasses contact lens technology, including materials, chemical and physical properties, manufacturing processes, microbial contamination, and ocular complications. The function and the composition of the tear fluid are discussed to assess its potential as a diagnostic media. The regulatory standards of contact lens devices with regard to biocompatibility and contact lens market are presented. Future prospects in contact lens technology are evaluated, with particular interest given to theranostic applications for in situ continuous monitoring the ocular physiology.", "author_names": [ "Rosalia Moreddu", "Daniele Vigolo", "Ali Kemal Yetisen" ], "corpus_id": 184488183, "doc_id": "184488183", "n_citations": 48, "n_key_citations": 0, "score": 0, "title": "Contact Lens Technology: From Fundamentals to Applications.", "venue": "Advanced healthcare materials", "year": 2019 }, { "abstract": "Timely technology transition with minimal risk requires an understanding of fundamental and technology limitations of material synthesis, device operation and design controllable parameters. However, this knowledge based approach requires substantial investment of resources in the Science and Technology (ST) stage of development. For low volume niche semiconductor technologies of Department of Defense (DoD) relevance, there is little drive for industry to expend their limited resources towards basic research simply because there is no significant return on investment. As a result, technology transition from ST to product development is often delayed, expensive and carries risks. The Army Research Laboratory (ARL) is addressing this problem by establishing a Center for Semiconductor Modeling of Materials and Devices (CSM) that brings together government, academia, and industry in a collaborative fashion to address research opportunities through its Open Campus initiative. This Center leverages combined core competencies of partner organizations, which include a broad knowledge base in modeling, and its validation; sharing of computational, characterization, materials growth and device processing resources; project continuity; and 'extension of the bench' via exchange of researchers between affiliated entities. A critical DoD technology is sensing in the infrared (IR) spectrum, where understanding of materials, devices and methods for sensing and processing IR information must continually improve to maintain superiority in combat. In this paper we focus on the historical evolution of IR technology and emphasize the need for understanding of material properties and device operation to accelerate innovation and shorten the cycle time, thereby ensuring timely transition of technology to product development and manufacturing. There are currently two competing IR technologies being pursued, namely the incumbent II VI Hg1 xCdxTe technology and the III V Type 2 Superlattices (SLs) technology. A goal of the CSM is to develop physics based models for Type 2 SLs with the capability to timely understand the knowledge gap between what is built and what is designed.", "author_names": [ "Jagmohan Bajaj", "Roger E DeWames", "Jonathan Schuster", "Meredith Lynn Reed", "Philip Perconti", "Enrico Bellotti" ], "corpus_id": 63544085, "doc_id": "63544085", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Accelerating technology innovations by early understanding of fundamental and technology limitations of material synthesis and device operation", "venue": "OPTO", "year": 2017 }, { "abstract": "Abstract Tactile sensors, most commonly referred as strain and pressure sensors, can collect mechanical property data of the human body and local environment, to provide valuable insights into the human health status or artificial intelligence systems. The introduction of a high level of wearability (bendability and stretchability) to tactile sensors can dramatically enhance their interfaces with the contact objects, providing chronically reliable functions. Therefore, the developed wearable tactile sensors are capable of conformably covering arbitrary curved surface over their stiff counterparts without incurring damage, emerging as a promising development direction toward the Internet of Things (IoT) applications. Fundamental parameters of the wearable tactile sensors such as sensitivity and stretchability have experienced unprecedented advancement, owing to the progress of device fabrication techniques and material structural engineering. Moreover, novel smart materials and mechanically durable sensor design concepts endow these sensors with multi functionality integration (e.g. simultaneous force, temperature and humidity detection, simultaneous pressure and strain discrimination) and stirring properties (e.g. biocompatibility, biodegradability, self healing, self powering and visualization) further broadening the application scope of current wearable tactile sensors. Besides, it is desirable that a tactile sensor is compatible with a printing process that presents a new era of feasible wearable technology due to its large area and high throughput production capability. In addition to the development of sensors, packaging, and integration of the rest of the tactile device system (data memory, signal conversion, power supply, wireless transmission, feedback actuator, etc. to build a wearable platform also emerge as major research frontiers in recent years. This review attempts to summarize the current state of the art wearable tactile sensors concerning basic concepts, functional materials, sensing mechanism, promising applications, performance optimization strategies, multifunctional sensing, and system integration. Finally, the discussion will be presented regarding potential challenges, pathways, and opportunities.", "author_names": [ "Tingting Yang", "Dan Xie", "Zhihong Li", "Hongwei Zhu" ], "corpus_id": 136350246, "doc_id": "136350246", "n_citations": 339, "n_key_citations": 2, "score": 0, "title": "Recent advances in wearable tactile sensors: Materials, sensing mechanisms, and device performance", "venue": "", "year": 2017 } ]
Meeting the clean energy demand
[ { "abstract": "The increasing energy demand in the near future will force us to seek environmentally clean alternative energy resources. The emergence of nanomaterials as the new building blocks to construct light energy harvesting assemblies has opened up new ways to utilize renewable energy sources. This article discusses three major ways to utilize nanostructures for the design of solar energy conversion devices: (i) Mimicking photosynthesis with donor acceptor molecular assemblies or clusters, (ii) semiconductor assisted photocatalysis to produce fuels such as hydrogen, and (iii) nanostructure semiconductor based solar cells. This account further highlights some of the recent developments in these areas and points out the factors that limit the efficiency optimization. Strategies to employ ordered assemblies of semiconductor and metal nanoparticles, inorganic organic hybrid assemblies, and carbon nanostructures in the energy conversion schemes are also discussed. Directing the future research efforts toward utiliza.", "author_names": [ "Prashant V Kamat" ], "corpus_id": 98539141, "doc_id": "98539141", "n_citations": 1864, "n_key_citations": 17, "score": 1, "title": "Meeting the Clean Energy Demand: Nanostructure Architectures for Solar Energy Conversion", "venue": "", "year": 2007 }, { "abstract": "Abstract Science Based Targets has been initiated to allocate 'carbon budget' to industrial sectors to stay within planetary boundaries and support industries to move towards absolute sustainability. Clean and/or renewable energy are fundamentals for achieving Science Based Targets. Accordingly, development/usage of 'Photo Voltaic solar panels' (PVs) have increased significantly. However, PVs' development requires considerable resources up front (material needed and energy intensive manufacturing/production processes with hazardous emissions/impacts) This paper studies imposed Environmental Impact of PVs while considering projected/future power demand. This paper investigates if PV industry can supply needed 'sustainable energy' for meeting Science Based Targets with current technology. Results/findings are used to define needed technological improvement and/or to help developing policies towards realizing Science Based Targets.", "author_names": [ "Shiva Abdoli", "Mounika Pamulapati", "Sami Kara" ], "corpus_id": 226603656, "doc_id": "226603656", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "An investigation into the role of PV industry in meeting the growing energy demand towards absolute sustainability", "venue": "", "year": 2020 }, { "abstract": "In Egypt, the exponential population growth along with the development of industrial and agricultural activities has resulted in several environmental and economic issues. These concerns include soil and water contamination, water scarcity, and insufficient energy supply. This chapter presents the application of biofuel crops as a sustainable, environmental friendly, and solar driven approach for remediating the contaminated environment and generating new bioenergy resources. The main phytoremediation processes are (a) phytodegradation: Plants breakdown, transform, and assimilate organic pollutants through enzymatic activities and metabolic mechanisms; (b) phytovolatilization: Plants uptake contaminants from soil, followed by transformation and volatilization into the atmosphere; (c) phytoextraction: Plants absorb metallic and organic substances from soil, which are subsequently translocated, accumulated, and stored in aboveground portions; (d) phytostabilization: Plants minimize the mobility of contaminant via absorption, adsorption, accumulation, and precipitation within the rhizosphere; (e) phytofiltration: Aquatic plants remove pollutants from water mainly via bioadsorption and intracellular accumulation using the root system; and (f) rhizodegradation: Plants and associated microbes in the root zone are integrated to breakdown contaminants in soil. Further, energy can be obtained from harvested plant biomass either by direct combustion or by conversion into solids (e.g. biochar) liquid (bio oil, biodiesel, or bioethanol) and gas (CH4 biogas) The advantages of phytomanagement in Egypt are threefold: (a) utilization of large desert and forest areas, (b) provide treated wastewater that can be used for irrigation to prevent water scarcity, and (c) grow biofuel crops (e.g. Jatropha curcas) that can be used for bioenergy production.", "author_names": [ "Mahmoud Nasr" ], "corpus_id": 198416507, "doc_id": "198416507", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Phytomanagement in Egypt: A Sustainable Approach for Clean Environment Coupled with Meeting Future Energy Demand", "venue": "Waste Management in MENA Regions", "year": 2019 }, { "abstract": "Abstract Due to high consumption of energy, its associated concerns such as energy security and demand, wastage of resources, and material energy recovery are leading to the importance of sustainable energy system development. This is a high time to assess the sustainability in energy systems for meeting the requirements of energy with an enhanced economic, ecological, and social performance from a nation context. The energy system plays a significant role in deciding the economic progress of emerging economies such as India, China, Brazil, and Africa. In this paper, an original attempt has been made to list and evaluate important indicators for sustainability assessment of energy systems development and management in an emerging economy especially India. Firstly, based on the analysis of the extant literature and then followed by expert opinion, potential key sustainability assessment indicators for energy systems development and management were identified. Further, grey based Decision Making Trial and Evaluation Laboratory technique to understand the causal interactions amongst indicators and segregate them into cause and effect groups, is used. This work can provide useful aids to decision making bodies, sustainability practitioners and business organisations in selective implementation, monitoring and control of sustainable strategies in energy systems development and management and meeting sustainable development goals of clean energy in a nation context.", "author_names": [ "Sachin Kumar Mangla", "Sunil Luthra", "Suresh Kumar Jakhar", "Sumeet Gandhi", "Kamalakanta Muduli", "Anil Kumar" ], "corpus_id": 204440853, "doc_id": "204440853", "n_citations": 44, "n_key_citations": 1, "score": 0, "title": "A step to clean energy Sustainability in energy system management in an emerging economy context", "venue": "", "year": 2020 }, { "abstract": "Energy is an essential input to the overall development of the economy. It provides light and fuel to millions of households, industry, agriculture, commerce all service sectors and so on. Since energy is a critical component, development of conventional and nonconventional forms of energy for meeting the growing demand of the society at reasonable price and quality is the responsibility of the government. Energy Audit is an important and vital tool in the management of energy both at industry and nation level. It is required for improvising the efficient management practices in energy production, utilization and distribution with better technology and to thereby conserve for future growth and development. With the growing importance for industrial sectors in the country's development and increasing energy consumptions, it has become essential and necessity to opt for more optimization methods in the consumption of energy. A saving of 15 to 30% can be done by optimal usage of energy at home. Energy conservation and safety is the topmost priority in today's world to have a consistent growth, increase in production and to protect our environment. Energy audit and its management is instrumental to cope with the variation in the cost of energy, to identify the appropriate mixes of energy, to improvise the energy conservative equipment and technologies. Along with innovative technology for energy consumptions we also require innovative methods in the management of energy audit to help the country with efficient energy management system, reduce cost and save energy for future requirements. To study and understand the need for Energy audit with innovative technology management in India. To analyze its importance for resourcing clean energy and sustainability in India.", "author_names": [ "C Sivapriya", "S Preetha", "Vignesh Rajendran" ], "corpus_id": 199346993, "doc_id": "199346993", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Energy Audit with Innovative Technology Management for Resourcing the Clean Energy and Sustainability in India", "venue": "", "year": 2018 }, { "abstract": "Hydrogen is an environmentally friendly alternative to conventional fossil fuels and is considered as a renewable energy carrier for meeting the ever increasing energy demand. Although hydrogen is", "author_names": [ "Arunkumar Pandiyan", "Aarthi Uthayakumar", "Rengaraj Subrayan", "Suresh Babu Krishna Moorthy" ], "corpus_id": 139127380, "doc_id": "139127380", "n_citations": 18, "n_key_citations": 0, "score": 0, "title": "Review of solid oxide electrolysis cells: a clean energy strategy for hydrogen generation", "venue": "Nanomaterials and Energy", "year": 2019 }, { "abstract": "Abstract This paper proposes a two stage robust scheduling model for the optimal operation of the solar wind hydropower thermal pumped storage (SWHTP) system considering both energy and reserve markets in the competitive environment. Day ahead energy costs are intended in the first stage of the proposed model while the second stage considers the worst case energy dispatch costs. Optimal scheduling of the reserve and energy markets is conducted to ensure the energy balance in the presence of the stochastic producers. Uncertainty modeling is also carried out by considering the worst occurrence of wind speed using the robust optimization method. Moreover, the demand response program's capability is used due to the flexibility of some loads. The modified IEEE 5 bus and 24 bus test systems are selected for analyzing the effectiveness of the proposed model. Simulation results proved the success of the proposed model in meeting the electricity demand with minimum energy costs and maximum usage of the clean energy resource's potential. Given the numerical results, increasing 27.04% in the amount of operation costs considering the worst occurrence of the wind speed concludes that enhancing the robustness of the system in the presence of uncertainties will increase the total costs of the system. Moreover, applying the demand response program for improving the flexibility of the system has been led to 7.48% increment in the system revenue, which indicates that the effective usage of the shiftable and curtailable features of the elastic loads can improve the reliability of continuous energy supply along with total energy costs in the deregulated environment.", "author_names": [ "Mohammadreza Daneshvar", "Behnam Mohammadi-ivatloo", "Kazem Zare" ], "corpus_id": 211444574, "doc_id": "211444574", "n_citations": 24, "n_key_citations": 0, "score": 0, "title": "Two stage optimal robust scheduling of hybrid energy system considering the demand response programs", "venue": "", "year": 2020 }, { "abstract": "Abstract We analyze the feasibility of a novel, hydrogen fuel cell electric generator to provide power with zero noise and emissions for myriad ground based applications. The hydrogen fuel cell electric generator utilizes a novel, scalable apparatus that safely generates hydrogen (H2) on demand according to a novel method, using a controlled chemical reaction between water (H2O) and sodium (Na) metal that yields hydrogen gas of sufficient purity for direct use in fuel cells without risk of contaminating sensitive catalysts. The sodium hydroxide (NaOH) byproduct of the hydrogen producing reaction, is collected within the apparatus for later reprocessing by electrolysis, to recover the Na reactant. The detailed analysis shows that the novel, hydrogen fuel cell electric generator will be capable of meeting the clean power requirements for residential and commercial buildings including single family homes and light commercial establishments under a wide range of geographic and climatic conditions.", "author_names": [ "Alvin G Stern" ], "corpus_id": 85462646, "doc_id": "85462646", "n_citations": 67, "n_key_citations": 0, "score": 0, "title": "A new sustainable hydrogen clean energy paradigm", "venue": "", "year": 2018 }, { "abstract": "The global energy requirement has grown at a phenomenal rate.The demand for coal will continue to remain as it is looked upon as the prime energy source for the coming future. Economic development and poverty alleviation depend on securing affordable energy sources and coal mining industry offers a bright future for the energy security in the Asia Pacific Region. However, direct use of coal leads to environmental pollution. The emission of carbon dioxide (CO2) a principal greenhouse gas (GHG) is strongly related to the use of fossil fuel, especially coal, for energy production. A long term projection for the Indian energy sector upto the year 2031 32 showed that CO2 emissions from India are likely to increase much faster and reach 3.5 times the present value. A rise in the global temperature varying from 2degC to 3.5degC and a sea level rise of 65 cm is also estimated by the year 2100. As coal will remain to be the prime energy source, this paper emphasizes on the need to develop clean coal technologies where coal can be refined in coal refineries to obtain different fractionated products having different quality grade class (QGC) of fuels. It also highlights the need to develop further clean coal technologies, such as integrated gasification combined cycle (IGCC) through hydrogen generation for zero emission. The use of ultra clean coal in gas turbines directly will enhance the efficiency of power generation to 52 55 per cent from the existing efficiency of pulverized coal power generation of 33 38 per cent. This paper discusses the role of Mining Engineers for the promotion of clean coal technologies (CCT) in fulfilling energy demand in a sustainable manner. Brute force high pressure coal conversion processes will have to be replaced by the convenient and cost effective low atmospheric pressure processes. During the production of coal gas methane if CO2 is injected suitably in coal seams it can release methane, which has double benefit in curbing global warming. Underground coal gasification (UCG) has a large potential to extend the lifetime of this resource, perhaps by a couple hundred years. UCG can also provide a clean energy source through carbon capture and storage techniques and it offers a unique option for CO2 storage.The methodology, as discussed, may have formed a guideline to develop clean coal technologies, which can be applied at an industrial scale for various sites.", "author_names": [ "Mrinal Kanti Ghose" ], "corpus_id": 111362149, "doc_id": "111362149", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Meeting the Challenges of Sustainable Development of Energy Through Clean Coal Technologies", "venue": "", "year": 2013 }, { "abstract": "Renewable energy resources have played an important role to meet increasing energy demand in the world. Among the renewable energy resources, especially, wind energy is of interest due to some advantages, such as being clean, environmental friendly etc. However, the intermittent nature of wind creates several problems to the power system operation and new approaches based on the combined use of wind power and energy storage technologies need to be developed. One of these combined systems is wind hydro pumped storage systems. In this paper, hydropower and wind energy potential of Turkey are investigated in details. Besides, the importance and the necessity of wind hydro pumped storage systems for Turkey are comprehensively examined and finally, the contribution of wind hydro pumped storage systems is emphasized in meeting Turkey's electric energy demand.", "author_names": [ "Bahtiyar Dursun", "Bora Alboyaci" ], "corpus_id": 29534002, "doc_id": "29534002", "n_citations": 112, "n_key_citations": 3, "score": 0, "title": "The contribution of wind hydro pumped storage systems in meeting Turkey's electric energy demand", "venue": "", "year": 2010 } ]
Chemical bonding in sulfide minerals
[ { "abstract": "An understanding of chemical bonding and electronic structure in sulfide minerals is central to any attempt at understanding their crystal structures, stabilities and physical properties. It is also an essential precursor to understanding reactivity through modeling surface structure at the molecular scale. In recent decades, there have been remarkable advances in first principles ab initio methods for the quantitative calculation of electronic structure. These advances have been made possible by the very rapid development of high performance computers. Several review volumes that chart the applications of these developments in mineralogy and geochemistry are available (Tossell and Vaughan 1992; Cygan and Kubicki 2001) An important feature of the sulfide minerals is the diversity of their electronic structures, as evidenced by their electrical and magnetic properties (see Pearce et al. 2006, this volume) Thus, sulfide minerals range from insulators through semiconductors to metals, and exhibit every type of magnetic behavior. This has presented problems for those attempting to develop bonding models for sulfides, and also led to certain misconceptions regarding the kinds of models that may be appropriate. In this chapter, chemical bonding and electronic structure models for sulfides are reviewed with emphasis on more recent developments. Although the fully ab initio quantitative methods are now capable of a remarkable degree of sophistication in terms of agreement with experiment and potential to interpret and predict behavior with varying conditions, both qualitative and more simplistic quantitative approaches will also be briefly discussed. This is because we believe that the insights which they provide are still helpful to those studying sulfide minerals. In addition to the application of electronic structure models and calculations to solid sulfides, work on sulfide mineral surfaces (Rosso and Vaughan 2006a,b) and solution complexes and clusters (Rickard and Luther 2006) are discussed in detail later", "author_names": [ "David J Vaughan", "Kevin M Rosso" ], "corpus_id": 95575700, "doc_id": "95575700", "n_citations": 44, "n_key_citations": 1, "score": 2, "title": "Chemical Bonding in Sulfide Minerals", "venue": "", "year": 2006 }, { "abstract": "Our knowledge and understanding of the electronic structure, chemical bonding, and physical properties of the chalcogenides of transition metals have advanced enormously over the last two decades. From the viewpoint of the theoretical solid state physicist or chemist these advances were driven by the orders of magnitude increases in computer power that have made quantitatively accurate calculations of electron band structure a routine possibility. Within this chapter we highlight a few such applications, concentrating on two groups of mineral sulfides: the transition metal dichalcogenides (including both the layer structure family and the pyrite/marcasite structures) and the tetrahedrite and related family of minerals. While the chapter focusses on the results provided by band structure calculations, it is recognised that these can only be convincing given the experimental back up provided by techniques such as ultraviolet or X ray photoemission and Auger electron studies (Vaughan and Tossell 1986)", "author_names": [ "David W Bullett" ], "corpus_id": 92717579, "doc_id": "92717579", "n_citations": 3, "n_key_citations": 0, "score": 1, "title": "Chemical Bonding in Sulfide Minerals", "venue": "", "year": 1994 }, { "abstract": "The sulfur atom in sulfide minerals may have an argononic structure, with the sum of the covalent bonds and unshared pairs equal to 4 (with use of four spa orbitals) or a transargononic structure, with this sum greater than 4 (with use also of one or more 3d orbitals) Conclusions about the nature of the bonds and the structure of the sulfur atom can be drawn from the experimentally determined ligancies, bond lengths, and bond angles. A detailed discussion of realgar, orpiment, cinnabar, molybdenite, proustite, pyrargyrite, pyrostilpnite, sphalerite, wurtzite, greenockite, metacinnabarite, galena, baumhauerite, gratonite, binnite, covellite, sulvanite, mackinawite, pyrite, and marcasite leads to the conclusion that the principal structures of sulfur in these minerals are argononic with covalence 2, 3, or 4, and transargononic with covalence 4, 5, or 6. In some cases the structure is an argononic transargononic hybrid. Fractional bonds (ligancy greater than covalence) are found in some minerals, such as galena.", "author_names": [ "Linus Pauling" ], "corpus_id": 109926368, "doc_id": "109926368", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "CRYSTALLOGRAPHY AND CHEMICAL BONDING OF SULFIDE MINERALS", "venue": "", "year": 2014 }, { "abstract": "Abstract Thiophosphorous compounds: diisobutyl dithiophosphate (DIBDTPA) diisobutyl dithiophosphinate (DIBDTPI) diisobutyl monothiophosphate (DIBMTPA) and diisobutyl monothiophosphinate (DIBMTPI) are widely used as flotation collectors and corrosion inhibitors, though the true nature of their bonding mechanisms to solid surfaces is still unclear. Using density functional theory (DFT) calculations, this study investigates the structure reactivity relationship of these thiophosphorus molecules as flotation collectors with sulfide minerals. The results indicate that the O atom(s) bonded to the P atom in thiophosphorus molecules can significantly impact the chemical reactivity of the S atom(s) in the four reagents, and for DIBMTPA and DIBMTPI, they could even attend the chemical bond formation with metal atoms. The reactive power of the four compounds to mineral surfaces of Cu, Au, Ag and Pb sulfides is predicted to be DIBDTPI DIBMTPI DIBDTPA DIBMTPA, and their flotation selectivity against pyrite and sphalerite is suggested as DIBDTPA", "author_names": [ "Guangyi Liu", "Jingjing Xiao", "Diwen Zhou", "Hong Zhong", "Phillip Choi", "Zhenghe Xu" ], "corpus_id": 95462377, "doc_id": "95462377", "n_citations": 31, "n_key_citations": 1, "score": 0, "title": "A DFT study on the structure reactivity relationship of thiophosphorus acids as flotation collectors with sulfide minerals: Implication of surface adsorption", "venue": "", "year": 2013 }, { "abstract": "Simplified electrouic structure models based on molecular orbital calculations are presented for important metal sulfide minerals that contain Zn, Fe and Cu in tetrahedral or triangular coordrnation to sulfur (sphalerite, chalcopyrite, covellite and nukundamite) These \"oneEURlectron\" MO/ban,d' theory models are correlated with the properties of the minerals. The models correlate well with electrical properties of pure and iron bearing sphalerite and with the hardness and stability variations resulting from iron substitution in sphalerite. The reflectance curves of chalcopyrite and covellite, which relate directly to their distinctive appearance under the reflected light microscope, can be explained by using electronic transitions (both sulfur metal charge transfer transitions and crystalfield transitions) predicted by the models. If data on properties are not available, the models enable some general predictions regarding electrical. oF tical and thermochemical behavior to be made. Keyworils: sulfides, bonding, molecular orbitals, sphalerite, chalcopyrite, covellite.", "author_names": [ "David J Vaughan", "John A Tossbll" ], "corpus_id": 53354864, "doc_id": "53354864", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "THE CHEMICAL BOND AND THE PROPEBTIES OF SULFIDE MINERALS", "venue": "", "year": 2007 }, { "abstract": "The structural diversity of arsenic sulfide molecules in compositions between As4S6 and As4 was investigated using ab initio quantum chemical calculations. The As4S6 molecule consists of four trigonal pyramid coordinations of As atoms bonding to three S atoms. In the As4S5 composition, only one type of molecular configuration corresponds to an uzonite type molecule. In the As4S4 composition, two molecular configurations exist with realgar type and pararealgar type molecules. Three molecular configurations are in the As4S3 composition. The first configuration comprises trigonal pyramidal As atom coordinations of two types: bonding to two S atoms and one As atom, and bonding to one S atom and two As atoms. The second is the molecular configuration of dimorphite. The third comprises trigonal pyramidal As atom coordinations of two types: bonding to three As atoms, and bonding to one As atom and two S atoms. The As4S2 composition allows molecular configurations of two types. One is comprised of trigonal pyramidal As atom configurations of one type bonding to two As atoms and one S atom. The other comprises trigonal pyramidal As atom coordinations of three types: bonding to two S atoms and one As atoms, bonding to one S atom and two As atoms, and bonding to three As atoms. The As4S molecule has trigonal pyramidal As atom coordinations of two types: bonding to one S atom and two As atoms, and bonding to three As atoms. The As4S composition permits only one molecular configuration, which suggests that the mineral duranusite comprises the As4S molecular geometry. In all, ten molecular configurations are predicted in the molecular hierarchy of the arsenic sulfide binary system. The simulated Raman spectral profiles are helpful in searching for undiscovered arsenic sulfide minerals.", "author_names": [ "Atsushi Kyono" ], "corpus_id": 95481036, "doc_id": "95481036", "n_citations": 7, "n_key_citations": 0, "score": 0, "title": "Ab initio quantum chemical investigation of arsenic sulfide molecular diversity from As4S6 and As4", "venue": "Physics and Chemistry of Minerals", "year": 2013 }, { "abstract": "The sulfide minerals exhibit a rich diversity in sturctural chemistry and in electrical, magnetic and other physical properties. Models based on molecular orbital theory and incorporating some elements of band theory can be developed to describe the diverse valence electron behavior in these minerals. Qualitative models can be proposed on the basis of observed properties, and the models can be tested and refined using experimental data from X ray emission and X ray photoelectron spectroscopy and quantum mechanical calculations performed on cluster units which form the basic building blocks of the crystals.This approach to chemical bonding in sulfide minerals is illustrated for binary non transition metal sulfides (ZnS, CdS, HgS, PbS) binary transition metal sulfides (FeS2, CoS2, NiS2, CuS2 ZnS2) and more complex sulfides (CuFeS2, Cu2S, Ag2S, CuS, Co3S4, CuCo2S4, Fe3S4) The relationship between qualitative and quantitative theories is reviewed with reference to the pyrite marcasite arsenopyrite loellingite series of minerals. Application of the models to understanding structure determining principles, relative stabilities, solid solution limits and properties such as color, reflectance and hardness are discussed.", "author_names": [ "David J Vaughan", "John A Tossell" ], "corpus_id": 96642441, "doc_id": "96642441", "n_citations": 35, "n_key_citations": 1, "score": 0, "title": "Electronic structures of sulfide minerals Theory and experiment", "venue": "", "year": 1983 }, { "abstract": "Sulfur is the fifteenth most abundant element in the continental crust of the Earth (260 ppm) and the sixth most abundant element in seawater (885 ppm) Sulfur (atomic number 16) has the ground state electronic structure [Ne]3 s 2 3p 4 and is the first of the group VIB elements in the periodic table (S, Se, Te, Po) In minerals, sulfur can occur in the formal valence states S2 S, S4+ and S6+ corresponding to the sulfide minerals, native sulfur the sulfite minerals, and the sulfate minerals. In the sulfide minerals, S2 functions as a simple anion (e.g. CuFeS2, chalcopyrite) and as a compound S2 anion (e.g. FeS2, pyrite) In the sulfosalts, S2 functions as a component of a complex anion (e.g. AsS3 in tennantite, Cu12As4S13) In the sulfite minerals, S4+ has four valence electrons available for chemical bonding, and occurs in triangular pyramidal coordination with O. In the sulfate minerals, S6+ has six valence electrons available for bonding, and occurs in tetrahedral coordination with O. In addition, there are the thiosulfate minerals, in which S is in the hexavalent state, but is coordinated by three O2 anions and one S2 anion. Chemists frequently write the thiosulfate group as S2O3; however, we write it as SO3S to emphasize that one of the S atoms is an anion and is involved in a tetrahedral group. Although the focus of this chapter is the sulfate minerals, we will deal also with the sulfite and thiosulfate minerals, as they occur in the same types of geochemical environments. We adopt a pragmatic approach to matters involving chemical bonding. We use bond valence theory", "author_names": [ "Frank Christopher Hawthorne", "Sergey V Krivovichev", "Peter C Burns" ], "corpus_id": 100698794, "doc_id": "100698794", "n_citations": 272, "n_key_citations": 48, "score": 0, "title": "The Crystal Chemistry of Sulfate Minerals", "venue": "", "year": 2000 }, { "abstract": "Copper bearing ore containing talc is generally considered as the most refractory type in various chalcopyrite ores, which is predominantly due to the fact that it includes talc and good natural floatability of talc adversely affects the recovery of chalcopyrite. Flotation is the most economical and effective method to separate copper sulfide minerals and talc. For flotation process, there are selective flotation of talc before flotation of copper bearing minerals, the flotation of copper bearing minerals with depression of talc, and the bulk flotation of talc and copper bearing minerals. At present, the researches focus on the depression of talc. The present work reviewed the molecular structure, properties and mechanism of organic and inorganic depressors for talc. The mechanism of action of depressors for talc includes electrostatic interaction, hydrogen bonding and chemical bonding. At the same time, factors such as pH and ionic strength have an important influence on depression effect for talc. The synergism of combined depressors has various novel depression effects on talc surface, which is more efficient than single agent. In the future, separation technology for talc and chalcopyrite develops toward the application of new depressors and the modification of agents. Meanwhile, the development of new depressors for talc needs to consider the properties of associated minerals with talc and copper bearing minerals. The combined depressors should be developed to maximize the recovery of valuable metals.", "author_names": [ "Runpeng Liao", "Jiu-shuai Deng", "Hao Lai", "Jiaozhong Cai", "Xi Kuan Zhang", "Shuming Wen", "Hua Yang", "Jianying Deng", "Jian Jun Fang", "Xuesong Sun" ], "corpus_id": 150383547, "doc_id": "150383547", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "An Overview of Technologies and Selective Depressing Agents for Separating Chalcopyrite and Talc", "venue": "", "year": 2018 }, { "abstract": "The metal sulfides exhibit a great diversity of electrical and magnetic properties with both scientific interest and practical applications. These properties apply major constraints on models of the electronic structure (or chemical bonding) in sulfides (Vaughan and Rosso 2006, this volume) The pure and doped synthetic equivalents of certain sulfide minerals have actual or potential applications in the electronics industries (optical devices, photovoltaics, photodiodes and magnetic recording devices) Sulfides are also components of many thin film devices and have been extensively investigated as part of the nanotechnology revolution. Certain electrical and magnetic properties of sulfide minerals mean they contribute to geomagnetism and paleo magnetism, and provide the geophysical prospector with exploration tools for metalliferous ore deposits. To the mineral technologist, these same properties provide methods for the separation of the metal bearing sulfides from associated waste minerals after mining and milling and before extraction of the metal by pyrometallurgical or hydrometallurgical treatment. In this chapter, the theory and measurement of electrical and magnetic properties are outlined along with spectroscopic and diffraction studies that can provide insights into magnetic behavior are discussed. A brief review of electrical and magnetic studies of major sulfide minerals includes some examples of the applications of sulfide electrical and magnetic properties, including special consideration of the properties of sulfide nanoparticles. Most of the available data presented are for pure synthetic binary and ternary sulfides, as very small concentrations of impurities can dramatically affect electrical properties leading to problems of interpreting data from natural samples. Although data for several of the commonly found sulfides are discussed in this chapter, no attempt is made at a comprehensive coverage. The section below on theory and measurement of electrical and magnetic properties draws on the account given in Vaughan and Craig (1978) to which readers are referred for further details. It", "author_names": [ "Carolyn I Pearce", "Richard AD Pattrick", "David J Vaughan" ], "corpus_id": 95869603, "doc_id": "95869603", "n_citations": 187, "n_key_citations": 6, "score": 0, "title": "Electrical and magnetic properties of sulfides", "venue": "", "year": 2006 } ]
post-CMP brush cleaning
[ { "abstract": "Tribological attributes of post chemical mechanical planarization ~CMP! brush scrubbing are investigated as a function of tool kinematics, applied pressure, pH, and flow rate of the cleaning solution. Coefficient of friction ~COF! results show that at high pressures, for low and neutral pH, the lubrication mechanism is that of 'partial lubrication, while 'hydrodynamic lubrication' is observed at low pressures. The existence of hydrodynamic lubrication corresponding to lower of COF values continues at high values of pH irrespective of brush pressure. Furthermore, flow rate does not impact the overall tribology of the system. The effect of solution pH on COF is explained by considering the effect of pH on the solubility and gellation characteristics of silica in the silica water system. At pH values below 2.0, silicic acid monomers are believed to collide and aggregate into chains and three dimensional networks representative of gelling, thus resulting in high COF values. The lower COF at pH 7.0 is believed to be due to the presence of network terminated silanol groups and the absence of gels. At pH values above 10.5, surface silanol groups completely dissociate, causing the hydrated silica surface to dissolve as soluble silicates, thus lowering the frictional forces. Widespread use of chemical mechanical planarization ~CMP! has made brush scrubbing a conventional cleaning method in semiconductor manufacturing. In post CMP cleaning, brush scrubbing is based on direct contact between a rotating spongy PVA brush and the wafer surface whereby the exposed part of the particle is first adsorbed on brush asperities. Next, the rotation of the brush in the presence of a cleaning fluid dislodges and carries the particle away from the wafer surface. Thus, the influence of factors such as applied pressure, tool kinematics, brush and cleaning fluid properties, wafer surface conditions, cleaning time, and the magnitude of the frictional forces present at the brush wafer interface are essential for effective particle removal. Various studies have focused on tool kinematics, brush properties as well as general process characterization, and optimization. 1 4 However, few attempts have been made to directly study measurement of the frictional forces at the brushwafer interface. 5 This study focuses on precise quantification of the frictional forces needed in characterizing the system tribology, because a low amount of force does not remove particles embedded on the wafer surface, and excess amounts may lead to scratching and other defects.", "author_names": [ "Ara Philipossian", "Lateef Mustapha" ], "corpus_id": 54949491, "doc_id": "54949491", "n_citations": 21, "n_key_citations": 1, "score": 0, "title": "Tribological Attributes of Post CMP Brush Scrubbing", "venue": "", "year": 2004 }, { "abstract": "Due to the emergence of sub 7 nm technologies, next generation CMP slurry formulations have continued to increase in additive (nanoparticle and chemistry) complexity to meet stringent device specifications. Therefore, it is essential to probe the molecular level interactions at the nanoparticle/slurry chemistry/substrate interface and in turn correlate them to key performance metrics such as removal rate, post CMP defects, and planarization efficiency. This work will address key interactions through a series of case studies focusing on the role of supramolecular structure and cleaning method (i.e. contact vs. non contact) during STI post CMP cleaning, probing the impact of supramolecular structure and mode of cleaning relevant to Cu post CMP, and development of a biomimetic matrix with chemical activity to act as a brush in STI post CMP cleaning processes. Results show in both BEOL and FEOL post CMP cleaning there is a strong correlation to the delivery and \"soft\" nature of the chemistry to allow for effective particle removal at low mechanical force and prevent further defect formation. Furthermore, this work shows a clear correlation between supramolecular structure and particle removal efficiency under both contact and non contact post CMP processes.", "author_names": [ "Katherine M Wortman-Otto", "Abigail N Linhart", "Allie M Mikos", "Kiana A Cahue", "Jason J Keleher" ], "corpus_id": 231965698, "doc_id": "231965698", "n_citations": 1, "n_key_citations": 0, "score": 1, "title": "Contact Vs. Non Contact Cleaning: Correlating Interfacial Reaction Mechanisms to Processing Methodologies for Enhanced FEOL/BEOL Post CMP Cleaning", "venue": "", "year": 2021 }, { "abstract": "", "author_names": [ "Ryu Sun Joong", "" ], "corpus_id": 197624231, "doc_id": "197624231", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Contact Pressure Distribution Measurement of PVA Brush for Post CMP Cleaning", "venue": "", "year": 2016 }, { "abstract": "Chemical mechanical polishing (CMP) is widely used in the surface planarization process of semiconductor fabrication. The planarization process produces a large amount of surface residue that must be removed before the next process is initiated. Typically, a combination of roll and pen type polyvinyl acetal (PVA) brushes is used for removing such contaminants. In the subsequent 450 mm wafer processing step, PVA brush cleaning is a viable candidate for post CMP cleaning. However, given that the mechanisms of nanoscale particle cleaning are still under investigation [1 the designs and operating conditions for brush cleaning are determined by trial and error. In this study, as a first step toward understanding the cleaning mechanisms, the tribological contact condition of the PVA roll brush (i.e. hydrodynamic, mixed, or boundary lubrication) is considered by measuring the frictional coefficients. In particular, the effects of rotation speed, compression distance, brush length, and fluid viscosity on the friction force are investigated.", "author_names": [ "Takashi Fujiwara", "T Sanada", "Akira Fukunaga", "Hirokuni Hiyama" ], "corpus_id": 135994240, "doc_id": "135994240", "n_citations": 7, "n_key_citations": 1, "score": 0, "title": "Frictional Analysis of PVA Brush for Post CMP Cleaning: Effects of Rotation Speed, Compression Distance, and Fluid Viscosity", "venue": "", "year": 2012 }, { "abstract": "Abstract A 3D lubrication model between a soft porous brush and rigid flat surface in the post CMP (chemical mechanical polishing) cleaning process for wafer or hard disc surface is set up in this article. The mesh porous structure of the brush and the kinematic relations between the brush and the surface are taken into account. The flow governing equations for cleaning process are deduced with Newtonian fluids between the brush nodule and the substrate. The distributions of fluid pressure and hydrodynamic removal moment are calculated. The simulation results show that the fluid pressure has negative regions in inlet area. The removal force is depended on system parameter, location, time and particle size. The load and hydrodynamic moment increase with the increase of brush velocity and deflection of brush nodule, which is effective for cleaning. A low wafer rotation speed is recommended to keep the cleaning uniformity. The removal moment is increasing during the cleaning process. The hydrodynamic drag force decreases rapidly with decreasing of particle size. The models are coincident with the actual process and can be used as reference for designing a higher level cleaning process and the analysis of the formation of particle defect.", "author_names": [ "Yating Huang", "Dan Guo", "Xinchun Lu", "Jianbin Luo" ], "corpus_id": 136849913, "doc_id": "136849913", "n_citations": 11, "n_key_citations": 0, "score": 0, "title": "A lubrication model between the soft porous brush and rigid flat substrate for post CMP cleaning", "venue": "", "year": 2011 }, { "abstract": "", "author_names": [ "Hanssen Soondrum" ], "corpus_id": 138442394, "doc_id": "138442394", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Brush Scrubbing Scratches Reduction Methods in Post CMP Cleaning", "venue": "", "year": 2012 }, { "abstract": "Abstract Chemical mechanical polishing (CMP) has become widely accepted for the planarization of device interconnect structures in deep submicron semiconductor manufacturing. However, during CMP process the foreign particles, metal contaminants, and other chemical components are introduced onto the wafer surface, so CMP process is considered as one of the dirtiest process to wafer surface defects which may damage the GLSI patterns and the metallic impurities can induce many crystal defects in wafers during the following furnace processing. Therefore, the post CMP cleaning of wafers has become a key step in successful CMP process and the polyvinyl alcohol (PVA) brush cleaning is the most effective method for post CMP in situ cleaning. In this study, the effect of the chelating agent with different concentrations on defect removal by using PVA brush cleaning was discussed emphatically. It can be seen from the surface images obtained by scanning electron microscopy and KLA digital comparison system analysis confirmed that the chelating agent can effectively act on the defect removal.", "author_names": [ "Jiao Hong", "Xinhuan Niu", "Yu-ling Liu", "Yangang He", "Baoguo Zhang", "Juan Wang", "Liying Han", "Chenqi Yan", "Jin Zhang" ], "corpus_id": 101373465, "doc_id": "101373465", "n_citations": 42, "n_key_citations": 0, "score": 0, "title": "Effect of a novel chelating agent on defect removal during post CMP cleaning", "venue": "", "year": 2016 }, { "abstract": "This paper presents recent developments in the design and characterization of chemical mechanical planarization (CMP) consumables, with specific emphasis on post CMP (PCMP) cleaning polyvinyl alcohol (PVA) brushes. Special nodule design and modified charge super clean PVA brushes were developed to obtain more effective near wafer edge cleaning and significantly improved overall PCMP cleaning performance in advanced applications. The profiled nodule top, elongated nodule brushes provided optimum cleaning contact area and contact force at the brush wafer interface in the wafer edge region, whereas the negative zeta potential (NZP) modified PVA brushes resulted in significantly improved PCMP cleaning efficiency in smaller node high sensitive copper/low k processes. The effects of extended exposure of one alkaline and four acidic PCMP cleaning chemistries on brush PVA discoloration and properties were investigated. All the tested acidic cleans caused significant discoloration, whereas the alkaline clean caused minimal change. Further, all the tested chemistries did not show much change in PVA physical properties and compressive stress.", "author_names": [ "Rakesh Kumar Singh", "Chintan V Patel", "David Trio", "Eric Mcnamara", "Christopher Wargo" ], "corpus_id": 138642212, "doc_id": "138642212", "n_citations": 11, "n_key_citations": 0, "score": 0, "title": "PVA Brush Technology for Next Generation Post CMP Cleaning Applications", "venue": "", "year": 2010 }, { "abstract": "Post CMP defect is a critical process parameter to yield enhancement and device reliability in sub 14nm semiconductor manufacturing as \"killing\" particle size has shrunk according to device shrinkage. In order to improve defect at post CMP step, CMP has an in situ cleaning module, commonly composed of megasonic cleaning, brush scrubber cleanings, fluid jet cleaning and their combination. Among the module, brush scrubber cleaning is the most effective method in particle removal due to its physical force. Although many studies have been conducted to optimize particle removal efficiency of brush cleaning, its cross contamination effect has not been considered for the optimization of post CMP defects. In this paper, effect of brush scrubber cleaning on the post CMP defect and its optimization process are explored. In addition to cross contamination effect, equipment aspect of optimization is also investigated. Chemical spray bar position to the wafer and mechanical operation are key controlling factors. Brush motor torque analysis suggests how to optimize brush operation. Experimental results in this paper provide insight into post CMP cleaning optimization.", "author_names": [ "Hong Jin Kim", "Tae Hoon Lee", "Venugopal Govindarajulu", "Jason Mazzotti" ], "corpus_id": 13068774, "doc_id": "13068774", "n_citations": 5, "n_key_citations": 0, "score": 0, "title": "Effect of post CMP in situ cleaning and its optimization on the defect improvement: CFM: Contamination free manufacturing", "venue": "2016 27th Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC)", "year": 2016 }, { "abstract": "Display Omitted Brush itself caused cross contamination to wafer in post CMP in situ cleaning.Brush rotational speed is the key parameter to control cross contamination.Fluid (DIW) flow rate does not much influence on cross contamination. Scaling of gate lengths has led to significant improvement in semiconductor device performance. However, fabrication complexities have also increased and surface defects control becomes very critical for yield enhancement. In particular, chemical mechanical polishing (CMP) process is considered as one of the dirtiest processes to wafer surface contamination. And brush scrubber is the most effective method for post CMP in situ cleaning. Many literatures have reported brush scrubber mechanism and proposed particle removal efficiency based on remaining particles at post brush scrubber cleaning. However, concerns associated with brush to wafer surface contamination have not been addressed properly although real manufacturing has a lot of issues on that effect. This paper discuss cross contamination at brush scrubber process and emphasize that optimum cleaning should consider brush cross contamination in addition to brush particle removal efficiency.", "author_names": [ "Hong Jin Kim", "Girish Bohra", "Hyucksoo Yang", "Si-Gyung Ahn", "Liqiao Qin", "Dinesh Koli" ], "corpus_id": 137502864, "doc_id": "137502864", "n_citations": 32, "n_key_citations": 0, "score": 0, "title": "Study of the cross contamination effect on post CMP in situ cleaning process", "venue": "", "year": 2015 } ]
Optimum Spacing Comparator PLL
[ { "abstract": "One of the nonlinear components in PLL is Phase Frequency Detector (PFD),the purpose is to compare two input frequencies in terms of their phase and frequency. In this process jitter will be introduced to the PLL system by PFD. proposed PFD is the preferred approach for detecting weak signals as from a deep space profile. The increase of MOS devices on a single chip will consume more power. Various applications of the PLL such as wireless communication systems, digital circuits, and receivers, targets optimum PFD Design. Optimized PFD reduces jitter effect on PLL and enhances the performance of PLL by increasing the locking range, reducing the phase error variance, reducing the acquisition time and reducing the power consumption. The power consumption in the design of PFD is dependent on CMOS logic of the gates In this paper we propose CMOS PFD, where the performance of the result will be analyzed by using Tanner EDA Tool by considering 45nm technology. CMOS technology is the semiconductor technology used in the transistors that are manufactured in the present days .Nowadays it is a mark able invention for the electronic designers to integrate all the components in a single chip in a system. The new invention towards the technology implementation is speed of the increase of memory, cost decreases and reduction of size of the devices. The transistor occupies less space. The integration of MOS transistors in the design of large multiprocessors, the leakage current in MOS transistors becoming a severe problem. The variations of leakage current increases with the power consumption in MOS transistors. The MOS devices work with only switching voltages in terms of power consumption. The significance of analog signals represents different conversion parameters takes place in different devices in CMOS technology. The chip designers uses cmos technology based on speed and performance criteria. Phased locked loop are widely used in different applications in analog and digital systems for desired control voltage operating devices.PLL was first described in early 1930s.Frequency modulation is one of the application in the PLL design, used in many devices. The feedback control circuit changes the output according to the desired application by locking the phase of input signal. For instances, the analog signal is implemented by a digital signal with different types of devices i.e. Analog to digital conversion in the design of PFD. Example, comparator takes the analog input signal and it will be change as digital signal by flip flop and counters. The analog signals used in many different practical applications needs conversion of discrete digital signal in advance such as charge pump. In the design of PFD both analog and digital signal are used, different logics are applied in the design. The knowledge of signals makes the PFD more synthesized, challenging environment. II. PHASE LOCKED LOOP Negative feedback system in the design of PLL locks the clock signal, generated by the oscillator of the phase and frequency locked to a reference signal. A reference signal is usually retrieved from a oscillator. When the PLL gets locked the phase error between output and input signal is zero or should remain at a consistant phase error.PLL is configured with integrated circuit. The PLL design problems can be approached using the Laplace transform technique. It is a feedback system that includes a vco, phase detector, with in its loop. The locking property of the PLL is numerous applications such as frequency, or phase modulation and demodulation. The standard analog PLL implementation is problematic in many application. Analog signal conversion is a challenging process in the design of PFD in the sense of clock. PLL synchronizes VCO frequency to input reference frequency through feedback.", "author_names": [ "Syed Shameem" ], "corpus_id": 54543072, "doc_id": "54543072", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "OPTIMUM RESOLUTION OF PHASE FREQUENCY DETECTOR BY CMOS TECHNOLOGY FOR PLL", "venue": "", "year": 2016 }, { "abstract": "Methods to detect and correct timing errors of oscillators are very important to achieve the low jitter performance of a ring DCO (RDCO) digital PLL (DPLL) A TDC is widely used to quantize these timing errors. The higher the resolution of a TDC, the lower the quantization noise becomes. However, such TDCs require large power to cover a sufficiently wide dynamic range. Instead, [1] [2] presented jitter minimization techniques that used a bang bang phase detector (BBPD) and the background optimization of the proportional gain of the loop, KP (top left of Fig. 17.1.1) This approach is effective for low power designs but is limited in reducing the output jitter, since the information of timing errors by the BBPD is just binary. To overcome this limit, [3] used three parallel BBPDs, each of which had a different time threshold, tTH. While maintaining the optimal spacing between the tTHS (instead of decreasing it unconditionally) along with the calibration of KP, the DPLL in [3] succeeded in decreasing the jitter. Despite these efforts, previous DPLLs [1] [3] had a fundamental limit to minimizing the jitter since they optimized only KP based on an incorrect assumption that the RDCO jitter was \"white\" Gaussian while ignoring flicker noise. However, different from thermal noise, the energy of flicker noise is concentrated at low frequency offsets near DC, and its effect appears as a random drift of the RDCO frequency over time [4] Thus, to suppress flicker noise and further reduce the overall jitter, the flicker induced frequency drifts (fDS) must be calibrated by adjusting the gain of the integral path, K1, as well as KP.", "author_names": [ "Yongsun Lee", "Taeho Seong", "Jeonghyun Lee", "Hangi Park", "Jae-hyouk Choi" ], "corpus_id": 215800136, "doc_id": "215800136", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "17.1 A 240dB FoMjitter and 115dBc/Hz PN 100kHz, 7.7GHz Ring DCO Based Digital PLL Using P/I Gain Co Optimization and Sequence Rearranged Optimally Spaced TDC for Flicker Noise Reduction", "venue": "2020 IEEE International Solid State Circuits Conference (ISSCC)", "year": 2020 }, { "abstract": "This paper presents a novel analysis of a self compensating, low noise, low power Phase Locked Loop circuit design implemented 45 nm technology node. The basic Phase Locked Loop (PLL) circuit prescribed in the literature comprises a Phase Detector or comparator followed by a low pass filter and a voltage controlled oscillator. The individual circuit elements have been separately designed in Virtuoso Analog Design Environment of Cadence Design System and analyzed for achieving optimum performance and then combined in cascade to form a feedback network. Simulation results obtained for the individual components have been critically investigated in the later half of the paper, which shows considerable improvement in frequency stability and power consumption of the PLL design. Finally, conclusions have been drawn based upon the integrated design and stress has been laid upon future scopes of work in this area.", "author_names": [ "Agnish Mal", "Akshat Chitransh", "Harsh Srivastava", "Suraj Kumar Saw", "Vijay Nath" ], "corpus_id": 57109387, "doc_id": "57109387", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Analysis of a Self compensating, Low Noise, Low Power PLL Circuit 45 nm Technology Node", "venue": "", "year": 2017 }, { "abstract": "One of the approaches for the next fifth generation (5G) is to utilize millimeter wave (mmWave) spectrum in order to satisfy the future expected requirements, such as super high bit rate. For this, it will need to use high channel spacing. This paper presents a [81 86] GHz Integer A frequency synthesizer using ultra wide bandwidth of channel spacing (1GHz) for 5G wireless communications systems. This work is focused on the impact of the phase margin loop filter on mm Wave PLL frequency synthesizer performances. The choice of this parameter is an important criterion for the loop stability. It has an effect on the closed loop response, FM response and involves the design tradeoffs between the response time and the reference spurs. However, the obtained results of 45deg phase margin and 50 MHz comparison frequency indicate a noise density of 73 dBc, 89 dBc and 99 dBc at 50 MHz, 100 MHz and 150 MHz respectively, with 844fs RMS phase Jitter and temporal response of 936,631 ns.", "author_names": [ "Berber Zakia", "Kameche Samir Kameche Samir" ], "corpus_id": 46928939, "doc_id": "46928939", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Optimal choice for phase margin on mm Wave PLL frequency synthesizer for 5G wireless communications systems", "venue": "2018 Fifth International Conference on Software Defined Systems (SDS)", "year": 2018 }, { "abstract": "The generation of mm wave (mmW) signals that have ultra low phase noise (PN) is very important for the design of RF transceivers (TRXs) for high data rate 5G systems. Direct RF sampling TRXs also require high frequency clock signals, having extremely low integrated PN (IPN) [1] To satisfy such stringent noise requirements, the rms jitter of mmW band signals must be reduced to sub 100fs. Recently, a charge pump (CP) PLL in [1] achieved a very low rms jitter of less than 60fs at 14GHz. However, to suppress the in band PN of PLL building blocks, that design used a reference clock that had an impractically high frequency, $f_{\\mathrm{REF} of 500MHz. To avoid the use of such a high $f_{\\mathrm{REF} while minimizing in band PN, sub sampling PLLs (SSPLLs) are seen as a promising solution. However, conventional SSPLLs are not suitable for generating mmW band signals directly, since, as the frequency increases, the capture range of their sampling operation is reduced rapidly, thereby hindering the reliable operation. To extend the capture range, a prescaler can be used after the VCO [2] but it increases the in band PN and power consumption. Direct mmW SSPLLs are limited even at suppressing out of band PN, since their PN skirt is determined by an mmW VCO that has a relatively low Q. To overcome the problems of analog SSPLLs, such as a large area and a PVT sensitive loop gain, digital SSPLLs using ADCs to digitize the sampled voltage have been developed recently [3] However, digital SSPLLs suffer from another problem in that, to reduce the quantization noise (Q noise) and improve the overall IPN, they must use high performance ADCs that concurrently have high sampling frequencies, fine resolutions, and wide dynamic ranges. Thus, they demand high power and occupy larger area.", "author_names": [ "Juyeop Kim", "Heein Yoon", "Younghyun Lim", "Yongsun Lee", "Yoonseo Cho", "Taeho Seong", "Jaehyouk Choi" ], "corpus_id": 71151842, "doc_id": "71151842", "n_citations": 19, "n_key_citations": 1, "score": 1, "title": "16.2 A 76fsrms Jitter and 40dBc Integrated Phase Noise 28 to 31GHz Frequency Synthesizer Based on Digital Sub Sampling PLL Using Optimally Spaced Voltage Comparators and Background Loop Gain Optimization", "venue": "2019 IEEE International Solid State Circuits Conference (ISSCC)", "year": 2019 }, { "abstract": "This article presents a cascaded architecture of a frequency synthesizer to generate ultra low jitter output signals in a millimeter wave (mmW) frequency band from 28 to 31 GHz. The mmW band injection locked frequency multiplier (ILFM) placed at the second stage has a wide bandwidth so that the performance of the jitter of this frequency synthesizer is determined by the GHz band, digital subsampling phase locked loop (SSPLL) at the first stage. To suppress the quantization noise of the digital SSPLL while using a small amount of power, the optimally spaced voltage comparators (OSVCs) are presented as a voltage quantizer. This article was designed and fabricated using 65 nm CMOS technology. In measurements, this prototype frequency synthesizer generated output signals in the range of 28 31 GHz, with an rms jitter of less than 80 fs and an integrated phase noise (IPN) of less than 40 dBc. The active silicon area was 0.32 mm2, and the total power consumption was 41.8 mW.", "author_names": [ "Juyeop Kim", "Younghyun Lim", "Heein Yoon", "Yongsun Lee", "Hangi Park", "Yoonseo Cho", "Taeho Seong", "Jaehyouk Choi" ], "corpus_id": 203203723, "doc_id": "203203723", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "An Ultra Low Jitter, mmW Band Frequency Synthesizer Based on Digital Subsampling PLL Using Optimally Spaced Voltage Comparators", "venue": "IEEE Journal of Solid State Circuits", "year": 2019 }, { "abstract": "Here we describe methods of detection of Code Division Multiple Access signal against Gaussian noise. We transmit differentially encoded signal and in the receiver, we do signal processing to reduce noise injected by the mixer or RF front end. Similar noise reduction method is also proposed for carrier recovery and hence the demodulator works. The detector is followed by BCH decoding which is syndrome based. 1.0 INTRODUCTION Direct sequence code division multiple access is currently used in data communication IEEE standard 802.11 and for voice band by Qualcomm. It has several advantages like lower noise than FSK, higher cell capacity and soft hand off. Qualcomm uses orthogonal code to spread the data sequence at the transmitter and despread it at the receiver. The optimum detection of synchronous and asynchronous CDMA with PRN sequence was first proposed in reference [1] Here we optimize the signal to noise ratio in analog domain. The decoding of BCH code which is used to protect against fading is different. Also we do not use any analog to digital conversion or any sampling of signal avoiding injection of noise. There is no exponential increase in calculation like in maximum likelihood method [2] There was a lot of research activity to use MUD detector in digital domain to reduce the crosscorrelation from different users [3] A method is used here which could be inspired by the reference [4] Near far problem, once was the focus of research activity, is resolved here using separate demodulator for each user in asynchronous transmission of uplink. Adaptive detector is avoided here as its power consumption is high. The demodulator described here is most suitable for low power application. The detector was proposed in reference [5][6] for GPS receiver and radar detector, except we propose a coding by BCH code against noise and fading [7] Section I introduces the subject and section II describes the basic principle followed in this paper to achieve lowest noise possible. Section III explains the requirement of carrier and data clock recovery. The next section explains the signal path in the demodulator. This is followed by the section on Asynchronous CDMA. We conclude the paper in the following section. 2.0 PRINCIPLE OF OPTIMUM DETECTION Here we propose few demodulators for synchronous and asynchronous CDMA with Walsh Hadamard Code or Pseudo random sequence as the spreading code. We use same open loop gain for carrier recovery and the demodulator in analog domain, so that the performance is balanced. Moreover, we do not use sampling and use comparator as detector followed by a syndrome decoder for BCH code in digital domain. As we avoid using analog to digital conversion or MUD detector we reduce noise injection and power consumption. We have found that an integrator for a high pass filtered signal reduces the noise variance [5] To get that in synchronous CDMA, we transmit where Cn,i is the chip sequence coded with data bit for n user and i time. As it is synchronized in chip as well as in data bit, it is linear and we decode it by individual chip sequence at the hand set as it is downlink. When we correlate by the chip sequence, we do not use integrate and dump circuit, but a simple integrator. Thus we get double integration. The variance will be assuming a White (AWGN) noise spectrum which is flat. If we block the dc, then we get reduced noise variance. Similarly, we can use the method of triple integration, which uses double difference Ci+2 2Ci+1 Ci This may produce a transmission which is 4 times than normal amplitude. Here, we should get the increased power of transmission for noise reduction in integration. Hence we do not consider this case any further. We use Manchester coded data, which when integrated comes to zero all the time. We compare it with zero voltage and latch it at a delay flip flop at the data clock, avoiding a AtoD. Then in digital domain we do decoding of BCH code. International Journal of All Research Education and Scientific Methods (IJARESM) ISSN: 2455 6211, Volume 4, Issue 3, March 2016 2 3.0 CARRIER AND DATA CLOCK RECOVERY The carrier recovery PLL has a mathematical form (s+a)(s+b)s+k] which is stable with second order response to a step jump in phase. The root locus could be found in [8] from which we can find the maximum gain K for optimum second order response. The carrier recovery and data clock recovery block diagram is shown in Figure 1 [9] Figure 1. Carrier recovery and demodulation of synchronous CDMA The phase locked loop can be explained as: the mixer has one input A(t)cos(wct)+noise like data, where A(t) could be sinusoidal waveform. The voltage controlled oscillator generates sin(wct) term which is used to frequency divide to generate A(t) and they are mixed. This signal is used in the main mixer. So the output of the mixer is sin(wct)*cos(wct)+noise*sin(wct) which is double integrated to VCO input.", "author_names": [ "Amit Kumar Dutta" ], "corpus_id": 212487547, "doc_id": "212487547", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Optimum Detection of CDMA", "venue": "", "year": 2016 }, { "abstract": "[Abstract] also independently stably operates manufacturing variations at a low voltage, and jitter characteristic is good. A delay element control circuit 2 detects a delay value of the output signal based on the error signal of the difference between the reference signal of the output signal received from the phase frequency comparator circuit 1 delay elements of the oscillator 3 by the delay value generating a digital control signal for controlling. Each series connected delay elements 31~3m setting the delay value in the oscillator 3, the optimum delay value received by each delay circuit to control the plurality of digital control signal has a delay circuit composed of a plurality of transistors in can be activated. Therefore, in combination with the logical configuration of the delay element 31~3m each delay circuit configuration as the digital control signal of the delay element control circuit 2, the optimum with a delay value of the short intervals by the minimum number of delay elements 31~3m It can form a circuit.", "author_names": [ "Da Tian Zhe Ye", "Shan Kou Tong Zheng" ], "corpus_id": 145850269, "doc_id": "145850269", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Digital pll circuit and the oscillator of the delay elements", "venue": "", "year": 1998 }, { "abstract": "Abstract One method of estimating the direction of an electromagnetic source is based on phase comparison. In this thesis the design and fabrication of a prototype antenna to demonstrate a new DF antenna architecture is described. Four antenna elements are grouped into three pairs with element spacing according to a set of symmetrical number system pairwise relatively prime moduli (m1 3, m2 4, m3=5) The phase difference between each pair of elements is a symmetrical folding waveform that is determined using a mixer. The output voltage from each pair is amplitude analyzed using a small comparator ladder. In each channel, the symmetrically folding waveform, folds in accordance with the channel modulus and thus, only requires a precision according to that modulus. A high resolution DF is achieved after the N different SNS moduli are used and the results of these low precision channels are recombined to yield the direction of arrival. The frequency of operation of the prototype is 8.5 GHz. Results based on measured and simulated data are resented.", "author_names": [ "Panayiotis Papandreou" ], "corpus_id": 107427260, "doc_id": "107427260", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Design and prototype development of an optimum symmetrical number system direction finding array", "venue": "", "year": 1997 }, { "abstract": "Conventional phase locked loops (PLL's) lack speed, because ordinary phase comparators cannot achieve time continuous phase detection, introducing equivalent time delays into the loops. This paper presents a PLL reconstructed to derive time optimal responses. First, VCO's and filters are replaced by time discrete ones, eliminating the stability problem caused by the time delay. Second, period rather than frequency is employed as the controlled variable for utilizing digital phase comparators as linear time comparators. A prototype consisting of about 20 IC's is tested.", "author_names": [ "Fuminori Kobayashi", "Yasuhiko Sakamoto", "Michio Nakano" ], "corpus_id": 110937382, "doc_id": "110937382", "n_citations": 8, "n_key_citations": 0, "score": 0, "title": "High speed PLL and frequency synthesizer for low frequencies", "venue": "", "year": 1984 } ]