query
stringlengths 3
298
| candidates
listlengths 10
10
|
---|---|
Ab-initio study of ReCN in the bulk and as a new two dimensional material | [
{
"abstract": "First principles total energy calculations have been applied to describe the ReCN bulk structure and the formation of ReCN monolayers and bilayers. Results demonstrate a strong structural rearrangement in the monolayer due to a reduced dimension effect: an increase in the lattice parameter, accompanied with the contraction of the distance between the C and N planes. On the other hand, a ReCN bilayer has structural parameters similar to those of the bulk. Surface formation energies show that the monolayer is more stable than bilayer geometries. Although bulk ReCN shows a semiconductor behavior, the monolayer ReCN presents a metallic behavior. This metallic character of the ReCN monolayer is mainly due to the d orbitals of Re atoms.",
"author_names": [
"Jonathan Guerrero-Sanchez",
"Noboru Takeuchi",
"Armando Reyes-Serrato"
],
"corpus_id": 27822149,
"doc_id": "27822149",
"n_citations": 2,
"n_key_citations": 0,
"score": 1,
"title": "Ab initio study of ReCN in the bulk and as a new two dimensional material",
"venue": "Scientific Reports",
"year": 2017
},
{
"abstract": "MAX phases, which are widely studied experimentally and theoretically, have general formula of M(n+1)AXn, where M is transition metals, A is A group element and X is C and N. In this study, the structural, mechanical and electronic properties have been researched for hypothetical MAX phase TiMSiB, where M is Cr and Mn by applying ab initio calculations. Initially, structural optimizations have been completed and the outcomes crosschecked with the studies of Ti2SiB in literatures [1] After optimization process have been achieved, the mechanical stability has been proved. Moreover, Young, Bulk, Shear modules and Poisson ratio for the compounds have been estimated by using elastic constants. Also, to understand the anisotropic behavior of the materials, directional dependent two and three dimensional mechanical properties have been plotted. Finally, electronic band structures and corresponding density of state (DOS) have been plotted.",
"author_names": [
"Bugra Yildiz",
"Gokhan Surucu",
"Aytac Erkisi"
],
"corpus_id": 209383114,
"doc_id": "209383114",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "An ab initio Study of Cr and Mn Doped MAX Phase TiMSiB",
"venue": "2019 3rd International Symposium on Multidisciplinary Studies and Innovative Technologies (ISMSIT)",
"year": 2019
},
{
"abstract": "Janus transition metal dichalcogenides (TMDs) have recently emerged as a new class of two dimensional materials with a vertical dipole moment. Here, using time domain ab initio simulations, we show that electron hole recombination can be substantially suppressed via different stacking orientations of bilayer MoSSe. Despite having a larger net dipole moment, S Se/S Se oriented MoSSe bilayer has a shorter carrier lifetime due to a strong non adiabatic coupling and a small band gap. The electron hole recombination is coupled to the interlayer out of plane motion. In contrast, the opposite vertical dipoles weaken interlayer interactions in symmetric oriented MoSSe bilayers. Consequently, initial and final states are localized within different layers and this significantly suppresses carrier recombination, resulting in an order of magnitude longer in the excited carrier lifetime in Se S/S Se oriented MoSSe bilayers. Our simulations provide theoretical insights into the carrier dynamics and suggest a way for enhancing carrier lifetime in Janus TMDs for efficient energy harvesting.",
"author_names": [
"Bin Song",
"Li-Min Liu",
"Chiyung Yam"
],
"corpus_id": 201716466,
"doc_id": "201716466",
"n_citations": 8,
"n_key_citations": 0,
"score": 0,
"title": "Suppressed Carrier Recombination in Janus MoSSe Bilayer Stacks: A Time Domain Ab Initio Study.",
"venue": "The journal of physical chemistry letters",
"year": 2019
},
{
"abstract": "One of the fundamental properties of semiconductors is their ability to support highly tunable electric currents in the presence of electric fields or carrier concentration gradients. These properties are described by transport coefficients such as electron and hole mobilities. Over the last decades, our understanding of carrier mobilities has largely been shaped by experimental investigations and empirical models. Recently, advances in electronic structure methods for real materials have made it possible to study these properties with predictive accuracy and without resorting to empirical parameters. In this article, we review the most recent developments in the area of ab initio calculations of carrier mobilities of semiconductors. In the first part, we offer a brief historical overview of approaches to the calculation of carrier mobilities, and we establish the conceptual framework underlying modern ab initio approaches. We summarize the Boltzmann theory of carrier transport and we discuss its scope of applicability, merits, and limitations in the broader context of many body Green's function approaches. We discuss recent implementations of the Boltzmann formalism within the context of density functional theory and many body perturbation theory calculations, placing an emphasis on the key computational challenges and suggested solutions. In the second part of the article, we review applications of these methods to materials of current interest, from three dimensional semiconductors to layered and two dimensional materials. In particular, we discuss in detail recent investigations of classic materials such as silicon, diamond, GaAs, GaN, Ga2O3, and lead halide perovskites as well as low dimensional semiconductors such as graphene, silicene, phosphorene, MoS2, and InSe. We also review recent efforts toward high throughput calculations of carrier transport. In the last part, we discuss the extension of the methodology to study spintronics and topological materials and we comment on the possibility of incorporating Berry phase effects and many body correlations beyond the standard Boltzmann formalism.",
"author_names": [
"Samuel Ponce",
"Wenbin Li",
"Sven Reichardt",
"Feliciano Giustino"
],
"corpus_id": 199442221,
"doc_id": "199442221",
"n_citations": 53,
"n_key_citations": 0,
"score": 0,
"title": "First principles calculations of charge carrier mobility and conductivity in bulk semiconductors and two dimensional materials.",
"venue": "Reports on progress in physics. Physical Society",
"year": 2020
},
{
"abstract": "Mono or few layer black phosphorus (BP) emerges as promising photovoltaic and optoelectronic materials with realistic applications subjected to instability and short charge carrier lifetime. Experiments show that covalent functionalization can improve the stability but the underlying mechanism for the prolonged lifetime remains elusive. By performing spin polarized time domain density functional theory combined with nonadiabatic (NA) molecular dynamics simulations, we demonstrate that BP passivated with both phenyl and nitrophenyl can suppress the nonradiative electron hole recombination by a factor of 2 and 3, respectively, relative to the pristine system. The slow recombination is due to the interplay between energy gap, NA coupling, and decoherence time, which happens through either hole trap assisted process or in a direct way between free electron and hole in the spin up channel. The observations hold in the spin down channel. The study suggests that the passivating strategy should work for BP and other two dimensional materials.",
"author_names": [
"Yaqing Wei",
"Weihai Fang",
"Run Long"
],
"corpus_id": 209474245,
"doc_id": "209474245",
"n_citations": 6,
"n_key_citations": 0,
"score": 0,
"title": "Covalent Functionalized Black Phosphorus Greatly Inhibits Nonradiative Charge Recombination: A Time Domain ab Initio Study.",
"venue": "The journal of physical chemistry letters",
"year": 2019
},
{
"abstract": "Summary form only given. Phosphorene [1,2] is a new family member of two dimensional materials. We observed strong and highly layer dependent photoluminescence in few layer phosphorene (two to five layers) (Fig. 1) The results confirmed the theoretical prediction that few layer phosphorene has a direct and layer sensitive band gap. We also demonstrated that few layer phosphorene is more sensitive to temperature modulation than graphene and MoS2 in Raman scattering. The anisotropic Raman response in few layer phosphorene has enabled us to use an optical method to quickly determine the crystalline orientation without tunneling electron microscopy or scanning tunneling microscopy. Our results provide much needed experimental information about the band structures and exciton nature in few layer phosphorene [3] Two dimensional (2D) layered materials, including semi metallic graphene, semiconducting transition metal dichalcogenides (TMDs) and insulating hexagonal boron nitride (hBN) have been heavily investigated in past decade. Compared with the gapless graphene, most recently investigated TMD semiconductor MoS2 has energy gap in the range of 1.3 eV (bulk) to 1.8 eV (monolayer) MoS2, an indirect band gap material in its bulk form, becomes a direct band gap semiconductor when thinned to a monolayer, enabling significantly enhanced photoluminescence in monolayer MoS2. Black phosphorous (termed as phosphorene) has become a new class of 2D layered material, with predicted layer dependent band gap ranging from 0.3 eV (bulk) to 1.5 eV (monolayer) Particularly, few layer phosphorene with narrow band gaps ranging from mid infrared to near infrared wavelengths can fill the space between the gapless graphene and the comparably large gap TMD semiconductors. The predicted direct band gap nature in few layer phosphorene will also enable high performance optoelectronic devices, compared with the indirect band gap behavior in most few layer TMD semiconductors. However, so far there has been very little experimental data to confirm the theoretical prediction in few layer phosphorene.",
"author_names": [
"Yuerui Lu"
],
"corpus_id": 35085209,
"doc_id": "35085209",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "A new two dimensional material: Phosphorene",
"venue": "2015 IEEE MTT S International Microwave Workshop Series on Advanced Materials and Processes for RF and THz Applications (IMWS AMP)",
"year": 2015
},
{
"abstract": "Silicon dioxide or silica, normally existing in various bulk crystalline and amorphous forms, was recently found to possess a two dimensional structure. In this work, we use ab initio calculation and evolutionary algorithm to unveil three new two dimensional (2D) silica structures whose thermal, dynamical, and mechanical stabilities are compared with many typical bulk silica. In particular, we find that all three of these 2D silica structures have large in plane negative Poisson's ratios with the largest one being double of penta graphene and three times of borophenes. The negative Poisson's ratio originates from the interplay of lattice symmetry and Si O tetrahedron symmetry. Slab silica is also an insulating 2D material with the highest electronic band gap >7 eV) among reported 2D structures. These exotic 2D silica with in plane negative Poisson's ratios and widest band gaps are expected to have great potential applications in nanomechanics and nanoelectronics.",
"author_names": [
"Zhibin Gao",
"Xiao Dong",
"Nianbei Li",
"Jie Ren"
],
"corpus_id": 206736782,
"doc_id": "206736782",
"n_citations": 108,
"n_key_citations": 0,
"score": 0,
"title": "Novel Two Dimensional Silicon Dioxide with in Plane Negative Poisson's Ratio.",
"venue": "Nano letters",
"year": 2017
},
{
"abstract": "The dynamics of proton transfer (PT) through hydrogen bonds in a two dimensional water layer confined between two graphene sheets at room temperature are investigated through ab initio and quantum classical simulations. The excess proton is found to be mostly solvated as an Eigen cation where the hydronium ion donates three hydrogen bonds to the neighboring water molecules. In the solvation shell of the hydronium ion, the three coordinated water molecules with two donor hydrogen bonds are found to be properly presolvated to accept a proton. Although no hydrogen bond needs to be broken for transfer of a proton to such presolvated water molecules from the hydronium ion, the PT rate is still found to be not as fast as it is for one dimensional chains. Here, the PT is slowed down as the probability of finding a water with two donor hydrogen bonds in the solvation shell of the hydronium ion is found to be only 25% 30% The hydroxide ion is found to be solvated mainly as a complex anion where it accepts four H bonds through its oxygen atom and the hydrogen atom of the hydroxide ion remains free all the time. Here, the presolvation of the hydroxide ion to accept a proton requires that one of its hydrogen bonds is broken and the proton comes from a neighboring water molecule with two acceptor and one donor hydrogen bonds. The coordination number reduction by breaking of a hydrogen bond is a slow process, and also the population of water molecules with two acceptor and one donor hydrogen bonds is only 20% 25% of the total number of water molecules. All these factors together tend to slow down the hydroxide ion migration rate in two dimensional water layers compared to that in three dimensional bulk water.",
"author_names": [
"Arindam Bankura",
"Amalendu Chandra"
],
"corpus_id": 22434631,
"doc_id": "22434631",
"n_citations": 16,
"n_key_citations": 3,
"score": 0,
"title": "Proton transfer through hydrogen bonds in two dimensional water layers: a theoretical study based on ab initio and quantum classical simulations.",
"venue": "The Journal of chemical physics",
"year": 2015
},
{
"abstract": "Two dimensional (2D) materials have shown extraordinary performances as photocatalysts compared to their bulk counterparts. Simulations have made a great contribution to the deep understanding and design of novel 2D photocatalysts. Ab initio simulations based on density functional theory (DFT) not only show efficiency and reliability in new structure searching, but also can provide a reliable, efficient, and economic way for screening the photocatalytic property space. In this review, we summarize the recent developments in the field of water splitting using 2D materials from a theoretical perspective. We address that DFT based simulations can fast screen the potential spaces of photocatalytic properties with the accuracy comparable to experiments, by investigating the effects of various physical/chemical perturbations. This, at last, will lead to the enhanced photocatalytic activities of 2D materials, and promote the development of photocatalysis.",
"author_names": [
"Yunguo Li",
"Yan-Ling Li",
"Baisheng Sa",
"Rajeev Ahuja"
],
"corpus_id": 99141689,
"doc_id": "99141689",
"n_citations": 184,
"n_key_citations": 3,
"score": 0,
"title": "Review of two dimensional materials for photocatalytic water splitting from a theoretical perspective",
"venue": "",
"year": 2017
},
{
"abstract": "Two dimensional materials offer a unique platform where both bulk and atomic HHG can be investigated. The generation of high order harmonics from atomic and molecular gases enables the production of high energy photons and ultrashort isolated pulses. Obtaining efficiently similar photon energy from solid state systems could lead, for instance, to more compact extreme ultraviolet and soft x ray sources. We demonstrate from ab initio simulations that it is possible to generate high order harmonics from free standing monolayer materials, with an energy cutoff similar to that of atomic and molecular gases. In the limit in which electrons are driven by the pump laser perpendicularly to the monolayer, they behave qualitatively the same as the electrons responsible for high harmonic generation (HHG) in atoms, where their trajectories are described by the widely used semiclassical model, and exhibit real space trajectories similar to those of the atomic case. Despite the similarities, the first and last steps of the well established three step model for atomic HHG are remarkably different in the two dimensional materials from gases. Moreover, we show that the electron electron interaction plays an important role in harmonic generation from monolayer materials because of strong local field effects, which modify how the material is ionized. The recombination of the accelerated electron wave packet is also found to be modified because of the infinite extension of the material in the monolayer plane, thus leading to a more favorable wavelength scaling of the harmonic yield than in atomic HHG. Our results establish a novel and efficient way of generating high order harmonics based on a solid state device, with an energy cutoff and a more favorable wavelength scaling of the harmonic yield similar to those of atomic and molecular gases. Two dimensional materials offer a unique platform where both bulk and atomic HHG can be investigated, depending on the angle of incidence. Devices based on two dimensional materials can extend the limit of existing sources.",
"author_names": [
"Nicolas Tancogne-Dejean",
"Angel Rubio"
],
"corpus_id": 3562736,
"doc_id": "3562736",
"n_citations": 59,
"n_key_citations": 1,
"score": 0,
"title": "Atomic like high harmonic generation from two dimensional materials",
"venue": "Science Advances",
"year": 2018
}
] |
Defect inspection of wafers by laser scattering | [
{
"abstract": "The sections in this article are 1 Defect Reduction Cycle in Semiconductor Manufacturing 2 Inspection in the IC Manufacturing Process Life Cycle 3 Optical Imaging Technology 4 Laser Scattering Technology 5 Measurement of Optical Scatter from Contaminants on Wafers 6 Automatic Defect Classification 7 Future Challenges 8 Conclusions 9 Acknowledgments",
"author_names": [
"Vijay Sankaran",
"Charles Weber",
"Fred Lakhani",
"Kenneth W Tobin"
],
"corpus_id": 16550833,
"doc_id": "16550833",
"n_citations": 36,
"n_key_citations": 1,
"score": 1,
"title": "Inspection in Semiconductor Manufacturing",
"venue": "",
"year": 1999
},
{
"abstract": "Abstract This paper reviews defect inspection methods and instruments for evaluating semiconductor wafers by using elastic light scattering. The discussion focuses on the following instrument characteristics: minimum detectable size for the adhering particle, inspection throughput, detectability of microroughness and detectability of crystal defects at the subsurface and in the volume. By analyzing the detection mechanisms of laser surface scanners, scatterometers and infrared tomography systems, the unique capabilities of elastic light scattering for defect detection are revealed.",
"author_names": [
"Katsumi Takami"
],
"corpus_id": 93134468,
"doc_id": "93134468",
"n_citations": 30,
"n_key_citations": 0,
"score": 0,
"title": "Defect inspection of wafers by laser scattering",
"venue": "",
"year": 1997
},
{
"abstract": "As IC feature sizes become smaller and smaller, requirements for Critical Dimension (CD) variations control have become a critical issue. A new process for the control and correction of intra field CD variations (Critical Dimension Control or CDC) was applied and it's influence on defects detection and photo masks inspection capabilities at different modes of inspection was investigated. CD Control (CDC) of the photomask is a process in which Deep UV transmittance is selectively altered by patterns of small partially scattering shading elements (Shade in ElementTm) inside the quartz. The shading elements are formed by a process of shooting an ultrafast laser beam focused inside the mask substrate, resulting in localized intra volume breakdown inside the quartz which creates local pixels of modified index of refraction (delta n) An array of such pixels with constant density constitutes one shading element. Process patterns are predetermined according to a CD variations map which may be supplied from wafer CD SEM, Optical CD or mask aerial imaging simulation tool (AIMS) Thus by changing local photomask transmission levels, it is possible to correct for the CD variations inside the field. Attenuation level, or optical density of the shading elements depends on the laser pulse energy, distance between pixels, number of layers and the size of the shading element itself. Since photomask transmittance is being changed, qualification of the impact of the transmittance changes on the defect detection and analysis capabilities are required. In this study, the principles of patterning of scattering elements inside transparent media by focusing of ultra short laser pulses were introduced and explained. Analysis of the effects to both mask and wafer due to the CDC process was verified by full printing process applied to wafers, and by aerial imaging simulation tool. More tests for CDC required also tests by automatic reticle inspection tool to be production worthy for the 65nm node and beyond.",
"author_names": [
"Eitan Zait",
"Vladimir Dmitriev",
"Sergey V Oshemkov",
"Guy Ben-Zvi",
"Dany Michaelis"
],
"corpus_id": 14304309,
"doc_id": "14304309",
"n_citations": 15,
"n_key_citations": 0,
"score": 0,
"title": "CD variations correction by local transmission control of photomasks done with a novel laser based process",
"venue": "SPIE Advanced Lithography",
"year": 2006
},
{
"abstract": "Abstract Crack detection in welded structures of dissimilar material using guided waves is not well developed. This paper scrutinizes the effect of material discontinuity in plate structures and the excessive plastic deformation, within friction stir welded (FSW) joints, on the propagation behavior of guided waves toward their application in weld assessment. Quantifying the scattering, attenuation and group velocities of the guided waves when they propagate across different media, as well as determining the elastic properties of the material within the weld will provide rich information about the behavior of ultrasonic waves. Three pristine defect free friction stir welded plates were used in this study. The first specimen was a weld of dissimilar materials aluminum/magnesium alloy (AA6061 T6/AZ31B) the second was of dissimilar aluminum alloy grades (AA6060/AA7020 T651) and the third was of the same aluminum grade (AA7020 T651/AA7020 T651) The elastic properties across all the welds were extrapolated using nano indentation technique. Ultrasonic guided waves were excited and measured using piezoelectric wafers and laser Doppler vibrometer (LDV) Additionally, a sensor network design was implemented on the three specimens using piezoelectric transducers. Wave reflections, based on the LDV results and the information collected from the sensor network, were observed at the weld zone of the AA6061 T6/AZ31B FSW plate, while no reflections were detected at the weld zones in the AA7020 T651/AA7020 T651 and AA6060/AA7020 T651 plates. The results were correlated with the measurements obtained from the nano indentation experiment, where a sharp change in the elastic properties of the base metals in the AA6061 T6/AZ31B welded joint were detected, unlike the other two plates that showed constant elastic properties across the weld zones. The results showed that the amount of scattering at the joints is a function of the wave propagation direction. It was noticed that the average wave reflection generated when the wave crossed from the AZ31B to the AA6061 T6 base metal was around 35% of the incident signal, but it reduced to 25% when the wave propagation direction was reversed. Characterizing ultrasonic waves in FSWs and the behavior of the incident and reflected waves in the welded zones will further improve on the technology used for inspection and monitoring of solid state welded joints.",
"author_names": [
"Jaafar Ali Tarraf",
"Samir Mustapha",
"Mohammad A Fakih",
"Mohammad Harb",
"Hongjian Wang",
"G Ayoub",
"Ramsey F Hamade"
],
"corpus_id": 139516788,
"doc_id": "139516788",
"n_citations": 15,
"n_key_citations": 0,
"score": 0,
"title": "Application of ultrasonic waves towards the inspection of similar and dissimilar friction stir welded joints",
"venue": "",
"year": 2018
},
{
"abstract": "Image data management in the semiconductor manufacturing environment is becoming more problematic as the size of silicon wafers continues to increase, while the dimension of critical features continues to shrink. Fabricators rely on a growing host of image generating inspection tools to monitor complex device manufacturing processes. These inspection tools include optical and laser scattering microscopy, confocal microscopy, scanning electron microscopy, and atomic force microscopy. The number of images that are being generated are on the order of 20,000 to 30,000 each week in some fabrication facilities today. Manufacturers currently maintain on the order of 500,000 images in their data management systems for extended periods of time. Gleaning the historical value from these large image repositories for yield improvement is difficult to accomplish using the standard database methods currently associated with these data sets (e.g. performing queries based on time and date, lot numbers, wafer identification numbers, etc. Researchers at the Oak Ridge National Laboratory have developed and tested a content based image retrieval technology that is specific to manufacturing environments. In this paper, we describe the feature representation of semiconductor defect images along with methods of indexing and retrieval, and results from initial field testing in the semiconductor manufacturing environment.",
"author_names": [
"Kenneth W Tobin",
"Thomas P Karnowski",
"Lloyd F Arrowood",
"Regina K Ferrell",
"James S Goddard",
"Fred Lakhani"
],
"corpus_id": 30809086,
"doc_id": "30809086",
"n_citations": 14,
"n_key_citations": 1,
"score": 0,
"title": "Content Based Image Retrieval for Semiconductor Process Characterization",
"venue": "EURASIP J. Adv. Signal Process.",
"year": 2002
},
{
"abstract": "A defect detection algorithm for wafer inspection based on laser scanning is presented. Microscopic anomalies, contaminants, and process induced pattern defects result in a two dimensional (2 D) laser scattering signature, which closely resembles the coherent point spread function of the scanning laser beam. This point spread function is a 2 D Gaussian in the majority of cases and can be characterized by four parameters. The algorithm fits Gaussian surfaces to sampled data points. Events are accepted or rejected on the basis of how similar the Gaussian parameters are to that of the point spread function, known a priori. It is shown that the algorithm achieves a 95% capture for submicron particles and pattern defects on typical logic and array wafer regions. Results demonstrating the algorithm's performance relative to mechanical and electronic noise and to signal resolution are presented.",
"author_names": [
"Mehrdad Nikoonahad",
"Christopher Edward Wayman",
"S A Biellak"
],
"corpus_id": 110484812,
"doc_id": "110484812",
"n_citations": 12,
"n_key_citations": 0,
"score": 0,
"title": "Defect detection algorithm for wafer inspection based on laser scanning",
"venue": "",
"year": 1997
},
{
"abstract": "Tungsten sheet wafers and tetraethylorthosilicate (TEOS) wafers were planarized on chemical mechanical planarization (CMP) tools and cleaned using a mechanical wafer cleaner. Post CMP buffing processes on the primary or secondary polisher platens were investigated. Using laser scattering wafer inspection systems and atomic force microscopy we demonstrated that the buffing process strongly affects the defect density on both the TEOS and tungsten CMP wafers and the roughness power spectrum density of the tungsten CMP wafers. A \"pH shock\" to the TEOS wafers during oxide CMP on the primary platen resulted in a high defect density. The changes in zeta potential of the slurry particles and the TEOS surfaces during the pH shock might have caused the variations in the defect density. TEOS wafers polished with a tungsten slurry were also cleaned on a wafer cleaner with diluted HF solutions. The HF solution cleaning further enabled a reduction of the defect density. Defect densities measured on the same TEOS wafers using SFS6220 and SFS6420 were compared. The results indicated that some of the defect counts may be attributed to the intrusion defects and background noise from the surface roughness.",
"author_names": [
"James Y Shen",
"Wesley D Costas",
"Lee Melbourne Cook",
"Jeffrey J Farber"
],
"corpus_id": 95019402,
"doc_id": "95019402",
"n_citations": 9,
"n_key_citations": 0,
"score": 0,
"title": "The Effects of Post Chemical Mechanical Planaization Buffing on Defect Density of Tungsten and Oxide Wafers",
"venue": "",
"year": 1998
},
{
"abstract": "As reticle enhancement techniques (OPC, PSM) become more commonly used in multi masking levels for 130nm node and below, the need for a better and more precise reticle specification will be even greater. OPC and sub nominal assist feature like scattering bar represent a challenge for mask metrology tools. Consequentiy, defect inspection for advanced reticles has become a gating step for both Mask shops and Wafer Fabs alike. In this paper, a binary defect test reticle with and without OPC/SB features, manufactured by Dupont Photomask's Reticle Technology Center, was used for the study. The reticle was made using the ALTA 3500 Laser Writer Tool and dry chrome etch process. Reticle inspection results from KLA363UV for 0. 13 um design rule patterns in both Clear and Dark polarities, with bump, divot and pinhole programmed defects between 0.1 and 0.6 um sizes (at 4x) were shown. These results were then compared to the wafer printability using ArF 193nm lithography. The impact of each defect type on 0.13 um Isolated, Semi Dense and Dense lines was evaluated for 10% CD tolerance to provide an assessment on the KLA363UV inspection tool capability. A set of edge defects (bump and divot) and point defect (hole between line ends) from 0.1 to 0.6 um on the reticle (4x) were repaired using the Seiko SIR 3xxx tool at DPI RTC. The printability of repairs on wafer at 193nm exposure wavelength was evaluated. CD of repaired features was compared to that of nominal feature for both reticle and resist wafer to evaluate the repair effectiveness. Finally, a defect specification for 0.13 um design rule binary reticle using ArF lithography is discussed.",
"author_names": [
"Khoi Anh Phan",
"Christopher Alan Spence",
"John A Riddick",
"Jerry Xiaoming Chen",
"Matt J Lamantia",
"Hugo A Villa"
],
"corpus_id": 110730704,
"doc_id": "110730704",
"n_citations": 6,
"n_key_citations": 0,
"score": 0,
"title": "Correlation of reticle defects detectability and repairs to ArF wafer printability for 0.13 mm design rule with binary OPC/SB mask",
"venue": "SPIE Photomask Technology",
"year": 2001
},
{
"abstract": "Microscratches and particles are becoming a serious problem associated with the introduction of CMP to IC processing. This paper describes a new surface inspection system for a blank wafer with emphasis on the discrimination technique between microscratches and particles. The system first detects both defects by means of the laser scattering technique as is the case of a conventional particle inspection tool, followed by a newly developed discrimination procedure based on the difference of angular distribution of the scattered light. The discrimination rate which is defined as the ratio of the correctly classified defects to the total defects detected was evaluated to be 85% or more on actual CMP processed wafers.",
"author_names": [
"Ichiro Moriyama",
"Yoshikazu Tanabe",
"Ichirou Ishimaru",
"Makoto Noguchi",
"K Mizukami"
],
"corpus_id": 110363619,
"doc_id": "110363619",
"n_citations": 5,
"n_key_citations": 0,
"score": 0,
"title": "Surface inspection system with automatic discrimination of microscratches and particles on chemical mechanical polished wafers",
"venue": "1999 IEEE International Symposium on Semiconductor Manufacturing Conference Proceedings (Cat No.99CH36314)",
"year": 1999
},
{
"abstract": "A technique utilizing a scanning laser beam has been implemented to examine the surfaces of materials that are used in the form of flat plates or thin deposited films in the field of microelectronics. Unwanted holes in opaque films deposited onto transparent substrates can be detected by sensing the light transmitted through the holes or defects on a reflective surface can be detected by virtue of their light scattering properties.A typical application is the inspection of silicon wafers that have been highly polished preparatory to film deposition for the planar technology of semiconductor device building. Defects as small as one micron can be detected. An entire wafer 5 cm in diameter can be scanned in 40 seconds to give: 1) a total defect count, and 2) a map of defect locations displayed on an oscilloscope screen.",
"author_names": [
"Delmont R Oswald",
"David Farnham Munro"
],
"corpus_id": 96864151,
"doc_id": "96864151",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "A laser scan technique for electronic materials surface evaluation",
"venue": "",
"year": 1974
}
] |
vlsi latest technology | [
{
"abstract": "Digital integrated circuits require thorough testing in order to guarantee product quality. This is usually achieved with the use of scan chains and automatically generated test patterns. However, functional approaches are often used to complement test suites. Software Based Self Test (SBST) can be used to increase defect coverage in microcontrollers, to replace part of the scan pattern set to reduce tester requirements, or to complement the defect coverage achieved by structural techniques when advanced semiconductor technologies introduce new defect types. Delay testing has become common practice with VLSI integration, and with the latest technologies, targeting small delay defects (SDDs) has become necessary. This chapter deals with SBST for delay faults and describes a case of study based on a peripheral module integrated in a System on Chip (SoC) A method to develop an effective functional test is first described. A comparative analysis of the delay faults detected by scan and SBST is then presented, with some discussion about the obtained results.",
"author_names": [
"Kai Rannenberg"
],
"corpus_id": 220680148,
"doc_id": "220680148",
"n_citations": 0,
"n_key_citations": 0,
"score": 1,
"title": "VLSI SoC: New Technology Enabler: 27th IFIP WG 10.5/IEEE International Conference on Very Large Scale Integration, VLSI SoC 2019, Cusco, Peru, October 6 9, 2019, Revised and Extended Selected Papers",
"venue": "VLSI SoC",
"year": 2020
},
{
"abstract": "Fractional motion estimation (FME) is used in all the latest video coding standards to reduce the bit rate. In FME, Hadamard transform (HT) is used to calculate total distortion. HT helps to find the best matched candidate block. Unlike the earlier video coding standards, HEVC supports the variable size of HT such as 2 x 2, 4 x 4, 8 x 8, 16 x 4 and 4 x 16. Therefore, the development of an efficient scalable VLSI architecture for HT compatible with HEVC is a challenging task. In this paper, we have proposed a scalable architecture for HT which supports all the sizes of HT adopted by HEVC. Simulation and synthesis of the proposed architecture are carried out using standard 90 nm Technology. Simulation results show that the proposed architecture can process 4K video sequences and occupies only 0.2517 mm2of the area. The proposed architecture consumed 19.0225 mW of power for the operating frequency of the 250 MHz.",
"author_names": [
"Karam Singh",
"Shaik Rafi Ahamed"
],
"corpus_id": 221717438,
"doc_id": "221717438",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Scalable VLSI Architecture for Hadamard Transforms of HEVC/H.265 Video Coding Standard",
"venue": "2020 24th International Symposium on VLSI Design and Test (VDAT)",
"year": 2020
},
{
"abstract": "Machine learning (ML) provides the high end automation of data processing for the widerange of human brain with machine interfacing. Deep machine learning (DML) performs like humanbrain to achieve automated features extraction, reducing the dimension of the complex data set.Analog signal processing (ASP) need much higher energy efficiency than digital signal processing(DSP) presenting a way for overcoming of these limitations. This paper have reviewed MLtechniques which propose analogue memory which can be essential component for learning system.VLSI architecture and circuits are discussed for k mean clustering algorithm in analogue signalprocessing. Discussed about unsupervised learning system for different computation node in DML.In addition, also discussed about ultra low power circuit to provide similarity measures in analoguesignal processing and technique matched with latest development in VLSI, ULSI for CMOStransistor with compact technology. Moreover the compact technology node size of nanometer (nm)VLSI design and complex fabrication have extreme high complexity which generates heavygigabytes data Such result helps in designing of VLSI architecture, lithography hotspot detection,and also increases the dependability of physical design with face recognition. The face recognitionstudied, based on Hidden Markov Models (HMMs) and discrete wavelet transform (DWT) Asequence of overlapping sub images is extracted from each face image computing the DWTcoefficients for each of them.",
"author_names": [
"Arpit Singh Yadav",
"Swapnil Jain"
],
"corpus_id": 228933468,
"doc_id": "228933468",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Efficient VLSI Architecture using Machine Learning Algorithms",
"venue": "",
"year": 2020
},
{
"abstract": "Machine learning (ML) provides the high end automation of data processing for the wide range of human brain with machine interfacing. Deep machine learning (DML) performs like human brain to achieve automated features extraction, reducing the dimension of the complex data set. Analog signal processing (ASP) need much higher energy efficiency than digital signal processing (DSP) presenting a way for overcoming of these limitations. This paper have reviewed ML techniques which propose analogue memory which can be essential component for learning system. Discussed about unsupervised learning system for different computation node in DML. In addition, also discussed about ultra low power circuit to provide similarity measures in analogue signal processing and technique matched with latest development in VLSI, ULSI for CMOS transistor with compact technology. The face recognition studied, based on Hidden Markov Models (HMMs) and discrete wavelet transform (DWT) A sequence of overlapping sub images is extracted from each face image computing the DWT coefficients for each of them.",
"author_names": [
"Arpit Singh Yadav"
],
"corpus_id": 226621303,
"doc_id": "226621303",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Designing of VLSI Architecture using Machine Learning Algorithms",
"venue": "",
"year": 2020
},
{
"abstract": "In this article, a low complexity and high throughput sorted QR decomposition (SQRD) for multiple input multiple output (MIMO) detectors is presented. To reduce the heavy hardware overhead of SQRD, we propose an efficient SQRD algorithm based on a novel modified real value decomposition (RVD) Compared to the latest study, the proposed SQRD algorithm can save the computational complexity by more than 44.7% with similar bit error rate (BER) performance. Furthermore, a corresponding deeply pipelined hardware architecture implemented with the coordinate rotation digital computer (CORDIC) based Givens rotation (GR) is designed. In the design, we propose a time sharing Givens rotation structure utilizing CORDIC modules in idle state to share the concurrent GR operations of other CORDIC modules, which can further reduce hardware complexity and improve hardware efficiency. The proposed SQRD processor is implemented in SMIC 55 nm CMOS technology, which processes 62.5 M SQRD per second at a 250 MHz operating frequency with only 176.5 kilo gates. Compared to related studies, the proposed design has the best normalized hardware efficiency and achieves a 6 Gbps MIMO data rate which can support current high speed wireless communication systems such as IEEE 802.11ax.",
"author_names": [
"Lu Sun",
"Bin Wu",
"Tianchun Ye"
],
"corpus_id": 225144156,
"doc_id": "225144156",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Design and VLSI Implementation of a Reduced Complexity Sorted QR Decomposition for High Speed MIMO Systems",
"venue": "",
"year": 2020
},
{
"abstract": "Deblocking filter (DBF) is an efficient tool to reduce the bit rate in the latest video coding standard, High Efficiency Video Coding (HEVC) This work presents an SRAM free deblocking filter VLSI architecture with high throughput and efficiency. To avoid the usage of SRAM inside the DBF module, the basic filter unit (BFU) is designated as 8 x 8 block size and the vertical boundaries in 8 x 8 block will be filtered firstly followed by the horizontal. Besides, the block in out and block filtering will be performed by pipeline to improve DBF throughput. Based on the proposed architecture, it takes 4 cycles to finish one BFU processing, one cycles for one boundary between two 4 x 4 blocks. The experimental result shows that our design can support 8K@120fps real time video coding with TSMC 65nm process, which occupies 23.5K gates at 400NHz.",
"author_names": [
"Genwei Tang",
"Xiaoyang Zeng",
"Yibo Fan"
],
"corpus_id": 54455541,
"doc_id": "54455541",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "An SRAM free HEVC Deblocking Filter VLSI Architecture for 8K Application",
"venue": "2018 14th IEEE International Conference on Solid State and Integrated Circuit Technology (ICSICT)",
"year": 2018
},
{
"abstract": "The next generation 8K ultra high definition video format involves an extremely high bit rate, which imposes a high throughput requirement on the entropy decoder component of a video decoder. Context adaptive binary arithmetic coding (CABAC) is the entropy coding tool in the latest video coding standards including H.265/High Efficiency Video Coding and H.264/Advanced Video Coding. Due to critical data dependencies at the algorithm level, a CABAC decoder is difficult to be accelerated by simply leveraging parallelism and pipelining. This letter presents a new very large scale integration arithmetic decoder, which is the most critical bottleneck in CABAC decoding. Our design features a variable clock cycle path architecture that exploits the differences in critical path delay and in probability of occurrence between various types of binary symbols (bins) The proposed design also incorporates a novel data forwarding technique (rLPS forwarding) and a fast path selection technique (coarse bin type decision) and is enhanced with the capability of processing additional bypass bins. As a result, its maximum throughput achieves 1010 Mbins/s in 90 nm CMOS, when decoding 0.96 bin per clock cycle at a maximum clock rate of 1053 MHz, which outperforms previous works by 19.1%",
"author_names": [
"Jinjia Zhou",
"Dajiang Zhou",
"Shuping Zhang",
"Shinji Kimura",
"Satoshi Goto"
],
"corpus_id": 46746465,
"doc_id": "46746465",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "A Variable Clock Cycle Path VLSI Design of Binary Arithmetic Decoder for H.265/HEVC",
"venue": "IEEE Transactions on Circuits and Systems for Video Technology",
"year": 2018
},
{
"abstract": "More recently, \"chiplets\" are expected for further scaling the performance of LSI systems. However, system integration with the chiplets is not a new methodology. The basic concept dates back well over a few decades. The symbolic configuration of this concept based on the chiplets is 3D integration with TSV we have worked on since 1989. This paper introduces our 3D and heterogeneous system integration research from its historical activities to the latest efforts, including capillary self assembly of tiny dies with a size of less than 0.1 mm and advanced flexible hybrid electronics (FHE) using fan out wafer level packaging (FOWLP)",
"author_names": [
"Takafumi Fukushima"
],
"corpus_id": 236482055,
"doc_id": "236482055",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Chiplet Based Advanced Packaging Technology from 3D/TSV to FOWLP/FHE",
"venue": "2021 Symposium on VLSI Circuits",
"year": 2021
},
{
"abstract": "More recently, \"chiplets\" are expected for further scaling the performance of LSI systems. However, system integration with the chiplets is not a new methodology. The basic concept dates back well over a few decades. The symbolic configuration of this concept based on the chiplets is 3D integration with TSV we have worked on since 1989. This paper introduces our 3D and heterogeneous system integration research from its historical activities to the latest efforts, including capillary self assembly of tiny dies with a size of less than 0.1 mm and advanced flexible hybrid electronics (FHE) using fan out wafer level packaging (FOWLP)",
"author_names": [
"Takafumi Fukushima"
],
"corpus_id": 236982754,
"doc_id": "236982754",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Chiplet Based Advanced Packaging Technology from 3D/TSV to FOWLP/FHE",
"venue": "2021 Symposium on VLSI Technology",
"year": 2021
},
{
"abstract": "Recent progress on FeFET gate first technology development is presented. New characterization results from FeFET endurance degradation are shown and assigned to interfacial layer degradation. Two methods to overcome endurance degradation in terms of proper choice of device geometry or program erase algorithms are highlighted. Moreover, statistical variation of FeFET memory states is characterized for single memory cells as well as mini arrays across wafer. This is complemented by 136 Kbit FeFET array results which demonstrate tail to tail separation of ~3mA which represents the basis for read out operations below 25 ns. Latest results from FeFET variability from 180nm x 180nm as well as 72nm x 72nm memory cells is presented and a 32 Mbit macro incorporating 180nm x 180nm cells has been designed for future characterization.",
"author_names": [
"A Benoist",
"Johannes Ocker",
"Marko Noack",
"Georgi Krasimirov Kuzmanov",
"R Iqbal",
"D Le Minh",
"M Ghazaryan",
"V Anjaneyamoorthi",
"A Daraghmah",
"Menno Mennenga",
"Foroozan S Koushan",
"Fabio Tassan",
"Stefan Dunkel",
"Sven Beyer",
"Steven Soss",
"Ali Pourkeramati"
],
"corpus_id": 236981093,
"doc_id": "236981093",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Development status of gate first FeFET technology",
"venue": "2021 Symposium on VLSI Technology",
"year": 2021
}
] |
fundamentals of pwm converter | [
{
"abstract": "This chapter gives a description and overview of power electronic technologies including a description of the fundamental systems that are the building blocks of power electronic systems. Technologies that are described include: power semiconductor switching devices, converter circuits that process energy from one DC level to another DC level, converters that produce variable frequency from DC sources, principles of rectifying AC input voltage in uncontrolled DC output voltage and their extension to controlled rectifiers, converters that convert to AC from DC (inverters) or from AC with fixed or variable output frequency (AC controllers, DC DC AC converters, matrix converters, or cycloconverters) The chapter also covers control of power converters with focus on pulse width modulation (PWM) control techniques. 2.1 Definition, History, Applications and Trends of Power Electronics Power electronics (PE) experienced tremendous growth after the introduction of the first solid state power switch, the silicon controlled rectifier (SCR) in 1957. Today, almost all of the technologies that require control of power control utilize PE technology. This chapter will give the reader an overview on the field of PE including: E. R. C. da Silva Departamento de Engenharia Eletrica, Universidade Federal de Campina Grande, Campina Grande, Brazil Rua Rodrigues Alves, 1090 Bela vista, Campina Grande, PB CEP 58428 795, Brazil e mail: [email protected] M. E. Elbuluk The University of Akron, Akron, OH 44325, USA e mail: [email protected] S. Chakraborty et al. (eds. Power Electronics for Renewable and Distributed Energy Systems, Green Energy and Technology, DOI: 10.1007/978 1 4471 5104 3_2, Springer Verlag London 2013 7 A description of the fundamentals of the power semiconductor switching devices. Converter circuits that process energy from one DC level to another DC level. Converters that produce variable frequency from DC sources. Principles of rectifying AC input voltage in uncontrolled DC output voltage and their extension to controlled rectifiers. Converters that convert to AC from DC (inverters) or from AC with fixed or variable output frequency. AC controllers. DC DC AC converters. Matrix converters or cycloconverters. Detailed description of pulse width modulations control techniques. Power electronic circuits are used to control the power conversion from one or more AC or DC sources to one or more AC or DC loads, and sometimes with bidirectional capabilities. In most power electronics systems, this conversion is accomplished with two functional modules called the control stage and the power stage. Figure 2.1 shows the topology for a single source and single load converter application that includes a power processor (the power stage) and a controller (the control stage) The converter, handles the power transfer from the input to output, or vice versa, and is constituted of power semiconductor devices acting as switches, plus passive devices (inductor and capacitor) The controller is responsible for operating the switches according to specific algorithms monitoring physical quantities (usually voltages and currents) measured at the system input and or output. The modern PE era began in 1957. It was during that year the first commercial thyristor, or Silicon Controlled Rectifier (SCR) was introduced by General Electric Company. The SCR, started replacing the mercury arc rectifiers, invented in 1902, and the later developed thyratron (invented in 1923) and ignitron (invented in 1931) allowed the commercialization of several industrial circuits conceived during the 1920s and 1940s (like the cycloconverter, the chopper, and the parallel inverter) as well as the Graetz bridge conceived in 1897. The SCR was the only available power device for more than 25 years after its invention (and still is very useful for extremely high power applications) Since it is very difficult to impose turn off conditions for SCR's, faster devices, with higher voltage and current capabilities, with better controllability were developed, Fig. 2.1 A general power electronic system 8 E. R. C. da Silva and M. E. Elbuluk",
"author_names": [
"Edison R da Silva",
"Malik E Elbuluk"
],
"corpus_id": 201658915,
"doc_id": "201658915",
"n_citations": 2,
"n_key_citations": 1,
"score": 0,
"title": "Chapter 2 Fundamentals of Power Electronics",
"venue": "",
"year": 2019
},
{
"abstract": "Abstract This paper presents, a design of Programmable AC DC Converter Using Pulse Width Modulation (PWM) Techniques in MATLAB with an impression of the well known voltage and current converter topologies used to realize a three phase PWM AC/DC converter scheme. Preliminary from the voltage source inverter and the current source rectifier, the fundamentals of space vector modulation are summarized. The process of the AC/DC converter in different dynamic states powerfully depends on the modulation method applied. The power of the discussed modulation methods on the line current distortion and the switching frequency has been inspected. This technique depends on off line calculations of the pulses width for the first quarter cycle and stores these into a table. The residual pulses, for total cycle, are generated by using the values of the first quarter since there are conditions of quarter and half wave regularity. Results show an important saving of microcontroller time and memory. The dynamic development of the power and microelectronics devices sustains continual progress in design and realization of modern adjustable speed drives. The attention of researchers in the amplification of superior control techniques for voltage source inverters was in previous two decades stimulated by AC/DC line side converters called also PWM rectifiers. These front end rectifiers due to their properties systematically displace the diode bridges becoming an important part of the modern frequency converters for the intelligent motion control applications (2,3) The three phase two level AC/DC line side converters provide sinusoidal line currents and bidirectional power flow at the unity power factor (UPF) These properties have decided of the use of the PWM rectifiers in the applications improving the electrical power quality (4)",
"author_names": [
"Gailan Abdul Qadir",
"Majid S Naghmash"
],
"corpus_id": 17064816,
"doc_id": "17064816",
"n_citations": 4,
"n_key_citations": 0,
"score": 1,
"title": "Design and Simulation of Programmable AC DC Converter Using Pulse Width Modulation (PWM) Techniques in MATLAB",
"venue": "",
"year": 2014
},
{
"abstract": "This chapter gives a description and overview of power electronic technologies including a description of the fundamental systems that are the building blocks of power electronic systems. Technologies that are described include: power semiconductor switching devices, converter circuits that process energy from one DC level to another DC level, converters that produce variable frequency from DC sources, principles of rectifying AC input voltage in uncontrolled DC output voltage and their extension to controlled rectifiers, converters that convert to AC from DC (inverters) or from AC with fixed or variable output frequency (AC controllers, DC DC AC converters, matrix converters, or cycloconverters) The chapter also covers control of power converters with focus on pulse width modulation (PWM) control techniques.",
"author_names": [
"Edison R da Silva",
"Malik E Elbuluk"
],
"corpus_id": 55723887,
"doc_id": "55723887",
"n_citations": 1059,
"n_key_citations": 109,
"score": 0,
"title": "Fundamentals of Power Electronics",
"venue": "",
"year": 2013
},
{
"abstract": "Linear controllers based on small signal models are widely used in Pulse Width Modulated (PWM) converters due to their simple implementation. Several compensator tuning methods for the three fundamentals DC DC PWM converters have been developed to achieve desired closed loop performance. However, most of the existing procedures develop compensator coefficients that depend on the actual parameters of the converter, requiring recalculation of the coefficients for different parameter combinations. This paper introduces a powerful and straightforward normalized control design tool for PWM converters. The proposed normalization technique leads to converter's models and compensator coefficients that are independent on the filter parameters, as well as the voltage and power ratings. The design of linear controllers in the normalized domain enables the direct application of the same controller to any combination of converter's parameters. A unified normalized model for the three fundamental PWM topologies is derived. A normalized controller design example for a voltage mode synchronous buck converter is shown. Simulation and experimental results for two different buck converters are presented to validate the normalization concept and highlight the strong contribution to the field made by this approach, which results in a significant asset for practicing engineers.",
"author_names": [
"Franco Degioanni",
"Ignacio Galiano Zurbriggen",
"Martin Ordonez"
],
"corpus_id": 169034111,
"doc_id": "169034111",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Universal Controllers for PWM Converters: a Normalized Approach",
"venue": "2019 IEEE Applied Power Electronics Conference and Exposition (APEC)",
"year": 2019
},
{
"abstract": "vi List of Figures ix List of Tables xii Nomenclature xiii List of Abbreviations xiv Organisation of Thesis xv Chapter 1 1 8 Introduction 1 8 1.1 Background 1 1.2 Modulation 3 1.3Literature survey 5 Chapter 2 9 19 Fundamentals of the Matrix Converter(MC) 9 19 2.1 Matrix Converter 9 2.1.1 Single Phase Matrix Converter (SPMC) 9 2.1.2Three Phase Matrix Converter (TPMC) 12 2.2 Bi directional SwitchRealization 13 2.3 Commutation Strategy 15 2.3.1Commutation Strategy for Single Phase Matrix Converter 16 2.3.2 Commutation Strategy for Three Phase MC 19 Chapter 3 20 64 Analytical Analysis, Results and discussions 20 64 3.1 PWM Generation for the Single Phase Matrix Converter 21 3.1.1 Simulation of the Single Phase Matrix Converter 23 3.2 Generation of Modulating Signal for the Three Phase MC 25 3.2.1 Modulation Technique for the Three Phase Matrix Converter 25 3.2.1.1 Transfer Function of the Indirect PWM Equivalent Model 26 3.3.2.1 Space Vector Modulation for the Inverter Stage 28 3.3.1.2.1 abc to abTransformation for the Inverter Stage 31 3.3.1.2.2 Sector Selection for the Inverter Stage 32",
"author_names": [
"Pandit Sidharth",
"Santosh Sonar",
"Suman Preet Kaur Bhullar"
],
"corpus_id": 196095510,
"doc_id": "196095510",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Analysis and Modelling of Matrix Converter as a Frequency Changer",
"venue": "",
"year": 2017
},
{
"abstract": "This paper presents a new soft switched ZVT DC DC boost converter with auxiliary transistor and optimized gate drive circuit. This type of converter suits very well applications like automated battery chargers or PFC Power Factor Correction converters. A conventional PWM controller is used for the ZVS boost converter control. Because the power semiconductor devices voltage and current stresses are similar to that of the regular hard commutated boost converters, the application range is very large.",
"author_names": [
"Luminita Roxana Clotea",
"Petre Scortaru"
],
"corpus_id": 19176356,
"doc_id": "19176356",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Soft switched ZVT DC DC boost converter with auxiliary transistor and optimized gate drive circuit",
"venue": "2014 International Symposium on Fundamentals of Electrical Engineering (ISFEE)",
"year": 2014
},
{
"abstract": "This work presents an observer design for grid current and capacitor voltage of voltage source pulse width modulation (PWM) converters with LCL filter. Theoretical aspects including the mathematical LCL filter system observability, observer placement strategy and practical discretization implementation. It gives insight to mathematical modelling of the line filters dynamics. By the limitations of how the components in the line filter operates, the Kalman filter is adjusted accordingly. The strategy for designing the Kalman filter is presented. A time varying KF is developed, benchmarked and implemented in simulator. Through an explanation of the magnetic field fundamentals, a nonlinear model of the inductors is modeled and used. An observer scheduling development has been implemented on the nonlinear system. The effect of sampling frequency is studied for KF and for the observer as well. At last the results are presented and analyzed.",
"author_names": [
"Taravat Saryan"
],
"corpus_id": 109473834,
"doc_id": "109473834",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Modeling and Observer Design of a Nonlinear LCL Filter for Three Phase Grid Connected Voltage Source Converter",
"venue": "",
"year": 2014
},
{
"abstract": "This book helps the reader master the switch mode concept in pulse width modulation (PWM) and resonant power supplies and then emphasizes statespace modeling in a deep way, seldom seen in power electronics literature. It explains how to obtain the converter open loop transfer functions for dc voltage gain, ac line to load voltage, and duty cycle to output voltage, comparing two approaches: average state space modeling and average switch modeling. Besides presenting the transfer functions for the buck, boost, buck?boost, Sepic, and Zeta converters in CCM, this book also obtains their transfer functions in DCM, showing the dissimilar dynamics regarding CCM while also presenting the transfer functions for the PWM switch, the zero current resonant switch (ZCS) the zero voltage resonant switch (ZVS),and the ZCS and ZVS buck, boost, andbuck?boost quasiresonant converters (QRCs) making this book truly unique.",
"author_names": [
"Fernando A Silva"
],
"corpus_id": 26167086,
"doc_id": "26167086",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Power Electronics and Energy Conversion Systems, Vol. 1: Fundamentals and Hard Switching Converters [Book News]",
"venue": "IEEE Industrial Electronics Magazine",
"year": 2014
},
{
"abstract": "1. Fundamentals of Sampled Data Systems Coding and Quantizing DAC/ADC Ideal Static Transfer Functions Sampling Theory Data Converter AC errors and specifications 2. DAC Architectures and Origins Kelvin Divider String DACs R/2R DACs Segmented DACs Capacitor DACs Multiplying DACs Interpolating DACs Deglitching DAC outputs PWM DACs Sigma Delta DACs Video DACs with lookup tables Digital potentiometers MicroDACs LogDACs 3. ADC Architectures and origins Low speed High speed Sigma Delta 4. Data Converter Process Technology 5. Testing Data Converters 6. Interfacing to ADCs and DACs 7. Data Conversion Support Circuits Sample and hold circuits Voltage references Analog switches and multiplexers 8. Applications Precision measurement and sensor conditioning Data acquisition subsystems Multichannel systems and multiplexing Data distribution systems using multiple DACs Digital pot applications Display electronics Audio Video Software radio and IF sampling Higher levels of integration DDS 9. Hardware Design Techniques for Mixed Signal Systems Passive components Printed circuit board design issues Layout \"Smart\" Partitioning Grounding mixed signal devices Digital isolation techniques Filtering switching supply outputs Data converter overvoltage protection Thermal considerations EMI/RFI considerations Logic considerations Simulation and IBIS models Prototyping Evaluation Boards Appendix Index",
"author_names": [
"Walt Kester"
],
"corpus_id": 53882427,
"doc_id": "53882427",
"n_citations": 376,
"n_key_citations": 50,
"score": 0,
"title": "The data conversion handbook",
"venue": "",
"year": 2005
},
{
"abstract": "Semiconductor Devices Electronic Devices for Power Switching Electrical Machines AC machines windings Multiphase AC machines Induction Machines Permanent Magnet Machines Permanent magnet synchronous machines Switched reluctance machines Thermal effects Noise and vibrations of Electrical Rotating Machines Torque Harmonics Conversion Three phase AC DC converters The Three Phase/Switch/Level PWM Boost Rectifier DC DC converters DC AC Converters AC/AC Converters Fundamentals of AC DC AC Converters Control and Applications Power Supplies Uninterruptible power supplies Recent Trends in Multilevel Inverter Resonant Converters Motor Drives Control of Converter Fed Induction Motor Drives Double Fed Induction Machine Drives Standalone Double Fed Induction Generator FOC Field Oriented Control Adaptive Control of Electrical Drives Drive Systems with Resilient Couplings Multiscalar Model Based Control Systems for AC Machines Power Electronic Applications Sustainable lighting technology General Photo Electro Thermal Theory Its Implications for Light Emitting Diode Systems Solar Power Conversion Automotive Electronics Battery Electronics for Electric and Hybrid Electric Vehicles Electrical loads in Automotive Systems Plug in Hybrid Electric Vehicles Power Systems Three phase Electric Power Systems Contactless Energy Transfer Smart Energy Distribution Flexible AC Transmission Systems Active Filtering Techniques for Power Quality Improvement",
"author_names": [
"Bogdan M Wilamowski",
"J David Irwin"
],
"corpus_id": 108547825,
"doc_id": "108547825",
"n_citations": 140,
"n_key_citations": 11,
"score": 0,
"title": "Power Electronics and Motor Drives",
"venue": "",
"year": 2011
}
] |
Two-dimensional materials and hybrid systems for photodetection | [
{
"abstract": "Abstract Photodetector is an electronic component that can convert photons into electrical signals, which is ubiquitous in industry and daily life. Conventional semiconductors, such as silicon and indium gallium arsenide, have encountered limitations in photodetection due to their bandgap coverage, opacity, inflexibility, and incompatibility with photonic structures. In view of the facts, two dimensional (2D) materials, for example, graphene and transition metal chalcogenides have attracted much attention in emerging photodetectors owing to their unique electronic, optical, and mechanical properties. These 2D materials alone and its hybrid with other materials enabled new photoresponse effects and photodetection covering ultraviolet, visible, infrared, and terahertz ranges. In this chapter, we will first revisit the major photoresponse effects of 2D materials for the fundamental understanding of optical to electrical conversion processes in photodetectors. After that, a series of key figure of merits will be illustrated. Particularly, the noise in photodetectors will be carefully examined for fair evaluation of existing and new photodetectors. Then 2D materials in photodetectors will be classified and discussed in terms of photoconductive gain. Finally, this chapter will conclude with some fascinating progress of photodetection in flexible electronics as well as forthcoming bioelectronics based on 2D material photodetectors.",
"author_names": [
"Ze Xiong",
"Jinyao Tang"
],
"corpus_id": 226688303,
"doc_id": "226688303",
"n_citations": 2,
"n_key_citations": 0,
"score": 1,
"title": "Two dimensional materials and hybrid systems for photodetection",
"venue": "",
"year": 2020
},
{
"abstract": "Graphene and other two dimensional materials, such as transition metal dichalcogenides, have rapidly established themselves as intriguing building blocks for optoelectronic applications, with a strong focus on various photodetection platforms. The versatility of these material systems enables their application in areas including ultrafast and ultrasensitive detection of light in the ultraviolet, visible, infrared and terahertz frequency ranges. These detectors can be integrated with other photonic components based on the same material, as well as with silicon photonic and electronic technologies. Here, we provide an overview and evaluation of state of the art photodetectors based on graphene, other two dimensional materials, and hybrid systems based on the combination of different two dimensional crystals or of two dimensional crystals and other (nano)materials, such as plasmonic nanoparticles, semiconductors, quantum dots, or their integration with (silicon) waveguides.",
"author_names": [
"Frank H L Koppens",
"T Mueller",
"Phaedon Avouris",
"Andrea C Ferrari",
"Miriam Serena Vitiello",
"Marco Polini"
],
"corpus_id": 30066262,
"doc_id": "30066262",
"n_citations": 2140,
"n_key_citations": 10,
"score": 0,
"title": "Photodetectors based on graphene, other two dimensional materials and hybrid systems.",
"venue": "Nature nanotechnology",
"year": 2014
},
{
"abstract": "Integration of one dimensional (1D) semiconductors with two dimensional (2D) materials into hybrid systems is identified as promising applications for new optoelectronic and photodetection devices. Herein, a self integrated hybrid ultraviolet (UV) photodetector based on InGaN nanorod arrays (NRAs) sandwiched between transparent top and back graphene contacts forming a Schottky junction has been demonstrated for the first time. The controlled van der Waals epitaxy of the vertically aligned InGaN NRA assembly on graphene on Si substrates is achieved by plasma assisted molecular beam epitaxy. Moreover, the self assembly formation mechanisms of InGaN NRAs on graphene are clarified by theoretical calculations with first principles calculations based on density functional theory. The peculiar 1D/2D heterostructure hybrid system based integrated UV photodetector simultaneously exhibits ultrafast response time ~50 ms) and superhigh photosensitivity ~105 A/W) It is highly believed that the concept proposed in this work has a great potential and can be widely applied for the next generation integrated 1D/2D nano based optoelectronic and photodetection devices.",
"author_names": [
"Yulin Zheng",
"Wenliang Wang",
"Yuan Li",
"Jianyu Lan",
"Yuanyang Xia",
"Zhichao Yang",
"Xiaobin He",
"Guoqiang Li"
],
"corpus_id": 84842537,
"doc_id": "84842537",
"n_citations": 19,
"n_key_citations": 0,
"score": 0,
"title": "Self Integrated Hybrid Ultraviolet Photodetectors Based on the Vertically Aligned InGaN Nanorod Array Assembly on Graphene.",
"venue": "ACS applied materials interfaces",
"year": 2019
},
{
"abstract": "Two dimensional (2D) hybrid nanoelectronic devices stem from the combination of 2D systems or a mixture of 2D materials themselves, such as graphene, with other well defined nanostructures interacting with each other in the quantum regime and enabling exceptional characteristics. Here, this paper presents a hybrid photodetection platform consisting of a graphene/Si (Gr/Si) heterojunction in conjunction with nanoscale vacuum electronics based on a graphene/SiO2/Si (GrOS) field effect device. The responsivity of the hybrid platforms based on p Si and n Si is fully and finely tunable up to 1.2 and 0.45 A/W, respectively, which correspond to external (internal) quantum efficiencies of 235% (350% and 88% (132% respectively. The multiplication gain in the proposed hybrid device originates from the impact ionization initiated by photoinduced carrier injection into the self induced localized electric field (up to ~106 V/cm) distributed in a 2DEG region in Si. The electrons travel from the Si edge to graphene v.",
"author_names": [
"Siwapon Srisonphan"
],
"corpus_id": 126131223,
"doc_id": "126131223",
"n_citations": 27,
"n_key_citations": 1,
"score": 0,
"title": "Hybrid Graphene Si Based Nanoscale Vacuum Field Effect Phototransistors",
"venue": "",
"year": 2016
},
{
"abstract": "In the last decade, two dimensional (2D) materials have attracted attention both in the nascent field of flexible nanotechnology as well as in more conventional semiconductor technol ogies. Within the rapidly expanding portfolio of 2D materials, the group of semiconducting transition metal dichalcogenides (TMDCs) has emerged as an intriguing candidate for various optoelectronic applications. The atomically thin profile, favorable bandgap and outstanding electronic properties of TMDCs are unique features that can be explored and applied in novel photodetecting platforms. This thesis presents highly sensitive two dimensional phototransistors made of sub nanometre thick TMDC channels. Firstly, an encapsulation route is developed to address the detrimental and, to date, uncontrollable impact of atmospheric adsorbates, which severely deteriorate detector performance. The passivation scheme improves the transport properties of TMDCs, leading to high photoconductive gain with gate dependent responsivity of 10 10^4 A/W throughout the visible, and temporal response down to 10 ms, which is suitable for imaging applications. The atomic device thickness yields ultra low dark current operation and record detectivity of 10^11 10^12 Jones for TMDC based detectors is achieved. The use of monolayer TMDCs, however, has disadvantages like limited spectral absorption due to the bandgap and limited absorption efficiency. In order to increase the absorption and to extend the spectral coverage, TMDC channels are covered with colloidal quantum dots to make hybrid phototransistors. This compelling synergy combines strong and size tunable light absorption within the QD film, efficient charge separation at the TMDC QD interface and fast carrier transport through the 2D channel. This results in large gain of 10^6 electrons per absorbed photon and creates the basis for extremely sensitive light sensing. Colloidal quan tum dots are an ideal sensitizer, because their solution processing and facile implementation on arbitrary substrates allows for low cost fabrication of hybrid TMDC QD devices. Moreover, the custom tailored bandgap of quantum dots provides the photodetector with wide spectral tunability. For photodetection in the spectral window of NIR/SWIR, which is still dominated by expensive and complex epitaxy based technologies, these hybrid detectors have the potential to favorably compete with commercially available systems. The interface of the TMDC QD hybrid is of paramount importance for sensitive detector operation. A high density of trap states at the interface is shown to be responsible for inefficient gate control over channel conductivity, which leads to high dark currents. To maintain the unique electrical field effect modulation in TMDCs upon deposition of colloidal quantum dots, a passivation route of the interface with semiconducting metal oxide films is developed. The buffer layer material is selected such that charge transfer from QDs into the channel is favored. The retained field effect modulation with a large on/off ratio allows operation of the phototransistor at significantly lower dark currents than non passivated hybrids. A TMDC QD phototransistor with an engineered interface that exhibits detectivity of 10^12 10^13 Jones and response times of 12 ms and less is reported. In summary, this work showcases prototype photodetectors made of encapsulated 2D TMDCs and TMDC QD hybrids. Plain TMDC detectors have potential for application as flexible and semi transparent detector platforms with high sensitivity in the visible. The hybrid TMDC QD device increases its spectral selectivity to the NIR/SWIR due to the variable absorption of the sensitizing quantum dots and reaches compelling performance thanks to im proved light matter interaction and optimized photocarrier generation.",
"author_names": [
"Dominik Kuffer"
],
"corpus_id": 136231112,
"doc_id": "136231112",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Photodetectors based on low dimensional materials and hybrid systems",
"venue": "",
"year": 2016
},
{
"abstract": "for Applications in Plasmonics, Nanophotonics and Energy Alexandra Boltasseva and Vladimir M. Shalaev School of Electrical&Computer Engineering and Birck Nanotechnology Center, Purdue University Two dimensional (2D)and quasi 2D materials have enabled a variety of promising designs and demonstrations of miniaturized, tunable optical devices, owing to their extraordinary light confinement, tailorability of the optical properties and dynamic tunability. In this talk, our recent research progress on utilizing 2D materials such as graphene and MXene a class of emerging 2D transition metal carbides, nitrides and carbon nitrides will be discussed. We have previously demonstrated that the surface plasmon (SP) resonance of a hybrid plasmonicgraphene structure can be electrically modulated thus bringing a thought after electrical tunability to the area of plasmonics. By utilizing the intrinsic graphene SPs excited in graphene nanoribbons, stronger electromagnetic field confinement and wider spectral tunability have been realized compared to purely plasmonic or hybrid metal graphene structures. Being a gapless and excellent thermoelectric material, graphene also holds a great promise for ultra wideband and ultra fast photodetection. However, its performance is mainly limited by the poor optical absorption thus low photo sensitivity in pristine graphene. We designed a snowflake fractal metasurface on a graphene layer that showed high absorption in the visible range that is non resonant and polarization insensitive, thus enhancing the sensitivity of graphene photodetector by an order of magnitude. With the photothermoelectric effect being the dominant photo carrier generation effect in graphene, the approach to further enhancing graphene photo sensitivity lies in creating a strongly localized temperature profile. We propose to utilize plasmonic systems for efficient conversion of optical energy to heat to further enhance the device sensitivity. MXenes, a class of 2D nanomaterials formed of transition metal carbides and carbon nitrides, are also a promising material platform for tailorable nanophotonics. They offer a number of unusual properties and are being applied to realize novel electromagnetic shields, metal ion batteries, super capacitors, lasers, and sensors. We utilized the plasmonic response of titanium carbide (Ti3C2Tx) MXene thin films in the near infrared spectral window to create a metamaterial for broadband absorption. Optical losses inherent to bulk MXene together with strongly localized SP resonances in Ti3C2Tx nanostructures enable efficient broadband absorption. We also demonstrated random lasing behavior in a disordered metamaterial composed of 2D Ti3C2Tx MXene. In contrast to previously reported random laser systems where the optical property of scatterers is static, the relative permittivity of Ti3C2Tx MXene flakes can be engineered under optical pumping due to their strong saturable absorption. Our investigation indicates that this nonlinear response of Ti3C2Tx MXene flakes enables dynamically tunable random lasing. Considering the diversity of the MXene family, this metamaterial design opens a new avenue to advanced control of lasing properties for photonic applications. This abstract was submitted to PQE 2019, the 49th Winter Colloquium on the Physics of Quantum Electronics.",
"author_names": [
"Alexandra Boltasseva",
"Vladimir M Shalaev"
],
"corpus_id": 222265143,
"doc_id": "222265143",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Two Dimensional and Quasi 2D Materials for Applications in Plasmonics, Nanophotonics and Energy",
"venue": "",
"year": 2018
},
{
"abstract": "Here we demonstrate novel self powered photodetection using silver (Ag) nanoparticle loaded two dimensional graphitic carbon nitride (g C3N4) nanosheets triggered by Poly vinylidene fluoride (PVDF) based flexible piezoelectric nanogenerators. Self poled PVDF based nanogenerator has been obtained upon exploiting pristine g C3N4 nanosheets as a filler material within the PVDF matrix. The fabricated nanogenerator devices are found to be highly efficient in generating maximum voltage of 2.3 V and maximum power 110 Watt/cm2, upon finger tapping. Further, the integration of an additional layer of plasmonic Ag nanoparticle loaded g C3N4 nanosheets, has led to a significant enhancement of photoresponse. The hybrid plasmonic nanogenerator (with a strain of 0.021% has resulted in self powered photodetection with a photo to dark current ratio of ~60, as compared to unstrained device ~2.0) In contrast to the usual behaviour (positive photoresponse) the exposure of an ultraviolet light lowers the output current indicating a negative photoresponse reported for the first time in such system. The origin of such negative photoresponse has been attributed to the screening of piezopotential of PVDF by photogenerated carriers of g C3N4 nanosheets. On the other hand, visible light induced positive photoresponse has originated from the increment in current indicating the useful role of Ag nanoparticles in plasmon induced hot electron transfer process.",
"author_names": [
"Sayan Bayan",
"D Bhattacharya",
"Rajib Kumar Mitra",
"Samit Kumar Ray"
],
"corpus_id": 218759468,
"doc_id": "218759468",
"n_citations": 6,
"n_key_citations": 0,
"score": 0,
"title": "Self powered flexible photodetectors based on Ag nanoparticle loaded g C3N4 nanosheets and PVDF hybrids: Role of plasmonic and piezoelectric effects.",
"venue": "Nanotechnology",
"year": 2020
},
{
"abstract": "Light matter interaction in graphene can be engineered and substantially enhanced through plasmonic sensitization, which has led to numerous applications in photodetection, sensing, photocatalysis and spectroscopy. The majority of these designs have relied on conventional plasmonic materials such as gold, silver and aluminum. This limits the implementation of such devices to the ultraviolet and visible regimes of the electromagnetic spectrum. However, for many practical applications, including those relevant to security and defense, the development of new strategies and materials for sensing and detection of infra red (IR) light is crucial. Here we use surface enhanced Raman spectroscopy (SERS) for direct visualization and estimation of enhanced light matter interaction in graphene in the mid IR regime, through sensitization by an unconventional plasmonic material. Specifically, we fabricate a hybrid device consisting of a single layer graphene and a two dimensional array of nanodiscs of aluminum doped zinc oxide (AZO) which is a highly doped semiconductor, exhibiting plasmonic resonance in the mid IR. We find that the enhancement in the SERS signal of graphene is of similar magnitude to what has been achieved previously in the visible using conventional plasmonic materials. Our results establish the potential of such hybrid systems for graphene based optical and optoelectronic applications in the mid IR.",
"author_names": [
"Debadrita Paria",
"Vaisakh Vadakkumbatt",
"Pramod Ravindra",
"Sushobhan Avasthi",
"Ambarish Ghosh"
],
"corpus_id": 233310097,
"doc_id": "233310097",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Unconventional plasmonic sensitization of graphene in mid infrared",
"venue": "Nanotechnology",
"year": 2021
},
{
"abstract": "Two dimensional crystals such as graphene and transition metal dichalcogenides demonstrate a range of unique and complementary optoelectronic properties. Assembling different two dimensional materials in vertical heterostructures enables the combination of these properties in one device, thus creating multifunctional optoelectronic systems with superior performance. Here, we demonstrate that graphene/WSe2/graphene heterostructures ally the high photodetection efficiency of transition metal dichalcogenides with a picosecond photoresponse comparable to that of graphene, thereby optimizing both speed and efficiency in a single photodetector. We follow the extraction of photoexcited carriers in these devices using time resolved photocurrent measurements and demonstrate a photoresponse time as short as 5.5 ps, which we tune by applying a bias and by varying the transition metal dichalcogenide layer thickness. Our study provides direct insight into the physical processes governing the detection speed and quantum efficiency of these van der Waals heterostuctures, such as out of plane carrier drift and recombination. The observation and understanding of ultrafast and efficient photodetection demonstrate the potential of hybrid transition metal dichalcogenide based heterostructures as a platform for future optoelectronic devices.",
"author_names": [
"Mathieu Massicotte",
"P Schmidt",
"Fabien Vialla",
"Kevin Gerd Schadler",
"Antoine Reserbat-Plantey",
"Kenji Watanabe",
"Takashi Taniguchi",
"Klaas-Jan Tielrooij",
"Frank H L Koppens"
],
"corpus_id": 205453190,
"doc_id": "205453190",
"n_citations": 368,
"n_key_citations": 4,
"score": 0,
"title": "Picosecond photoresponse in van der Waals heterostructures.",
"venue": "Nature nanotechnology",
"year": 2016
},
{
"abstract": "Two dimensional semiconducting transition metal dichalcogenides (TMDCs) have recently become attractive materials for several optoelectronic applications, such as photodetection, light harvesting, phototransistors, light emitting diodes, and lasers. Their bandgap lies in the visible and near IR range, and they possess strong excitonic resonances, high oscillator strengths, and valley selective response. Coupling these materials to optical nanocavities enhances the quantum yield of exciton emission, enabling advanced quantum optics and nanophotonics devices. Here, we review the state of the art advances of hybrid exciton polariton structures based on monolayer TMDCs coupled to plasmonic and dielectric nanocavities. We discuss the optical properties of 2D WS2, WSe2, MoS2 and MoSe2 materials, paying special attention to their energy bands, photoluminescence/absorption spectra, excitonic fine structure, and to the dynamics of exciton formation and valley depolarization. We also discuss light matter interactions in such hybrid exciton polariton structures. Finally, we focus on weak and strong coupling regimes in monolayer TMDCs based exciton polariton systems, envisioning research directions and future opportunities for this material platform.",
"author_names": [
"Alexander E Krasnok",
"Sergey Lepeshov",
"Andrea Alu"
],
"corpus_id": 52030141,
"doc_id": "52030141",
"n_citations": 72,
"n_key_citations": 1,
"score": 0,
"title": "Nanophotonics with 2D transition metal dichalcogenides [Invited]",
"venue": "Optics express",
"year": 2018
}
] |
rigid flexible CTE | [
{
"abstract": "Flexible electronics is rapidly finding many main stream applications where low cost, ruggedness, light weight, unconventional form factors and ease of manufacturability are just some of the important advantages over their conventional rigid substrate counterparts. Flexible Electronics: Materials and Applications surveys the materials systems and processes that are used to fabricate devices that can be employed in a wide variety of applications, including flexible flat panel displays, medical image sensors, photovoltaics, and electronic paper. Materials discussed range from polymeric semiconductors to nanotube transparent conductors, highlighting the important characteristics of each system and their target applications. An overview of the performance benchmarks for the different materials is given in order to allow a direct comparison of these different technologies. Furthermore, the devices and processes most suitable for given applications in flexible electronics are identified. Topics covered include: An overview and history of flexible electronics Novel materials for solution processable thin film electronic devices and their properties Low temperature processing of conventional materials and devices on plastic foils Novel techniques, such as printing and roll to roll processing, for large area flexible electronics manufacturing Materials and device physics relevant to flexible electronics Device integration on flexible substrates Mechanical and electronic characteristics for thin film transistors and nano scale transparent conductors on flexible platforms Applications towards flexible displays, sensors, actuators, solar energy, radio frequency identification, and micro electro mechanical systems Written by leading researchers in the field, Flexible Electronics: Materials and Applications serves as a reference for researchers, engineers, and students interested in the characteristics, capabilities, and limitations of these exciting materials and emerging applications.",
"author_names": [
"William S Wong",
"Alberto Salleo"
],
"corpus_id": 107564671,
"doc_id": "107564671",
"n_citations": 372,
"n_key_citations": 9,
"score": 0,
"title": "Flexible Electronics: Materials and Applications",
"venue": "",
"year": 2009
},
{
"abstract": "A new type of flexible microactuator (FMA) has been developed for use in miniature robots. They are constructed using fiber reinforced rubber and are actuated by an electropneumatic or electrohydraulic system. These microactuators have many degrees of freedom (including pitch, yaw, and stretch) making them suitable for robotic mechanisms such as arms, legs, or fingers. Pliant miniature robots can be created by combining FMAs. One example is a robot arm a few millimeters in diameter with seven degrees of freedom. The basic characteristics of the FMAs have been analyzed theoretically and experimentally. It is noted that, since the statistics and dynamics are predicted easily, FMAs can be designed efficiently.<ETX>",
"author_names": [
"Koichi Suzumori",
"Shoichi Iikura",
"H Tanaka"
],
"corpus_id": 114776079,
"doc_id": "114776079",
"n_citations": 122,
"n_key_citations": 7,
"score": 0,
"title": "Flexible microactuator for miniature robots",
"venue": "[1991] Proceedings. IEEE Micro Electro Mechanical Systems",
"year": 1991
},
{
"abstract": "Outside of the active device layers, there are a variety of requisite functional layers in flexible organic electronic devices. Whether the application is in displays, lighting, integrated circuits, or photovoltaics, there are materials challenges in implementing flexible and/or organic devices into practical applications. We highlight two topics that are common to most flexible electronic technologies. First, we describe the difficulty in developing suitable permeation barriers on polymer substrates, the approaches being taken to solve this problem, and their current status. Second, we highlight the limited mechanical ruggedness of brittle inorganic films and present approaches for improving overall device flexibility.",
"author_names": [
"John Lewis"
],
"corpus_id": 137671136,
"doc_id": "137671136",
"n_citations": 538,
"n_key_citations": 6,
"score": 0,
"title": "Material challenge for flexible organic devices",
"venue": "",
"year": 2006
},
{
"abstract": "In this present work the finite element method has been used for the simulation models in order to develop tools for the early stages of product design. The objective is to develop simulation models for Flexible Printed Circuits Boards (PCBs) in a flex rigid concept in the shape of a wrist device to evaluate its critical stress and strain when this device is submitted to thermal loading considering FR4 and Polyimide substrates as the constitutive materials of the board with BGA attached components. The critical points are the mismatch of the coefficient of thermal expansion of different materials as well as the thermoset viscoelastic nature of the polyimide. In the end of this present study it will be shown that additional research should be done to the final product. In this paper we will show the preliminary results of strain and stress distribution induced by thermo loading using a commercial finite element package.",
"author_names": [
"Luciano Arruda",
"Quay Le Chen",
"Jairo Alonso Quintero Quintero"
],
"corpus_id": 2146954,
"doc_id": "2146954",
"n_citations": 11,
"n_key_citations": 1,
"score": 1,
"title": "Failure evaluation of flexible rigid PCBs by thermo mechanical simulation",
"venue": "2009 International Conference on Electronic Packaging Technology High Density Packaging",
"year": 2009
},
{
"abstract": "A novel cover layer material for flexible printed circuit (FPC) applications was developed in this work. A high molecular weight of polyimide composed of four monomers, pyromellitic dianhydride (PMDA) 3,3',4,4' biphenyltetracarboxylic dianhydride (BPDA) 2,2' bis(trifluoromethyl)benzidine (TFMB) and 2,2 bis(3 amino 4 hydroxyphenyl)hexafluoropropane (BisApAf) was prepared by the one pot polycondensation in N methyl 2 pyrrolidone (NMP) without precipitation and gelation. The polyimide (PI) was highly soluble in various common organic solvents. Interestingly, the PI film formed by simple solution casting of the PI solution had a low CTE value close to that of copper foil, therefore almost no curling of the PI/copper laminate was observed when the PI film was directly formed on a copper foil. The PI film also exhibited other combined properties, including a low CTE, a high T g, high thermal stability, low water absorption, comparatively good transparency, the highest levels of non flammability, good insulation properties and sufficient film flexibility. In addition, fine positive tone patterns could be obtained from DNQ containing PI with a sensitivity of 238 mJ cm 2. The results revealed that the present PI system is a promising candidate as a novel cover layer material.",
"author_names": [
"Junichi Ishii",
"Tadashi Akamatsu"
],
"corpus_id": 94929583,
"doc_id": "94929583",
"n_citations": 10,
"n_key_citations": 0,
"score": 0,
"title": "Organo Soluble Low CTE Polyimides and their Applications to Photosensitive Cover Layer Materials in Flexible Printed Circuit Boards",
"venue": "",
"year": 2009
},
{
"abstract": "Polyimides are attractive mirror candidate materials due to their low mass, solar radiation resistance, and cryogenic flexibility. However, polyimides exhibit high coefficients of thermal expansion (CTE) values (40 70 ppm/K) inducing image distortion from CTE mismatch. Additionally, the temperature of large aperture (10 m) membranes is not uniformly controlled in space, further increasing image distortion from anisotropic deformations. The CTE of the MSRS Novastrat polyimide line was adjusted to exhibit CTEs between 16 ppm/K and 53 ppm/K, including 0 ppm/K, 10 ppm/K, 17 ppm/K, and 25 ppm/K corresponding with CTE matches of graphite/epoxy, carbon steel, copper, and aluminum (respectively) The development of these CTE matched membranes is presented, as well as the effect of the CTE adjustment on the mechanical properties.",
"author_names": [
"Garrett D Poe",
"Brian Patrick"
],
"corpus_id": 124096386,
"doc_id": "124096386",
"n_citations": 7,
"n_key_citations": 0,
"score": 0,
"title": "Zero CTE polyimides for athermal optical membranes",
"venue": "Optical Engineering Applications",
"year": 2008
},
{
"abstract": "REINFORCEMENT MATERIALS Reinforcement Materials Rigid Glass Glass Composition Glass Fiber Manufacturing From Yarn to Fabric Glass Types and Construction Glass Fabric Weave Surfacing Mat, Paper or Veil Glass Fiber Paper Quartz Properties of Glass Fabrics Aramids Constraining Dielectric Materials (Kevlar(R) RESINS Introduction Polyester Resin Epoxy Polyimide Cyanate Ester and Cyanate Ester Blends (BT Resin) Polyphenylene Oxide (PPO) Epoxy Blends Polytetrafluoroethylene Resin (PTFE) FLEXIBLE FILMS Introduction Types of Flexible Materials Adhesives Cover Coat/Coverlay Bondplies Conductive Materials Copper Clad Laminates COPPER FOILS Introduction Electrodeposited Copper Foil Rolled Copper Foils Grades Nickel Foil LAMINATES, RIGID Introduction NEMA Grades Composite Laminates Rigid Laminates (Glass Reinforced) Laminates, Rigid Glass Reinforced Aramid Laminates Prepreg Additive Laminates HIGH SPEED/HIGH FREQUENCY LAMINATES Introduction High Speed/High Frequency Laminates Thin Laminates Resins High Frequency Laminate Designations Laminate Construction Bonding Dimensional Stability Drilling References METAL CORE AND CONSTRAINING CORE MATERIALS Introduction Copper Invar Copper (CIC) Copper Molybdenum Copper (CMC) Silicon Carbide Reinforced Aluminum (SiC/Al) Coefficient of Thermal Expansion Trade Offs (CTE) APPENDIX INDEX",
"author_names": [
"Martin W Jawitz",
"Michael J Jawitz"
],
"corpus_id": 107640253,
"doc_id": "107640253",
"n_citations": 7,
"n_key_citations": 1,
"score": 0,
"title": "Materials for Rigid and Flexible Printed Wiring Boards (Electrical and Computer Engineering)",
"venue": "",
"year": 2006
},
{
"abstract": "Semi aromatic polyimides (PIs) possessing simultaneously a low dielectric constant (K) and low linear coefficient of thermal expansion (CTE) were molecularly designed. Two PI systems were focused on, i.e. the s BPDA/CHDA polyimide derived from 3,3',4,4' biphenyltetracarboxylic dianhydride with trans 1,4 cyclohexanediamine and CBDA/TFMB derived from 1,2,3,4 cyclobutanetetracarboxylic dianhydride and bis(2,2' trifluoromethyl)benzidine. In the s BPDA/CHDA system, poly(amic) acid (PAA) polymerization using the common procedure was prevented by strong salt formation at the initial stage. However, heating the salt forming reaction mixture at a selected temperature for a short period allowed the system to polymerize and resulted in a high molecular weight PAA (reduced viscosity e red 2 dl g 1) On the other hand, in the CBDA/TFMB system, a high molecular weight PAA (e red 3 dl g 1) was readily obtained by choosing the solid content without any salt formation. The chosen PIs almost achieved the current goal; CTE 20 ppm K 1, K 3.0, and T g 300degC concurrently with adequate film flexibility. In particular, CBDA/TFMB accomplished a very low K ~2.6) Although these PIs have a much lower thermo oxidative stability than wholly aromatic PIs, the results suggested that there is no serious problem for the short term thermal stability required for soldering processes.",
"author_names": [
"Masatoshi Hasegawa"
],
"corpus_id": 94755860,
"doc_id": "94755860",
"n_citations": 58,
"n_key_citations": 0,
"score": 0,
"title": "Semi Aromatic Polyimides with Low Dielectric Constant and Low CTE",
"venue": "",
"year": 2001
},
{
"abstract": "Purpose This paper seeks to give an overview on the benefits and challenges of moulded interconnect devices technology and the use of flexible printed circuits (FPC) in electronics production.Design/methodology/approach Each process step was adapted to the boundary conditions of manufacturing three dimensional substrates and FPC. The substrate materials were examined under the specific requirements of electronics production with a special focus on the thermal stability of the materials and the adhesiveness of the metallization.Findings The use of thermoplastics as substrate materials for electronic devices offers high potential but new challenges, e.g. the higher coefficient of thermal expansion of thermoplastics, have to be taken into consideration as well. In most cases, standard machines for surface mount technology can be used with few modifications. Research has shown that even components with very fine pitches can be used successfully on alternative substrate materials.Research limitations/imp.",
"author_names": [
"Florian Schuessler",
"Klaus Feldmann",
"Thomas Bigl"
],
"corpus_id": 53348204,
"doc_id": "53348204",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Selection and qualification of polymers for rigid and flexible interconnect applications",
"venue": "",
"year": 2007
},
{
"abstract": "A new technique for modeling contact/impact of flexible manipulators with a fixed rigid surface is presented. The manipulator is discretized using the finite element method. The technique is based on the use of the conservation of energy and momentum principles as a local velocity constraint on the nodes in contact with the rigid surface to obtain the post impact velocities of those nodes. Two friction parameters one for the tangential momentum and one for energy can be used. A slight variation of the technique is to use the momentum conservation principle along with Newton's collision rule as the velocity constraint also with two friction parameters one for the tangential momentum and the coefficient of restitution. Momentum conservation/Newton collision rule constraint and momentum/energy conservation constraint are equivalent if friction effects are neglected. Numerical examples are solved to show the accuracy and versatility of the new technique.",
"author_names": [
"Tamer Wasfy"
],
"corpus_id": 42862549,
"doc_id": "42862549",
"n_citations": 10,
"n_key_citations": 0,
"score": 0,
"title": "Modeling contact/impact of flexible manipulators with a fixed rigid surface",
"venue": "Proceedings of 1995 IEEE International Conference on Robotics and Automation",
"year": 1995
}
] |
3 phase LLC | [
{
"abstract": "A new single stage, isolated, 3 phase AC/DC converter at 20KW level for electric vehicle charging purpose is proposed. The converter combines the dual stage of PFC+LLC converter into a single stage and thus achieves less than 2% total semiconductor losses. Intermediate DC bus is maintained at 900V to reduce the THD below the regulatory requirements and low switching losses of SiC switches enable excellent efficiency under these conditions. LLC converter control is done in a traditional way with frequency and PFC synchronizes with this LLC frequency to maintain good power factor with duty cycle modulation. System has been simulated in PLECS. Hardware result is also shown.",
"author_names": [
"Navneet Kumar Mangal",
"Sankalp Shembekar"
],
"corpus_id": 218473062,
"doc_id": "218473062",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "A New Single Stage, Isolated, 3 phase AC/DC Converter",
"venue": "2019 IEEE Transportation Electrification Conference (ITEC India)",
"year": 2019
},
{
"abstract": "To assess the efficacy, insulin dose, safety and immunogenicity when people with type 1 diabetes mellitus switched between MYL 1501D and reference insulin glargine (Lantus(r) Sanofi Aventis US LLC, Bridgewater, New Jersey)",
"author_names": [
"Thomas C Blevins",
"Abhijit Barve",
"Yaron Raiter",
"Patrick Aubonnet",
"Sandeep N Athalye",
"Bin Sun",
"Rafael Muniz"
],
"corpus_id": 207891339,
"doc_id": "207891339",
"n_citations": 9,
"n_key_citations": 1,
"score": 0,
"title": "Efficacy and safety of MYL 1501D versus insulin glargine in people with type 1 diabetes mellitus: Results of the INSTRIDE 3 phase 3 switch study",
"venue": "Diabetes, obesity metabolism",
"year": 2019
},
{
"abstract": "The LLC converter is considered the most efficient topology in server and telecom applications. And, it has been demonstrated that three interleaved LLC converters can achieve further efficiency improvement at the 3KW power level. However, the magnetic components for multiphase LLC are complex, bulky, and difficult to manufacture in a cost effective manner. In this paper, a high frequency GaN based three phase LLC converter is utilized to attempt to address these challenges. With GaN operating at 1 megahertz, all magnetic components, namely three inductors and three transformers, can be integrated in one common structure while all magnetic windings are contained in a compact 4 layer PCB with 3 oz. copper. The proposed structure can be easily and cost effectively manufactured in high quantities. Furthermore, up to a 20db reduction of common mode noise, from 150KHz up to 30MHz, can be realized if 2 additional PCB layers are employed for proposed CM noise shielding. A 3kW 400V/48V 3 phase prototype is demonstrated, with an expected peak efficiency of 97.4% and a power density of 450W/in3 (28 kW/L)",
"author_names": [
"Rimon Gadelrab",
"Fred C Lee",
"Qiang Li"
],
"corpus_id": 220258766,
"doc_id": "220258766",
"n_citations": 1,
"n_key_citations": 0,
"score": 1,
"title": "Three Phase Interleaved LLC Resonant Converter with Integrated Planar Magnetics for Telecom and Server Application",
"venue": "2020 IEEE Applied Power Electronics Conference and Exposition (APEC)",
"year": 2020
},
{
"abstract": "For the conventional vehicle, an efficient and effective heat source that provides autonomous exhaust temperature control is of interest, and one solution is a diesel burner which needs to adjust its air delivery based on transient operating conditions through a three phase motor drive system powered by a 12V lead acid battery. The system proposed in this paper consists of a series resonant LLC MOSFET full bridge converter, which provides high efficiency energy transfer through implementing Zero Voltage Switching, and an IGBT inverter which provides the high side phase currents to a 1kW brushless DC motor. Experimental results on this prototype system demonstrate the LLC DC/DC part efficiency is 97.6% and the inverter efficiency is 92%",
"author_names": [
"Allan Ray Taylor",
"Chenguang Jiang",
"Kevin Hua Bai",
"Adam Kotrba",
"Argun Yetkin",
"Arda Gundogan"
],
"corpus_id": 20444837,
"doc_id": "20444837",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Design of a high efficiency 12V/1kW 3 phase BLDC motor drive system for diesel engine emissions reductions",
"venue": "2013 IEEE Energy Conversion Congress and Exposition",
"year": 2013
},
{
"abstract": "This paper proposes a new 3 phase interleaved LLC resonant DC DC converter and its control scheme. The proposed circuit consists of three conventional interleaved LLC resonant DC DC converters and each converter operates with p/3 of phase difference respectively. Therefore the current ripple of the output capacitor can be significantly reduced and the life cycle of the converter will be extended. To verify the validity of the proposed converter and digital scheme, an experiment with a prototype 1kW (12V/84A) DC DC converter was implemented and its results are presented in this paper.",
"author_names": [
"Jae-Eul Yeon",
"Won-Seok Kang",
"Kyu-Min Cho",
"T Y Ahn",
"Hee-Jun Kim"
],
"corpus_id": 44220039,
"doc_id": "44220039",
"n_citations": 11,
"n_key_citations": 1,
"score": 0,
"title": "Multi phase interleaved LLC SRC and its digital control scheme",
"venue": "SPEEDAM 2010",
"year": 2010
},
{
"abstract": "This paper describes the development of a high voltage, high power, and high efficiency LLC converter used in a delta 3 phase rectifier that meets the requirements imposed by today's telecom market. Initially, a comparative analysis of different LLC topologies is performed to determine the best option for the targeted application. Then, an empirical method, based on the plant frequency response, is introduced as a practical technique to design the voltage and current loop compensators. Next, a novel strategy is utilized to control the synchronous rectifier by executing an additional algorithm in the system microcontroller. Finally, a special filter is added to the voltage loop to mitigate the output voltage noise while maintaining a good dynamic performance. The techniques and solutions discussed in this paper have been successfully proven and implemented in a soon to be commercialized 12kW telecomgrade rectifier.",
"author_names": [
"Yuling Huang",
"Lucas Sinopoli",
"R D Petkov",
"Gueorgui Anguelov"
],
"corpus_id": 31418102,
"doc_id": "31418102",
"n_citations": 6,
"n_key_citations": 0,
"score": 0,
"title": "High voltage, high power, high efficiency, digitally controlled LLC converter for telecom applications",
"venue": "2014 IEEE 36th International Telecommunications Energy Conference (INTELEC)",
"year": 2014
},
{
"abstract": "It is expected that wide bandgap devices like silicon carbide MOSFETs and gallium nitride HEMTs could replace Si devices in power electronics converters to reach higher system efficiency, e.g. a 3 phase 380VAC bidirectional battery charger for electric vehicles. This paper uses the conventional half bridge LLC topology to build a 10kW all SiC bidirectional charger. As a well known topology for the unidirectional charger, it has not been comprehensively explored for the usage of the bidirectional energy flow, which falls into the scope of this paper. A double pulse test platform is utilized to provide the accurate power losses, which, combined with the state space model deriving the accurate switching current waveforms eventually accurately, estimates the system efficiency. Based on this model, to further enhance the system efficiency the DC bus voltage is varied while keeping the LLC DC/DC converter running at the resonant frequency through the whole power range. Experimental results validated our proposed approach that such topology could realize the bidirectional power flow with zero voltage switching turn on. With varying the DC bus voltage, the V2G and G2V modes reach ~96% wall to battery efficiency.",
"author_names": [
"Chenguang Jiang",
"Bo Lei",
"Hui Teng",
"Hua Bai"
],
"corpus_id": 46132326,
"doc_id": "46132326",
"n_citations": 5,
"n_key_citations": 0,
"score": 0,
"title": "The power loss analysis and efficiency maximization of a silicon carbide MOSFET based three phase 10kW bi directional EV charger using variable DC bus control",
"venue": "2016 IEEE Energy Conversion Congress and Exposition (ECCE)",
"year": 2016
},
{
"abstract": "Mesoporous lithium metal phosphates are an important class of materials for the development of lithium ion batteries. However, there is a limited success in producing mesoporous lithium metal phosphates in the literature. Here, a lyotropic liquid crystalline (LLC) templating method was employed to synthesize the first examples of LiMPO4 (LMP) of Mn(II) Co(II) and Ni(II) A homogeneous aqueous solution of lithium and transition metal nitrate salts, phosphoric acid (PA) and surfactant (P123) can be spin coated or drop cast coated over glass slides to form the LLC mesophases which can be calcined into mesoporous amorphous LMPs (MA LMPs) The metal salts of Mn(II) Co(II) and Ni(II) produce MA LMPs that crystallize into olivine structures by heat treatment of the LLC mesophase. The Fe(II) compound undergoes air oxidation. Therefore, both Fe(II) and Fe(III) precursors produce a crystalline Li3 Fe2 (PO4 )3 phase at over 400 degC. The MA LMPs show no reactivity towards lithium, however the crystalline iron compound exhibits electrochemical reactivity with lithium and a good electrochemical energy storage ability using a lithium ion battery test.",
"author_names": [
"Isil Uzunok",
"Jeonghun Kim",
"Tuluhan Olcayto Colak",
"Dae Sik Kim",
"Hansu Kim",
"Minjun Kim",
"Yusuke Yamauchi",
"Omer Dag"
],
"corpus_id": 202869172,
"doc_id": "202869172",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Lyotropic Liquid Crystalline Mesophases Made of Salt Acid Surfactant Systems for the Synthesis of Novel Mesoporous Lithium Metal Phosphates.",
"venue": "ChemPlusChem",
"year": 2019
},
{
"abstract": "Wide band gap (WBG) power devices, such as Silicon Carbide (SiC) and Gallium Nitride (GaN) devices, have been innovatively applied in the data center power converters, which are based on the high voltage DC (HVDC) power distribution architecture, to evaluate the potential efficiency improvement. For the front end AC DC rectifier, a buck rectifier using SiC devices was implemented. The SiC devices were tested at first to obtain the static and switching characteristics. The number of devices in parallel, the switching frequency and the input/output filters were investigated. A prototype of 7.5 kW, 3 phase 480 VAC input, 400 VDC output front end rectifier was built and tested. The peak efficiency reaches up to 98.55% and the full load efficiency is 98.54% For the intermediate DC DC bus converter, the impact of the GaN devices on the LLC resonant converter efficiency was evaluated and compared with the Si counterparts. Based on the device loss analysis and the FEA simulation on the transformer winding loss, the GaN devices exhibited the reduced device loss, and also the capabilities to reduce the transformer winding loss. A 300 W, 400 VDC input, 12 VDC output GaN device based DC DC bus converter was built and tested by 96.3% peak efficiency and 96.1% full load efficiency.",
"author_names": [
"Weimin Zhang",
"Ben Guo",
"Fan Xu",
"Yutian Cui",
"Yu Long",
"Fred Wang",
"Leon M Tolbert",
"Benjamin J Blalock",
"Daniel J Costinett"
],
"corpus_id": 15751053,
"doc_id": "15751053",
"n_citations": 18,
"n_key_citations": 0,
"score": 0,
"title": "Wide bandgap power devices based high efficiency power converters for data center application",
"venue": "2014 IEEE Workshop on Wide Bandgap Power Devices and Applications",
"year": 2014
},
{
"abstract": "In the article the inconsistencies of the original diet requirements are identified and evaluated. The study was carried out at LLC <APK Plemzavod Yamskoi> (Moscow oblast' Russia) The study took into account the following zootechnical indicators: chemical composition and nutritive value of animal feed rations of lactating cows, feed intake animal, and data of a monthly monitoring of milkings in the economy. Optimization of the feeding diet for lactating in the first phase of cows contribute to the realization of genetic potential productivity of animals. Feeding lactating cows better be divided into three phases: phase 1 0 21 day, phase 2 day 21 100, and 3 phase 100 and to start. In order not to lose milk production and maintain animal health, it is necessary to use a balanced diet in all phases of feeding. After calving the body loses a lot of energy and nutrients, disturbed system immune system, all the systems involved and works hard to feed their young. The first phase is the key to replenishing forces of the body. In the second phase the animal does not need such a high content of energy, protein, vitamins, and micro and macroelements in the diet. However, the second phase is the peak of milk production, and maintaining the usefulness of feeding is equally important. The third phase is a decline in milk production, it is the final. In this period it is necessary gradually to transfer animals on a<<dry diet> This period is very important, because it serves as a preparatory stage for delivery. Developed new balanced energy, nutrients and biologically active substances to the diets will increase animal performance by 5 10",
"author_names": [
"Mikhail Mikhailovich Lugovoi"
],
"corpus_id": 112905341,
"doc_id": "112905341",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Analiz i optimizatsiia ratsiona kormleniia laktiruiushchikh korov cherno pestroi golshtinizirovannoi porody v pervuiu fazu laktatsii",
"venue": "",
"year": 2016
}
] |
In-memory hyperdimensional computing | [
{
"abstract": "Hyperdimensional computing is an emerging computational framework that takes inspiration from attributes of neuronal circuits including hyperdimensionality, fully distributed holographic representation and (pseudo)randomness. When employed for machine learning tasks, such as learning and classification, the framework involves manipulation and comparison of large patterns within memory. A key attribute of hyperdimensional computing is its robustness to the imperfections associated with the computational substrates on which it is implemented. It is therefore particularly amenable to emerging non von Neumann approaches such as in memory computing, where the physical attributes of nanoscale memristive devices are exploited to perform computation. Here, we report a complete in memory hyperdimensional computing system in which all operations are implemented on two memristive crossbar engines together with peripheral digital complementary metal oxide semiconductor (CMOS) circuits. Our approach can achieve a near optimum trade off between design complexity and classification accuracy based on three prototypical hyperdimensional computing related learning tasks: language classification, news classification and hand gesture recognition from electromyography signals. Experiments using 760,000 phase change memory devices performing analog in memory computing achieve comparable accuracies to software implementations. A complete in memory hyperdimensional computing system, which uses 760,000 phase change memory devices, can efficiently perform machine learning related tasks including language classification, news classification and hand gesture recognition from electromyography signals.",
"author_names": [
"Geethan Karunaratne",
"Manuel Le Gallo",
"Giovanni Cherubini",
"Luca Benini",
"Abbas Rahimi",
"Abu Sebastian"
],
"corpus_id": 174797921,
"doc_id": "174797921",
"n_citations": 43,
"n_key_citations": 4,
"score": 1,
"title": "In memory hyperdimensional computing",
"venue": "ArXiv",
"year": 2019
},
{
"abstract": "\"Our work was initiated by the natural fit between the two concepts of in memory computing and hyperdimensional computing,\" Abu Sebastian and Abbas Rahimi, the two lead researchers behind the study, told TechXplore. \"At IBM ResearchZurich, we have been developing in memory computing platforms based on phase change memory (PCM) while at ETH Zurich, we have been exploring a braininspired computing paradigm called hyperdimensional computing.\"",
"author_names": [
"Ingrid Fadelli"
],
"corpus_id": 220323942,
"doc_id": "220323942",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "A hyperdimensional computing system that performs all core computations in memory",
"venue": "",
"year": 2020
},
{
"abstract": "Abstract One viable solution for continuous reduction in energy per operation is to rethink functionality to cope with uncertainty by adopting computational approaches that are inherently robust to uncertainty. It requires a novel look at data representations, associated operations, and circuits, and at materials and substrates that enable them. 3D integrated nanotechnologies combined with novel brain inspired computational paradigms that support fast learning and fault tolerance could lead the way. Recognizing the very size of the brain's circuits, hyperdimensional (HD) computing can model neural activity patterns with points in a HD space, that is, with hypervectors as large randomly generated patterns. At its very core, HD computing is about manipulating and comparing these patterns inside memory. Emerging nanotechnologies such as carbon nanotube field effect transistors (CNFETs) and resistive RAM (RRAM) and their monolithic 3D integration offer opportunities for hardware implementations of HD computing through tight integration of logic and memory, energy efficient computation, and unique device characteristics. We experimentally demonstrate and characterize an end to end HD computing nanosystem built using monolithic 3D integration of CNFETs and RRAM. With our nanosystem, we experimentally demonstrate classification of 21 languages with measured accuracy of up to 98% on >20,000 sentences (6.4 million characters) training using one text sample (100,000 characters) per language, and resilient operation (98% accuracy) despite 78% hardware errors in HD representation (outputs stuck at 0 or 1) By exploiting the unique properties of the underlying nanotechnologies, we show that HD computing, when implemented with monolithic 3D integration, can be up to 420x more energy efficient while using 25x less area compared to traditional silicon complementary metal oxide semiconductor (CMOS) implementations.",
"author_names": [
"Abbas Rahimi",
"Tony F Wu",
"Haitong Li",
"Jan M Rabaey",
"H -S Philip Wong",
"Max M Shulaker",
"Subhasish Mitra"
],
"corpus_id": 226736114,
"doc_id": "226736114",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Hyperdimensional computing nanosystem: in memory computing using monolithic 3D integration of RRAM and CNFET",
"venue": "",
"year": 2020
},
{
"abstract": "Brain inspired Hyperdimensional Computing (HDC) is a fast and robust classification algorithm, which works by mapping low dimensional features to high dimensional vectors and comparing distance in a high dimensional space. However, in traditional Von Neumann architecture, HDC causes high energy consumption because of large data movements between processor and memory. In this paper, we propose HDC IM, a Hyperdimensional Computing In Memory architecture based on Resistive Random Access Memory (RRAM) to boost the energy efficiency of HDC. HDC IM puts computations in or near memory, which eliminates most of the data movements, providing a solution to reduce the energy consumption. In addition, to improve the computing parallelism, we use in crossbar RRAM based logic design to process encoding operation in HDC. The experimental results show that HDC IM provides more than 100x speedup and higher energy efficiency compared with HDC on CPU. Moreover, in comparison with existing RRAM based Neural Network accelerators, HDC IM is more fault tolerant taking into account RRAM device faults, achieving 20% higher accuracy than RRAM based DNN on ISOLET dataset when 20% RRAM devices suffer from Stuck At Faults (SAFs)",
"author_names": [
"Jialong Liu",
"Mingyuan Ma",
"Zhenhua Zhu",
"Hua-zhong Yang"
],
"corpus_id": 210173591,
"doc_id": "210173591",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "HDC IM: Hyperdimensional Computing In Memory Architecture based on RRAM",
"venue": "2019 26th IEEE International Conference on Electronics, Circuits and Systems (ICECS)",
"year": 2019
},
{
"abstract": "The ability to learn from few examples, known as one shot learning, is a hallmark of human cognition. Hyperdimensional (HD) computing is a brain inspired computational framework capable of one shot learning, using random binary vectors with high dimensionality. Device architecture co design of HD cognitive computing systems using 3D VRRAM/CMOS is presented for language recognition. Multiplication addition permutation (MAP) the central operations of HD computing, are experimentally demonstrated on 4 layer 3D VRRAM/FinFET as non volatile in memory MAP kernels. Extensive cycle to cycle (up to 1012 cycles) and wafer level device to device (256 RRAMs) experiments are performed to validate reproducibility and robustness. For 28 nm node, the 3D in memory architecture reduces total energy consumption by 52.2% with 412 times less area compared with LP digital design (using registers as memory) owing to the energy efficient VRRAM MAP kernels and dense connectivity. Meanwhile, the system trained with 21 samples texts achieves 90.4% accuracy recognizing 21 European languages on 21,000 test sentences. Hard error analysis shows the HD architecture is amazingly resilient to RRAM endurance failures, making the use of various types of RRAMs/CBRAMs (1k 10M endurance) feasible.",
"author_names": [
"Haitong Li",
"Tony F Wu",
"Abbas Rahimi",
"Kai-Shin Li",
"Miles Rusch",
"Chang-Hsien Lin",
"Juo-Luen Hsu",
"Mohamed M Sabry",
"Sukru Burc Eryilmaz",
"Joon Sohn",
"Wen-Cheng Chiu",
"Min-Cheng Chen",
"Tsung-Ta Wu",
"Jia-Min Shieh",
"W K Yeh",
"Jan M Rabaey",
"Subhasish Mitra",
"H -S Philip Wong"
],
"corpus_id": 25209638,
"doc_id": "25209638",
"n_citations": 62,
"n_key_citations": 3,
"score": 0,
"title": "Hyperdimensional computing with 3D VRRAM in memory kernels: Device architecture co design for energy efficient, error resilient language recognition",
"venue": "2016 IEEE International Electron Devices Meeting (IEDM)",
"year": 2016
},
{
"abstract": "Brain inspired hyperdimensional (HD) computing emulates cognitive tasks by computing with long binary vectors also know as hypervectors as opposed to computing with numbers. However, we observed that in order to provide acceptable classification accuracy on practical applications, HD algorithms need to be trained and tested on nonbinary hypervectors. In this article, we propose SearcHD, a fully binarized HD computing algorithm with a fully binary training. SearcHD maps every data points to a high dimensional space with binary elements. Instead of training an HD model with nonbinary elements, SearcHD implements a full binary training method which generates multiple binary hypervectors for each class. We also use the analog characteristic of nonvolatile memories (NVMs) to perform all encoding, training, and inference computations in memory. We evaluate the efficiency and accuracy of SearcHD on a wide range of classification applications. Our evaluation shows that SearcHD can provide on average <inline formula> <tex math notation=\"LaTeX\"$31.1\\times /tex math>/inline formula> higher energy efficiency and <inline formula> <tex math notation=\"LaTeX\"$12.8\\times /tex math>/inline formula> faster training as compared to the state of the art HD computing algorithms.",
"author_names": [
"Mohsen Imani",
"Xunzhao Yin",
"John G Messerly",
"Saransh Gupta",
"Michael Thaddeus Niemier",
"Xiaobo Sharon Hu",
"Tajana Simunic Rosing"
],
"corpus_id": 209093915,
"doc_id": "209093915",
"n_citations": 11,
"n_key_citations": 1,
"score": 0,
"title": "SearcHD: A Memory Centric Hyperdimensional Computing With Stochastic Training",
"venue": "IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems",
"year": 2020
},
{
"abstract": "This paper proposes evolvable hyperdimensional (HD) computing to maintain high classification accuracy as permanent faults occur in emerging non volatile memory fabrics. Our proposed HD architecture can detect, localize, and isolate faulty PCM blocks in discriminative classifiers, followed by unsupervised regeneration of new blocks to compensate accuracy loss. We demonstrate its application on a language recognition task: it is able to quickly relearn and fully recover the accuracy from 90.48% to 96.86% at fault rates as high as 42% by using solely 4. 2MB of text for regeneration. The new evolved model is still 285 more compact than state of the art fastText.",
"author_names": [
"Michael Hersche",
"Sara Sangalli",
"Luca Benini",
"Abbas Rahimi"
],
"corpus_id": 213049132,
"doc_id": "213049132",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Evolvable Hyperdimensional Computing: Unsupervised Regeneration of Associative Memory to Recover Faulty Components",
"venue": "2020 2nd IEEE International Conference on Artificial Intelligence Circuits and Systems (AICAS)",
"year": 2020
},
{
"abstract": "Brain inspired hyperdimensional (HD) computing models neural activity patterns of the very size of the brain's circuits with points of a hyperdimensional space, that is, with hypervectors. Hypervectors are D dimensional (pseudo)random vectors with independent and identically distributed (i.i.d. components constituting ultra wide holographic words: D=10,000 bits, for instance. At its very core, HD computing manipulates a set of seed hypervectors to build composite hypervectors representing objects of interest. It demands memory optimizations with simple operations for an efficient hardware realization. In this article, we propose hardware techniques for optimizations of HD computing, in a synthesizable open source VHDL library, to enable co located implementation of both learning and classification tasks on only a small portion of Xilinx UltraScale FPGAs: (1) We propose simple logical operations to rematerialize the hypervectors on the fly rather than loading them from memory. These operations massively reduce the memory footprint by directly computing the composite hypervectors whose individual seed hypervectors do not need to be stored in memory. (2) Bundling a series of hypervectors over time requires a multibit counter per every hypervector component. We instead propose a binarized back to back bundling without requiring any counters. This truly enables on chip learning with minimal resources as every hypervector component remains binary over the course of training to avoid otherwise multibit components. (3) For every classification event, an associative memory is in charge of finding the closest match between a set of learned hypervectors and a query hypervector by using a distance metric. This operator is proportional to hypervector dimension (D) and hence may take O(D) cycles per classification event. Accordingly, we significantly improve the throughput of classification by proposing associative memories that steadily reduce the latency of classification to the extreme of a single cycle. (4) We perform a design space exploration incorporating the proposed techniques on FPGAs for a wearable biosignal processing application as a case study. Our techniques achieve up to 2.39x area saving, or 2,337x throughput improvement. The Pareto optimal HD architecture is mapped on only 18,340 configurable logic blocks (CLBs) to learn and classify five hand gestures using four electromyography sensors.",
"author_names": [
"Manuel Schmuck",
"Luca Benini",
"Abbas Rahimi"
],
"corpus_id": 208157542,
"doc_id": "208157542",
"n_citations": 7,
"n_key_citations": 1,
"score": 0,
"title": "Hardware Optimizations of Dense Binary Hyperdimensional Computing: Rematerialization of Hypervectors, Binarized Bundling, and Combinational Associative Memory",
"venue": "ACM J. Emerg. Technol. Comput. Syst.",
"year": 2019
},
{
"abstract": "Brain inspired hyperdimensional (HD) computing models neural activity patterns of the very size of the brain's circuits with points of a hyperdimensional space, that is, with hypervectors. Hypervectors are $D$ dimensional (pseudo)random vectors with independent and identically distributed (i.i.d. components constituting ultra wide holographic words: $D 10,000$ bits, for instance. At its very core, HD computing manipulates a set of seed hypervectors to build composite hypervectors representing objects of interest. It demands memory optimizations with simple operations for an e cient hardware realization. In this paper, we propose hardware techniques for optimizations of HD computing, in a synthesizable VHDL library, to enable co located implementation of both learning and classification tasks on only a small portion of Xilinx(R) UltraScale(TM) FPGAs: (1) We propose simple logical operations to rematerialize the hypervectors on the fly rather than loading them from memory. These operations massively reduce the memory footprint by directly computing the composite hypervectors whose individual seed hypervectors do not need to be stored in memory. (2) Bundling a series of hypervectors over time requires a multibit counter per every hypervector component. We instead propose a binarized back to back bundling without requiring any counters. This truly enables on chip learning with minimal resources as every hypervector component remains binary over the course of training to avoid otherwise multibit component. (3) For every classification event, an associative memory is in charge of finding the closest match between a set of learned hypervectors and a query hypervector by using a distance metric. This operator is proportional to",
"author_names": [
"Manuel Schmuck",
"Luca Benini",
"Abbas Rahimi"
],
"corpus_id": 49907924,
"doc_id": "49907924",
"n_citations": 25,
"n_key_citations": 0,
"score": 0,
"title": "Hardware Optimizations of Dense Binary Hyperdimensional Computing: Rematerialization of Hypervectors, Binarized Bundling, and Combinational Associative Memory",
"venue": "ArXiv",
"year": 2018
},
{
"abstract": "DNA pattern matching is widely applied in many bioinformatics applications. The increasing volume of the DNA data exacerbates the runtime and power consumption to discover DNA patterns. In this paper, we propose a hardware software co design, called GenieHD, which efficiently parallelizes the DNA pattern matching task. We exploit brain inspired hyperdimensional (HD) computing which mimics pattern based computations in human memory. We transform inherent sequential processes of the DNA pattern matching to highly parallelizable computation tasks using HD computing. The proposed technique first encodes the whole genome sequence and target DNA pattern to high dimensional vectors. Once encoded, a light weight operation on the high dimensional vectors can identify if the target pattern exists in the whole sequence. We also design an accelerator architecture which effectively parallelizes the HD based DNA pattern matching while significantly reducing the number of memory accesses. The architecture can be implemented on various parallel computing platforms to meet target system requirements, e.g. FPGA for low power devices and ASIC for high performance systems. We evaluate GenieHD on practical large size DNA datasets such as human and Escherichia Coli genomes. Our evaluation shows that GenieHD significantly accelerates the DNA matching procedure, e.g. 44.4x speedup and 54.1x higher energy efficiency as compared to a state of the art FPGA based design.",
"author_names": [
"Yeseong Kim",
"Mohsen Imani",
"Niema Moshiri",
"Tajana Simunic"
],
"corpus_id": 219858990,
"doc_id": "219858990",
"n_citations": 11,
"n_key_citations": 0,
"score": 0,
"title": "GenieHD: Efficient DNA Pattern Matching Accelerator Using Hyperdimensional Computing",
"venue": "2020 Design, Automation Test in Europe Conference Exhibition (DATE)",
"year": 2020
}
] |
MANAGING THROUGH CYCLES OF TECHNOLOGICAL CHANGE | [
{
"abstract": "We are managing in what Peter Drucker has termed \"the age of discontinuity.\" Examples of revolutionary technological changes that transform industries abound. Ceramic engine parts will replace metal engine parts in the next decade, thanks to their high strength to weight ratio and resistance to heat. Flat screen displays will obsolesce today's bulky cathode ray tubes in television screens and computer monitors. Optical disks capable of storing billions of bytes will supplant today's magnetic fixed disks for mass computer storage. Lithium batteries will supersede today's lead acid technology. It is precisely this sort of discontinuous change that brings about \"creative destruction,\" the overturning of established industry structures which Schumpeter saw as the fundamental engine of capitalist progress. Building on a tradition extending back to the 1950s (see for example Strassmann, 1956, and Bright, 1964) Richard Foster (1986) argues that industry leaders become losers because they have difficulty managing technological discontinuities movements from one technology to another with inherently higher limits. Examples of creative destruction based on both product and process revolutions abound: the shift from vacuum tubes to semiconductors overturned the dominance of firms such as RCA and Sylvania; with the installation of new, energy saving cement manufacturing technology, eight of the ten largest American cement makers were acquired by foreign firms between 1973 and 1980. Managing through periods of upheaval and transformation requires that we develop a useful model of technological change. Are there predictable patterns of innovation that recur time and time again in industry after industry? Are there predictable consequences of technological discontinuities? Who pioneers discontinuous innovations. When do leaders become losers? Foster's depiction of technological progression through a series of S curves suggests that technological change follows a cyclical pattern. The best known model of technological change, the Abernathy/Utterback model, originally viewed technological progress as a single cycle, leading toward more process and less product innovation and culminating in the \"productivity dilemma.\" Yet more recent updates of this framework in the early 1980s also conclude that technological change is cyclical \"dematurity\" can in effect set the clock back and return an industry from a \"specific\" to a \"fluid\" state. Our study of the entire history of three industries (see editorial box, next page) leads us to conclude that technology progresses in a series of cycles, hinging on technological discontinuities and the emergence of dominant designs. Here, we discuss: The cyclical nature of technological change. The influence of \"competences.\" The empirical character of observed technology cycles. Who pioneers discontinuities and dominant designs. The process of \"creative destruction.\" The implications of technology cycles for managers. Technology Cycles As Foster's notion of a series of S curves suggests, an industry evolves through a succession of technology cycles. Each cycle begins with a technological discontinuity. Discontinuities are breakthrough innovations that advance by an order of magnitude the technological state of the art which characterizes an industry. They are based on new technologies whose technical limits are inherently greater than those of the previous dominant technology, along economically relevant dimensions of merit. To illustrate, examine Figure 1(figure omitted) The manufacture of window glass has been characterized by three great discontinuities. In the 19th century, skilled artisans blew molten glass into long cylinders, which were cut with a wire and flattened into glass sheets. In 1903, the Lubbers process substituted an automatic blowing machine for the artisan. In 1917, the Colburn machine, which drew a continuous ribbon from a tank of molten glass, was introduced.",
"author_names": [
"Philip Anderson",
"Michael L Tushman"
],
"corpus_id": 153351017,
"doc_id": "153351017",
"n_citations": 194,
"n_key_citations": 6,
"score": 1,
"title": "Managing through Cycles of Technological Change",
"venue": "",
"year": 1991
},
{
"abstract": "",
"author_names": [
"Debomalya Ghose"
],
"corpus_id": 198687337,
"doc_id": "198687337",
"n_citations": 32,
"n_key_citations": 1,
"score": 0,
"title": "Managing through cycles of technological change",
"venue": "",
"year": 2011
},
{
"abstract": "INTRODUCTION The emergence of global markets in the 1990s has brought about an astonishing rate of change in the product and process technology in many industries. The impact of this environmental uncertainty perhaps is felt most significantly by the materials manager, who must constantly be aware of shifts in material requirements, engineering changes, and availability of supply. This has resulted in a different type of approach to supply base management, in which sourcing strategies must be evaluated within the context of a product's changing life cycle characteristics. The concept of product life cycles is well known.|1^ Based on longitudinal analyses of growth and diffusion patterns, most products have been observed to proceed through four distinct phases: (1) product introduction, (2) growth, (3) maturity, and (4) decline. In general, the early stages of the life cycle are associated with a greater variety of features and less than perfect quality, while products in the growth, mature, and decline phases have progressively more tailored material specifications, with greater emphasis on unit cost reductions. In certain industries, however, there is evidence to suggest that these product life cycles do not fully capture the dynamics occurring at an individual component level. Moreover, the authors have observed through discussions with suppliers and purchasing managers in high tech industries that a series of smaller life cycles exist within the total product life cycle. There are referred to as \"component life cycles.\" These cycles are different from product life cycles, in that their shape and duration are a function of the technological attributes of a given \"functional block\" contained within the larger product. The implications for supply chain management in dealing with these component life cycles is the subject of this article. In particular, the article provides a contingency planning framework for purchasing managers who must plan in advance for unexpected shifts in rates and patterns of demand for high tech components. FUNCTIONAL BLOCKS AND COMPONENT LIFE CYCLES High tech product innovations can be conceptualized as a set of smaller functional blocks, each of which consists of one or more components performing a specific function. This concept is illustrated in Figure 1. All the functional blocks interact as a whole to carry out the function of the entire product. An example of such a product is the personal computer (PC) which can be broken down into the \"mother\" board, the power source, the co processor, the hard drive, the keyboard, the monitor, and the frame. From a design perspective, these functional blocks provide various capabilities that the designer relies upon to execute the design intent. Component life cycles are closely tied to the notion of functional blocks. Moreover, the function served by a particular component, with respect to the performance specification required within its functional block, must be carefully monitored vis a vis competing technologies that may perform the same function but at a lower cost, with improved reliability, or with a higher level of performance. Referring to the previous example, in the early 1980s personal computers had 128K RAM chips, and subsequently went through a series of changes leading to today's computers, which often have more than 20 megabytes of RAM. Thus, as a particular functional block within an innovation evolves from the design stage to full production and through subsequent stages of the product life cycle, components can be replaced, simplified, or simply become obsolete as a result of changing technical specifications or new technological capability. COMPONENT LIFE CYCLE DRIVERS In general, there are five reasons for the replacement of a particular component within a functional block. In some cases, more than one criterion may have an effect on the replacement decision.",
"author_names": [
"Robert B Handfield",
"Ronald T Pannesi"
],
"corpus_id": 168048087,
"doc_id": "168048087",
"n_citations": 24,
"n_key_citations": 0,
"score": 0,
"title": "Managing Component Life Cycles in Dynamic Technological Environments",
"venue": "",
"year": 1994
},
{
"abstract": "Increasingly companies are facing tough challenges due to fast paced technological developments, shortening product life cycles and new forms of business models. Therefore innovating is crucial for companies to stay competitive and enter new markets. Large corporations have difficulty in breaking silos and developing breakthrough innovations due to pressures in the form of financial performance and resistance to change. It seems that due to volatility of markets and technological developments, companies need to \"join the race\" in order to survive. For the purpose of benefitting the innovation space network companies can interact with external parties. Research shows that collaboration with external actors lead to sources of higher innovation performance. Nevertheless, the innovation process is very intricate as it involves many complex interactions and challenges. Innovation depends on people's expertise, capabilities and creative capacities. Companies need to recognize this and provide an environment that can foster innovation. Infineon Technologies AG Corporate Supply Chain Innovation department recognizes the need to innovate in a peripheries of its core technological developments. The department is involved in several co funded European projects which aim towards digitalization improvements. The main company's objective is to explore ways to better coordinate relationships between partners, to find ways to innovate and to foster knowledge management practices. Since the firm wants to improve its innovation performance, certain processes need to be develop to stimulate innovation. Infineon Technologies AG does not have processes for managing disruptive innovations therefore is in need to design a model that would enable the company to manage them, the main objective of this master thesis is to design: A model which prescribes processes for management of disruptive innovations between firms, on a case study of Infineon Technologies AG Corporate Supply Chain Innovation department. In order to reach objective of this master thesis, a following main research question is formulated: How to facilitate inter firm innovation management processes of disruptive innovations in the context of Infineon Technologies AG Corporate Supply Chain Innovation Department? The thesis project takes a Design Science Project approach and is divided into five phases: 1) research motivation 2) requirements definition through exploration of theoretical foundation 3) design and development of the artefact 4) demonstration of the artefact through validation and 5) evaluation and conclusion. The overall conclusion for this thesis is: in order to facilitate inter firm innovation management processes of disruptive innovations Infineon Technologies Corporate Supply Chain Innovation department should implement co innovation management practices. The co innovation model has a network structure composed of co creation, collaboration, innovation platform and convergence affordances. By expanding these elements to its practical implications, the company is able to implement a comprehensive and implementable solution. Scenario with actionable steps was developed as a recommendation for the company.",
"author_names": [
"Monika Vu Kim"
],
"corpus_id": 182040965,
"doc_id": "182040965",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Managing disruptive innovations through co innovation processes: A case study at Infineon Technologies AG",
"venue": "",
"year": 2019
},
{
"abstract": "Executive Overview High technology companies need an organizational design that enables rapid development and implementation of strategies in an environment of accelerated technological change, short product life cycles, and global competition. Executives should not just react to change but create changes that give a competitive advantage. In a dynamic, high tech environment, product development needs to be a continuous process in which products move along an assembly line of marketing functions. Criteria for terminating a product's life cycle are established before the product is introduced, to assure that resources are rapidly reallocated to better opportunities. To meet these organizational needs a double portfolio organizational design is proposed in which the CEO matches a portfolio of strategists to a portfolio of opportunities. While the design may seem radical, it is a logical extension of present theory and practice which include the concepts of the product life cycle and the product portfolio ma.",
"author_names": [
"G David Hughes"
],
"corpus_id": 154402851,
"doc_id": "154402851",
"n_citations": 25,
"n_key_citations": 0,
"score": 0,
"title": "Managing high tech product cycles",
"venue": "",
"year": 1990
},
{
"abstract": "Considers the development of the culture of innovation and how the concept can be beneficial in business. Examines the application of marketing innovation (new products) and technological innovation which is not market driven. Illustrates the growth of innovative products through \"S\" curves and Product Life Cycles. Concludes that innovation needs to be encouraged by managers, albeit within a controlled framework that maximizes the inherent benefits, flexibility and emphasis on the need for change being central to the successful implementation of such a programme.",
"author_names": [
"Richard Harvey Brown"
],
"corpus_id": 167680812,
"doc_id": "167680812",
"n_citations": 104,
"n_key_citations": 4,
"score": 0,
"title": "Managing the \"S\" Curves of Innovation",
"venue": "",
"year": 1992
},
{
"abstract": "The dynamic capabilities view has emerged as the central approach for addressing the question of how firms cope with technological change. Capturing the essence of dynamic capabilities and understanding what they are and how they actually support technological innovation and change, however, has hitherto posed an exacting challenge. This paper addresses these issues; 1) by reviewing the current state of research; 2) by investigating the role of three established theoretical lenses ambidexterity, absorptive capacity and technology management for dynamic capability driven adaptation to technological change. In particular, we analyse how these three concepts unfold as dynamic capabilities and facilitate technological change through strategic managerial decision making, resource reconfiguration and continuous learning. We subsequently propose a comprehensive framework that provides an integrative perspective on how dynamic capabilities support the management of technological change, e.g. developments toward digital transformation, new ICTs or cyber physical systems. Finally, we discuss future research directions based on the findings of our synthesis and framework.",
"author_names": [
"Stefan Konlechner",
"Barbara Muller",
"Wolfgang H Guttel"
],
"corpus_id": 21723981,
"doc_id": "21723981",
"n_citations": 10,
"n_key_citations": 0,
"score": 0,
"title": "A dynamic capabilities perspective on managing technological change: a review, framework and research agenda",
"venue": "Int. J. Technol. Manag.",
"year": 2018
},
{
"abstract": "The global economic downturn of 2008 has impacted almost all industry segments in all geographies, and even after 5 years, many of them are in different stages of recovery. Emerging economies and technology firms in these economies have braved this headwind relatively better, and they have witnessed a significant growth in the recent years. In this study, we conduct an empirical research on Indian ICT firms and analyse how factors related to innovation and inorganic growth contribute to their sustenance and growth during boom and bust cycles. A set of 442 Indian firms in the ICT sector have been studied for the period 1999 2012, a period when this sector has witnessed all the four phases of business cycle. The results show that acquisitions vary significantly across phases of business cycle, whereas R&D investments do not vary over the cycle. Contrary to established findings in developed economies on technology firms' focus on R&D, we find that Indian ICT firms' R&D investments are significantly lower and may not play any significant role in the long term growth of the firms.",
"author_names": [
"Arindam Das",
"Sheeba Kapil"
],
"corpus_id": 167571775,
"doc_id": "167571775",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "The Role of Technological Innovation in Managing Through Business Cycles: A Study on Indian ICT Firms",
"venue": "",
"year": 2015
},
{
"abstract": "Inspired by Herbert Simon's notion of nearly decomposable systems, researchers have examined modularity as a powerful approach to manage technological change in product innovation. We articulate this approach as the hierarchy of parts architecture and explain how it emphasizes decomposition of a design into loosely coupled parts and subsequent aggregation of these into an industrial product. To realize the scale benefits of modularity, firms successively freeze design specifications before production and therefore only allow limited windows of functionality design and redesign. This makes it difficult to take advantage of the increased speed by which digitized products can be developed and modified. To address this problem, we draw on Christopher Alexander's notion of design patterns to introduce a complementary approach to manage technological change that is resilient to digital technology. We articulate this approach as the network of patterns architecture and explain how it emphasizes generalization of ideas into patterns and subsequent specialization of patterns for different design purposes. In response to the increased digitization of industrial products, we demonstrate the value of complementing hierarchy of parts thinking with network of patterns thinking through a case study of infotainment architecture at an automaker. As a result, we contribute to the literature on managing products in the digital age: we highlight the properties of digital technology that increase the speed by which digitized products can be redesigned; we offer the notion of architectural frames and propose hierarchy of parts and network of patterns as frames to support innovation of digitized products; and, we outline an agenda for future research that reconsiders the work of Simon and Alexander as well as their followers to address key challenges in innovating digitized products.",
"author_names": [
"Ola Henfridsson",
"Lars Mathiassen",
"Fredrik Svahn"
],
"corpus_id": 15845020,
"doc_id": "15845020",
"n_citations": 162,
"n_key_citations": 16,
"score": 0,
"title": "Managing technological change in the digital age: the role of architectural frames",
"venue": "J. Inf. Technol.",
"year": 2014
},
{
"abstract": "The current level of uncritical adoption in bodymodifying devices, and the propensity for remaking the human body through the aid of technology, is moving society closer to a human machine fusion. We are at the brink of postmodernity in all its fullness. This paper speculates on the pros and cons of such a reality and insists on the right of the individual to be able to self govern his/her own body, maintaining the right to choose. How individual choice is limited is also discussed, as industry innovation cycles get faster, and the need for continuous disruption means that the consumer is often at the mercy of an adapt or die kind of dilemma. What happens when complex technologies, like embedded microchips become a default way of living and working, transacting and interacting with no alternatives? These are just some of the questions explored in this qualitative study on body modifying devices.",
"author_names": [
"Sharon R Bradley Munn",
"Katina Michael",
"M G Michael"
],
"corpus_id": 28793950,
"doc_id": "28793950",
"n_citations": 8,
"n_key_citations": 0,
"score": 0,
"title": "The social phenomenon of body modifying in a world of technological change: past, present, future",
"venue": "2016 IEEE Conference on Norbert Wiener in the 21st Century (21CW)",
"year": 2016
}
] |
Value creation in innovation ecosystems | [
{
"abstract": "The success of an innovating firm often depends on the efforts of other innovators in its environment. How do the challenges faced by external innovators affect the focal firm's outcomes? To address this question we first characterize the external environment according to the structure of interdependence. We follow the flow of inputs and outputs in the ecosystem to distinguish between upstream components that are bundled by the focal firm, and downstream complements that are bundled by the firm's customers. We hypothesize that the effects of external innovation challenges depend not only on their magnitude, but also on their location in the ecosystem relative to the focal firm. We identify a key asymmetry that results from the location of challenges relative to a focal firm greater upstream innovation challenges in components enhance the benefits that accrue to technology leaders, while greater downstream innovation challenges in complements erode these benefits. We further propose that the effectiveness of vertical integration as a strategy to manage ecosystem interdependence increases over the course of the technology life cycle. We explore these arguments in the context of the global semiconductor lithography equipment industry from its emergence in 1962 to 2005 across nine distinct technology generations. We find strong empirical support for our framework. Copyright (c) 2009 John Wiley Sons, Ltd.",
"author_names": [
"Ron Adner",
"Rahul Kapoor"
],
"corpus_id": 35947699,
"doc_id": "35947699",
"n_citations": 1514,
"n_key_citations": 123,
"score": 1,
"title": "Value Creation in Innovation Ecosystems: How the Structure of Technological Interdependence Affects Firm Performance in New Technology Generations",
"venue": "",
"year": 2010
},
{
"abstract": "The success of an innovating firm often depends on the efforts of other innovators in its environment. How do the challenges faced by external innovators affect the focal firm's outcomes? To address this question we first characterize the external environment according to the structure of interdependence. We follow the flow of inputs and outputs in the ecosystem to distinguish between upstream components that are bundled by the focal firm, and downstream complements that are bundled by the firm's customers. We argue that the effect of external innovation challenges depends not only on their magnitude, but also on their location in the ecosystem relative to the focal firm whereas greater innovation challenges in components enhances the benefits that accrue to technology leaders, greater innovation challenges in complements erodes these benefits. We further argue that the effectiveness of vertical integration as a strategy to manage ecosystem interdependence increases over the course of the technology life cycle. We explore these arguments in the context of the global semiconductor lithography industry from its emergence in 1962 to 2005 across nine distinct technology generations. We find strong support for our arguments.",
"author_names": [
"Ron Adner",
"Rahul Kapoor"
],
"corpus_id": 219335515,
"doc_id": "219335515",
"n_citations": 99,
"n_key_citations": 9,
"score": 0,
"title": "Value Creation in Innovation Ecosystems: How the Structure of Technological Interdependence Affects Firm Performance in New Technology Generations",
"venue": "",
"year": 2009
},
{
"abstract": "Thisstudyexploreshoworganizationsininnovationecosystemsco createandcapturevalueand whattypesofchallengestheyfaceincreatingandcapturingvalue.Basedonamultiplecasestudy, theauthorsshowthatorganizationsinnano electronicsestablishinnovationecosystemstoaccess notonlyknowledgeandtechnology,butalsoothercomplementaryassets.Theanalysisofvarious valuecreationandcapturingmechanismsenablestheauthorstogenerateaframeworktoillustrate thepotentialchallengesandrequiredmanagementactivitiesindevelopinginnovationecosystems. Finally,theauthorsoffersomereflectionsonthetheoreticalimplicationsofthisstudyandthelessons formanagersandpolicymakers. KEywoRdS Innovation Ecosystem, Management Challenges, Nano Electronics Industry, Qualitative Study, Value Capturing, Value Creation",
"author_names": [
"Pegah Yaghmaie",
"Wim Vanhaverbeke",
"Nadine Roijakkers"
],
"corpus_id": 216487376,
"doc_id": "216487376",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "Value Creation, Value Capturing, and Management Challenges in Innovation Ecosystems",
"venue": "",
"year": 2020
},
{
"abstract": "Abstract Technological innovations are increasing the opportunities to develop technically and economically feasible Smart Products. However, the development of Smart Products requires knowledge and capabilities that single companies usually do not possess, thus creating new opportunities for cooperation through the establishment of innovation ecosystems focused on Smart Products. Hence, this study aims at understanding possible configurations for these ecosystems by considering the required characteristics they should display to allow the development of Smart Products from their early stages. We conducted a case study in an electro electronic and automation industrial cluster of 120 small and medium sized enterprises (SMEs) based on 37 interviews with key participants in the ecosystem: 15 SMEs executives, 8 academics, 2 R&D center representatives, 8 large manufacturing customers, 3 business associations and 1 state government representative. As a result, we developed a conceptual framework that presents the required characteristics of an innovation ecosystem to offer Smart Products, and discloses the relationships among these characteristics.",
"author_names": [
"Julia Hofmeister Kahle",
"Eric Marcon",
"Antonio Ghezzi",
"Alejandro German Frank"
],
"corpus_id": 218795737,
"doc_id": "218795737",
"n_citations": 23,
"n_key_citations": 0,
"score": 0,
"title": "Smart Products value creation in SMEs innovation ecosystems",
"venue": "",
"year": 2020
},
{
"abstract": "This study focuses on value creation and capture in innovation ecosystems. It presents and discusses the findings from a qualitative, comparative case study on two European led innovation ecosystems from the ICT and aerospace and defence sectors. The purpose of the research is to explore the tangible and intangible mechanisms related to how leading firms may facilitate value creation and capture in this context. In particular, we focus on mechanisms related to the building phase to help attract and gather relevant stakeholders, and on the management phase to help maintain and realise the business goals of all ecosystem participants. We also discuss the differences between the case industries concerning the effectiveness and usage of such mechanisms. Overall, the research findings provide new evidence on the facilitating initiatives, underlying mechanisms and structures that are related to the leading firms' orchestration of innovation ecosystems.",
"author_names": [
"Paavo Ritala",
"Vassilis Agouridas",
"Dimitris G Assimakopoulos",
"Otto Gies"
],
"corpus_id": 15203358,
"doc_id": "15203358",
"n_citations": 134,
"n_key_citations": 15,
"score": 0,
"title": "Value creation and capture mechanisms in innovation ecosystems: a comparative case study",
"venue": "Int. J. Technol. Manag.",
"year": 2013
},
{
"abstract": "Resumo Frente a uma mudanca de percepcao acerca do papel das organizacoes, tao importante quanto gerar lucro para os acionistas e gerar valor para a sociedade. Para tanto, parte se do pressuposto de que a formacao de um ecossistema de inovacao, por intermedio da interconexao e inter relacao de uma rede de atores para gerar inovacao, pode contribuir neste processo. Assim, propoe se a seguinte questao: como a articulacao de um ecossistema de inovacao pode gerar valor compartilhado a todos os envolvidos? Desse modo, realizou se uma pesquisa acao participante no sul do Brasil, com base na interacao entre uma empresa multinacional alema, uma escola publica, uma universidade, o governo municipal e a comunidade local. O projeto que resultou desta interacao denominou se Arcos e teve como finalidade a resolucao de um problema social por parte de gestores, universitarios e alunos da escola, formando um ecossistema que permitiu a criacao de valor compartilhado a todos os envolvidos. Como resultados tem se um framework com os principais beneficios e proposicoes acerca da tematica.",
"author_names": [
"Bruno Anicet Bittencourt",
"Paola Schmitt Figueiro"
],
"corpus_id": 209183159,
"doc_id": "209183159",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Innovation ecosystems articulation and shared value creation",
"venue": "Cadernos EBAPE.BR",
"year": 2019
},
{
"abstract": "Abstract This paper explores the creation of value through the interactions of consumer and professional stakeholders in digital innovation ecosystems. We examine this by applying the methodological approach of Social Media Analytics (SMA) which is an interdisciplinary approach that seeks to combine, extend and adapt methods for analysing social media data. By utilising the SMA framework to track user generated contents published on social media platforms, we assess how consumer and professional stakeholders associate value to Storytel, a new entrant in the Swedish publishing industry that is offering digital subscription service for streaming audiobooks. Drawing from a dataset of 2633 user generated contents, our findings illustrate the value creating practices in which stakeholders in Storytel's ecosystems associate value to Storytel's digital innovation. Our findings further highlight that the value creating practices arising from the interactions of consumer and professional stakeholders in social media give rise to the hybridisation of value, where multiple values drawn from existing value categories become merged in the studied case. This study contributes to extant literature on management of innovation and information systems by (i) shedding light on how value is created by examining value creating practices as a result of the interactions between stakeholders and (ii) examining the resulting merging of value categories within digital innovation ecosystems and thus exploring the hybridisation of value.",
"author_names": [
"Yuliani Suseno",
"Christofer Laurell",
"Nathalie Sick"
],
"corpus_id": 56146538,
"doc_id": "56146538",
"n_citations": 38,
"n_key_citations": 0,
"score": 0,
"title": "Assessing value creation in digital innovation ecosystems: A Social Media Analytics approach",
"venue": "J. Strateg. Inf. Syst.",
"year": 2018
},
{
"abstract": "This article aims to uncover the processes of developing sustainable business models in innovation ecosystems. Innovation ecosystems with sustainability goals often consist of cross sector partners and need to manage three tensions: the tension of value creation versus value capture, the tension of mutual value versus individual value, and the tension of gaining value versus losing value. The fact that these tensions affect all actors differently makes the process of developing a sustainable business model challenging. Based on a study of four sustainably innovative cross sector collaborations, we propose that innovation ecosystems that develop a sustainable business model engage in a process of valuing value in which they search for a result that satisfies all actors. We find two different patterns of valuing value: collective orchestration and continuous search. We describe these patterns and the conditions that give rise to them. The identification of the two patterns opens up a research agenda that can shed further light on the conditions that need to be in place in order for an innovation ecosystem to develop effective sustainable business models. For practice, our findings show how cross sector actors in innovation ecosystems may collaborate when developing a business model around emerging sustainability oriented innovations.",
"author_names": [
"Inge Oskam",
"Bart Bossink",
"Ard-Pieter de Man"
],
"corpus_id": 213669209,
"doc_id": "213669209",
"n_citations": 12,
"n_key_citations": 0,
"score": 0,
"title": "Valuing Value in Innovation Ecosystems: How Cross Sector Actors Overcome Tensions in Collaborative Sustainable Business Model Development",
"venue": "",
"year": 2020
},
{
"abstract": "Business ecosystems are an emergent type of organizational form that can be defined as \"the alignment structure of the multilateral set of partners that need to interact in order for a focal value proposition to materialize\" (Adner in Journal of Management 2017) Gulati, Puranam, and Tushman (Strategic Management Journal 33(6) 571 586, 2012) have identified such ecosystems as a novel type of organizational form, which combines open membership boundaries with a highly stratified and more hierarchical decision making. Such new organizational forms are increasingly important in highly competitive global industries (Ilinitch, D'Aveni, and Lewin in Organization Science 7(3) 211 220, 1996; Volberda in Organization Science 7(4) 359 374, 1996) A key decision for firms managing a business ecosystem is how to design such governance decisions, as they can impact the rate and degree at which innovations arise and value is created. This chapter focuses on how firms manage these interdependencies with other actors that emerge in business ecosystems, and how the strategic management of such interdependencies affects innovation and value creation in business ecosystems.",
"author_names": [
"Hassan Usama Khan Sherwani",
"Richard Tee"
],
"corpus_id": 168747325,
"doc_id": "168747325",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Innovation and Value Creation in Business Ecosystems",
"venue": "",
"year": 2018
},
{
"abstract": "",
"author_names": [
"Sanna Ketonen-Oksi",
"Katri Valkokari"
],
"corpus_id": 157061755,
"doc_id": "157061755",
"n_citations": 15,
"n_key_citations": 0,
"score": 0,
"title": "Innovation Ecosystems as Structures for Value Co Creation",
"venue": "Technology Innovation Management Review",
"year": 2019
}
] |
Delgado recurrent neural | [
{
"abstract": "Low power sensing technologies, such as wearables, have emerged in the healthcare domain since they enable continuous and non invasive monitoring of physiological signals. In order to endow such devices with clinical value, classical signal processing has encountered numerous challenges. However, data driven methods, such as machine learning, offer attractive accuracies at the expense of being resource and memory demanding. In this paper, we focus on the inference of neural networks running in microcontrollers and low power processors which wearable sensors and devices are generally equipped with. In particular, we adapted an existing convolutional recurrent neural network, designed to detect and classify cardiac arrhythmias from a singlelead electrocardiogram, to the low power embedded System onChip nRF52 from Nordic Semiconductor with an ARM's CortexM4 processing core. We show our implementation in fixedpoint precision, using the CMSIS NN libraries, yields a drop of F1 score from 0.8 to 0.784, from the original implementation, with a memory footprint of 195.6 KB, and a throughput of 33.98 MOps/s.",
"author_names": [
"Antonino Faraone",
"Ricard Delgado-Gonzalo"
],
"corpus_id": 210156976,
"doc_id": "210156976",
"n_citations": 6,
"n_key_citations": 1,
"score": 0,
"title": "Convolutional Recurrent Neural Networks on Low Power Wearable Platforms for Cardiac Arrhythmia Detection",
"venue": "2020 2nd IEEE International Conference on Artificial Intelligence Circuits and Systems (AICAS)",
"year": 2020
},
{
"abstract": "While most heart arrhythmias are not immediately harmful, they can lead to severe complications. In particular, atrial fibrillation, the most common arrhythmia, is characterized by fast and irregular heart beats and increases the risk of suffering a stroke. To detect such abnormal heart conditions, we propose a system composed of two main parts: a smart vest with two cooperative sensors to collect ECG data and a neural network architecture to classify heart rhythms. The smart vest uses two dry bi electrodes to record a single lead ECG signal. The biopotential signal is then streamed via a gateway to the cloud where a neural network detects and classifies the heart arrhythmias. We selected an architecture that combines convolutional and recurrent layers. The convolutional layers extract relevant features from sliding windows of ECG and the recurrent layer aggregates them for a final softmax layer that performs the classification. Our neural network achieves an accuracy of 87.50% on the dataset of the challenge of Computing in Cardiology 2017.",
"author_names": [
"Jerome Van Zaen",
"Olivier Chetelat",
"Mathieu Lemay",
"Enric Muntane Calvo",
"Ricard Delgado-Gonzalo"
],
"corpus_id": 88483340,
"doc_id": "88483340",
"n_citations": 9,
"n_key_citations": 0,
"score": 0,
"title": "Classification of Cardiac Arrhythmias from Single Lead ECG with a Convolutional Recurrent Neural Network",
"venue": "BIOSIGNALS",
"year": 2019
},
{
"abstract": "Research in anti spoofing for automatic speaker verification has advanced considerably in the last three years. Antispoofing is a particularly difficult pattern classification problem since the characteristics of spoofed speech vary considerably and can never be predicted with any certainty in the wild. The design of features suited to the detection of unpredictable spoofing attacks is thus a staple of current research. End to end approaches to spoofing detection with exploit automatic feature learning have shown success and offer obvious appeal. This paper presents our efforts to develop such a system using recurrent neural networks and a particular algorithm known as neuroevolution of augmenting topologies (NEAT) Contributions include a new fitness function for network learning that not only results in better generalisation than the baseline system, but which also improves on raw performance by 22% relative when assessed using the ASVspoof 2017 database of bona fide speech and replay spoofing attacks. Results also show that mini batch training helps to improve generalisation, a technique which could also be of benefit to other solutions to the spoofing detection problem.",
"author_names": [
"Giacomo Valenti",
"Hector Delgado",
"Massimiliano Todisco",
"Nicholas W D Evans",
"Laurent Pilati"
],
"corpus_id": 51741158,
"doc_id": "51741158",
"n_citations": 16,
"n_key_citations": 0,
"score": 0,
"title": "An end to end spoofing countermeasure for automatic speaker verification using evolving recurrent neural networks",
"venue": "Odyssey",
"year": 2018
},
{
"abstract": "We developed a convolutional recurrent neural network to classify 12 lead ECG signals for the challenge of Phy sioNet/Computing in Cardiology 2020 as team Pink Irish Hat. The model combines convolutional and recurrent layers, takes sliding windows of ECG signals as input and yields the probability of each class as output. The convolutional part extracts features from each sliding window. The bi directional gated recurrent unit (GRU) layer and an attention layer aggregate these features from all windows into a single feature vector. Finally, a dense layer outputs class probabilities. The final decision is made using test time augmentation (TTA) and an optimized decision threshold. Several hyperparameters of our architecture were optimized, the most important of which turned out to be the choice of optimizer and the number of filters per convolutional layer. Our network achieved a challenge score of 0.511 on the hidden validation set and 0.167 on the full hidden test set, ranking us 24th out of 41 in the official ranking.",
"author_names": [
"Halla Sigurthorsdottir",
"Jerome Van Zaen",
"Ricard Delgado-Gonzalo",
"Mathieu Lemay"
],
"corpus_id": 221970469,
"doc_id": "221970469",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "ECG Classification With a Convolutional Recurrent Neural Network",
"venue": "2020 Computing in Cardiology",
"year": 2020
},
{
"abstract": "Except for a few specific types, cardiac arrhythmias are not immediately life threatening. However, if not treated appropriately, they can cause serious complications. In particular, atrial fibrillation, which is characterized by fast and irregular heart beats, increases the risk of stroke. We propose three neural network architectures to detect abnormal rhythms from single lead ECG signals. These architectures combine convolutional layers to extract high level features pertinent for arrhythmia detection from sliding windows and recurrent layers to aggregate these features over signals of varying durations. We applied the neural networks to the dataset used for the challenge of Computing in Cardiology 2017 and a dataset built by joining three databases available on PhysioNet. Our architectures achieved an accuracy of 86.23% on the first dataset, similar to the winning entries of the challenge, and an accuracy of 92.02% on the second dataset.",
"author_names": [
"Jerome Van Zaen",
"Ricard Delgado-Gonzalo",
"Damien Ferrario",
"Mathieu Lemay"
],
"corpus_id": 218539962,
"doc_id": "218539962",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Cardiac Arrhythmia Detection from ECG with Convolutional Recurrent Neural Networks",
"venue": "BIOSTEC",
"year": 2019
},
{
"abstract": "The application of neural networks to solve a problem involves tasks with a high computational cost until a suitable network is found, and these tasks mainly involve the selection of the network topology and the training step. We usually select the network structure by means of a trial and error procedure, and we then train the network. In the case of recurrent neural networks (RNNs) the lack of suitable training algorithms sometimes hampers these procedures due to vanishing gradient problems. This paper addresses the simultaneous training and topology optimization of RNNs using multiobjective hybrid procedures. The proposal is based on the SPEA2 and NSGA2 algorithms for making hybrid methods using the Baldwinian hybridization strategy. We also study the effects of the selection of the objectives, crossover, and mutation in the diversity during evolution. The proposals are tested in the experimental section to train and optimize the networks in the competition on artificial time series (CATS) benchmark.",
"author_names": [
"Miguel Delgado",
"Manuel P Cuellar",
"Maria del Carmen Pegalajar Jimenez"
],
"corpus_id": 22275468,
"doc_id": "22275468",
"n_citations": 53,
"n_key_citations": 2,
"score": 0,
"title": "Multiobjective Hybrid Optimization and Training of Recurrent Neural Networks",
"venue": "IEEE Transactions on Systems, Man, and Cybernetics, Part B (Cybernetics)",
"year": 2008
},
{
"abstract": "A dynamic recurrent neural network (DRNN) that can be viewed as a generalisation of the Hopfield neural network is proposed to identify and control a class of control affine systems. In this approach, the identified network is used in the context of the differential geometric control to synthesise a state feedback that cancels the nonlinear terms of the plant yielding a linear plant which can then be controlled using a standard PID controller.",
"author_names": [
"Alberto Delgado",
"Chandrasekhar Kambhampati",
"Kevin Warwick"
],
"corpus_id": 123654044,
"doc_id": "123654044",
"n_citations": 139,
"n_key_citations": 3,
"score": 1,
"title": "Dynamic recurrent neural network for system identification and control",
"venue": "",
"year": 1995
},
{
"abstract": "Grammatical inference has been extensively studied in recent years as a result of its wide field of application, and in turn, recurrent neural networks have proved themselves to be a good tool for grammatical inference. The learning algorithms for these neural networks, however, have been far less studied than those for feed forward neural networks. Classical training methods for recurrent neural networks suffer from being trapped in local minimal and having a high computational time. In addition, selecting the optimal size of a neural network for a particular application is a difficult task. This suggests that the problems of developing methods to determine optimal topologies and new training algorithms should be studied. In this paper, we present a multi objective evolutionary algorithm which is able to determine the optimal size of recurrent neural networks in any particular application. This is specially analyzed in the case of grammatical inference: in particular, we study how to establish the optimal size of a recurrent neural network in order to learn positive and negative examples in a certain language, and how to determine the corresponding automaton using a self organizing map once the training has been completed.",
"author_names": [
"Miguel Delgado",
"Maria del Carmen Pegalajar Jimenez"
],
"corpus_id": 207320089,
"doc_id": "207320089",
"n_citations": 41,
"n_key_citations": 1,
"score": 0,
"title": "A multiobjective genetic algorithm for obtaining the optimal size of a recurrent neural network for grammatical inference",
"venue": "Pattern Recognit.",
"year": 2005
},
{
"abstract": "Artificial neural networks are bio inspired mathematical models that have been widely used to solve complex problems. The training of a neural network is an important issue to deal with, since traditional gradient based algorithms become easily trapped in local optimal solutions, therefore increasing the time taken in the experimental step. This problem is greater in recurrent neural networks, where the gradient propagation across the recurrence makes the training difficult for long term dependences. On the other hand, evolutionary algorithms are search and optimization techniques which have been proved to solve many problems effectively. In the case of recurrent neural networks, the training using evolutionary algorithms has provided promising results. In this work, we propose two hybrid evolutionary algorithms as an alternative to improve the training of dynamic recurrent neural networks. The experimental section makes a comparative study of the algorithms proposed, to train Elman recurrent neural networks in time series prediction problems.",
"author_names": [
"Miguel Delgado",
"Maria del Carmen Pegalajar Jimenez",
"Manuel P Cuellar"
],
"corpus_id": 465443,
"doc_id": "465443",
"n_citations": 25,
"n_key_citations": 1,
"score": 0,
"title": "Memetic evolutionary training for recurrent neural networks: an application to time series prediction",
"venue": "Expert Syst. J. Knowl. Eng.",
"year": 2006
},
{
"abstract": "Two approaches are presented to calculate the weights for a Dynamic Recurrent Neural Network (DRNN) in order to identify the input output dynamics of a class of nonlinear systems. The number of states of the identified network is constrained to be the same as the number of states of the plant.",
"author_names": [
"Alberto Delgado",
"Chandrasekhar Kambhampati",
"Kevin Warwick"
],
"corpus_id": 62527464,
"doc_id": "62527464",
"n_citations": 8,
"n_key_citations": 1,
"score": 0,
"title": "Identification of nonlinear systems with a dynamic recurrent neural network",
"venue": "",
"year": 1995
}
] |
"Comparison of properties of dielectric films deposited by various methods | [
{
"abstract": "In this review paper, the physico chemical properties of various dielectric films used on semiconductor devices are compared according to their method of formation or deposition. The insulators which are discussed are silicon dioxide, phosphosilicate glass, silicon nitride, alumina, borosilicate glass, and other silicate glasses. The techniques of formation or deposition are thermal oxidation, CVD and pyrolytic deposition, plasma CVD, dc and rf reactive sputtering, rf sputtering, electron beam evaporation, and fused glass from sedimented powders.",
"author_names": [
"William A Pliskin"
],
"corpus_id": 95832192,
"doc_id": "95832192",
"n_citations": 446,
"n_key_citations": 2,
"score": 1,
"title": "Comparison of properties of dielectric films deposited by various methods",
"venue": "",
"year": 1977
},
{
"abstract": "Detonation Nanodiamonds (DNDs) are known to have sp3 core, sp2 shell, small size (few nm) and are gaining importance as multi functional nanoparticles. Diverse methods have been used to form composites, containing detonation nanodiamonds (DNDs) embedded in conductive and dielectric matrices for various applications. Here we show a method, wherein DND ta C composite film, consisting of DNDs embedded in ta C matrix have been co deposited from the same cathode by pulsed filtered cathodic vacuum arc method. Transmission Electron Microscope analysis of these films revel the presence of DNDs embedded in the matrix of amorphous carbon. Raman spectroscopy indicates that the presence of DNDs does not adversely affect the sp3 content of DND ta C composite film compared to ta C film of same thickness. Nanoindentation and nanowear tests indicate that DND ta C composite films possess improved mechanical properties in comparison to ta C films of similar thickness.",
"author_names": [
"A N Iyer",
"Jarkko Etula",
"Yanling Ge",
"Xuwen Liu",
"Jari Koskinen"
],
"corpus_id": 53608656,
"doc_id": "53608656",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Nanodiamond embedded ta C composite film by pulsed filtered vacuum arc deposition from a single target",
"venue": "",
"year": 2016
},
{
"abstract": "For this proposed work, the comparison of structural, electrical, dielectric, and ferroelectric properties of HfO2 film deposited by plasma enhanced atomic layer deposition (PEALD) and radio frequency (RF) sputtering technique. Various characteristics has been obtained by fabricating the metal ferroelectric silicon (MFeS) and metal ferroelectric metal (MFeM) capacitors with different thickness of HfO2 (5, 10, 15, 20 nm) as a ferroelectric layer deposited on silicon and TiN/Silicon. The structural properties such as crystallographic phase, grain size with composition and refractive index of the deposited film were measured by X ray diffraction, Field emission scanning electron microscopy with energy dispersive spectroscopy (FESEM EDS) and multiple angle ellipsometry with the variation in annealing temperature. MFeS and MFeM structure were fabricated to obtain electrical and ferroelectric properties such as memory window, leakage current density, closed loop hysteresis, remnant polarization, charge, coercive field voltage, data retention time, endurance, and breakdown voltage of the deposited film. MFeS structure shows the memory window and flat band voltage shift of 4 V and 1.72 V, respectively for 10 nm PEALD deposited HfO2 layer. For the sputtered 15 nm film, maximum memory window of 4.32 V and leakage current density of 1.2 x 108 A/cm2 has been observed at the annealing temperature of 800 degC. Remnant polarization of 4 and 1.2 mC/cm2 obtained for PEALD and sputtered HfO2 film. The fabricated structure shows data retention for greater than 10 years and fatigue resistance for higher than 1012 read/write cycles. The reliability of the thin film was investigated by measuring the breakdown voltages of MFeS structure for different film thickness.",
"author_names": [
"Rajesh Kumar Jha",
"Prashant Singh",
"Manish Goswami",
"B R Singh"
],
"corpus_id": 207987438,
"doc_id": "207987438",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Comparative study of structural electrical dielectric and ferroelectric properties of HfO2 deposited by plasma enhanced atomic layer deposition and radio frequency sputtering technique for the application in 1 T FeFET",
"venue": "Journal of Materials Science: Materials in Electronics",
"year": 2019
},
{
"abstract": "Abstract(Ba0.5Sr0.5)TiO3 (BST) thin films were deposited on various substrates, such as LaAlO3(100) MgO(100) R plane sapphire[1012] and polycrystalline sapphire, by using RF magnetron sputtering to investigate the influence of annealing temperature on the dielectric properties and the tunability of the films. The BST thin films deposited on LaAlO3(100) exhibited a high tunability of 42 and a low dielectric loss of 0.004 due to the small differences in the lattice parameters and the thermal expansion coefficients between the BST films and the substrates. In contrast, the BST films deposited on a polycrystalline sapphire, exhibiting a relatively high mismatch factor, showed the tunability of ~24 and a dielectric loss of ~0.007. The BST thin films on LaAlO3(100) MgO(100) R plane sapphire[1012] and polycrystalline sapphire were annealed. The optimized annealing temperatures were found to be 950 degC, 1050 degC, 1100 degC, and 1150 degC, respectively. The difference in annealing temperature is likely due to the differences in the lattice parameters and the thermal expansion coefficients between the films and the substrates.",
"author_names": [
"Chil Hyoung Lee",
"Y J Oh",
"Deuk Yong Lee",
"Doo Jin Choi"
],
"corpus_id": 125702875,
"doc_id": "125702875",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Influence of annealing temperature on the dielectric properties of BaSrTiO3 thin films deposited on various substrates",
"venue": "",
"year": 2016
},
{
"abstract": "The paper presents the results of studying the structure, phase composition, relieph, and superconducting characteristics of oxygen containing thin films of magnesium diboride (MgB2)deposited on a dielectric substrate by magnetron sputtering of diboride magnesium targets. The possibility of forming films of varying degrees of crystalline perfection and phase composition in the process of precipitation and annealing is shown, depending on the conditions of deposition and annealing. In the films, it is possible to realize various combinations of the Abrikosov vortex pinning centers (in the places of fluctuations of the critical temperature of the superconducting transition (Tc type)and in the places of fluctuations in the mean free path (I type) whose origin and density are influenced by the conditions for the synthesis of films. It is shown that the deposited films consist of a superconducting matrix, which is a solid solution of oxygen in a lattice of magnesium diboride of the MgBxOy type; the density of the superconducting critical current of the films can reach 1.8 1011 8.2 1010 A/m2 at 10 K and 81010 2.81010 A/m2 at 20 K in fields of 0 1 T (when the external magnetic field is oriented perpendicular to the plane of the substrate)",
"author_names": [
"Tetiana Prikhna",
"Anton V Shaternik",
"Victor Moshchil",
"Michael Eisterer",
"Volodymyr E Shaternik"
],
"corpus_id": 208631247,
"doc_id": "208631247",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Investigation of Properties of Nanostructured MgB2 Films Deposited by Magnetron Sputtering",
"venue": "2018 IEEE 8th International Conference Nanomaterials: Application Properties (NAP)",
"year": 2018
},
{
"abstract": "Abstract ZnO thin films were deposited using three processes e.g. thermal oxidation of metallic zinc (Zn) sol gel and RF sputtering. The structural, optical and electrical properties of ZnO films were investigated and compared systematically using X ray diffraction (XRD) X ray photoelectron spectroscopy (XPS) scanning electron microscope (SEM) Raman spectroscopy, photoluminescence (PL) and UV measurements. Stoichiometric and surface morphological difference was observed in the films deposited by three different techniques. Thermally oxidized ZnO films and sol gel derived ZnO film had naturally grown textured surface, while the surface of the sputtered ZnO film was relatively smooth. The electrical transport properties of the Pd/ZnO Schottky contact were studied by using microprobe arrangement. The values of ideality factor and barrier height were found to be significantly good for Schottky contact of thermally oxidized ZnO thin film. The study demonstrates that Pd/ZnO Schottky type contact fabricated by a simple and inexpensive growth technique can be used as a substitute of conventional Schottky diodes for electronic and optoelectronic applications.",
"author_names": [
"Shaivalini Singh",
"P Chakrabarti"
],
"corpus_id": 119550199,
"doc_id": "119550199",
"n_citations": 53,
"n_key_citations": 1,
"score": 0,
"title": "Comparison of the structural and optical properties of ZnO thin films deposited by three different methods for optoelectronic applications",
"venue": "",
"year": 2013
},
{
"abstract": "A highly responsive resistive type humidity sensor is fabricated from porous aluminium doped ZnO (Al:ZnO, AZO) thin films deposited by using jet atomizer spray pyrolysis technique. The deposited thin films are calcined at various temperatures, ranging from 450 to 650 degC, to improve the crystallinity, electrical and optical properties. Electrical resistivity of the films is measured by Van der Pauw technique. Lowest resistivity of 13 O*cm is obtained for 3 at. AZO samples calcined at 500 degC. Humidity sensing properties like responsivity, sensitivity, repeatability, response time and recovery time of the AZO samples are investigated at room temperature in the range of 10 90% of relative humidity (RH) Among all the samples, 5 at. AZO thin films show the highest responsivity of 733% at 90% RH. In contrast to other ZnO based sensors, it is found that the resistance of the AZO sensors, prepared in our method, increases with the increase of relative humidity. A sensing mechanism of the AZO and ZnO thin films is proposed to explain the water adsorption technique and the cause of increase in resistance of the sensors during water adsorption.",
"author_names": [
"Soumalya Kundu",
"Rahul Majumder",
"Ria Ghosh",
"Manish Pal Chowdhury"
],
"corpus_id": 139974707,
"doc_id": "139974707",
"n_citations": 6,
"n_key_citations": 0,
"score": 0,
"title": "Superior positive relative humidity sensing properties of porous nanostructured Al:ZnO thin films deposited by jet atomizer spray pyrolysis technique",
"venue": "Journal of Materials Science: Materials in Electronics",
"year": 2019
},
{
"abstract": "Copper bismuth sulfide thin films were deposited at 200 degC, 300 degC, 400 degC and 500 degC on the glass substrates by electron beam evaporation method. X ray diffraction study revealed that the copper bismuth sulfide films of single and mixed phases were formed as a function of substrate temperatures. Substrate temperature of 300 degC and 400 degC formed single phase Cu4Bi4S9 and Cu4Bi5S10 films respectively whereas substrate temperature of 500 degC formed mixed phases of Cu4Bi4S9 and Cu4Bi5S10 film. Crystallite size, dislocation density and microstrain of the films were modified by the various substrate temperatures. Surface morphology of the film Cu4Bi5S10 deposited at 400 degC examined by scanning electron microscopy showed the distribution of spherical shaped particles on the film surface. The presence of copper, bismuth and sulfur elements in the deposited films was confirmed using energy dispersive spectral studies. The calculated direct optical band gap energy of the films deposited at different substrate temperature varied from 1.47 to 1.64 eV and the absorption coefficient is in the order of 106 cm 1.",
"author_names": [
"P Bhuvaneswari",
"K Ramamurthi",
"Ramraj Ramesh Babu"
],
"corpus_id": 139168871,
"doc_id": "139168871",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Effect of substrate temperature on the structural, morphological and optical properties of copper bismuth sulfide thin films deposited by electron beam evaporation method",
"venue": "Journal of Materials Science: Materials in Electronics",
"year": 2018
},
{
"abstract": "Abstract A comparison of mechanical properties of amorphous silicon nitride thin films deposited with various techniques used for microelectronic applications was conducted. Nitride films with thicknesses less than 80 nm were deposited on (0 0 1) oriented silicon wafers by using various methods: low pressure chemical vapor deposition (LPCVD) rapid thermal CVD (RTCVD) atomic layer deposition (ALD) and plasma enhanced CVD (PECVD) The wafer curvature method was used to show that the as deposited LPCVD, RTCVD and ALD films exhibited tensile residual stresses that decreased with silicon richness. In contrast, the stress of the PECVD as deposited layers ranged from tensile to ultra compressive, depending on the exposure to high plasma power and ion bombardment during growth. After high temperature annealing, the LPCVD, RTCVD and ALD nitride stresses were almost unchanged, indicating that these films/substrate systems have significant thermal mechanical stability. In contrast, it was observed that, regardless of the initial stress, the annealed PECVD films developed tensile stress after high temperature treatment, with the same dependence of stress on refractive index as was found with the other deposition techniques. The Young's moduli, measured by performing nano indentation on 200 nm thick nitride layers, were found for most samples to be correlated with film density.",
"author_names": [
"P Morin",
"Gaetan Raymond",
"Daniel Benoit",
"P Maury",
"R Beneyton"
],
"corpus_id": 137060691,
"doc_id": "137060691",
"n_citations": 30,
"n_key_citations": 0,
"score": 0,
"title": "A comparison of the mechanical stability of silicon nitride films deposited with various techniques",
"venue": "",
"year": 2012
},
{
"abstract": "Abstract For the first time, unfocused Chitosan nano ZnO composite film was prepared by a simple one pot procedure. The novel composite materials were ably characterized by various physico chemical methods. Dielectric and conductivity features of composite materials were analysed. The result showed that both dielectric constant and conductivity values were improved when nano ZnO was incorporated. The potential applicability of composite films to perform as an efficient antimicrobial packaging material was evaluated. Antimicrobial analysis showed that all composite films exhibited enhanced antimicrobial efficacy as compared to pure chitosan film and it is linearly related to the amount of ZnO particles in the matrix.",
"author_names": [
"P Mujeeb Rahman",
"V M Abdul Mujeeb",
"K Muraleedharan",
"Steni K Thomas"
],
"corpus_id": 99368433,
"doc_id": "99368433",
"n_citations": 81,
"n_key_citations": 1,
"score": 0,
"title": "Chitosan/nano ZnO composite films: Enhanced mechanical, antimicrobial and dielectric properties",
"venue": "",
"year": 2018
}
] |
of a Silicon Nitride Memristor. . Mater | [
{
"abstract": "In this paper, we present a synapse function using analog resistive switching behaviors in a SiNx based memristor with a complementary metal oxide semiconductor compatibility and expandability to three dimensional crossbar array architecture. A progressive conductance change is attainable as a result of the gradual growth and dissolution of the conducting path, and the series resistance of the AlOy layer in the Ni/SiNx/AlOy/TiN memristor device enhances analog switching performance by reducing current overshoot. A continuous and smooth gradual reset switching transition can be observed with a compliance current limit >100 mA) and is highly suitable for demonstrating synaptic characteristics. Long term potentiation and long term depression are obtained by means of identical pulse responses. Moreover, symmetric and linear synaptic behaviors are significantly improved by optimizing pulse response conditions, which is verified by a neural network simulation. Finally, we display the spike timing dependent plasticity with the multipulse scheme. This work provides a possible way to mimic biological synapse function for energy efficient neuromorphic systems by using a conventional passive SiNx layer as an active dielectric.",
"author_names": [
"Sungjun Kim",
"Hyungjin Kim",
"Sungmin Hwang",
"Min-Hwi Kim",
"Yao-Feng Chang",
"Byung-Gook Park"
],
"corpus_id": 206465830,
"doc_id": "206465830",
"n_citations": 114,
"n_key_citations": 0,
"score": 1,
"title": "Analog Synaptic Behavior of a Silicon Nitride Memristor.",
"venue": "ACS applied materials interfaces",
"year": 2017
},
{
"abstract": "We demonstrate the hardware implementation of spiking neural network (SNN) with synaptic transistors and neuron circuits. The method of conversion from software fully connected network (FCN) to hardware SNN with little degradation is discussed. The degradation of classification accuracy is analyzed in terms of device variation and noisy images. In addition, the accuracy degradation is significantly improved by stacking denoising autoencoder (DAE) layer. FCN SNN conversion with very little performance drop is demonstrated using weight normalization, and SNN with DAE layer shows a great tolerance to input image noise.",
"author_names": [
"Hyungjin Kim",
"Sungmin Hwang",
"Jung Jin Park",
"Sangdoo Yun",
"Jongho Lee",
"Byung-Gook Park"
],
"corpus_id": 4086256,
"doc_id": "4086256",
"n_citations": 20,
"n_key_citations": 2,
"score": 0,
"title": "Spiking Neural Network Using Synaptic Transistors and Neuron Circuits for Pattern Recognition With Noisy Images",
"venue": "IEEE Electron Device Letters",
"year": 2018
},
{
"abstract": "Memristors attract wide attention due to its high integration and parallel computation, having great potential to promote the development of machine learning. As memristors are prone to internal and external variabilities, their variabilities hurt the performance of memristors and, therefore, the performance of memristive neural networks. In this paper, the influence of memristors' stability on machine learning is analyzed. Based on a filamentary memristors' compact circuit model, two typical machine learning methods, a feed forward network and a data clustering, as the representatives of supervised and unsupervised learnings, are tested, following the model's four variation parameters, the variations of maximum memristances, of conductive filaments' change speeds, of initial conductive filaments' lengths, and of minimum memristances. Results show that in a feed forward network, the changing speeds of conductive filaments' length play a key role. What is more, the smaller feed forward network tends to worse performance. In data clustering, the variations of the maximum and minimum memristances have a determinant effect on performance. While the variations of conductive filaments' change, speeds, and initial conductive filaments' lengths show random influence. Moreover, the migration trend of clustering centers does not change with the size of neural networks. We hope the exploration in this paper can deepen the understanding of memristor's role in machine learning and give guidelines for the design and fabrication of memristive neural networks.",
"author_names": [
"Ruohua Zhu",
"Shizhuo Ye",
"Zhiri Tang",
"Peng Lin",
"Qijun Huang",
"Hao Wang",
"Jin He",
"Sheng Chang"
],
"corpus_id": 131774475,
"doc_id": "131774475",
"n_citations": 9,
"n_key_citations": 0,
"score": 0,
"title": "Influence of Compact Memristors' Stability on Machine Learning",
"venue": "IEEE Access",
"year": 2019
},
{
"abstract": "We report charge storage based memristive operation of a junctionless thin film flash memory cell when it is operated as a two terminal device by grounding the gate. Unlike memristors based on nanoionics, the presented device mode, which we refer to as the flashristor mode, potentially allows greater control over the memristive properties, allowing rational design. The mode is demonstrated using a depletion type n channel ZnO transistor grown by atomic layer deposition (ALD) with HfO2 as the tunnel dielectric, Al2O3 as the control dielectric, and non stoichiometric silicon nitride as the charge storage layer. The device exhibits the pinched hysteresis of a memristor and in the unoptimized device, Roff/Ron ratios of about 3 are presented with low operating voltages below 5 V. A simplified model predicts Roff/Ron ratios can be improved significantly by adjusting the native threshold voltage of the devices. The repeatability of the resistive switching is excellent and devices exhibit 106 s retention time, w.",
"author_names": [
"Ikram Orak",
"Mustafa Urel",
"Gokhan Bakan",
"Aykutlu Dana"
],
"corpus_id": 44169148,
"doc_id": "44169148",
"n_citations": 16,
"n_key_citations": 0,
"score": 0,
"title": "Memristive behavior in a junctionless flash memory cell",
"venue": "",
"year": 2015
},
{
"abstract": "Resistive switching in amorphous silicon carbide (a SiC) films deposited by a single composite target magnetron sputtering process is reported. Switching performance as a function of thickness of the films (50, 100 and 300 nm) as well as different top metal electrodes (Cu, Pt and Ag) with the bottom electrode fixed as Au, is investigated. The switching parameters (Forming Voltage, Set and Reset voltages and corresponding currents) are found to be dependent on thickness of SiC films and it is observed that 100 nm is the optimal thickness for best endurance. The interface between metal electrode and a SiC films plays a more significant role in achieving switching performance. Resistance Off/On ratios of 108, retention times >104 s and endurance of 50 cycles are achieved in the best devices. Cross sectional scanning electron microscopy provides evidence that the mechanism of switching involves the formation of carbonaceous filaments and Raman spectroscopy indicates that these filaments are nanocrystalline graphite in nature. The current work clearly establishes that there is dissociation of SiC during the switching cycles leading to formation of nanocrystalline graphitic filaments. These contribute to switching, in addition to the metallic filaments, in the a SiC based resistive memory device.",
"author_names": [
"Poreddy Chaitanya Akshara",
"Nilanjana Basu",
"Jayeeta Lahiri",
"",
"Mamidipudi Ghanashyam Krishna"
],
"corpus_id": 199543351,
"doc_id": "199543351",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "The role of graphitic filaments in resistive switching behaviour of amorphous silicon carbide thin films.",
"venue": "",
"year": 2019
},
{
"abstract": "Ion conducting memristors comprised of the layered materials Ge2Se3/SnSe/Ag are promising candidates for neuromorphic computing applications. Here, the spike timing dependent plasticity (STDP) application is demonstrated for the first time with a single memristor type operating as a synapse over a timescale of 10 orders of magnitude, from nanoseconds through seconds. This large dynamic range allows the memristors to be useful in applications that require slow biological times, as well as fast times such as needed in neuromorphic computing, thus allowing multiple functions in one design for one memristor type a \"one size fits all\" approach. This work also investigated the effects of varying the spike pulse shapes on the STDP response of the memristors. These results showed that small changes in the pre and postsynaptic pulse shape can have a significant impact on the STDP. These results may provide circuit designers with insights into how pulse shape affects the actual memristor STDP response and aid them in the design of neuromorphic circuits and systems that can take advantage of certain features in the memristor STDP response that are programmable via the pre and postsynaptic pulse shapes. In addition, the energy requirement per memristor is approximated based on the pulse shape and timing responses. The energy requirement estimated per memristor operating on slower biological timescales (milliseconds to seconds) is larger (nanojoules range) as expected, than the faster (nanoseconds) operating times ~0.1 pJ in some cases) Lastly, the memristors responded in a similar manner under normal STDP conditions (pre and post spikes applied to opposite memristor terminals) as they did to the case where a waveform corresponding to the difference between pre and post spikes was applied to only one electrode, with the other electrode held at ground potential. By applying the difference signal to only one terminal, testing of the memristor in various applications can be achieved with a simplified test set up, and thus be easier to accomplish in most laboratories.",
"author_names": [
"Kristy A Campbell",
"Kolton T Drake",
"Elisa H Barney Smith"
],
"corpus_id": 18395041,
"doc_id": "18395041",
"n_citations": 16,
"n_key_citations": 0,
"score": 0,
"title": "Pulse Shape and Timing Dependence on the Spike Timing Dependent Plasticity Response of Ion Conducting Memristors as Synapses",
"venue": "Front. Bioeng. Biotechnol.",
"year": 2016
},
{
"abstract": "A neuromorphic electronic system requires the component devices to not only mimic typical synaptic behaviors but also be energy efficient, together with excellent uniformity and tunable memory time. For this purpose, we fabricated amorphous In Ga Zn O (a IGZO) thin film transistors with plasma enhanced atomic layer deposition AlOx:H dielectrics, successfully demonstrating typical synaptic behaviors, such as excitatory and inhibitory postsynaptic current, pair pules facilitation, dynamic filter, learning and forgetting abilities and spike timing dependent plasticity. In particular, such synaptic transistors exhibit ultralow energy consumption down to 3.18 fJ per synaptic event and tunable extensive memory time ranging from 76.6 ms to at least thousands of seconds. The ultralow energy consumption is realized by electron trapping and releasing at and near the interface between a IGZO channel and AlOx:H dielectric under low voltages. By adjusting the concentration of oxygen vacancy defects in the a IGZO domain adjacent to the interface by means of changing the growth temperature of the AlOx:H dielectrics, the memory time of the device can be further tuned on a large scale. Device flexibility was also demonstrated by fabricating the synaptic transistors onto polymer substrates at room temperature.",
"author_names": [
"Lingkai Li",
"Yan Shao",
"Xiaolin Wang",
"Xiaohan Wu",
"Wenjun Liu",
"David-Wei Zhang",
"S J Ding"
],
"corpus_id": 209854312,
"doc_id": "209854312",
"n_citations": 6,
"n_key_citations": 0,
"score": 0,
"title": "Flexible Femtojoule Energy Consumption In Ga Zn O Synaptic Transistors With Extensively Tunable Memory Time",
"venue": "IEEE Transactions on Electron Devices",
"year": 2020
},
{
"abstract": "The power consumption (PC) window margin (WM) thermal stability (TS) and reliability [i.e. endurance (EN) and retention time (RT) of aluminum nitride (AlN) resistive random access memory (RRAM) devices with different film orientations [i.e. amorphous, (100) and (002) oriented] are investigated. It is shown that the charge carrier transport mechanism of the three devices is dominated by Ohmic behavior under low electric fields and the trap controlled space charge limited current (TC SCLC) model under high electric fields. Among the three devices, the (002) oriented AlN sample provides the best overall PC, WM, TS, EN, and RT performance due to its {c} axis orientation, which is favorable for the formation and rupture of the vertically arranged conductive filaments (CFs) in the AlN film.",
"author_names": [
"Huei Yu Liou",
"Pei-Hao Hung",
"Sheng-Yuan Chu",
"Chih-Yu Huang",
"Cheng-Shong Hong"
],
"corpus_id": 203994554,
"doc_id": "203994554",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Effects of Film Orientation on Power Consumption, Thermal Stability, and Reliability of Aluminum Nitride Resistive Random Access Memory Devices",
"venue": "IEEE Transactions on Electron Devices",
"year": 2019
},
{
"abstract": "Artificial neurons and synapses are critical units for processing intricate information in brain inspired neuromorphic systems. Memristors are frequently engineered as artificial synapses due to their simple structures, nonlinear dynamics, and high density integration. However, the development of artificial neurons on memristors has less progress. In this letter, we propose a rich dynamics driven artificial neuron based on two dimensional materials MXene. Partial essential neural features of neural processing, including leaky integration, automatic threshold driven fire, and self recovery, were successfully emulated in a unified manner. The space charge limited current (SCLC) model accompanied by electrochemical metallization effect was used to explain electrical characteristics. This work will provide a useful guideline for designing and manipulating memristor as artificial neurons for brain inspired systems.",
"author_names": [
"Xinwei Liu",
"Er-Tao Hu",
"Subhranu Samanta",
"Xiaojuan Lian"
],
"corpus_id": 202074551,
"doc_id": "202074551",
"n_citations": 16,
"n_key_citations": 0,
"score": 0,
"title": "Realization of Artificial Neuron Using MXene Bi Directional Threshold Switching Memristors",
"venue": "IEEE Electron Device Letters",
"year": 2019
},
{
"abstract": "In this letter, biologic synaptic functions of organic inorganic hybrid memristors using novel Ti based maleic acid (Ti MA)/TiO2 ultrathin films have been demonstrated. The memristive functional layer consists of 4 nm Ti MA and 4 nm TiO2, which was fabricated at 160deg C by molecular layer deposition (MLD)/atomic layer deposition (ALD) respectively. A typical bipolar resistive switching characteristic has been confirmed in the TaN/Ti MA/TiO2/Pt bilayer memristors with the space charge limited current model. Using different electrical pulse modules, several important biologic synaptic functions such as nonlinear transmission characteristics, short /long term plasticity, paired pulse facilitation, and spike timing dependent plasticity have been achieved. The organic inorganic hybrid memristors of ultrathin bilayer Ti MA/TiO2 derived by low temperature MLD/ALD are attractive for neuromorphic simulation and flexible neuroscience applications.",
"author_names": [
"Chang Liu",
"Yanqiang Cao",
"Di Wu",
"Aidong Li"
],
"corpus_id": 209696138,
"doc_id": "209696138",
"n_citations": 6,
"n_key_citations": 0,
"score": 0,
"title": "Simulation of Biologic Synapse Through Organic Inorganic Hybrid Memristors Using Novel Ti Based Maleic Acid/TiO2 Ultrathin Films",
"venue": "IEEE Electron Device Letters",
"year": 2020
}
] |
Power semiconductor drives | [
{
"abstract": "This textbook introduces students to the underlying principles of operation of power semiconductor drives. It explains every facet of application of power electronics to the control of electric motors in industrial drives. The book is primarily intended for B.E./B.Tech. students of Electrical Engineering/ Electrical and Electronics Engineering having courses in Electric Drives/ Power Semiconductor Drives. It will also be highly useful for M.E./M.Tech. students of these disciplines specializing in Power Electronics/Industrial Drives/Electric Drives. The text is divided into eight chapters. The first two chapters cover the control of dc motors by using various kinds of converters. The third chapter focuses on dual converters and various braking techniques. Chopper control fed dc motors are discussed in the fourth chapter. The next three chapters are devoted to control methods for induction motors. The eighth chapter deals with the control of synchronous motor drives fed from VSI converters and cycloconverters. Extensively illustrated, the book contains numerous solved examples throughout the text as well as a variety of chapterend questions to help in comprehending as well as in strengthening the grasp of the underlying concepts and principles. (Goodreads)",
"author_names": [
"Shashi B Dewan",
"Gordon R Slemon",
"Alan Straughen"
],
"corpus_id": 107060972,
"doc_id": "107060972",
"n_citations": 75,
"n_key_citations": 0,
"score": 1,
"title": "Power Semiconductor Drives",
"venue": "",
"year": 1984
},
{
"abstract": "",
"author_names": [
""
],
"corpus_id": 202950745,
"doc_id": "202950745",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Power Semiconductor Drives",
"venue": "",
"year": 2007
},
{
"abstract": "Proceedings ofthe Conference on Drives/Motors/Controls 84: ed. P. LAWRENSON and A.HUGHES (Peter Peregrinus, 1984,267 pp. PS25 U.K. PS32.50 elsewhere) Drives/Motors/Controls 84 was the third in a series of what is now a well established annual conference, the wide scope of which is described by its title. In view of the many conferences organised both in this country and abroad which cover similar topics, the increasing popularity of this conference is an indication that it certainly meets a requirement and satisfies a demand. An important feature of the conference is the high degree of interest shown, and support given by industrial organisations. The success of the conference is due not solely to the range of topics covered but in no small part to the manner in which this is done. This reviewer suspects that submissions from would be contributors are treated by the organisers in a manner which is rather more selective and discriminating than that used for some other conferences, a procedure which obviously improves the standard of the whole. Contributors are encouraged to keep high level mathematical treatments to a minimum and to emphasise practical aspects; this does not detract from the value of a contribution if it informs and educates system designers and potential users of a particular technique, material or device, and the result is a collection of contributions which are informative, often stimulating and easily digested. The Proceedings of the 3rd conference contains all contributions accepted and presented. Topics dealt with range from the characteristics of individual components such as power semi conductor devices, motors and inverters to the design of complete systems, including electrohydraulics, with discussion of industrial applications. Recent developments in stepping motors and position control techniques, brushless motors and permanent magnet machines and control of a.c. motors are the subjects of the bulk of the papers. For this conference, however, a significant proportion of the contributions is concerned with the effects of advances in digital techniques upon such aspects as control system design communications inside systems and diagnostics; approximately 25% of the Proceedings is made up of papers on programmable controllers, presented by engineers from industrial organisations. Although the aim of the conference is to act as an exchange of information at a somewhat practical level for system designers and manufacturers, rather than device specialists, the Proceedings acts as a guide to the directions in which industrial as well as academic institutions are moving in their research and developments. Such a guide is a valuable addition to the library for anyone interested in any aspect of drives, motors and/or their control. A. C. WILLIAMSON Department ofElectrical Engineering and Electronics. UMIST",
"author_names": [
"G H Simmons"
],
"corpus_id": 117193364,
"doc_id": "117193364",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Book Review: Power Semiconductor Drives",
"venue": "",
"year": 1985
},
{
"abstract": "",
"author_names": [
"P G Holmes"
],
"corpus_id": 109136522,
"doc_id": "109136522",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Power Semiconductor Drives",
"venue": "",
"year": 1985
},
{
"abstract": "Historically, power semiconductor devices have been divided into three broad categories: diodes, transistors, and thyristors. Although modern devices can be classified in this way, there is an increasing overlap in device design and function. Also semiconductors, such as silicon carbide (SiC) gallium nitride (GaN) and other materials, as well as novel device designs have increased the suitability and broadened the applications of semiconductor switches in megawatt (MW) power conversion circuits and systems.",
"author_names": [
"Jerry L Hudgins",
"Rik W De Doncker"
],
"corpus_id": 14513361,
"doc_id": "14513361",
"n_citations": 12,
"n_key_citations": 1,
"score": 0,
"title": "Power Semiconductor Devices: For Variable Speed Drives",
"venue": "IEEE Industry Applications Magazine",
"year": 2012
},
{
"abstract": "The electric propulsion drives for the more electric aircraft need lightweight and high efficiency power converters. Moreover, a modular approach to the construction of the drive ensures reduced costs, reliability, and ease of maintenance. In this article, the design and fabrication procedure of a modular dc ac three level t type single phase leg power electronics building block (PEBB) rated for 100 kW, 1 kV dc link is reported for the first time. A hybrid switch (HyS) consisting of a silicon insulated gate bipolar junction transistor (IGBT) and silicon carbide metal oxide semiconductor field effect transistor (MOSFET) was used as an active device to enable high switching frequencies at high power. The topology and semiconductor selection were based on a model based design tool for achieving high conversion efficiency and lightweight. Due to the unavailability of commercial three level t type power modules, a printed circuit board (PCB) and off the shelf discrete semiconductor based high power switch was designed for the neutral point clamping. Also, a nontrivial aluminum based multilayer laminated bus bar was designed to facilitate the low inductance interconnection of the selected active devices and the capacitor bank. The measured inductance indicated symmetry of both current commutation loops in the bus bar and value in the range of 28 29 nH. The specific power and volumetric power density of the block were estimated to be 27.7 kW/kg and 308.61 W/in3, respectively. The continuous operation of the block was demonstrated at 48 kVA. The efficiency of the block was measured to be 98.2%",
"author_names": [
"Amol Deshpande",
"Yingzhuo Chen",
"Balaji Narayanasamy",
"Zhao Yuan",
"Cai Chen",
"Fang Luo"
],
"corpus_id": 209766195,
"doc_id": "209766195",
"n_citations": 13,
"n_key_citations": 0,
"score": 0,
"title": "Design of a High Efficiency, High Specific Power Three Level T Type Power Electronics Building Block for Aircraft Electric Propulsion Drives",
"venue": "IEEE Journal of Emerging and Selected Topics in Power Electronics",
"year": 2020
},
{
"abstract": "(This study is for special section `Design, modelling and control of electric drives for transportation applications' The conduction and switching losses of silicon carbide (SIC) and gallium nitride (GaN) power transistors are compared in this study. Voltage rating of commercial GaN power transistors is ;650 V, whereas that of SiC power transistors is ;1200 V. This study begins with a theoretical analysis that examines how the characteristics of a 1200 V SiC metal oxide semiconductor field effect transistor (MOSFET) change if device design is re optimised for 600 V blocking voltage. Afterwards, a range of commercial devices [1200 V SIC junction gate FET, 1200 V SiCMOSFET, 650 V SiC MOSFET and 650 V GaN high electron mobility transistor (HEMT) with the same current rating are characterised and their conduction losses, inter electrode capacitances and switching energy E sw are compared, where it is shown that GaN HEMT has smaller conduction and switching losses than SiC devices. Finally, a zero voltage switching circuit is used to evaluate all the devices, where device only produces turn OFF switching losses and it is shown that GaN HEMT has less switching losses than SiC device in this soft switching mode. It is also shown in this study that 1200 V SiC MOSFET has smaller conduction and switching losses than 650 V SiC MOSFET.",
"author_names": [
"Ke Li",
"Paul Leonard Evans",
"Mark Johnson"
],
"corpus_id": 55793732,
"doc_id": "55793732",
"n_citations": 24,
"n_key_citations": 0,
"score": 0,
"title": "SiC/GaN power semiconductor devices: a theoretical comparison and experimental evaluation under different switching conditions",
"venue": "",
"year": 2017
},
{
"abstract": "This article analyzes the existing methods of increasing reliability through multiple overstatement of the installed power of electric equipment according to the criterion of minimum total yearly costs. The proposed method of optimization of power circuits of semiconductor converters includes stages of choosing the configuration of power circuits on the basis of the limit of semiconductor switches and choosing the optimal number of phases and redundant units. The distinguishing characteristic of the method is the criterion used, namely, the probability of failure free operation. This new method reduces the cost of installed power of a semiconductor converter compared to the known synthetic method on the level of total annual costs. It is shown that this method has some degree of flexibility; e.g. the development of the element base can be considered on the basis of the corresponding coefficients that depend on the operating temperature of power semiconductor valves. The proposed method can be successfully used in the design of semiconductor converters for supplying powerful electric drives, where, in the general case, the number of phases of a power circuit is not three. In this case, multiphase windings of an electric machine are connected according to a scheme with potential phase separation, which can be considered as an equivalent multiple redundancy system.",
"author_names": [
"Artyom A Gryzlov",
"M A Grigor'ev",
"Azaliya A Imanova"
],
"corpus_id": 116209905,
"doc_id": "116209905",
"n_citations": 61,
"n_key_citations": 2,
"score": 0,
"title": "Improving the reliability of semiconductor converters for supplying electric drives of exhausters",
"venue": "",
"year": 2017
},
{
"abstract": "This paper addresses the timely issues of power loss calculation methods in the most common voltage source three phase PWM converters (VSC) There are mainly two kinds of power semiconductor losses to be considered, the conduction losses and the switching losses. A reconfigurable Induction Motor (IM) drive is controlled by the conventional PWM and space vector PWM based methods. The power losses will be evaluated with the different control strategies and verified based on the test bed using a control system rapid prototyping environment such as dSPACE.",
"author_names": [
"Chris S Edrington",
"Oleg Vodyakho",
"Mischa Steurer",
"Sardis Azongha",
"Fletcher E Fleming",
"Mahesh Krishnamurthy"
],
"corpus_id": 35387555,
"doc_id": "35387555",
"n_citations": 15,
"n_key_citations": 0,
"score": 0,
"title": "Power semiconductor loss evaluation in voltage source IGBT converters for three phase Induction Motor drives",
"venue": "2009 IEEE Vehicle Power and Propulsion Conference",
"year": 2009
},
{
"abstract": "Silicon Carbide (SiC) MOSFETs, as wide bandgap semiconductor device, are becoming increasingly popular. They can switch at much higher frequency when compared to their silicon (Si) counterparts and can viably supply high speed electrical drives. High speed machines are profitably used in aeronautical or electric vehicle applications, offering drastic reduction of encumbrance and weight. On the other hand, industrial motor drives usually include a long shielded cable to connect the inverter to the induction motor. This, together with high frequency operation of the SiC devices, emphasizes the effect of parasitics such as stray inductances and capacitances, which generates reflected wave transient overvoltage on motor terminals. In this paper, switching performance of SiC MOSFETs is systematically studied and compared to the performance of Si devices for low voltage induction motor loads. For the Si based inverter configuration the Neutral Point Clamped (NPC) 3 level inverter has been chosen, being it highly utilized in industrial applications. The two systems are compared in terms of switching performance, overvoltages, and power losses for the same output voltage capabilities. Simulations are carried out by realistic models of power switch modules. The goal was to analyze potential solutions for switching performance improvement and mitigation of reflected waves, as well as for future analysis of the electric aging phenomena.",
"author_names": [
"Jelena Loncarski",
"Vito Giuseppe Monopoli",
"Riccardo Leuzzi",
"Francesco Cupertino"
],
"corpus_id": 207888215,
"doc_id": "207888215",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "Operation analysis and comparison of Multilevel Si IGBT and 2 level SiC MOSFET inverter based high speed drives with long power cable",
"venue": "2019 International Conference on Clean Electrical Power (ICCEP)",
"year": 2019
}
] |
Terahertz wave intelligent reflection surface material | [
{
"abstract": "We measured complex refractive indices of materials that transmitted well in the terahertz (THz) range using a tunable, monochromatic pulsed THz wave source and a high sensitivity semiconductor detector. This approach is based on simple monochromatic transmission power measurements. Contrast enhancement by refractive index cladding was employed to measure the complex refractive indices. The experimental results were in good agreement with previously reported values. These results suggested that our approach could be used to quickly analyze material properties, such as surface roughness, and to determine the complex refractive indices of liquids or gases. In addition, as an application of the findings obtained here, we applied an anti reflection polymer film to the silicon prism that served as an output coupler of the THz wave source. The film reduced surface reflection by 25%",
"author_names": [
"Yoshio Wada",
"Yoshiharu Urata",
"Hiroshi Ito",
"Yasuhiro Higashi"
],
"corpus_id": 226311653,
"doc_id": "226311653",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Measuring material parameters using a tunable monochromatic terahertz wave source.",
"venue": "Applied optics",
"year": 2020
},
{
"abstract": "This letter focuses on the secure transmission for an intelligent reflecting surface (IRS) assisted millimeter wave (mmWave) and terahertz (THz) system, in which a base station (BS) communicates with its destination via an IRS, in the presence of a passive eavesdropper. To maximize the system secrecy rate, the transmit beamforming at the BS and the reflecting matrix at the IRS are jointly optimized with transmit power and discrete phase shift constraints. It is first proved that the beamforming design is independent of the phase shift design under the rank one channel assumption. The formulated non convex problem is then converted into two subproblems, which are solved alternatively. Specifically, the closed form solution of transmit beamforming at the BS is derived, and the semidefinite programming (SDP) based method and element wise block coordinate descent (BCD) based method are proposed to design the reflecting matrix. The complexity of our proposed methods is analyzed theoretically. Simulation results reveal that the proposed IRS assisted secure strategy can significantly boost the secrecy rate performance, regardless of eavesdropper's locations (near or blocking the confidential beam)",
"author_names": [
"Jingping Qiao",
"Mohamed-Slim Alouini"
],
"corpus_id": 218900974,
"doc_id": "218900974",
"n_citations": 35,
"n_key_citations": 4,
"score": 0,
"title": "Secure Transmission for Intelligent Reflecting Surface Assisted mmWave and Terahertz Systems",
"venue": "IEEE Wireless Communications Letters",
"year": 2020
},
{
"abstract": "Recent techniques utilizing reflectarrays or novel metamaterial based surfaces to control wireless propagation environments have attracted great attention. While most solutions focus on sub 6 GHz wireless channel to assist in throughput enhancement, these intelligent surfaces have significant potentials in combating the transmission distance limitation and solving the non line of sight transmission problems for the millimeter wave (30 300 GHz) and Terahertz band (0.3 10 THz) where signal propagation is significantly attenuated in the atmosphere. The Ultra Massive MIMO (UM MIMO) communication framework has been proposed in such frequency bands, which relies on the plasmonic antenna arrays to realize different operation modes, including anomalous reflection, transmission and reception, among others. In this paper, a joint beamforming scheme is developed based on fractional programming optimization to maximize the spectral efficiency under practical consideration of energy constraints of the UM MIMO communication platform. Numerical results are presented to show the performance comparison with existing solutions.",
"author_names": [
"Shuai Nie",
"Ian F Akyildiz"
],
"corpus_id": 216498961,
"doc_id": "216498961",
"n_citations": 4,
"n_key_citations": 1,
"score": 0,
"title": "Beamforming in Intelligent Environments based on Ultra Massive MIMO Platforms in Millimeter Wave and Terahertz Bands",
"venue": "ICASSP 2020 2020 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP)",
"year": 2020
},
{
"abstract": "There was no appropriate antireflection coating material for organic nonlinear optical (NLO) crystal used for terahertz wave generation; therefore, it was difficult to reduce Fresnel's reflection loss of laser power used to excite the emitter crystal until we found that an amorphous polymer \"Cytop\" was applicable. Here, we demonstrate enhanced terahertz (THz) wave generation from an organic NLO crystal using a coating made from Cytop. With the Cytop coating, surface reflection losses of the excitation light were minimized, resulting in a significant improvement in THz wave generation over a wide frequency range.",
"author_names": [
"Hirohisa Uchida",
"Chisa Koyama",
"Takeshi Takagi",
"Peibin Wang",
"Takayuki Kamei",
"Saroj R Tripathi",
"Kodo Kawase",
"Kei Takeya"
],
"corpus_id": 213300782,
"doc_id": "213300782",
"n_citations": 0,
"n_key_citations": 0,
"score": 1,
"title": "Enhanced Terahertz Wave Generation from Cytop Antireflection Coated DAST Crystal",
"venue": "",
"year": 2020
},
{
"abstract": "Millimeter wave (30 300 GHz) and Terahertz band communications (0.3 10 THz) are envisioned as key wireless technologies to satisfy the demand for Terabit per second (Tbps) links in the 5G and beyond eras. The very large available bandwidth in this ultra broadband frequency range comes at the cost of a very high propagation loss, which combined with the low power of mm wave and THz band transceivers limits the communication distance and data rates. In this paper, the concept of intelligent communication environments enabled by Ultra Massive MIMO platforms is proposed to increase the communication distance and data rates at mm wave and THz band frequencies. An end to end physical model is developed by taking into account the capabilities of novel intelligent plasmonic antenna arrays which can operate in transmission, reception, reflection and waveguiding, as well as the peculiarities of the mm wave and THz band multi path channel. Based on the developed model, extensive quantitative results for different scenarios are provided to illustrate the performance improvements in terms of both achievable distance and data rate in Ultra Massive MIMO environments.",
"author_names": [
"Shuai Nie",
"Josep Miquel Jornet",
"Ian F Akyildiz"
],
"corpus_id": 118712236,
"doc_id": "118712236",
"n_citations": 39,
"n_key_citations": 2,
"score": 0,
"title": "Intelligent Environments Based on Ultra massive Mimo Platforms for Wireless Communication in Millimeter Wave and Terahertz Bands",
"venue": "ICASSP 2019 2019 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP)",
"year": 2019
},
{
"abstract": "Recently, terahertz (THz) communication has drawn considerable attention as one of the promising technologies for the future wireless communications owning to its ultra wide bandwidth. Nonetheless, one major obstacle that prevents the actual deployment of THz lies in its inherent huge attenuation. Intelligent reflecting surface (IRS) and multiple input multiple output (MIMO) represent two effective solutions for compensating the large pathloss in THz systems. In this paper, we consider an IRS aided multi user THz MIMO system with orthogonal frequency division multiple access, where the sparse radio frequency chain antenna structure is adopted for reducing the power consumption. The objective is to maximize the weighted sum rate via jointly optimizing the hybrid analog/digital beamforming at the base station and reflection matrix at the IRS. {Since the analog beamforming and reflection matrix need to cater all users and subcarriers, it is difficult to directly solve the formulated problem, and thus, an alternatively iterative optimization algorithm is proposed. Specifically, the analog beamforming is designed by solving a MIMO capacity maximization problem, while the digital beamforming and reflection matrix optimization are both tackled using semidefinite relaxation technique. Considering that obtaining perfect channel state information (CSI) is a challenging task in IRS based systems, we further explore the case with the imperfect CSI for the channels from the IRS to users. Under this setup, we propose a robust beamforming and reflection matrix design scheme for the originally formulated non convex optimization problem. Finally, simulation results are presented to demonstrate the effectiveness of the proposed algorithms.",
"author_names": [
"Wanming Hao",
"Gangcan Sun",
"Ming Zeng",
"Zhengyu Zhu",
"Zheng Chu",
"Octavia A Dobre",
"Pei Xiao"
],
"corpus_id": 221655757,
"doc_id": "221655757",
"n_citations": 5,
"n_key_citations": 0,
"score": 0,
"title": "Robust Design for Intelligent Reflecting Surface Assisted MIMO OFDMA Terahertz Communications",
"venue": "ArXiv",
"year": 2020
},
{
"abstract": "This paper describes terahertz (THz) wave generation within forbidden bands in polar crystals, focusing on the A1 phonon modes in lithium niobate. This material exhibits two negative permittivity frequency ranges at 7.4 12.7 THz and 18.8 25.6 THz for the lowest and highest A1 modes, respectively. Exploiting the finite difference time domain simulations, we demonstrate that both the surface phonon modes can be radiative with a structured grating. Fourier analyses of the radiative fields reveal the relevant peaks in the spectrum as well as the dispersion relations. Our results provide a novel method for coherent THz wave sources at unexplored THz frequencies.",
"author_names": [
"Jun-ichi Shikata",
"Seigo Ohno",
"Hiroaki Minamide"
],
"corpus_id": 219018782,
"doc_id": "219018782",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Terahertz wave generation from surface phonons at forbidden frequencies of lithium niobate",
"venue": "IEICE Electron. Express",
"year": 2020
},
{
"abstract": "A ray tracing method based on the geometrical optics and physical optics approximation is proposed for calculation of the scattering from rough metal surface with rough coating in terahertz regime. Geometrical optics is utilized to describe the wave propagation concerning the reflection and transmission, whereas physical optics is applied to obtain the scattering fields by equivalent currents on the surfaces. The method provides a reliable treatment of scattering from rough layers involving identical/different roughness. The scattering predictions of the rough layers are compared to the multilevel fast multipole algorithm (MLFMA) to demonstrate the efficiency and accuracy of the proposed method. Once validated, we use the method to carry out a sensitivity study of the bistatic radar scattering cross section to variations in layer parameters of interest in terahertz coating target detection, such as the roughness of the coating and metal surface, thickness of the coating layer.",
"author_names": [
"Rui Wang",
"Guangbin Guo",
"Lixin Guo"
],
"corpus_id": 201810116,
"doc_id": "201810116",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Study of the Terahertz Wave Scattering From Metal Surface Coated by Rough Lossy Coating Based on a Ray Tracing Modeling",
"venue": "IEEE Access",
"year": 2019
},
{
"abstract": "This paper provides indoor reflection, scattering, transmission, and large scale path loss measurements and models, which describe the main propagation mechanisms at millimeter wave and Terahertz frequencies. Channel properties for common building materials (drywall and clear glass) are carefully studied at 28, 73, and 140 GHz using a wideband sliding correlation based channel sounder system with rotatable narrow beam horn antennas. Reflection coefficient is shown to linearly increase as the incident angle increases, and lower reflection loss (e.g. stronger reflections) are observed as frequencies increase for a given incident angle. Although backscatter from drywall is present at 28, 73, and 140 GHz, smooth surfaces (like drywall) are shown to be modeled as a simple reflected surface, since the scattered power is 20 dB or more below the reflected power over the measured range of frequency and angles. Partition loss tends to increase with frequency, but the amount of loss is material dependent. Both clear glass and drywall are shown to induce a depolarizing effect, which becomes more prominent as frequency increases. Indoor propagation measurements and large scale indoor path loss models at 140 GHz are provided, revealing similar path loss exponent and shadow fading as observed at 28 and 73 GHz. The measurements and models in this paper can be used for future wireless system design and other applications within buildings for frequencies above 100 GHz.",
"author_names": [
"Yunchou Xing",
"Ojas Kanhere",
"Shihao Ju",
"Theodore Ted S Rappaport"
],
"corpus_id": 201666596,
"doc_id": "201666596",
"n_citations": 31,
"n_key_citations": 1,
"score": 0,
"title": "Indoor Wireless Channel Properties at Millimeter Wave and Sub Terahertz Frequencies",
"venue": "2019 IEEE Global Communications Conference (GLOBECOM)",
"year": 2019
},
{
"abstract": "In this paper, we propose and numerically demonstrate a new way to realize superfocusing of terahertz waves via the spoof surface plasmons (SSP) With the assist of a modified subwavelength metallic grating, a near field rapid oscillation can be formed, originating from the Fabry Perot resonances due to the reflection of SSP waves at terminations. We show that the field pattern of oscillation on textured metallic surface can be engineered by adjusting groove width and grating number. This produces a desired modulation of phase and amplitude for the radiationless electromagnetic interference (REI) focusing. The effective focusing depth through the corrugated metal is evaluated by the full width half maximum (FWHM) beamwidth. At the situation of third order Fabry Perot resonance, the FWMH reaches up to 0.069l at a distance of 0.1l, improving the beamwidth by more than 540% compared with a single slit. The FWHM is optimized to 0.06l as the order of Fabry Perot resonance becomes seven, leading to the superfocusing metric of 1.67. On the basis of this, we further show the focusing ability can be held on the ultra thin metallic grating. Two dimensional subwavelength focusing behavior is also numerically verified. Our study may extend the working distance of sensing and super resolution imaging devices at terahertz frequency.",
"author_names": [
"Tie-Jun Huang",
"Jiang-Yu Liu",
"Li-Zheng Yin",
"Feng-Yuan Han",
"Pu-Kun Liu"
],
"corpus_id": 52169022,
"doc_id": "52169022",
"n_citations": 19,
"n_key_citations": 0,
"score": 0,
"title": "Superfocusing of terahertz wave through spoof surface plasmons.",
"venue": "Optics express",
"year": 2018
}
] |
Progress in gallium arsenide photoconductive switch research for high power applications | [
{
"abstract": "Gallium arsenide (GaAs) photoconductive semiconductor switches (PCSS) have been studied as an enabling technology for a variety of applications at both the Air Force Research Laboratory and Sandia National Laboratories. High gain PCSS can be triggered with small laser diodes or laser diode arrays. The requirements of these applications require the switching of high voltage in sub nanosecond time with low temporal jitter of the switches relative to the trigger laser. There have been several configurations and sizes of these switches studied by the Air Force Research Laboratory over the last several years. The most recent designs are with small structures where the electrical contacts are placed on opposite sides of the bulk material. This configuration allows for different electrical conditions on either side depending on the nature of the semiconductor structure; i.e. p i n or n i n. In addition to the type of structure used and geometry of the contacts, the performance of these switches (switch time, voltage, and jitter) is dependent on the thickness of the GaAs. Several thicknesses have been studied during the past year. This paper reports on the results of several studies to investigate the ultra fast switching properties of these structures.",
"author_names": [
"Jonathan Gaudet",
"William D Prather",
"J W Burger",
"Michael C Skipper",
"Michael D Abdalla",
"Alan Mar",
"Martin W O'Malley",
"Fred J Zutavern",
"Guillermo M Loubriel"
],
"corpus_id": 108796592,
"doc_id": "108796592",
"n_citations": 4,
"n_key_citations": 0,
"score": 1,
"title": "Progress in gallium arsenide photoconductive switch research for high power applications",
"venue": "Conference Record of the Twenty Fifth International Power Modulator Symposium, 2002 and 2002 High Voltage Workshop.",
"year": 2002
},
{
"abstract": "An opposed contact photoconductive semiconductor switch, with a n+ region next to the cathode electrode has been simulated. Physical conditions during the pulse charging state, prior to high power switching, are analyzed in order to explain the increased hold off characteristic of such devices. Results show that the introduction of the n+ region near the cathode inhibits the flow of electrons at the n+/semi insulating interface until very high fields are reached. The formation of trap filled regions near the contacts and the resultant inhomogeneous device characteristics that lead to breakdown are thereby shifted to higher voltages. Thus, for switches with a n+ region next to the cathode, the breakdown voltage due to unstable filamentary conduction is also increased beyond those achieved previously, allowing for higher power operation.",
"author_names": [
"Naz E Islam",
"Edl Schamiloglu",
"Charles B Fleddermann",
"Jon S H Schoenberg",
"Ravi P Joshi"
],
"corpus_id": 120823677,
"doc_id": "120823677",
"n_citations": 26,
"n_key_citations": 0,
"score": 0,
"title": "Analysis of high voltage operation of gallium arsenide photoconductive switches used in high power applications",
"venue": "",
"year": 1999
},
{
"abstract": "Electron injection and the subsequent formation of a trap filled region leads to premature device failure in an opposed contact, EL2/carbon compensated GaAs photoconductive switch, made through the liquid encapsulated Czochralski process. Due to the electrostatic properties associated with an n/sup /semi insulating junction, the introduction of an n/sup region next to the cathode suppresses electron injection until higher bias. The doping level, length, and the thickness of the high n/sup region are some of the parameters that affect hold off characteristics. Extending the length of the n/sup region well beyond the cathode does not increase the hold off voltage but confines current flow to a narrow strip, which may trigger local heating burnout. Suppression of the effects of the EL2 traps at the n/sup /SI interface also does not improve the hold off characteristics. Opposed contact switches, made from intrinsic GaAs have the characteristics of 'relaxation' semiconductors. The injection of minority carrier results in initial recombination and the formation of a large number of recombination regions may contribute to switching delays and jitters.",
"author_names": [
"Naz E Islam",
"Edl Schamiloglu",
"Charles B Fleddermann",
"Jon S H Schoenberg",
"Ravi P Joshi"
],
"corpus_id": 37673286,
"doc_id": "37673286",
"n_citations": 12,
"n_key_citations": 0,
"score": 0,
"title": "Improved hold off characteristics of gallium arsenide photoconductive switches used in high power applications",
"venue": "Digest of Technical Papers. 12th IEEE International Pulsed Power Conference. (Cat. No.99CH36358)",
"year": 1999
},
{
"abstract": "There is considerable demand within the technical applications community for sources which produce high power transient radiation. Lateral geometry high power photoconductive semiconductor switches (PCSS) are being developed for use in phased array, ultra wideband sources. The present source configuration is a stacked Blumlein configuration commuted with a PCSS. A new liquid insulating media, HFE 7100, has been demonstrated to have an equivalent voltage hold off capability as sulphur hexafluoride, but with greater compatibility to gallium arsenide. Independent low jitter PCSS operation is demonstrated by coupling two independent Blumleins into a common load. Measurements of both the system jitter and the isolated jitter of the PCSS switch has been performed.",
"author_names": [
"Jane M Lehr",
"Michael D Abdalla",
"Jon S H Schoenberg",
"Michael C Skipper",
"J Scott Tyo",
"J W Burger"
],
"corpus_id": 137730951,
"doc_id": "137730951",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Progress toward a compact, high power ultra wideband array using gallium arsenide photoconductive semiconductor switches",
"venue": "Conference Record of the Twenty Third International Power Modulator Symposium (Cat. No. 98CH36133)",
"year": 1998
},
{
"abstract": "There is considerable demand within the technical applications community for sources which produce high power transient radiation. Currently, lateral geometry high power photoconductive semiconductor switches (PCSS) are being developed for use in phased may, ultra wideband sources. The present source configuration is a stacked blumlein configuration commuted with a PCSS. A new liquid insulating media, HFE 7100, has been demonstrated to have an equivalent voltage hold off capability as sulphur hexafloride, but with greater compatibility to gallium arsenide. Independent low jitter PCSS operation is demonstrated by coupling two independent blumleins into a common load. Measurements of both the system jitter and the isolated jitter of the PCSS switch has been performed.",
"author_names": [
"Kirtland Afb"
],
"corpus_id": 110428316,
"doc_id": "110428316",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Progress Toward a Compact, High Power Ultra wideband Array using Gallium Arsenide Photoconductive Semiconductor Switches",
"venue": "",
"year": 1998
},
{
"abstract": "Semi insulating gallium arsenide (GaAs) photoconductive semiconductor switches (PCSS) have great potential for high voltage switching application, however, the utility is restricted by surface flashover which would result in breakdown. In this paper, a model of photo activated charge wave was proposed based on the theory of photo activated charge domain (PACD) in GaAs PCSS, and moderate suppression of PACD formation by loading the semiconductor surface with dielectric material was investigated theoretically and experimentally. Current as high as 3.7 kA was obtained at 28 kV, implying that this method can effectively inhibit the surface flashover and improve the service life of DC charged GaAs PCSS.",
"author_names": [
"Ming Xu",
"Wei Shi",
"Zeng-gong Jiang",
"Shaoqiang Wang",
"Zhanglong Fu"
],
"corpus_id": 101035530,
"doc_id": "101035530",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Experimental Research on Inhibition of Surface Flashover Based on High Power Gallium Arsenide Photoconductive Switches Triggered by Laser",
"venue": "",
"year": 2011
},
{
"abstract": "The electrical properties of semi insulating (SI) Gallium Arsenide (GaAs) have been investigated for some time, particularly for its application as a substrate in microelectronics. Of late this material has found a variety of applications other than as an isolation region between devices, or the substrate of an active device. High resistivity SI GaAs is increasingly being used in charged particle detectors and photoconductive semiconductor switches (PCSS) PCSS made from these materials operating in both the linear and non linear modes have applications such as firing sets, as drivers for lasers, and in high impedance, low current Q switches or Pockels cells. In the non linear mode, it has also been used in a system to generate Ultra Wideband (UWB) High Power Microwaves (HPM) The choice of GaAs over silicon offers the advantage that its material properties allow for fast, repetitive switching action. Furthermore photoconductive switches have advantages over conventional switches such as improved jitter, better impedance matching, compact size, and in some cases, lower laser energy requirement for switching action. The rise time of the PCSS is an important parameter that affects the maximum energy transferred to the load and it depends, in addition to other parameters, on the bias or the average fieldmore across the switch. High field operation has been an important goal in PCSS research. Due to surface flashover or premature material breakdown at higher voltages, most PCSS, especially those used in high power operation, need to operate well below the inherent breakdown voltage of the material. The lifetime or the total number of switching operations before breakdown, is another important switch parameter that needs to be considered for operation at high bias conditions. A lifetime of {approximately} 10{sup 4} shots has been reported for PCSS's used in UWB HPM generation [5] while it has exceeded 10{sup 8} shots for electro optic drivers. Much effort is currently being channeled in the study related to improvements of these two parameters high bias operation and lifetime improvement for switches used in pulsed power applications. The contact material and profiles are another important area of study. Although these problems are being pursued through the incorporation of different contact materials and introducing doping near contacts, it is important that the switch properties and the conduction mechanism in these switches be well understood such that the basic nature of the problems can be properly addressed. In this paper the authors report on these two basic issues related to the device operation, i.e. mechanisms for increasing the hold off characteristics through neutron irradiation, and the analysis of transport processes at varying field conditions in trap dominated SI GaAs in order to identify the breakdown mechanism during device operation. It is expected that this study would result in a better understanding of photoconductive switches, specifically those used in high power operation. less",
"author_names": [
"Edl Schamiloglu",
"Alan Mar",
"Guillermo M Loubriel",
"Fred J Zutavern"
],
"corpus_id": 54596226,
"doc_id": "54596226",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Characteristics of trap filled gallium arsenide photoconductive switches used in high gain pulsed power applications",
"venue": "",
"year": 2000
},
{
"abstract": "ABSTRACT Photoconductive semiconductor switches (PCSS) presently have the greatest potential fordramatic performance enhancements for high power pulsed applications. However, surface flashover severely limits the maximum stand off voltage in the open state. We report theuse of a novel technique to PCSS to overcome this limitation. The technique is anextension of the graded ring bushing idea from accelerator technology, but differs byreducing the thickness of the insulator (semiconductor) down to tens of micrometers. Recent results using this technique have yielded electric field values, before flashover, in the range of 70 kY/cm to 114 kVF/cm in silicon and 70 kY/cm to 84 kV/cm in gallium arsenide. 1. INTRODUCTION Photoconductive switch development is being pursued in several research programs.Results with GaAs switches capable of delivering 26 MW in 6Ons pulses, and current densities of up to 100 kA/cm2 have been obtained. Research done at Los Alamosdemonstrated a 200MW silicon switch operating at 150 kV and a peak current of 3 kA,",
"author_names": [
"Juan M Elizondo",
"William M Moeny",
"Kevin Youngman"
],
"corpus_id": 5627733,
"doc_id": "5627733",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "SILICON AND GALLIUM ARSENIDE VACUUM SURFACE FLASHOVER",
"venue": "Ninth IEEE International Pulsed Power Conference",
"year": 1993
},
{
"abstract": "electronics circuits aiming to benefit from low turn on voltages and high speed. The fabrication of Schottky rather than pn diodes allows the turn on voltage to be reduced significantly below that suggested by the bandgap energy. This particularly impacts gallium nitride (GaN) devices, since the large ~3V turn on of pn diodes leads to large power losses in switching applications. However, Schottky diodes tend to have lower breakdown voltages and higher on resistance than pn devices. Improving breakdown with thicker drift layers tends to increase on resistance. High speed arises from the domination of current flow by majority carriers so that switching does not have to wait for the recombination of electron and holes. However, as frequencies increase to the terahertz and submillimeter wavelength level, fabrication becomes challenging due to the more restricted size of the device and high sensitivity to parasitic elements. Here we look at recent research progress for GaAs (gallium arsenide) and GaN Schottky barrier diodes.",
"author_names": [
"M J Cooke"
],
"corpus_id": 214720389,
"doc_id": "214720389",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Boosting speed breakdown voltage performance of Schottky barrier diodes",
"venue": "",
"year": 2017
},
{
"abstract": "Wide bandgap semiconductors have expanded the scope of device applications beyond those of silicon and gallium arsenide. Exploitation of wide bandgap semiconductors holds promise for revolutionary improvements in the cost, size, weight and performance of a broad range of military and commercial microelectronic and opto electronic systems. The inherent material properties of silicon carbide, gallium nitride and aluminum nitride make them ideal candidates for high power, high temperature electronics, power amplifiers, switches, and shortwavelength light sources. Gallium nitride based semiconductor technology has provided the fundamental basis for a new class of opto electronics. New electronic device structures based on silicon carbide and/or gallium nitride have demonstrated remarkable performance and are being considered for next generation military radar and commercial wireless applications. Additionally, silicon carbide devices have been demonstrated that exhibit superior high efficiency power switching capability, potentially leading to new capabilities in power distribution as well as electric vehicle technology. Critical to the realization of these enabling capabilities are the availability of high quality affordable substrate materials. The Department of Defense has invested heavily in bulk growth research and development of silicon carbide, gallium nitride and more recently aluminum nitride. A synopsis of current capabilities and future challenges for commercialization of these materials will be discussed. INTRODUCTION Semiconductor substrates provide the foundation for a multi $100B's electronics industry. Silicon is currently and will remain the material of choice for the foreseeable future due to the low cost, readily availability, and established device technology and infrastructure. If a device can be made with silicon it will. In spite of the phenomenal progress being made with silicon technology it does have its limitations with respect to temperature, frequency operation and voltage blocking capabilities. As gallium arsenide (GaAs) and indium phosphide (InP) technologies provided the basis for the phenomenal growth in the wireless and telecommunications industries during the late 1980s 1990s, a new class of semiconductors commonly referred to as \"wide bandgap semiconductors\" holds promise for continued revolutionary improvements in the size, cost, weight and performance of a broad range of military and commercial microelectronic and optoelectronic applications. Silicon carbide (SiC) gallium nitride (GaN) and more recently aluminum nitride (AlN) have emerged as candidate substrate materials that may overcome the performance limitations of silicon, GaAs and InP. SiC is clearly the most developed material of the three due to materials development efforts initiated in the mid 1980's, and the leadership of one company, Cree, Inc. in the maturation of the materials technology.",
"author_names": [
"J D Blevins"
],
"corpus_id": 3072931,
"doc_id": "3072931",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Wide Bandgap Semiconductor Substrates Current Status and Future Trends",
"venue": "",
"year": 2004
}
] |
Piezoresistance Effect in Germanium and Silicon | [
{
"abstract": "Uniaxial tension causes a change of resistivity in silicon and germanium of both $n$ and $p$ types. The complete tensor piezoresistance has been determined experimentally for these materials and expressed in terms of the pressure coefficient of resistivity and two simple shear coefficients. One of the shear coefficients for each of the materials is exceptionally large and cannot be explained in terms of previously known mechanisms. A possible microscopic mechanism proposed by C. Herring which could account for one large shear constant is discussed. This so called electron transfer effect arises in the structure of the energy bands of these semiconductors, and piezoresistance may therefore give important direct experimental information about this structure.",
"author_names": [
"Charles S Smith"
],
"corpus_id": 121023291,
"doc_id": "121023291",
"n_citations": 1645,
"n_key_citations": 58,
"score": 1,
"title": "Piezoresistance Effect in Germanium and Silicon",
"venue": "",
"year": 1954
},
{
"abstract": "The change of resistance in uniaxial compression has been measured for a number of single crystal specimens of high re resistivity $n$ and $p$ type germanium and silicon over the ranges 5\\ifmmode^\\circ\\else\\textdegree\\fi{ to 350\\ifmmode^\\circ\\else\\textdegree\\fi{}K (Ge) and 20\\ifmmode^\\circ\\else\\textdegree\\fi{ to 350\\ifmmode^\\circ\\else\\textdegree\\fi{}K (Si) For $n$ type material in the orientations giving the large effect\\char22{[110] for $n$ Ge and [100] for $n$ Si\\char22{}the piezoresistance is, as predicted by theory, linear in {T}\\ensuremath{ }1} over most of the temperature range and with a small intercept. It is rather insensitive to impurity scattering. The departure from linearity in {T}\\ensuremath{ }1} due to the onset of intervalley scattering near room temperature is estimated theoretically; it appears to be just barely detectable for $n$ Ge. The small piezoresistance of [100] oriented $n$ Ge varies little with temperature over most of the extrinsic range, again in accordance with theory. For $p$ Ge the results suggest that ideally pure material would show a piezo resistance dominated by a {T}\\ensuremath{ }1} term for both [110] and [100] orientations. It is shown that this is to be expected theoretically, although the mechanism of piezoresistance for a degenerate band is more complicated than for a many valley model. However, the results for $p$ type material are, as they should be, much more sensitive to impurity scattering, even the sign of the effect varying with the purity for [100] specimens. For $p$ Si no simple temperature dependence is found, presumably because the spin orbit splitting of the bands is comparable with \\mathrm{kT} At temperatures low enough to condense most of the carriers onto impurity centers, the piezoresistance departs from linearity in {T}\\ensuremath{ }1} and varies from specimen to specimen. This behavior appears not to be due to impurity scattering; it may be caused in part by inhomogeneities. Surface conduction effects have been observed, but can be eliminated by etching. Neither Hall nor piezoresistance measurements reveal any detectable variation of the ionization energy of donors with strain. No departure of the piezoresistance from linearity in the applied stress has been observed.",
"author_names": [
"F J Morin",
"Theodore H Geballe",
"Conyers Herring"
],
"corpus_id": 119398345,
"doc_id": "119398345",
"n_citations": 126,
"n_key_citations": 1,
"score": 0,
"title": "Temperature Dependence of the Piezoresistance of High Purity Silicon and Germanium",
"venue": "",
"year": 1957
},
{
"abstract": "Piezoresistive sensors are among the earliest micromachined silicon devices. The need for smaller, less expensive, higher performance sensors helped drive early micromachining technology, a precursor to microsystems or microelectromechanical systems (MEMS) The effect of stress on doped silicon and germanium has been known since the work of Smith at Bell Laboratories in 1954. Since then, researchers have extensively reported on microscale, piezoresistive strain gauges, pressure sensors, accelerometers, and cantilever force/displacement sensors, including many commercially successful devices. In this paper, we review the history of piezoresistance, its physics and related fabrication techniques. We also discuss electrical noise in piezoresistors, device examples and design considerations, and alternative materials. This paper provides a comprehensive overview of integrated piezoresistor technology with an introduction to the physics of piezoresistivity, process and material selection and design guidance useful to researchers and device engineers.",
"author_names": [
"A Alvin Barlian",
"Woo-Tae Park",
"Joseph R Mallon",
"Ali J Rastegar",
"Beth L Pruitt"
],
"corpus_id": 11693846,
"doc_id": "11693846",
"n_citations": 635,
"n_key_citations": 18,
"score": 0,
"title": "Review: Semiconductor Piezoresistance for Microsystems",
"venue": "Proceedings of the IEEE",
"year": 2009
},
{
"abstract": "A high sensitivity silicon germanium accelerometer based on meso piezoresistance effect is proposed in this letter,we took Si1 xGex/Si film as core component,and deviced double cantilever beamwith structure piezoresistive silicon germanium acceleration sensor.The influence of adscititious stress on the sensitivity are analyzed by theoretic analysis and emulational calculation,based on it,we can draw the conclution that sensitivity of piezoresistive silicon micro acceleration sensor are increased one number lever.The perference to the optimization of the stucture is provided.",
"author_names": [
"Wen Ting-dun"
],
"corpus_id": 114894381,
"doc_id": "114894381",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Study on Meso Piezoresistive Type Silicon Germanium Accelerometer",
"venue": "",
"year": 2009
},
{
"abstract": "Abstract The large piezoresistance effect in a Ge 0 04 Si alloy has been measured and found to be proportional to T 1 between 77degK and 300degK and to be 15 per cent greater than in pure Ge. Various origins for this difference are examined, and, using some evidence from the elastogalvanomagnetic effects, it is concluded that at least part of the difference is due to intervalley scattering of the alloy type in the alloy.",
"author_names": [
"Robert W Keyes"
],
"corpus_id": 93324762,
"doc_id": "93324762",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Elastoresistance effects in a germanium silicon alloy",
"venue": "",
"year": 1957
},
{
"abstract": "Abstract A modification of the Haynes Shockley technique has been developed to permit measurement of minority carrier mobility independent of sample geometry or lifetime. Electron mobility and piezoresistance was measured as a function of uniaxial stress up to 7 x 109 dyne/cm2. The mobility data was fitted to a theory incorporating the effects of stress on intervalley scattering. A current pinch effect was observed in intrinsic germanium and related to theory. A theory for pinch effect under illumination and with two lifetime regions was developed. Recently reported observations of the Gunn effect in silicon and germanium are reviewed relative to theoretical predictions made earlier by the present authors. Implications of the stress dependence of electron mobility on device design are discussed. (Author)",
"author_names": [
"Michael W Cresswell",
"Daniel R Muss"
],
"corpus_id": 109225997,
"doc_id": "109225997",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "UNIAXIAL STRESS IN SILICON AND GERMANIUM. VOLUME I. SECTIONS 1 AND 2.",
"venue": "",
"year": 1968
},
{
"abstract": "Piezoresistive sensors are among the earliest micromachined silicon devices. The need for smaller, less expensive, higher performance sensors helped drive early micromachining technology, a precursor to microsystems or microelectromechanical systems (MEMS) The effect of stress on doped silicon and germanium has been known since the work of Smith at Bell Laboratories in 1954. Since then, researchers have extensively reported on microscale, piezo resistive strain gauges, pressure sensors, accelerometers, and cantilever force/displacement sensors, including many com mercially successful devices. In this paper, we review the history of piezoresistance, its physics and related fabrication techniques. We also discuss electrical noise in piezoresistors, device examples and design considerations, and alternative materials. This paper provides a comprehensive overview of integrated piezoresistor technology with an introduction to the physics of piezoresistivity, process and material selection and design guidance useful to researchers and device engineers.",
"author_names": [
"A Alvin Barlian",
"J R Mallon",
"Ali J Rastegar",
"Beth L Pruitt"
],
"corpus_id": 110079957,
"doc_id": "110079957",
"n_citations": 8,
"n_key_citations": 0,
"score": 0,
"title": "Review: Semiconductor Piezoresistance for",
"venue": "",
"year": 2009
},
{
"abstract": "The plezoreslstance tensor components for n type Mg2Sn have been measured from $0 to 300degK. The piezoreslstance effect in Mg2Sn Is larger than the piezoreslstance effect in germanium or silicon. Prom these measurements and the elastic constants of Davis et. ai the elastoresistance tensor compon ents were obtained and found to satisfy m]_]_ (r)ll large and m^.2^ small, in the temperature range 50 to 200degK. These relationships confirm that n type Hg2Sn is a many valley semi conductor with constant energy ellipsoids in the direc tion. The fact that mi% and mi2 were both linear in the temperature range 6o 175degK indicates that inter valley scat tering is unimportant in the extrinsic temperature region. The small value of the volume coefficient, (mn 2m2_2)/3. indicates that carrier mobility in n type Ng2Sn is relatively insensitive to changes in sample volume. The deformation potential was determined from a combination of these piezore slstance results and Umeda's magnetoresistance data and found to satisfy the relation Hdeg(1+(r)T) where= 10.1 ev and ot 4.3 0.6) X 10\" High stress piezoreslstance measurements yielded a deformation potential of 8^ =18 ev and mobility anlsotropy of K= 2.65 at 77.4degK. Umeda obtained K 3.51. A possible qualitative explanation of these differences can be given in terms of a change with stress of the position of the donor levels with respect to the conduction band.",
"author_names": [
"Leon Duane Crossman"
],
"corpus_id": 121663949,
"doc_id": "121663949",
"n_citations": 11,
"n_key_citations": 0,
"score": 0,
"title": "Piezoresistance of N Type Magnesium Stannide.",
"venue": "",
"year": 1967
},
{
"abstract": "We have simulated the piezoresistance coefficients (Pie Coefficients) in some certain Germanium nanowire (GeNW) Silicon nanowire (SiNW) and several Ge/Si core/shell nanowires based on the first principles calculations. All the nanowires are with a same diameter in ;111> direction and remain unsaturated on surface. In these ;111> nanowires, It was found that the Pie Coefficients of the SiNW and the Ge<sub>1</sub>Si<sub>3</sub> (Ge/Si core/shell nanowire with three layers of silicon atoms) can reach as much as 35.26 x 10<sup> 11</sup>Pa<sup> 1</sup> and 30.0 x 10<sup> 11</sup>Pa<sup> 1</sup> under 2.5% tension in the longitudinal direction. And all those of the GeNW and the Ge<sub>3</sub>Si<sub>1</sub> (with only one silicon atom layer) almost kept negative values from 1 x 10<sup> 11</sup> Pa<sup> 1</sup> to 9 x 10<sup> 11</sup> Pa<sup> 1</sup> whether under compressions or tensions. However, under compressions, the Ge<sub>2</sub>Si<sub>2</sub> (with two layers of silicon atoms surrounded outside) almost had no piezoresistive effect.",
"author_names": [
"Lei Li",
"ShuangYing Lei",
"Hong Yu",
"Qing-An Huang"
],
"corpus_id": 34064344,
"doc_id": "34064344",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "First principles study on the piezoresistive effect of Ge/Si core/shell Nanowires",
"venue": "2012 IEEE Sensors",
"year": 2012
},
{
"abstract": "Schottky diodes utilized for mechanical stress effect studies were fabricated using aluminum contacts to polycrystalline diamond thin films grown by a hot filament assisted chemical vapor deposition process. Compressive stress was found to have a large effect on the forward biased current voltage characteristics of the diode, whereas the effect on the reverse biased characteristics was relatively small. This stress effect on the forward biased diamond Schottky diode was attributed to piezojunction and piezoresistance effects that dominated the diode current voltage characteristics in the small and large bias regions, respectively. At a large constant forward bias current, a good linear relationship between output voltage and applied force was observed for force of less than 10 N, as predicted by the piezoresistance effect. The measured force sensitivity of the diode was as high as 0.75 V/N at 1 mA forward bias. Compared to either silicon or germanium junction diodes and tunnel diodes, polycrystalline diam.",
"author_names": [
"G Zhao",
"E M Charlson",
"E J Charlson",
"T Stacy",
"Jon M Meese",
"Galina Popovici",
"Mark Antonio Prelas"
],
"corpus_id": 120391937,
"doc_id": "120391937",
"n_citations": 20,
"n_key_citations": 0,
"score": 0,
"title": "Effect of mechanical stress on current voltage characteristics of thin film polycrystalline diamond Schottky diodes",
"venue": "",
"year": 1993
}
] |
High Charge Mobility in a Tetrathiafulvalene-Based Microporous Metal-Organic Framework | [
{
"abstract": "The tetratopic ligand tetrathiafulvalene tetrabenzoate (H4TTFTB) is used to synthesize Zn2(TTFTB) a new metal organic framework that contains columnar stacks of tetrathiafulvalene and benzoate lined infinite one dimensional channels. The new MOF remains porous upon desolvation and exhibits charge mobility commensurate with some of the best organic semiconductors, confirmed by flash photolysis time resolved microwave conductivity measurements. Zn2(TTFTB) represents the first example of a permanently porous MOF with high charge mobility and may inspire further exploration of the electronic properties of these materials.",
"author_names": [
"Tarun C Narayan",
"Tomoyo Miyakai",
"Shu Seki",
"Mircea Dinca"
],
"corpus_id": 39834686,
"doc_id": "39834686",
"n_citations": 309,
"n_key_citations": 3,
"score": 1,
"title": "High charge mobility in a tetrathiafulvalene based microporous metal organic framework.",
"venue": "Journal of the American Chemical Society",
"year": 2012
},
{
"abstract": "Significance: The authors report the synthesis of a zinc(II) tetrathiafulvalene tetrabenzoate [Zn2(TTFTB) metal organic framework (MOF) with high charge mobility unlike conventional MOFs. This work shows that MOFs can be useful as electronic materials in addition to their more traditional roles as gas storage materials. Comment: The benzoate groups on the TTF ligand stack in such a way that they create a porosity that is permanent. Flash photolysis time resolved microwave conductivity (FP TRMC) was used to determine that the MOF has charge mobility comparable to organic conductors. The authors also show both powder and single crystal structures of the MOFs to complement their observation of permanent porosity. O",
"author_names": [
"Timothy M Swager",
"Markrete Krikorian"
],
"corpus_id": 99733098,
"doc_id": "99733098",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Tetrathiafulvalene Based Microporous Metal Organic Framework",
"venue": "",
"year": 2012
},
{
"abstract": "Isostructural metal organic frameworks (MOFs) M2(TTFTB) (M Mn, Co, Zn, and Cd; H4TTFTB tetrathiafulvalene tetrabenzoate) exhibit a striking correlation between their single crystal conductivities and the shortest S**S interaction defined by neighboring TTF cores, which inversely correlates with the ionic radius of the metal ions. The larger cations cause a pinching of the S**S contact, which is responsible for better orbital overlap between pz orbitals on neighboring S and C atoms. Density functional theory calculations show that these orbitals are critically involved in the valence band of these materials, such that modulation of the S**S distance has an important effect on band dispersion and, implicitly, on the conductivity. The Cd analogue, with the largest cation and shortest S**S contact, shows the largest electrical conductivity, s 2.86 0.53) x 10( 4) S/cm, which is also among the highest in microporous MOFs. These results describe the first demonstration of tunable intrinsic electrical conductivity in this class of materials and serve as a blueprint for controlling charge transport in MOFs with p stacked motifs.",
"author_names": [
"Sarah S Park",
"Eric R Hontz",
"Lei Sun",
"Christopher H Hendon",
"Aron Walsh",
"Troy Van Voorhis",
"Mircea Dinca"
],
"corpus_id": 18671724,
"doc_id": "18671724",
"n_citations": 235,
"n_key_citations": 0,
"score": 0,
"title": "Cation dependent intrinsic electrical conductivity in isostructural tetrathiafulvalene based microporous metal organic frameworks.",
"venue": "Journal of the American Chemical Society",
"year": 2015
},
{
"abstract": "The targeted incorporation of defects into crystalline matter allows for the manipulation of many properties and has led to relevant discoveries for optimized and even novel technological applications of materials. It is therefore exciting to see that defects are now recognized to be similarly useful in tailoring properties of metal organic frameworks (MOFs) For instance, heterogeneous catalysis crucially depends on the number of active catalytic sites as well as on diffusion limitations. By the incorporation of missing linker and missing node defects into MOFs, both parameters can be accessed, improving the catalytic properties. Furthermore, the creation of defects allows for adding properties such as electronic conductivity, which are inherently absent in the parent MOFs. Herein, progress of the rapidly evolving field of the past two years is overviewed, putting a focus on properties that are altered by the incorporation and even tailoring of defects in MOFs. A brief account is also given on the emerging quantitative understanding of defects and heterogeneity in MOFs based on scale bridging computational modeling and simulations.",
"author_names": [
"Stefano Dissegna",
"Konstantin Epp",
"Werner R Heinz",
"Gregor Kieslich",
"Roland A Fischer"
],
"corpus_id": 8662842,
"doc_id": "8662842",
"n_citations": 207,
"n_key_citations": 2,
"score": 0,
"title": "Defective Metal Organic Frameworks.",
"venue": "Advanced materials",
"year": 2018
},
{
"abstract": "The reaction of MnCl2 with 2,5 disulfhydrylbenzene 1,4 dicarboxylic acid (H4DSBDC) in which the phenol groups in 2,5 dihydroxybenzene 1,4 dicarboxylic acid (H4DOBDC) have been replaced by thiophenol units, led to the isolation of Mn2(DSBDC) a thiolated analogue of the M2(DOBDC) series of metal organic frameworks (MOFs) The sulfur atoms participate in infinite one dimensional Mn S chains, and Mn2(DSBDC) shows a high surface area and high charge mobility similar to that found in some of the most common organic semiconductors. The synthetic approach to Mn2(DSBDC) and its excellent electronic properties provide a blueprint for a potentially rich area of exploration in microporous conductive MOFs with low dimensional charge transport pathways.",
"author_names": [
"Lei Sun",
"Tomoyo Miyakai",
"Shu Seki",
"Mircea Dinca"
],
"corpus_id": 18848047,
"doc_id": "18848047",
"n_citations": 193,
"n_key_citations": 1,
"score": 0,
"title": "Mn2(2,5 disulfhydrylbenzene 1,4 dicarboxylate) a microporous metal organic framework with infinite Mn S chains and high intrinsic charge mobility.",
"venue": "Journal of the American Chemical Society",
"year": 2013
},
{
"abstract": "Metal organic frameworks (MOFs) allow compositional and structural diversity beyond conventional solid state materials. Continued interest in the field is justified by potential applications of exceptional breadth, ranging from gas storage and separation, which takes advantage of the inherent pores and their volume, to electronic applications, which requires precise control of electronic structure. In this Outlook we present some of the pertinent challenges that MOFs face in their conventional implementations, as well as opportunities in less traditional areas. Here the aim is to discuss select design concepts and future research goals that emphasize nuances relevant to this class of materials as a whole. Particular emphasis is placed on synthetic aspects, as they influence the potential for MOFs in gas separation, electrical conductivity, and catalytic applications.",
"author_names": [
"Christopher H Hendon",
"Adam J Rieth",
"Maciej D Korzynski",
"Mircea Dinca"
],
"corpus_id": 12525264,
"doc_id": "12525264",
"n_citations": 177,
"n_key_citations": 0,
"score": 0,
"title": "Grand Challenges and Future Opportunities for Metal Organic Frameworks",
"venue": "ACS central science",
"year": 2017
},
{
"abstract": "The burgeoning field of metal organic frameworks (MOFs) has been marked by numerous key advances over the past two decades. An emerging theme is the incorporation of radical species which may be ligated as an integral structural component of, or simply appended to, the material, or else merely a guest within it. Radical incorporation has been shown to endow MOFs with a plethora of unique and fascinating magnetic, electronic and optical properties, paving the way towards their application as spin probes, and in magnetic/electronic devices, chemical sensing and molecular recognition. In view of the rapid growth of the literature in the area, this review highlights progress over the past three years (since 2011) and seeks to uncover promising ideas that will underscore future advancements at both the fundamental and applied levels.",
"author_names": [
"Thomas B Faust",
"Deanna M D'Alessandro"
],
"corpus_id": 98823752,
"doc_id": "98823752",
"n_citations": 58,
"n_key_citations": 0,
"score": 0,
"title": "Radicals in metal organic frameworks",
"venue": "",
"year": 2014
},
{
"abstract": "Metal organic frameworks (MOFs) are considered as very promising candidates to build electrodes for electrochemical energy storage devices such as lithium ion batteries, fuel cells and supercapacitors, due to their diverse structure, adjustable aperture, large specific surface area and abundant active sites. Supercapacitor has been widely investigated in the past decades. Of critical importance in these devices is the electrode active materials, and this application has been intensively studied with the development of novel nanomaterials. In this review we summarize recent reports on MOFs as electrode materials for supercapacitors. Specifically, the synthesis of MOF materials for supercapacitor electrodes and their performance in electrochemical energy storage are discussed. We aim to include supercapacitor electrode materials related to MOFs, such as carbon, metal and composite materials. It is proposed that MOFs play an important role in the development of a new generation of supercapacitor electrode materials. Finally, we discuss the current challenges in the field of supercapacitors, with a view towards how to address these challenges with the future development of MOFs and their derivatives.Zhai Yao Jin Shu You Ji Gu Jia Cai Liao Ju You Jie Gou Duo Yang Kong Jing Ke Diao Bi Biao Mian Ji Da Ji Hua Xue Fan Ying Huo Xing Wei Dian Feng Fu Deng You Dian Yin Ci You Wang Cheng Wei Yan Fan Ying Yong Yu Dian Hua Xue Chu Neng Qi Jian De Dian Ji Cai Liao Ru Li Chi Zi Dian Chi Ran Liao Dian Chi Ji Chao Ji Dian Rong Qi Deng Jin Shi Nian Lai Jin Shu You Ji Gu Jia Cai Liao Ji Qi Yan Sheng Wu Cai Liao Yin Qi Liao Yan Fan De Yan Jiu Yu Ying Yong Tong Shi Jin Shu You Ji Gu Jia Cai Liao Ji Qi Yan Sheng De Na Mi Cai Liao Zuo Wei Dian Hua Xue Chu Neng Qi Jian Zhong De Guan Jian Dian Ji Ye Shou Dao Liao Yan Fan De Yan Jiu Ben Wen Zhu Yao Zong Shu Liao Jin Qi Jin Shu You Ji Gu Jia Cai Liao Ji Qi Yan Sheng Wu Zai Chao Ji Dian Rong Qi Zhong De Yan Jiu Jin Zhan Tao Lun Liao Jin Shu You Ji Gu Jia Cai Liao Zhi Bei Chao Ji Dian Rong Qi Dian Ji Cai Liao De Fang Fa Ji Qi Zai Dian Hua Xue Chu Neng Ling Yu Ti Xian Chu De You Yi Xing Neng Ji Te Shu Xing Zhi Tong Shi Ye Zong Jie Liao Jin Qi Jin Shu You Ji Gu Jia Cai Liao De Yan Sheng Wu Ru Tan Cai Liao Jin Shu Cai Liao Ji Fu He Wu Zai Chao Ji Dian Rong Qi Zhong De Yan Jiu Jin Zhan Jie Guo Shuo Ming Jin Shu You Ji Gu Jia Cai Liao Zai Fa Zhan Xin Yi Dai Chao Ji Dian Rong Qi Dian Ji Cai Liao Ling Yu Ju You Zhong Yao De Zuo Yong Zui Hou Tao Lun Liao Jin Shu You Ji Gu Jia Cai Liao Ji Qi Yan Sheng Wu Mu Qian Zai Chao Ji Dian Rong Qi Ling Yu Cun Zai De Wen Ti Yi Ji Wei Lai Ke Neng De Fa Zhan Fang Xiang",
"author_names": [
"Yujie Zhao",
"Jinzhang Liu",
"Michael R Horn",
"Nunzio Motta",
"Mingjun Hu",
"Yan Li"
],
"corpus_id": 104260693,
"doc_id": "104260693",
"n_citations": 47,
"n_key_citations": 0,
"score": 0,
"title": "Recent advancements in metal organic framework based electrodes for supercapacitors",
"venue": "Science China Materials",
"year": 2018
},
{
"abstract": "A three dimensional network solid composed of Fe(III) centers and paramagnetic semiquinoid linkers, (NBu4)2Fe(III)2(dhbq)3 (dhbq(2 /3 2,5 dioxidobenzoquinone/1,2 dioxido 4,5 semiquinone) is shown to exhibit a conductivity of 0.16 0.01 S/cm at 298 K, one of the highest values yet observed for a metal organic framework (MOF) The origin of this electronic conductivity is determined to be ligand mixed valency, which is characterized using a suite of spectroscopic techniques, slow scan cyclic voltammetry, and variable temperature conductivity and magnetic susceptibility measurements. Importantly, UV vis NIR diffuse reflectance measurements reveal the first observation of Robin Day Class II/III mixed valency in a MOF. Pursuit of stoichiometric control over the ligand redox states resulted in synthesis of the reduced framework material Na0.9(NBu4)1.8Fe(III)2(dhbq)3. Differences in electronic conductivity and magnetic ordering temperature between the two compounds are investigated and correlated to the relative ratio of the two different ligand redox states. Overall, the transition metal semiquinoid system is established as a particularly promising scaffold for achieving tunable long range electronic communication in MOFs.",
"author_names": [
"Lucy E Darago",
"Michael L Aubrey",
"Chung-Jui Yu",
"Miguel I Gonzalez",
"Jeffrey R Long"
],
"corpus_id": 207160930,
"doc_id": "207160930",
"n_citations": 202,
"n_key_citations": 0,
"score": 0,
"title": "Electronic Conductivity, Ferrimagnetic Ordering, and Reductive Insertion Mediated by Organic Mixed Valence in a Ferric Semiquinoid Metal Organic Framework.",
"venue": "Journal of the American Chemical Society",
"year": 2015
},
{
"abstract": "The development of metal organic frameworks (MOFs) as microporous electronic conductors is an exciting research frontier that has the potential to revolutionize a wide range of technologically and industrially relevant fields, from catalysis to solid state sensing and energy storage devices, among others. After nearly two decades of intense research on MOFs, examples of intrinsically conducting MOFs remain relatively scarce; however, enormous strides have recently been made. This article briefly reviews the current status of the field, with a focus on experimental milestones that have shed light on crucial structure property relationships that underpin future progress. Central to our discussion are a series of design considerations, including redox matching, donor acceptor interactions, mixed valency, and p interactions. Transformational opportunities exist at both fundamental and applied levels, from improved measurement techniques and theoretical understanding of conduction mechanisms to device engineering. Taken together, these developments will herald a new era in advanced functional materials.",
"author_names": [
"Chanel F Leong",
"Pavel M Usov",
"Deanna M D'Alessandro"
],
"corpus_id": 138864376,
"doc_id": "138864376",
"n_citations": 72,
"n_key_citations": 0,
"score": 0,
"title": "Intrinsically conducting metal organic frameworks",
"venue": "",
"year": 2016
}
] |
Structural, mechanical, and electronic properties of P3m1-BCN | [
{
"abstract": "Abstract The mechanical and electronic properties of P3m1 BCN have been studied by using first principles calculations. The anisotropy studies of Young's modulus, shear modulus and Poisson's ratio show that P3m1 BCN exhibits a large anisotropy. Electronic structure study shows that P3m1 BCN is an indirect semiconductor with band gap of 4.10 eV. Unusually, the band gap of P3m1 BCN increase with increasing pressure.",
"author_names": [
"Qingyang Fan",
"Qun Wei",
"Changchun Chai",
"Haiyan Yan",
"Meiguang Zhang",
"Zheng-Zhe Lin",
"Zixia Zhang",
"Junqin Zhang",
"Dongyun Zhang"
],
"corpus_id": 98251386,
"doc_id": "98251386",
"n_citations": 55,
"n_key_citations": 4,
"score": 1,
"title": "Structural, mechanical, and electronic properties of P3m1 BCN",
"venue": "",
"year": 2015
},
{
"abstract": "Magnesium and magnesium alloys are used in a wide variety of structural applications including automotive, aerospace, hand tools and electronic industries thanks to their light weight, high specific strength, adequate corrosion resistance and good castability. Al and Zn are the primary alloying elements in commercial Mg alloys and commonly used in automotive industries. AZ91 is one of the most popular Mg alloys containing 9% Al and 1% Zn. Hence, lots of research have been done during last decades on AZ91D. However, the existing data concerning mechanical properties and microstructural features showed large scatter and is even contradictory. This work focused on the correlation between the microstructure and the mechanical properties of as cast AZ91 alloy. An exhaustive characterization of the grain size, secondary dendrite arm spacing (SDAS) distribution, and fraction of Mg17Al12 using optical and electron backscattered diffraction (EBSD) was performed. These microstructural parameters were correlated to offset yield point (Rp0.2) fracture strength and elongation to fracture. It was understood that the intermetallic phase, Mg17Al12, plays an important role in determining the mechanical and physical properties of the alloy at temperature range from room temperature up to 190oC. It was realized that by increasing the Mg17Al12 content above 11% a network of intermetallic may form. During deformation this rigid network should break before any plastic deformation happen. Hence, increase in Mg17Al12 content resulted in an increase in offset yield point. The presence of this network was supported by study of thermal expansion behaviour of the alloy containing different amount of Mg17Al12. A physically based model was adapted and validated in order to predict the flow stress behaviour of as cast AZ91D at room temperature up to 190oC for various microstructures. The model was based on dislocation glide and climb in a single phase (matrix) material containing reinforcing particles. The temperature dependant variables of the model were quite well correlated to the underlying physics of the material.",
"author_names": [
"Hoda Dini",
"Nils-Eric Andersson",
"Anders E W Jarfors"
],
"corpus_id": 138706286,
"doc_id": "138706286",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Effect of Mg17Al12 content on mechanical properties of AZ91D cast alloy",
"venue": "",
"year": 2015
},
{
"abstract": "Kapton polyimide films are one of the most commonly used flexible and robust substrates for flexible electronic devices due to their excellent thermal, chemical, mechanical, and electrical properties. However, such films feature an inert and highly hydrophobic surface that inhibits the deposition of functional materials with water based fluids (solutions, suspensions, inkjet inks, etc. which raise the need for their surface modification to reduce their inherent surface inertness and/or hydrophobicity in order to allow for the fabrication of electronic devices on the substrates. Traditional Kapton surface modification approaches use harsh conditions that not only cause environmental and safety problems but also compromise the structural integrity and the properties of the substrates. This chapter focuses on two recently developed mild and environmentally friendly wet chemical approaches for surface modification of Kapton HN films. Unlike the traditional methods that target the polyimide matrix of Kapton films, these two methods target the slip additive embedded in the polyimide matrix. The surface modified Kapton films resulted from these two methods allowed for not only great printability of both waterand organic solvent based inks (thus facilitating the full inkjet printing of entire flexible electronic devices) but also strong adhesion between the inkjet printed traces and the substrate films.",
"author_names": [
"Yunnan Fang and Manos M Tentzeris"
],
"corpus_id": 55558250,
"doc_id": "55558250",
"n_citations": 5,
"n_key_citations": 0,
"score": 0,
"title": "Surface Modification of Polyimide Films for Inkjet Printing of Flexible Electronic Devices",
"venue": "",
"year": 2018
},
{
"abstract": "In lightweight construction, integrated piezoelectric sensors and actuators find application for structural health monitoring, vibration control, reduction of noise emission and condition monitoring. In order to create such smart components, we embedded piezofiber composites into thermoplastic structures. Thermal and mechanical loads during the integration process can lead to partial depolarization and thus degradation of the piezoelectric properties. In the present work, we investigate the effect of the integration on the poling condition and polarizability of piezofiber composites. 1 3 piezofiber composites (PFC) consisting of a monolayer of PZT fibers embedded in epoxy resin were used as starting material [1] In the first integration step, they were positioned between two transparent thermoplastic carrier films and consolidated by hot pressing, forming the so called TPM (thermoplastic compatible piezoceramic module) In the second integration step, these TPMs were integrated into a fibre reinforced plastic (FRP) structure [2] The investigated samples were divided into three groups: reference samples, which were poled but not integrated, pre poled samples, which were poled and integrated, re poled samples, which were additionally poled after each processing step. The natural aging without the influence of fabrication processes and re poling was deduced from the capacitance of the reference samples. The poling condition was evaluated by the Laser Intensity Modulation Method (LIMM) and the thermal pulse method. The pyroelectric current was analyzed by heating with an intensity modulated laser beam or laser pulses [3] Hysteresis measurements were performed to determine the effect of the integration on the polarizability. The pyroelectric current spectra of the same sample were compared for the three states PFC, TPM and FRP. Pre poled samples showed a degradation of the remanent polarization after integration. The range of degradation varied widely between 1% and 23% for one integration step. The polarization of the repoled samples was increased or only slightly decreased. Consequently, the results obtained by LIMM were confirmed by the thermal pulse response. The attainable remanent polarization was derived from the hysteresis curves of the PFC and of the repoled samples after each integration step. It was decreased after integration. The remanent polarization of the integrated composites reached 95% of the initial value. In conclusion, the integration of a PFC into a structural component leads to a decrease of the remanent polarization and of the polarizability. However, the observed depolarization is within the variation of the polarization state of the PFC and thus, negligible. Hence, re poling after the integration appears not to be necessary, although possible. REFERENCES [1] K. Hohlfeld, P. Neumeister, S. Gebhardt, A. Michaelis, \"Tailored Composite Transducers Based on Piezoceramic Fibres and Pearls\" Proc. ACTUATOR 16, Bremen, Germany, June 13 15, 2016, 69 72, www.actuator.de. [2] W. Hufenbach, M. Gude, N. Modler, Th. Heber, A. Winkler and T. Weber, \"Process chain modeling and analysis for the high volume production of thermoplastic composites with embedded piezoceramic modules\" Smart Mater. Res. Vol. 2013, 201631, (2013) [3] A. Eydam, G. Suchaneck, K. Hohlfeld, S. Gebhardt, A. Michaelis, G. Gerlach, \"Evaluation of the polarization state of piezofiber composites\" IEEE Joint ISAF PFM 2013, Electronic Proc. 190 193, doi: 10.1109/ISAF.2013.6748683.",
"author_names": [
"Kai Hohlfeld",
"Sophia Esslinger",
"Angelique Eydam",
"Anders Winkler",
"Tony Weber",
"Maria R Gude",
"Niels Modler",
"G Gerlach",
"Gunnar Suchaneck",
"Alan John Michaelis",
"Andreas Schonecker",
"Siegmar Gebhardt",
"Peter Neumeister"
],
"corpus_id": 236931861,
"doc_id": "236931861",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Effect of the Integration of Piezoceramic Composites into Structural Components on their Poling Condition and Polarizability",
"venue": "",
"year": 2017
},
{
"abstract": "Electronic, structural, and spectroscopic properties of the ground state neutral and singly ionized mono di tri and tetrafluoropyrroles are studied using ab initio and density functional theory quantum mechanical methods. The effects of the number and position of the substituents on the electrochemical properties of the pyrrole ring have been studied. Using the optimized structures obtained for these molecules and their cations, IR and NMR spectra have been calculated and analyzed. The results of this study, including charge and spin density distribution analyses, show that among all of these compounds 3 fluoropyrrole and 3,4 difluoropyrrole have the most suitable conditions for electropolymerization.",
"author_names": [
"and Hassan Sabzyan",
"Abdollah Omrani"
],
"corpus_id": 97407590,
"doc_id": "97407590",
"n_citations": 10,
"n_key_citations": 0,
"score": 0,
"title": "Ab Initio and DFT Study of All Mono Di Tri and Tetrafluoropyrroles and Their Cations: Predicting Structural, Spectroscopic, Electropolymerization, and Electrochemical Properties",
"venue": "",
"year": 2003
},
{
"abstract": "CuZSM 5 is the most active catalyst known for the direct decomposition of NOx. We have performed first principles quantum mechanical calculations to evaluate the electronic and structural properties of species adsorbed to Cu sites that might be involved in NOx decomposition. Using statistical mechanics, we have calculated DUdeg, DHdeg, and DGdeg of possible elementary reactions in order to evaluate the stability of adsorbates on Cu sites and the ease of their interconversion. On the basis of these calculations, we propose a reaction pathway for NOx decomposition. This scheme involves only single, isolated copper sites, is internally consistent, and is consistent with experimental observations.",
"author_names": [
"Bernhardt L Trout",
"and Arup K Chakraborty",
"Alexis T Bell"
],
"corpus_id": 94974789,
"doc_id": "94974789",
"n_citations": 96,
"n_key_citations": 0,
"score": 0,
"title": "Analysis of the Thermochemistry of NOx Decomposition over CuZSM 5 Based on Quantum Chemical and Statistical Mechanical Calculations",
"venue": "",
"year": 1996
},
{
"abstract": "Unusual electronic states arise at ferroelectric domain walls due to the local symmetry reduction, strain gradients and electrostatics. This particularly applies to improper ferroelectrics, where the polarization is induced by a structural or magnetic order parameter. Because of the subordinate nature of the polarization, the rigid mechanical and electrostatic boundary conditions that constrain domain walls in proper ferroics are lifted. Here we show that spin driven ferroelectricity promotes the emergence of charged domain walls. This provides new degrees of flexibility for controlling domain wall charges in a deterministic and reversible process. We create and position a domain wall by an electric field in Mn0.95Co0.05WO4. With a magnetic field we then rotate the polarization and convert neutral into charged domain walls, while its magnetic properties peg the wall to its location. Using atomistic Landau Lifshitz Gilbert simulations we quantify the polarization changes across the two wall types and highlight their general occurrence.",
"author_names": [
"Naemi Leo",
"Anders Bergman",
"Andres Cano",
"Narayan Poudel",
"Bernd Lorenz",
"Manfred Fiebig",
"Dennis Meier"
],
"corpus_id": 205336357,
"doc_id": "205336357",
"n_citations": 18,
"n_key_citations": 1,
"score": 0,
"title": "Polarization control at spin driven ferroelectric domain walls.",
"venue": "Nature communications",
"year": 2015
},
{
"abstract": "In the past decade the interest in molecular electronic devices has escalated. The synthesis of molecular crystals has improved, providing single crystals or thin films with mobility comparable with or even higher than amorphous silicon. Their mechanical flexibility admits new types of applications and usage of electronic devices. Some of these organic crystals also display magnetic effects. Furthermore, the fullerene and carbon nanotube allotropes of carbon are prominent candidates for various types of applications. The carbon nanotubes, in particular, are suitable for molecular wire applications with their robust, hollow and almost one dimensional structure and diverse band structure. In this thesis, we have theoretically investigated carbon based materials, such as carbon nanotubes, pentacene and spiro biphenalenyl neutral radical molecular crystals. The work mainly deals with the electron structure and the transport properties thereof. The first studies concerns effects and defects in devices of finite carbon nanotubes. The transport properties, that is, conductance, are calculated with the Landauer approach. The device setup contains two metallic leads attached to the carbon nanotubes. Structural defects as vacancies and bending are considered for single walled carbon nanotubes. For the multi walled carbon nanotubes the focus is on inter shell interaction and telescopic junctions. The current voltage characteristics of these systems show clear marks of quantum dot behaviour. The influence of defects as vacancies and geometrical deformations are significant for infinite systems, but in these devices they play a minor role. The rest of the studies concern molecular crystals, treated with density functional theory (DFT) Inspired by the enhance of the electrical conductivity obtained experimentally by doping similar materials with alkali metals, calculations were performed on bundles of single walled carbon nanotubes and pentacene crystals doped with potassium. The most prominent effect of the potassium intercalation is the shift of Fermi level in the nanotube bands. A sign of charge transfer of the valence electrons of the potassium atoms. Semi conducting bundles become metallic and metallic bundles gain density of states at the Fermi level. In the semi conducting pristine pentacene crystals structural transitions occur upon doping. The herringbone arrangement of the pristine pentacene molecules relaxes to a more p stacked structure causing more dispersive bands. The charge transfer shifts the Fermi level into the lowest unoccupied molecular orbital band and turns the crystal metallic. Finally, we have studied molecular crystals of spiro biphenalenyl neutral radicals. According to experimental studies, some of these materials show simultaneous electrical, optical and magnetical bistability. The electronic properties of these crystals are investigated by means of DFT with a focus on the possible intermolecular interactions of radical spins.",
"author_names": [
"Anders Hansson",
"Sven Stafstrom"
],
"corpus_id": 121242171,
"doc_id": "121242171",
"n_citations": 12,
"n_key_citations": 0,
"score": 0,
"title": "Electronic structure calculations of potassium intercalated single walled carbon nanotubes",
"venue": "",
"year": 2005
},
{
"abstract": "In the past decade the interest in molecular electronic devices has escalated. The synthesis of molecular crystals has improved, providing single crystals or thin films with mobility comparable with or even higher than amorphous silicon. Their mechanical flexibility admits new types of applications and usage of electronic devices. Some of these organic crystals also display magnetic effects. Furthermore, the fullerene and carbon nanotube allotropes of carbon are prominent candidates for various types of applications. The carbon nanotubes, in particular, are suitable for molecular wire applications with their robust, hollow and almost one dimensional structure and diverse band structure. In this thesis, we have theoretically investigated carbon based materials, such as carbon nanotubes, pentacene and spiro biphenalenyl neutral radical molecular crystals. The work mainly deals with the electron structure and the transport properties thereof. The first studies concerns effects and defects in devices of finite carbon nanotubes. The transport properties, that is, conductance, are calculated with the Landauer approach. The device setup contains two metallic leads attached to the carbon nanotubes. Structural defects as vacancies and bending are considered for single walled carbon nanotubes. For the multi walled carbon nanotubes the focus is on inter shell interaction and telescopic junctions. The current voltage characteristics of these systems show clear marks of quantum dot behaviour. The influence of defects as vacancies and geometrical deformations are significant for infinite systems, but in these devices they play a minor role. The rest of the studies concern molecular crystals, treated with density functional theory (DFT) Inspired by the enhance of the electrical conductivity obtained experimentally by doping similar materials with alkali metals, calculations were performed on bundles of single walled carbon nanotubes and pentacene crystals doped with potassium. The most prominent effect of the potassium intercalation is the shift of Fermi level in the nanotube bands. A sign of charge transfer of the valence electrons of the potassium atoms. Semi conducting bundles become metallic and metallic bundles gain density of states at the Fermi level. In the semi conducting pristine pentacene crystals structural transitions occur upon doping. The herringbone arrangement of the pristine pentacene molecules relaxes to a more p stacked structure causing more dispersive bands. The charge transfer shifts the Fermi level into the lowest unoccupied molecular orbital band and turns the crystal metallic. Finally, we have studied molecular crystals of spiro biphenalenyl neutral radicals. According to experimental studies, some of these materials show simultaneous electrical, optical and magnetical bistability. The electronic properties of these crystals are investigated by means of DFT with a focus on the possible intermolecular interactions of radical spins.",
"author_names": [
"Johan Bohlin",
"Anders Hansson",
"Sven Stafstrom"
],
"corpus_id": 136890120,
"doc_id": "136890120",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Electronic structure calculations of a phenalenyl based neutral radical conductor",
"venue": "",
"year": 2006
},
{
"abstract": "Multilayer MoS2 possesses highly anisotropic thermal conductivities along in plane and cross plane directions that could hamper heat dissipation in electronics. With about 9% cross plane compressive strain created by hydrostatic pressure in a diamond anvil cell, we observed about 12 times increase in the cross plane thermal conductivity of multilayer MoS2. Our experimental and theoretical studies reveal that this drastic change arises from the greatly strengthened interlayer interaction and heavily modified phonon dispersions along cross plane direction, with negligible contribution from electronic thermal conductivity, despite its enhancement of 4 orders of magnitude. The anisotropic thermal conductivity in the multilayer MoS2 at ambient environment becomes almost isotropic under highly compressive strain, effectively transitioning from 2D to 3D heat dissipation. This strain tuning approach also makes possible parallel tuning of structural, thermal and electrical properties, and can be extended to the whole family of 2D Van der Waals solids, down to two layer systems.",
"author_names": [
"Xianghai Meng",
"Tribhuwan Pandey",
"Suyu Fu",
"Jing Yang",
"Jihoon Jeong",
"Ke Chen",
"Akash Singh",
"Feng He",
"Xiaochuan Xu",
"Abhishek Kumar Singh",
"Jung-Fu Lin",
"Yaguo Wang Department of Mechanical Engineering",
"The University of Texas at Austin",
"Materials Research Centre",
"India Debye Institute for Nanomaterials Science",
"John A Katherine G Jackson School of Geosciences",
"Texas Materials Institute",
"Omega Optics",
"",
"Center for Space Science",
"Technology Advanced Research"
],
"corpus_id": 119096045,
"doc_id": "119096045",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Giant Thermal Conductivity Enhancement in Multilayer MoS2 under Highly Compressive Strain",
"venue": "",
"year": 2017
}
] |
Continuous-wave diamond laser | [
{
"abstract": "The longest wavelength ~1.4 um) emitted by a diamond Raman laser pumped by a semiconductor disk laser (SDL) is reported. The output power of the intracavity pumped Raman laser reached a maximum of 2.3 W with an optical conversion efficiency of 3.4% with respect to the absorbed diode pump power. Narrow Stokes emission (FWHM <0.1 nm) was attained using etalons to limit the fundamental spectrum to a single etalon peak. Tuning of the Raman laser over >40 nm was achieved via rotation of an intracavity birefringent filter that tuned the SDL oscillation wavelength.",
"author_names": [
"Riccardo Casula",
"Jussi-Pekka Penttinen",
"Alan J Kemp",
"Mircea D Guina",
"Jennifer E Hastie"
],
"corpus_id": 22353200,
"doc_id": "22353200",
"n_citations": 10,
"n_key_citations": 0,
"score": 0,
"title": "1.4 um continuous wave diamond Raman laser.",
"venue": "Optics express",
"year": 2017
},
{
"abstract": "Continuous wave operation of a diamond Raman laser is demonstrated. Low birefringence synthetic single crystal diamond is used and is intracavity pumped by a Nd:YVO(4) laser. A cw output power of 200 mW is achieved at the Raman wavelength (1240 nm) and 1.6 W of on time output power is obtained in quasi cw mode. Losses in the diamond (approximately 1% per pass) and thermal effects in the Nd:YVO(4) limit the efficiency.",
"author_names": [
"Walter Lubeigt",
"Gerald Michael Bonner",
"Jennifer E Hastie",
"Martin D Dawson",
"David Burns",
"Alan J Kemp"
],
"corpus_id": 10200233,
"doc_id": "10200233",
"n_citations": 39,
"n_key_citations": 2,
"score": 1,
"title": "Continuous wave diamond Raman laser.",
"venue": "Optics letters",
"year": 2010
},
{
"abstract": "Continuous wave operation of a diamond Raman laser, intracavity pumped by a diode pumped InGaAs semiconductor disk laser (SDL) is reported. The Raman laser, which utilized a 6.5 mm long synthetic single crystal diamond, reached threshold for 5.3 W of diode laser pump power absorbed by the SDL. Output power up to 1.3 W at the first Stokes wavelength of 1227 nm was demonstrated with excellent beam quality and optical conversion efficiency of 14.4% with respect to absorbed diode laser pump power. Broad tuning of the Raman laser output between 1217 and 1244 nm was achieved via intracavity tuning of the SDL oscillation wavelength.",
"author_names": [
"Daniele C Parrotta",
"Alan J Kemp",
"Martin D Dawson",
"Jennifer E Hastie"
],
"corpus_id": 207320242,
"doc_id": "207320242",
"n_citations": 32,
"n_key_citations": 2,
"score": 0,
"title": "Tunable continuous wave diamond Raman laser.",
"venue": "Optics express",
"year": 2011
},
{
"abstract": "Recent developments in diamond synthesis have enabled the growth of high optical quality material. This opens the way for intracavity applications in lasers [1] and in particular the exploitation of diamond's exceptional thermal conductivity. This is best done by placing the diamond as close as possible to the heat source, and ideally using it as the gain material in its own right. In this report, we present the first multi watt >5 W) continuous wave (cw) laser based on diamond. This diamond Raman laser exploits diamond's high Raman gain. Raman lasers are usually pumped by high peak power pulsed lasers [2] and indeed Feve et al. recently demonstrated a 25W pulsed diamond Raman laser [3] By contrast, we fully exploit the high optical quality of state of the art synthetic diamond to enable multi watt CW operation.",
"author_names": [
"Vasili G Savitski",
"Jennifer E Hastie",
"Martin D Dawson",
"David Burns",
"Alan J Kemp"
],
"corpus_id": 25699387,
"doc_id": "25699387",
"n_citations": 6,
"n_key_citations": 0,
"score": 0,
"title": "Multi watt continuous wave diamond Raman laser at 1217 nm",
"venue": "2011 Conference on Lasers and Electro Optics Europe and 12th European Quantum Electronics Conference (CLEO EUROPE/EQEC)",
"year": 2011
},
{
"abstract": "",
"author_names": [
"Sergei Antipov",
"Richard P Mildren",
"Alexander Sabella",
"Robert J Williams",
"David J Spence",
"Ondrej Kitzler"
],
"corpus_id": 139248079,
"doc_id": "139248079",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Dynamics in a 1.2 kW quasi continuous wave diamond Raman laser with low coherence pumping (Conference Presentation)",
"venue": "Solid State Lasers XXVIII: Technology and Devices",
"year": 2019
},
{
"abstract": "We present a ~1400nm emitting diamond Raman laser intracavity pumped by an ~1180nm semiconductor disk laser. We measured a maximum output power of 2.3 W at ~1400nm with an output coupling of 3.5% The Raman laser was tunable from 1373 to 1415nm using a 4 mm thick birefringent filter.",
"author_names": [
"Riccardo Casula",
"Daniele C Parrotta",
"Alan J Kemp",
"Jussi-Pekka Penttinen",
"Tomi Leinonen",
"M Guina",
"Jennifer E Hastie"
],
"corpus_id": 136182873,
"doc_id": "136182873",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "~1400 nm continuous wave diamond Raman laser intracavity pumped by an InGaAs semiconductor disk laser",
"venue": "",
"year": 2016
},
{
"abstract": "Low birefringence, single crystal, synthetic diamond is used as a Raman medium in a Nd:YVO4 laser. CW output powers of 1.6W at the Raman wavelength were recorded. In quasi CW operation, on time output powers of 2.8W were obtained.",
"author_names": [
"Walter Lubeigt",
"Vasili G Savitski",
"Gerald Michael Bonner",
"Jennifer E Hastie",
"Martin D Dawson",
"David Burns",
"Alan J Kemp"
],
"corpus_id": 138943005,
"doc_id": "138943005",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "1.6W continuous wave diamond Raman laser",
"venue": "",
"year": 2011
},
{
"abstract": "We explore a ring cavity continuous wave diamond Raman laser with a tunable second Stokes output. We will characterize the laser operation at first and second Stokes and discuss the conditions of single longitudinal mode operation.",
"author_names": [
"Muye Li",
"Ondrej Kitzler",
"David J Spence"
],
"corpus_id": 226694754,
"doc_id": "226694754",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Continuous Wave Resonantly Pumped Second Stokes Diamond Raman Laser",
"venue": "2020 Conference on Lasers and Electro Optics Pacific Rim (CLEO PR)",
"year": 2020
},
{
"abstract": "We report a diamond Raman ring cavity laser resonantly pumped by a tunable Ti:sapphire continuous wave laser. We characterize the laser operation generating first Stokes output and, for the first time, generate second Stokes lasing at a maximum output power of 364 mW with 33.4% slope efficiency at 1101.3 nm. Single longitudinal mode operation is achieved for all first Stokes output powers, but only for lower output powers for second Stokes operation. We discuss possible reasons preventing single longitudinal mode operation.",
"author_names": [
"Muye Li",
"Ondrej Kitzler",
"David J Spence"
],
"corpus_id": 211834626,
"doc_id": "211834626",
"n_citations": 5,
"n_key_citations": 0,
"score": 0,
"title": "Investigating single longitudinal mode operation of a continuous wave second Stokes diamond Raman ring laser.",
"venue": "Optics express",
"year": 2020
},
{
"abstract": "We report a high brightness cascaded Stokes diamond Raman laser with a diffraction limited beam quality pumped by an Yd doped fiber laser. The Raman laser operated at 1477 nm and reached an output power of 63 W with 214 W pump power in continuous wave mode. Conversion efficiency over 30% was achieved using a single pump pass concentric cavity that was highly resonant at the first Stokes and had high outcoupling at the second Stokes (45% Thermal limitations were investigated as well as the temporal behavior of the first and second Stokes intra cavity power.",
"author_names": [
"Matthias Heinzig",
"Gonzalo Palma-Vega",
"Benjamin Yildiz",
"Till Walbaum",
"Thomas Schreiber",
"Andreas Tunnermann"
],
"corpus_id": 232091259,
"doc_id": "232091259",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Continuous wave cascaded second Stokes diamond Raman laser at 1477 nm.",
"venue": "Optics letters",
"year": 2021
}
] |
Facile solvothermal synthesis of a Z-Scheme | [
{
"abstract": "Abstract Reasonable designing heterojunction photocatalysts is an effective way to improve the photocatalytic activity of semiconductor. Herein, the efficient binary heterojunction photocatalyst formed between zero dimensional (0D) CeO2 nanoparticles and three dimensional (3D) floriated shaped ZnIn2S4 microspheres was synthesized by a simple solvothermal method. A series of analytical methods were used to characterize the optical properties and morphology of CeO2/ZnIn2S4 composite photocatalysts. Photodegradation experimental results showed that CeO2/ZnIn2S4 composites displayed strong photocatalytic activity for the degradation of tetracycline (TC) with heavy concentrations (30 mg/L) The as obtained optimum 10 wt% CeO2/ZnIn2S4 sample displays high degradation efficiency of 91% within 120 min, which is about 12.9 and 3.03 times higher than that of pure CeO2 and ZnIn2S4, respectively. The enhanced photocatalytic activity is ascribed to the formation of Z scheme heterojunction between CeO2 and ZnIn2S4, which contributes to the increased surface area, enhanced redox ability and high separation efficiency of photogenerated charge carriers. The degradation pathways and intermediate products of TC over CeO2/ZnIn2S4 composite were analyzed by liquid chromatography mass spectrometry (LC/MS) measurement. This study provides a new approach to prepare of efficient 0D/3D based Z scheme heterojunction photocatalysts.",
"author_names": [
"Chen Hao",
"Yu-bin Tang",
"Weilong Shi",
"Fang-yan Chen",
"Feng Guo"
],
"corpus_id": 230585179,
"doc_id": "230585179",
"n_citations": 5,
"n_key_citations": 0,
"score": 1,
"title": "Facile solvothermal synthesis of a Z Scheme 0D/3D CeO2/ZnIn2S4 heterojunction with enhanced photocatalytic performance under visible light irradiation",
"venue": "",
"year": 2021
},
{
"abstract": "Abstract In present study, polyaniline/bismuth bromide/zinc ferrite (PANI/BiOBr/ZnFe2O4) was rationally designed and successfully prepared via a facile hydrothermal synthesis method. The crystal structure, chemical composition and morphology of the samples were analyzed by various characterization techniques. The PANI/BiOBr/ZnFe2O4 composite exhibits a higher photocatalytic activity compared with other samples under visible light irradiation. Among all samples, the highest degradation efficiency for RhB over 7% PANI/BiOBr/ZnFe2O4 reach 99.26% Meanwhile, the composite exhibits an excellent catalytic activity for the reduction of nitrobenzene (NB) under visible light, the conversion efficiency can reach 87.1% Moreover, the ternary composite still maintains a higher photocatalytic activity after three consecutive uses. Additionally, according to PL spectra and electrochemical impedance spectroscopy (EIS) the improved photocatalytic performance of PANI/BiOBr/ZnFe2O4 composite can be attributed to the rapid separation and transfer of electron hole pairs. Finally, the dual Z scheme electron transfer mechanism suitable for this system was proposed by UV vis DRS spectra, M S curves analysis, ESR spectra and free radical capture experiments. This study provides a new research idea for the design and construction of efficient photocatalysts for organic pollutants and other water treatment problems.",
"author_names": [
"Rui Zhang",
"Qiong Han",
"Yu Li",
"Tongqing Zhang",
"Yu-qiong Liu",
"Kunlin Zeng",
"Chen Zhao"
],
"corpus_id": 203937899,
"doc_id": "203937899",
"n_citations": 31,
"n_key_citations": 0,
"score": 0,
"title": "Solvothermal synthesis of a peony flower like dual Z scheme PANI/BiOBr/ZnFe2O4 photocatalyst with excellent photocatalytic redox activity for organic pollutant under visible light",
"venue": "",
"year": 2020
},
{
"abstract": "Abstract The Bi based hierarchical multi component photocatalytic system is an important player in energy and environmental catalysis due to its full spectrum light absorption and stable separation of photo generated charges. In this study, a novel family of iodine doped Bi2O2CO3/Bi2WO6 heterojunctions was successfully developed by a facile ionic liquid assisted solvothermal method. A visible light photocatalytic H2 production rate of 664.5 mmol g 1 h 1 (0.3I Bi2O2CO3/Bi2WO6 with 3% Pt) has been durably obtained, much higher than those of pristine and undoped counterparts. The photocatalysts are also capable for persistent pollutant and dye degradation. Through electrochemical and spectroscopic tests and density functional theory calculation, the enhanced photocatalytic activity is attributed to formation of the Z scheme photocatalyst. Therein, the lowered and indirect bandgap and heterojunctional band alignment spontaneously benefit visible light harvesting, electron hole pair separation, and proton reduction. Besides a facile synthesis for effective photocatalysis, the Z scheme mechanism is hoped to facilitate the design and realization of similar systems for photocatalytic H2 evolution and organic hazards decontamination.",
"author_names": [
"Zhuomin Qiang",
"Ximeng Liu",
"Feng Li",
"Taihai Li",
"Meng Zhang",
"Harishchandra Singh",
"Marko Huttula",
"Wei Cao"
],
"corpus_id": 225037135,
"doc_id": "225037135",
"n_citations": 26,
"n_key_citations": 0,
"score": 0,
"title": "Iodine doped Z scheme Bi2O2CO3/Bi2WO6 photocatalysts: Facile synthesis, efficient visible light photocatalysis, and photocatalytic mechanism",
"venue": "",
"year": 2021
},
{
"abstract": "Abstract Novel Z scheme W18O49 nanowire g C3N4 nanosheet composite photocatalysts were prepared by a facile solvothermal process. The morphology of W18O49 nanocrystals was significantly changed from urchin sphere to nanowire bundles with the increase of C3N4 quantity. The W18O49 g C3N4 composites enhance the ability of harvesting visible light, and promote the charge transfer across the composite interface. All the as prepared composites show higher photocatalytic activity of hydrogen production than that of pure W18O49 and g C3N4. And the WCN50 composite with 50 mg of g C3N4 displays the optimal photocatalytic activity with the highest hydrogen production rate of 1.700 mmol g 1 h 1under visible light irradiation. The improved photocatalytic activity is due to the stronger light harvesting ability, the Z scheme charge transfer mechanism to promote the interface charge transfer, and the prolonged lifetime of photogenerated electrons. Our work will provide a valuable reference for the design of highly efficient Z scheme photocatalysts for efficiently converting solar energy into chemical fuels.",
"author_names": [
"Zhang Jinhong",
"Jincheng Liu",
"Zhao Wei",
"Ding Zhongxiang",
"Jijin Mai",
"Yanxiong Fang"
],
"corpus_id": 139637118,
"doc_id": "139637118",
"n_citations": 20,
"n_key_citations": 0,
"score": 0,
"title": "Facile synthesis of high quality Z scheme W18O49 nanowire g C3N4 photocatalyst for the enhanced visible light driven photocatalytic hydrogen evolution",
"venue": "",
"year": 2018
},
{
"abstract": "Bi2O2CO3 based ternary composite materials are generally synthesized by two or multi step method and special precursor of CO32 is usually utilized in synthesis of Bi2O2CO3, which are time consuming, laborious and relatively costly. In this paper, for the first time, a facile one step solvothermal method is used to fabricate Z scheme Bi2O2CO3/Bi/Bi2WO6 ternary composites. Interestingly, ethylene glycol not only acts as solvent for the reaction system, but also reduced Bi3+ into metallic Bi and itself is oxidized to CO32 which could construct Bi2O2CO3. On this occasion, Bi2O2CO3/Bi/Bi2WO6 ternary composites are obtained after one step method. High resolution transmission electron microscopy clearly reveals each component in composites. The as prepared samples could be applied in various photocatalytic activities. Under solar light irradiation, Bi2O2CO3/Bi/Bi2WO6 composites exhibited prominent photodegradation performances for both ciprofloxacin and bisphenol A. Meanwhile, these composites could also be used in efficient photoreduction of CO2. The efficient photocatalytic activity could be mainly ascribed to Z scheme electron transfer mechanism in ternary composites, which is determined by surface redox reactions, active species trapping experiment, electron spin resonance spectrum.",
"author_names": [
"Yuanyuan Zhang",
"Lili Wang",
"Fengying Dong",
"Qiao Chen",
"HaiYan Jiang",
"Mei Xu",
"Jinsheng Shi"
],
"corpus_id": 53221352,
"doc_id": "53221352",
"n_citations": 25,
"n_key_citations": 0,
"score": 0,
"title": "Non additional carbon source one step synthesis of Bi2O2CO3 based ternary composite for efficient Z scheme photocatalysis.",
"venue": "Journal of colloid and interface science",
"year": 2019
},
{
"abstract": "Abstract The construction of solid state Z scheme heterojunction photocatalytic system to efficiently tailor the photoinduced charge separation is of great significance to water purification. In this study, we reported for the first time the controlled preparation of Bi2S3/SnS2/Bi2O3 double Z scheme heterojunction photocatalyst by a simple one pot solvothermal route. The experimental results with regard to rhodamine B (RhB) degradation showed that the as fabricated heterojunctions can significantly enhance photocatalytic activity in comparison with pure Bi2S3. In addition, the optimized BiS 4 sample possessed good simulated sunlight photocatalytic efficiency towards the degradation of other types of dyes, including methyl orange (MO) methylene blue (MB) orange IV (OG IV) and crystal violet (CV) By further probing the charge separation and migration behaviors, studying the band structure, as well as conducting the active species trapping experiments, a possible double Z scheme photocatalytic mechanism was proposed, which not only benefited the efficient photogenerated electron hole pair separation but also demonstrated advanced capacity for the removal of organic dyes. This work would pave the route towards the design of novel Z scheme photocatalytic systems for energy conversion and environmental remediation.",
"author_names": [
"Chongfei Yu",
"Wang Ke",
"Pengyan Yang",
"Shengnan Yang",
"Chen Lu",
"Yingze Song",
"Shuying Dong",
"Jingyu Sun",
"Jianhui Sun"
],
"corpus_id": 100397262,
"doc_id": "100397262",
"n_citations": 51,
"n_key_citations": 0,
"score": 0,
"title": "One pot facile synthesis of Bi 2 S 3 /SnS 2 /Bi 2 O 3 ternary heterojunction as advanced double Z scheme photocatalytic system for efficient dye removal under sunlight irradiation",
"venue": "",
"year": 2017
},
{
"abstract": "Abstract The novel BiOBr/reduced graphene oxide/protonated g C3N4 (BiOBr/RGO/pg C3N4) composites were successfully synthesized by using a facile solvothermal synthesis method. The structure, morphology, optical and electronic properties were explored by X ray diffraction (XRD) X ray photoelectron spectroscopy (XPS) transmission electron microscopy (TEM) UV Vis diffuse reflectance spectroscopy (DRS) and photoelectrochemical measurement. The photocatalytic activities of as synthesized samples were evaluated by the degradation of Rhodamine B (Rh B) and tetracycline hydrochloride (TC) aqueous solution under visible light irradiation (l 420nm) Compared with BiOBr, protonated g C3N4 (pg C3N4) BiOBr/pg C3N4 and RGO/pg C3N4, BiOBr/RGO/pg C3N4 composites exhibited higher photocatalytic activity. The total organic carbon (TOC) removal ratios of Rh B and TC over 10% BiOBr/RGO/pg C3N4 were 88% and 59% respectively. The excellent photcatalytic performance was investigated by photoluminescence spectroscopy (PL) the radical quenching and electron spin resonance experiments. A Z scheme charge transfer mechanism was proposed, in which RGO acted as an electron transfer mediator. It was worth pointing out that the closely contacted two dimensional interface among the BiOBr, the RGO and pg C3N4 promoted the separation and transfer of photo generated charge carriers, and thus enhanced the photocatalytic efficiency.",
"author_names": [
"Yongchao Bao",
"Kezheng Chen"
],
"corpus_id": 103155794,
"doc_id": "103155794",
"n_citations": 82,
"n_key_citations": 0,
"score": 0,
"title": "Novel Z scheme BiOBr/reduced graphene oxide/protonated g C 3 N 4 photocatalyst: Synthesis, characterization, visible light photocatalytic activity and mechanism",
"venue": "",
"year": 2018
},
{
"abstract": "This work presents a modified solvothermal process for a facile synthesis of high thermally stable nanocrystalline anatase TiO NPs doped with Cu O NPs. A Z scheme mechanism was used to account for the enhanced photocatalytic activity exhibited by these materials. Also, the nanocomposite was impregnated in cotton fabric to obtain a modified fiber with high thermal stability, self cleaning, enhanced UV protection, and antibacterial activity. The as prepared nanomaterials and the coated fabric were characterized by various physicochemical techniques such as XRD, EDXRF, TGA, TEM, HRTEM, and SEM. The Cu O/TiO molar ratio in the nanocomposite was found to possess a pronounced impact on the crystalline structure, size and morphology of TiO NPs. The XRD revealed proper substitutions of the few Ti sites by Cu ions in TiO host lattice. Optical measurements showed that the plasmonic peak of the Cu O/TiO nanocomposite was affected by the copper doping concentration, enhancing self cleaning of Cu O/TiO under direct sunlight. The TiO /fiber self cleaning efficiency (12 h for MB removal) was increased by the in situ growing of Cu O (5.5 h) Antibacterial activity studies revealed high potential activity of Cu O/TiO against both Gram positive (Staphylococcus aureus) and Gram negative (Escherichia coli, Kleissella pneumonia, Saccharomyces sp. bacteria. The promising photocatalytic and biocidal activity of our synthesized Cu O/TiO nanocomposites was ascribed to the reactive oxygen species generated by the Z scheme mechanism under direct Sunlight.",
"author_names": [
"Mohamed M Ibrahim",
"Amine Mezni",
"Hamdy S El-Sheshtawy",
"Abeer A Abu Zaid",
"Mohammed Alsawat",
"Nagi El-Shafi",
"Sameh I Ahmed",
"Abdallah A Shaltout",
"Mohammed A Amin",
"Tushar Kumeria",
"Tariq A Altalhi"
],
"corpus_id": 104428300,
"doc_id": "104428300",
"n_citations": 46,
"n_key_citations": 0,
"score": 0,
"title": "Direct Z scheme of Cu2O/TiO2 enhanced self cleaning, antibacterial activity, and UV protection of cotton fiber under sunlight",
"venue": "Applied Surface Science",
"year": 2019
},
{
"abstract": "Abstract A novel one pot solvothermal route was employed to construct O and S co doped graphitic carbon nitride quantum dots (OSCNQDs) hybridized with Bi2MoO6 (BMO) photocatalyst and utilized to catalyse aqueous phenol from simulated wastewater under extended visible light exposure. The photodegradation analysis depicted that the (OSCNQDs/BMO) nanohybrid exhibited incremented photocatalysis of phenol (98 under visible light illumination which is due to the effective coupling and formation of Z scheme heterostructure which rendered superior space isolation and retained high redox abilities. The OSCNQDs might contribute to the extended absorption of visible light as well as increased adsorption of phenol on the surface of photocatalyst. As a result, the nanohybrid displayed prominent absorption in visible region, improved space charge isolation and superior photoactivity which can be utilized as an effective approach for the photo assisted degradation of organic pollutants.",
"author_names": [
"Abhinandan Kumar",
"Pankaj Raizada",
"Pardeep Singh",
"Ahmad Hosseini-Bandegharaei",
"Vijay Kumar Thakur"
],
"corpus_id": 218916024,
"doc_id": "218916024",
"n_citations": 21,
"n_key_citations": 0,
"score": 0,
"title": "Facile synthesis and extended visible light activity of oxygen and sulphur co doped carbon nitride quantum dots modified Bi2MoO6 for phenol degradation",
"venue": "",
"year": 2020
},
{
"abstract": "A novel Bi2MoO6/reduced graphene oxide/BiOBr (Bi2MoO6/RGO/BiOBr) composite was successfully synthesized via a facile solvothermal synthesis and precipitation method. The Bi2MoO6/RGO/BiOBr composite was characterized by X ray diffraction, X ray photoelectron spectroscopy, scanning electron microscopy, transmission electron microscopy, UV vis diffuse reflectance spectroscopy (UV vis DRS) and photoelectrochemical measurements. The photocatalytic properties were explored for removal of methylene blue (MB) and norfloxacin (NFX) under visible light irradiation. The Bi2MoO6/RGO/BiOBr composite exhibits the highest degradation rate compared with Bi2MoO6, BiOBr and Bi2MoO6/BiOBr composite, and that removal ratios of MB and NFX were 96.93% and 78.12% respectively. A Z scheme catalytic mechanism suitable for the system was proposed based on the results of UV vis DRS, free radical trapping experiments and M S carve analysis, in which RGO as an electronic medium can accelerate electron transfer. It is noteworthy that the close contact interface structure promotes the separation of electrons and holes and improves the photocatalytic performance.",
"author_names": [
"Rui Zhang",
"Qiong Han",
"Yu Li",
"Tongqing Zhang",
"Yu-qiong Liu",
"Kunlin Zeng",
"Chen Zhao"
],
"corpus_id": 199355830,
"doc_id": "199355830",
"n_citations": 15,
"n_key_citations": 0,
"score": 0,
"title": "Fabrication and characterization of high efficient Z scheme photocatalyst Bi2MoO6/reduced graphene oxide/BiOBr for the degradation of organic dye and antibiotic under visible light irradiation",
"venue": "Journal of Materials Science",
"year": 2019
}
] |
chessboard camera calibration | [
{
"abstract": "A method is proposed to calibrate the long wave infrared ultra wide angle camera in this paper. In addition, a novel calibration chessboard is designed and an advanced chessboard corner positioning method is adopted to improve the calibration precision. The designed calibration chessboard can achieve high thermal infrared contrast and exhibits outstanding stability, which is made of a thermoelectric semiconductor refrigeration device. The proposed subpixel corner positioning method can accurately locate the corners on the calibration chessboard according to the characteristics of the infrared image and the checkerboard pattern. Both the principle of the proposed infrared chessboard and the subpixel corner positioning procedure were presented, and the calibration experiment showed that the mean reprojection error and the root mean square error were reduced to 0.32 pixel and 0.39 pixel, respectively. Comparison studies were also performed to verify the calibration effect of the proposed method, and the possibilities of camera calibration error of the proposed method were analyzed.",
"author_names": [
"Yichao Chen",
"Fu-Yu Huang",
"Feng Shi",
"Bing-qi Liu",
"Hao Yu"
],
"corpus_id": 78094787,
"doc_id": "78094787",
"n_citations": 11,
"n_key_citations": 1,
"score": 2,
"title": "Plane chessboard based calibration method for a LWIR ultra wide angle camera.",
"venue": "Applied optics",
"year": 2019
},
{
"abstract": "Extrinsic calibration of imaging systems containing multi sensors have enabled unprecedented capabilities in data fusion in the fields of computer vision and robotics throughout the past few decades. In this paper, we propose a simple mutual rotation and translation estimation method for a multi sensor system containing six omnidirectional RGB cameras and a common 3D Light Detection and Ranging (LIDAR) sensor using a planar chessboard pattern. We mount the sensors on a specially designed hexagonal plate, while considering each camera LIDAR combination as an independent multi sensor unit. For each unit, we simultaneously capture chessboard images and their three dimensional (3D) point data at a few different orientations. Two dimensional (2D) chess corners are reprojected into 3D space for plane fitting. RANSAC algorithm is applied on LIDAR points before they are used for plane fitting. The mutual rotation between the camera and LIDAR is calculated by aligning the normal vectors of plane fitting results. An arbitrary point from the camera plane is projected on to the LIDAR plane and the distance is minimized to estimate the mutual translation. The accuracy of this proposed method is evaluated through scene fusion experiments.",
"author_names": [
"Eung-Su Kim",
"Soon-Yong Park"
],
"corpus_id": 201621797,
"doc_id": "201621797",
"n_citations": 13,
"n_key_citations": 0,
"score": 1,
"title": "Extrinsic calibration of a camera LIDAR multi sensor system using a planar chessboard",
"venue": "2019 Eleventh International Conference on Ubiquitous and Future Networks (ICUFN)",
"year": 2019
},
{
"abstract": "Abstract. This paper presents a system calibration method for a trifocal sensor, which is sensitive to different spectral bands. The trifocal camera system consists of a stereo camera, operating in the visual (VIS) spectrum and a thermal imaging camera, operating in the Long Wave Infrared (LWIR) spectrum. Intrinsic parameters and spatial alignment are determined simultaneously. As calibration target a passive aluminium chessboard is used. Corner detection and subsequent bundle adjustment is done on all synchronized image triplets. The remaining reprojection errors are in the sub pixel range and enable the system to generate metric point clouds, colored with thermal intensities in real time.",
"author_names": [
"Andre Choinowski",
"Dennis Dahlke",
"Ines Ernst",
"Sebastian Pless",
"I Rettig"
],
"corpus_id": 195568970,
"doc_id": "195568970",
"n_citations": 7,
"n_key_citations": 1,
"score": 0,
"title": "AUTOMATIC CALIBRATION AND CO REGISTRATION FOR A STEREO CAMERA SYSTEM AND A THERMAL IMAGING SENSOR USING A CHESSBOARD",
"venue": "The International Archives of the Photogrammetry, Remote Sensing and Spatial Information Sciences",
"year": 2019
},
{
"abstract": "Abstract. Geometric camera calibration is a mandatory prerequisite for many applications in computer vision and photogrammetry. Especially when requiring an accurate camera model the effort for calibration can increase dramatically. For the calibration of the stereo camera used for optical navigation a new chessboard based approach is presented. It is derived from different parts of existing approaches which, taken separately, are not able to meet the requirements. Moreover, the approach adds one novel main feature: It is able to detect all visible chessboard fields with the help of one or more fiducial markers simply sticked on a chessboard (AprilTags) This allows a robust detection of one or more chessboards in a scene, even from extreme perspectives. Except for the acquisition of the calibration images the presented approach enables a fully automatic calibration. Together with the parameters of the interior and relative orientation the full covariance matrix of all model parameters is calculated and provided, allowing a consistent error propagation in the whole processing chain of the imaging system. Even though the main use case for the approach is a stereo camera system it can be used for a multi camera system with any number of cameras mounted on a rigid frame.",
"author_names": [
"Jurgen Wohlfeil",
"Denis Griessbach",
"Ines Ernst",
"Dirk Baumbach",
"Dennis Dahlke"
],
"corpus_id": 195442443,
"doc_id": "195442443",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "AUTOMATIC CAMERA SYSTEM CALIBRATION WITH A CHESSBOARD ENABLING FULL IMAGE COVERAGE",
"venue": "The International Archives of the Photogrammetry, Remote Sensing and Spatial Information Sciences",
"year": 2019
},
{
"abstract": "Cameras and LiDARs (Light Detection and Ranging) are often equipped for autonomous driving applications, and need to be calibrated together to unify the coordinates. Conventional calibration approaches need complex calibration boards which are inconvenient to use. This paper proposes a novel and accurate calibration method using a simple rectangle planar chessboard with known size, and the key idea is to use 2D 3D point correspondences in LiDAR and camera frames. The accuracy of the calibration is improved by fusing the information of a lot of corresponding point clouds and images. In addition, the whole calibration process requires less manual intervention. Experiments show that this approach is more accurate compared to a conventional widely used method.",
"author_names": [
"Shuguang Tu",
"Yafei Wang",
"Chenyang Hu",
"Yuneng Xu"
],
"corpus_id": 202786213,
"doc_id": "202786213",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Extrinsic Parameter Co calibration of a Monocular Camera and a LiDAR Using Only a Chessboard",
"venue": "Lecture Notes in Electrical Engineering",
"year": 2019
},
{
"abstract": "Camera calibration is the procedure of identifying camera parameters for rectifying and un distorting the images. In this paper Calibration of Stereo Camera using the set of images taken by 2 cameras (from left and right direction) and study about the stability as well as statically analysis of parameters is carried out by physically changes the angle of camera. For estimating extrinsic parameters the images could be taken in a way, covering whole surface area of the camera sensor i.e. at different depth and orientation but later, it was observed that if the images taken were in a straight line at different depths covering the same area of camera sensor then the repeatability could be seen in the results of extrinsic parameters.",
"author_names": [
"Megha Simlote",
"Pratibha Agrawal",
"Sunil Pathak"
],
"corpus_id": 203588594,
"doc_id": "203588594",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Repeatable Extrinsic Stereo Camera Calibration by Moving Chessboard in a Straight line",
"venue": "International Journal of Computer Applications",
"year": 2019
},
{
"abstract": "This work describes a novel approach to localize sub pixel chessboard corners for camera calibration and pose estimation. An ideally continuous chessboard corner model is established, as a function of corner coordinates, rotation and shear angles, gain and offset of grayscale, and blurring strength. The ideal model is evaluated by a low cost and high similarity approximation for sub pixel localization, and by performing a nonlinear fit to input image. A self checking technique is also proposed by investigating qualities of the model fits, for ensuring the reliability of addressing perspective n point problem. The proposed method is verified by experiments, and results show that it can share a high performance. It is also implemented and examined in a common vision system, which demonstrates that it is suitable for on site use.",
"author_names": [
"Tianlong Yang",
"Qian-Cheng Zhao",
"Xian Wang",
"Quan Zhou"
],
"corpus_id": 55218901,
"doc_id": "55218901",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "Sub Pixel Chessboard Corner Localization for Camera Calibration and Pose Estimation",
"venue": "",
"year": 2018
},
{
"abstract": "This paper presents a novel method for fully automatic and convenient extrinsic calibration of a 3D LiDAR and a panoramic camera with a normally printed chessboard. The proposed method is based on the 3D corner estimation of the chessboard from the sparse point cloud generated by one frame scan of the LiDAR. To estimate the corners, we formulate a full scale model of the chessboard and fit it to the segmented 3D points of the chessboard. The model is fitted by optimizing the cost function under constraints of correlation between the reflectance intensity of laser and the color of the chessboard's patterns. Powell's method is introduced for resolving the discontinuity problem in optimization. The corners of the fitted model are considered as the 3D corners of the chessboard. Once the corners of the chessboard in the 3D point cloud are estimated, the extrinsic calibration of the two sensors is converted to a 3D 2D matching problem. The corresponding 3D 2D points are used to calculate the absolute pose of the two sensors with Unified Perspective n Point (UPnP) Further, the calculated parameters are regarded as initial values and are refined using the Levenberg Marquardt method. The performance of the proposed corner detection method from the 3D point cloud is evaluated using simulations. The results of experiments, conducted on a Velodyne HDL 32e LiDAR and a Ladybug3 camera under the proposed re projection error metric, qualitatively and quantitatively demonstrate the accuracy and stability of the final extrinsic calibration parameters.",
"author_names": [
"Weimin Wang",
"Ken Sakurada",
"Nobuo Kawaguchi"
],
"corpus_id": 6679028,
"doc_id": "6679028",
"n_citations": 29,
"n_key_citations": 1,
"score": 0,
"title": "Reflectance Intensity Assisted Automatic and Accurate Extrinsic Calibration of 3D LiDAR and Panoramic Camera Using a Printed Chessboard",
"venue": "Remote. Sens.",
"year": 2017
},
{
"abstract": "",
"author_names": [
"Yeon-Serk Yu"
],
"corpus_id": 67075555,
"doc_id": "67075555",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Effect of Chessboard Image Noise on Camera Calibration",
"venue": "",
"year": 2018
},
{
"abstract": "We introduce an algorithm that detects chessboard patterns in images precisely and robustly for application in camera calibration. Because of the low requirements on the calibration images, our solution is particularly suited for endoscopic camera calibration. It successfully copes with strong lens distortions, partially occluded patterns, image blur, and image noise. Our detector initially uses a sparse sampling method to find some connected squares of the chessboard pattern in the image. A pattern growing strategy iteratively locates adjacent chessboard corners with a region based corner detector. The corner detector examines entire image regions with the help of the integral image to handle poor image quality. We show that it outperforms recent solutions in terms of detection rates and performs at least equally well in terms of accuracy.",
"author_names": [
"Mathis Hoffmann",
"Andreas Ernst",
"Tobias Bergen",
"Sebastian Hettenkofer",
"Jens-Uwe Garbas"
],
"corpus_id": 7055390,
"doc_id": "7055390",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "A Robust Chessboard Detector for Geometric Camera Calibration",
"venue": "VISIGRAPP",
"year": 2017
}
] |
Bidirectionaloptical signal transmission between two identical devices using perovskitediodes | [
{
"abstract": "The integration of optical signal generation and reception into one device thus allowing a bidirectional optical signal transmission between two identical devices is of value in the development of miniaturized and integrated optoelectronic devices. However, conventional solution processable semiconductors have intrinsic material and design limitations that prevent them from being used to create such devices with a high performance. Here we report an efficient solution processed perovskite diode that is capable of working in both emission and detection modes. The device can be switched between modes by changing the bias direction, and it exhibits light emission with an external quantum efficiency of over 21% and a light detection limit on a subpicowatt scale. The operation speed for both functions can reach tens of megahertz. Benefiting from the small Stokes shift of perovskites, our diodes exhibit a high specific detectivity (more than 2 x 10 12 Jones) at its peak emission ~804 nm) which allows an optical signal exchange between two identical diodes. To illustrate the potential of the dual functional diode, we show that it can be used to create a monolithic pulse sensor and a bidirectional optical communication system. A solution processed perovskite diode that functions as both optical transmitter and receiver can be used to build a monolithic pulse sensor and a bidirectional optical communication system.",
"author_names": [
"Chunxiong Bao",
"Weidong Xu",
"Jie Yang",
"Sai Bai",
"Pengpeng Teng",
"Ying Yang",
"Jianpu Wang",
"Ni Zhao",
"Wenjing Zhang",
"Wei Huang",
"Feng Gao"
],
"corpus_id": 214733674,
"doc_id": "214733674",
"n_citations": 29,
"n_key_citations": 0,
"score": 1,
"title": "Bidirectional optical signal transmission between two identical devices using perovskite diodes",
"venue": "Nature electronics",
"year": 2020
},
{
"abstract": "Data transmission between two or more digital devices in industry and government demands secure and agile technology. Digital information distribution often requires deployment of Internet of Things (IoT) devices and Data Fusion techniques which have also gained popularity in both, civilian and military environments, such as, emergence of Smart Cities and Internet of Battle eld Things (IoBT) This usually requires capturing and consolidating data from multiple sources. Because datasets do not necessarily originate from identical sensors, fused data typically results in a complex Big Data problem. Due to potentially sensitive nature of IoT datasets, Blockchain technology is used to facilitate secure sharing of IoT datasets, which allows digital information to be distributed, but not copied. However, blockchain has several limitations related to complexity, scalability, and excessive energy consumption. We propose an approach to hide information (sensor signal) by transforming it to an image or an audio signal. In one of the latest attempts to the military modernization, we investigate sensor fusion approach by investigating the challenges of enabling an intelligent identification and detection operation and demonstrates the feasibility of the proposed Deep Learning and Anomaly Detection models that can support future application for specific hand gesture alert system from wearable devices.",
"author_names": [
"Piyush Kumar Sharma"
],
"corpus_id": 222211264,
"doc_id": "222211264",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Heterogeneous Noisy Short Signal Camoflage in Multi Domain Environment Decision Making",
"venue": "Trans. Mass Data Anal. Images Signals",
"year": 2020
},
{
"abstract": "This device for transferring information between two digital signals comprises selection means (26, 44; 62, 86) characteristics of a digital input signal, the direct transmission means (28, 46; 78 94) to a digital output signal and positioning means (28, 46; 80; 114) therein, elements of the input signal conveying first characteristics authorized by the selection means to be directly transferred to the output signal, measuring means (30, 32, 48, 50; 72, 74, 90, 92, 98) of the second characteristics of the input signal identified by the selection means, and modifying means 78, 80, 102, 110) of the output signal from the measurement values of the second characteristic such that said second characteristics of the output signal and the input signal are identical.",
"author_names": [
"Jean-Hubert Wilbrod"
],
"corpus_id": 64601401,
"doc_id": "64601401",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Device for transferring information between two digital signals and signal generator using such an information transfer device",
"venue": "",
"year": 1995
},
{
"abstract": "This paper presents a novel method for classifying radio frequency (RF) devices from their transmission signals. Given a collection of signals from identical devices, we accurately classify both the distance of the transmission and the specific device identity. We develop a multiple classifier system that accurately discriminates between channels and classifies devices using normalized in phase and quadrature (IQ) samples. Our network uses residual connections for both distance and device classification, reaching 88.33% accuracy classifying 16 unique devices over 11 different distances and two different times, on a task that was previously unlearnable. Furthermore, we demonstrate the efficacy for pre training neural networks for massive data domains and subtle classification differences.",
"author_names": [
"Ryan M Dreifuerst",
"Andrew Graff",
"Sidharth Kumar",
"Clive Unger",
"Dylan Bray"
],
"corpus_id": 222291701,
"doc_id": "222291701",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "End to End Radio Fingerprinting with Neural Networks",
"venue": "",
"year": 2020
},
{
"abstract": "A novel holographic grating for simultaneous coupling of power and optical beam splitting application is designed. The proposed design incorporates two identical holo gratings separated by a distance D A microscopic object such as micro sphere or micro ring is introduced in between these two gratings at a distance \\frac{D}{2} D 2 and a Gaussian signal is allowed to pass through the first holo grating which emerges out of the second one. With the proposed design eleven different splitting ratios could be achieved successfully by varying dimension of microscopic objects and the orientations of holo gratings with respect to plane of incidence. For coupling applications the simulation of the proposed design shows 100% Transmission Efficiency (TE) This leads to an immense flexibility for the use of proposed model in Photonic Integrated Circuits. We demonstrate the possibility of such a multi functional Holographic device with the aid of Finite Difference Time Domain (FDTD) simulations. To the best of our knowledge, this is the first investigation where simultaneous beam splitting and coupling applications are realized in a holographic optical element.",
"author_names": [
"A K Tripathy",
"S K Tripathy",
"Susanta Kumar Das"
],
"corpus_id": 226327680,
"doc_id": "226327680",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Multifunctional holographic gratings for simultaneous coupling and beam splitting applications in photonic integrated circuits",
"venue": "",
"year": 2020
},
{
"abstract": "This article presents a reflectometer based on chip dielectric sensor with integrated transducers at 240 GHz. The chip simplifies the measurement of a vector network analyzer (VNA) to sense the incident and reflected waves by using two heterodyne mixer based receivers with a dielectric sensing element. Radio frequency (RF) and local oscillator (LO) submillimeter waves are generated by two frequency multiplier chains, respectively. Two back to back identical differential side coupled directive couplers are proposed to separate the incident and reflected signals and couple them to mixers. Both transmission line and coplanar stripline transducers are proposed and integrated with reflectometer to investigate the sensitivity of dielectric sensors. The latter leads to a larger power variation of the reflectometer by providing more sufficient operating bands for the magnitude and phase slope of $S_{11} The readout of the transducers upon exposure to liquids is performed by the measurement of their reflected signals using two external excitation sources. The experimental dielectric sensing is demonstrated by using binary methanol ethanol mixture placed on the proposed on chip dielectric sensor in the assembled printed circuit board. It enables a maximum 8 dB of the power difference between the incident and reflected channels on the measurement of liquid solvents. Both chips occupy an area of 4.03 mm2 and consume 560 mW. Along with a wide operational frequency range from 200 to 240 GHz, this simplified one port VNA based on chip device makes it feasible for the use of handle product and suitable for the submillimeter wave dielectric spectroscopy applications.",
"author_names": [
"Defu Wang",
"Mohamed Hussein Eissa",
"Klaus Schmalz",
"Thomas Kampfe",
"Dietmar Kissinger"
],
"corpus_id": 229621068,
"doc_id": "229621068",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "240 GHz Reflectometer Based Dielectric Sensor With Integrated Transducers in a 130 nm SiGe BiCMOS Technology",
"venue": "IEEE Transactions on Microwave Theory and Techniques",
"year": 2021
},
{
"abstract": "We report a study of the influence of a thin gold film on the transmission of polarization entangled photon pairs using quantum tomography to compare the state of the system with and without metallic film. Measurement is done with either a plasmonic nanostructures or a continuous, planar gold film. We find that plasmonic resonances are not responsible of the robustness of entanglement. At normal incidence, quantum entanglement is preserved also with the continuous metallic film, in consequence of the equality between transmission coefficients for polarizations s and p. This result reduces the need of plasmonic nanostructures when intensity of transmitted signal is sufficient. As shown in the literature, a periodic array of subwavelength nanostructures on a metallic film enables coupling of light with localized surface plasmons: the latter allow greatly enhanced transmission at resonance [2 4] with preservation of entanglement [5,6] We studied entanglement preservation after the interaction of polarization entangled photons with such materials using quantum tomography. The near infrared (818 nm) polarization entangled photons pairs are produced by parametric downconversion using two identical type I BBO crystals with orthogonal optical planes. The sample is placed through one of the two optical paths of the degenerate photon pairs. In each photon path, quarter and half waveplates placed in front of a polarizing beamsplitter select the basis of the polarization measurement. Photons are finally detected with four singlephoton counting modules and coincidences are recorded using a field programmable gate array coincidence counter. The quantum state of the pairs before and after their interaction with the sample is determined by quantum tomography using the code developed by Paul Kwiat's quantum information group [1] With this estimation of the quantum state, we evaluated different quantum features of the system, like the experimental state fidelity with respect to the maximally entangled Bell state theoretically produced F (ph+ si) and with respect to the state before the sample F (r0, si) and the entanglement of formation Ef (si) These properties quantify the influence of the film on the entanglement and polarization state of the photon pairs. Similarly, we investigated the need of plasmonic resonances by studying the survival quantum entanglement after transmission through a continuous, planar gold film, in function of the angle of incidence. Our experimental and theoretical results show that, at normal incidence, the sample does not affect the polarization of transmitted photons. Consequently, entanglement is preserved even though the total number of detected correlations decreases. When increasing the angle of incidence, the different transmission coefficients for s and p polarizations decrease entanglement, as the sample starts to behave as a partially polarizing device. In comparison to nanostructured samples, our results on the flat, thin film of gold indicate that the interaction with surface plasmons at resonance enhances transmission. However, it does not play a role on the robustness of the quantum entanglement for the photon pairs that are transmitted. [1] Altepeter J B, Jeffrey E and Kwiat P G, Advances in atomic, molecular and optical physics 52 105 159 (2005) [2] Ebbesen T W, Lezec H J, Ghaemi H F, Thio T, and Wolff P A, Nature, 391 667 669 (1998) [3] Martin Moreno L, Garcia Vidal FJ, Lezec H J, Pellerin K M, Thio T, Pendry J B, and Ebbesen T W, Phys. Rev. Lett. 86 1114(2001) [4] Ghaemi H F, Thio T, Grupp D E, Ebbesen TW, and Lezec H J, Phys. Rev. B 58 6779 6782 (1998) [5] E.Altewischer, M.P.Van Exter, and J.P.Woerdman, Nature, 418 304 306 (2002) [6] Olislager L, Kubo W, Tanaka T, Ungureanu S, Vallee R, Kolaric B, Emplit P, and Massar S, Nanophotonics 4 324 331 (2015) CEWQO 2019",
"author_names": [
"Mathilde Remy",
"Bojana Bokic",
"Mirko Cormann",
"Wakana Kubo",
"Yves Caudano",
"Branko Kolaric"
],
"corpus_id": 195061520,
"doc_id": "195061520",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Survival of quantum entanglement in transmission without plasmonic resonance",
"venue": "",
"year": 2019
},
{
"abstract": "Concatenated modal interferometers based multipoint sensing system for detection of instantaneous amplitude, frequency, and phase of mechanical vibrations is proposed and demonstrated. The sensor probes are fabricated using identical photonic crystal fiber (PCF) sections and integrated along a single fiber channel to act as a compact and efficient sensing system. Individual probes operate independently producing a resultant signal that is a superposition of each interferometer response signal. By analyzing the resultant signals, information about the measurand field at each location is realized. Such a sensing system would find wide applications at industrial, infrastructural, and medical fronts for monitoring various unsteady physical phenomena. Real time monitoring of mechanical vibrations over multiple locations enables structural health monitoring, posture recognition, and industrial surveillance. Such mechanical vibrations are characterized by their physical parameters viz. frequency, amplitude, and relative phase that differ over a broad range depending on the measurand field's functionality. Detection of the aforementioned vibration parameters has been prominently achieved by conventional electronic vibration sensors at many fronts. However, the performance of these devices is influenced by power fluctuations, environmental temperature variations, and stray electromagnetic field interference. Alternatively, optical fiber based vibration sensors have proven to be a potential class of devices that function on the principle of modulation of intensity, wavelength composition, or phase of the propagating light in the presence of an external measurand field. These sensors are compact, accurate, reconfigurable, corrosion resistant, temperature tolerant, and immune to electromagnetic fields. All fiber interferometric techniques like Sagnac interferometer, MachZehnder interferometer, Michelson interferometer have been reported for distributed contactless sensing of vibrations driven by dynamic displacements and strains. However, these sensors are bulky, require precise alignments, and require multiple sensing channels. Besides, vibration detection has been demonstrated by measuring the external vibration induced back scattered light in conventional fiber using optical time domain reflectometry (OTDR) techniques that rely on the modulation of the phase (Ph OTDR) polarization state (POTDR) and beam frequency (BOTDR) of the propagating wave in the presence of external perturbation. However, these sensing systems incur diminished sensitivity over long range distributed sensing and require additional expensive components like pulsed lasers, electro optic modulators (EOM) and erbiumdoped fiber amplifiers (EDFA) Also, FBG based vibration interrogators have been utilized to develop distributed vibration sensors. However, FBG based sensors require additional reference mass that make them bulky and are intolerant towards external temperature fluctuations. Dynamic measurement of instantaneous frequency, amplitude, and phase of the vibration at multiple locations using a single fiber channel has remained challenging and elusive. Simultaneous instantaneous phase monitoring at multiple locations can enlighten the complex structural vibrations associated with unsteady aerodynamic loads and can also be used to infer instantaneous peak vibrations, which are otherwise difficult to be monitored using conventional Fourier transform based averaging techniques. In this letter, we propose and demonstrate a multipoint vibration sensing technique for detection of instantaneous frequency, amplitude, and phase of vibrations by implementing photonic crystal fiber (PCF) based modal interferometery principle. Such interferometry principle involves fabricating fiber configurations with specialty waveguides that enables excitation and recombination of waveguide modes resulting in stable interference spectra over the source spectrum. In our approach, commercially available solid core photonic crystal fiber (SCPCF) based identical interferometers are concatenated along single fiber channel (fig.1) for detecting mechanical vibrations at multiple locations. FIG. 1. Schematic representation of integrated sensor probes for vibration sensing. Each PCF section acts a modal interferometer to enable sensing. The PCF sections act as independent modal interferometers wherein the interference between the PCF's core and higher order cladding modes occurs. The resultant intensity variation over the source spectrum at each modal interferometer, Ij, can be written as j cr cl cr cl I I I 2 I I cos (1) where, Icr and Icl are spectral intensity distributions of core and cladding modes of PCF, ph is the phase difference between the two modes given by, eff eff cr cl 2 L( n n 2 nL (2) where, L is the length of PCF, l is the free space wavelength of light, eff cr n and eff cl n are the effective refractive indices of core and cladding modes of PCF respectively and Dn is the difference between the effective modal refractive indices. The maxima of the spectra occur for the condition ph=2Np or DnL=Nl that is dependent on the wavelength of light and length of the interferometer at a constant value of Dn and N is an integer. In the presence of an external strain field, the length of the interferometer changes due to field induced bending, and the magnitude of change in length depends on the amplitude and direction of applied strain. Due to a change in interferometer length, the wavelength values corresponding to the interference maxima condition readjust. This causes shift in the maxima peak positions that results in modulation of Ij over the spectral range. In the presence of alternating strain or vibrational field of specific amplitude and frequency about an interferometer, the bending of the interferometer becomes periodic. As a result, the interference maxima shifts periodically over a range of wavelength values resulting in dynamic modulation of Ij. The corresponding sensitivity (Sj) that is the net shift of maxima wavelength of Ij with respect to applied measurand field, for each interferometer (j) is represented as, j j j I S X (3) where, X is the external vibration field that can be given as X Xo sin (ot+th) with Xo, o, and th being the amplitude, frequency, and initial phase of vibration, respectively. Under the simultaneous operation of multiple concatenated interferometers in transmission mode, the resultant sensitivity is a summation of the individual sensitivities and can be represented as, j m j j I S X (4) where, j 1, 2, Thus, the transmitted signal is a superposition of the individual interferometer responses. The resultant real time sensitivity is influenced by the amplitude, frequency, and relative phase of the vibrations about each interferometer. As proof of concept, we demonstrate multipoint vibration sensing using two identical independently operating modal interferometers integrated along a single fiber length. The sensor probes are kept in contact with externally controlled vibrations and characterized by the wavelength interrogation technique. To fabricate the sensor probes, two solid core photonic crystal fiber (SCPCF) sections of length 10 0.01 mm are taken with their ends being sharply cleaved using a precision fiber cleaver. The PCF sections are then spliced sequentially along a standard Single Mode Fiber (SMF) using a programmable fusion splicer at 150 mm apart along the SMF length as shown in fig. 1. Each PCF section acts as a sensor probe to detect the external vibrations. As the interferometers operate independently, the distance between the interferometers can be chosen as per the sensing positions. To characterize the sensor probes, light from a broadband Super luminescent Light Emitting Diode (SLED) source emitting about a central wavelength of 1552 nm is launched through the in line interferometers. The resultant interference spectrum is recorded using a spectrum analyzer (OSA) The spectrum (Fig.2) is first recorded for a single interferometer (S1) (red line) and then for the two interferometers integrated in series (blue line) FIG. 2. Characteristic interference spectra of the sensors. As the interferometers are identical, the spectrum of concatenated interferometers is similar to that of single interferometer except with weak overriding peaks in the former that arises from the intermodulation products in typical sensor array. The negligible loss in the average power is attributed to the splice loss at the splicing points. To interrogate the interferometers with respect to external vibrations over real time, the interferometers, S1 and S2, are mounted on piezoelectric transducers, PZT (T1 and T2, respectively) as shown in fig.3. The PZTs are powered by a multichannel piezoelectric controller. To generate vibrations of varied frequencies and amplitudes, the piezo controller is driven by a function generator to control the vibration parameters of the PZTs. The sensor probes are kept straight to avoid strain or bend induced losses along the interferometers' fiber length. For detecting the real time signal, the transmitted spectra are analyzed with an FBG based wavelength interrogator, and the output is recorded on a computer. The periodic shift in maxima wavelength of transmission spectra, in presence of external vibrations, is tracked for recording the real time response of sensor probes. FIG. 3. Schematic diagram of the experimental set up. The parameters of vibrations that is amplitude, frequency, and initial phase are varied about each interferometer and the signals are recorded for analysis. Fig. 4 shows the results of the characterization of the sensing system. Firstly, in phase vibrations of 50 Hz are applied about both interferometers and only the amplitude of vibrations at S2 is varied (see Fig. 4 (a) From the calibrated curve it is observed that the sensitivity increases",
"author_names": [
"Kalipada Chatterjee",
"Venugopal Arumuru",
"Dhananjay B Patil",
"Rajan Jha"
],
"corpus_id": 234681609,
"doc_id": "234681609",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Multipoint Monitoring of Instantaneous Amplitude, Frequency, Phase and Sequence of Vibrations Using Concatenated Modal Interferometers",
"venue": "",
"year": 2021
},
{
"abstract": "The potential capability of wireless wavelength multiplexing and demultiplexing can enable the next development of smaller photonic counterparts for network architectures. This paper numerically represents a new design of a wireless transmission in C band infrared wavelengths within two identical resonant cavities between photonic chips. This system consists of an H1 rod type two dimensional photonic crystal (PhC) microcavity, which can be operated as both a transmitter and a receiver without interfering with the signal in each PhC waveguide. By using the point to point oscillatory light field exchange between resonant cavities, two independent photonic circuits are linked with each other. The obtained results show that the multi resonance wavelengths in one chip can be transferred to another chip located far away by ten times the highest resonance wavelength. Such a device can be useful for integrated optical circuit interconnect and small scale sensors between photonic chips.",
"author_names": [
"Teanchai Chantakit",
"S Chiangga",
"Iraj Sadegh Amiri",
"Preecha P Yupapin"
],
"corpus_id": 53755990,
"doc_id": "53755990",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "All optical wireless wavelength multiplexing and demultiplexing using resonant cavity.",
"venue": "Applied optics",
"year": 2018
},
{
"abstract": "In this paper, we consider a class of wireless powered communication devices using hybrid automatic repeat request (HARQ) protocol to ensure reliable communications. In particular, we analyze the trade off between accumulating mutual information and harvesting RF energy at the receiver of a point to point link over a time varying independent and identically distributed (i.i.d. channel. The transmitter is assumed to have a constant energy source while the receiver relies, solely, on the RF energy harvested from the received signal. At each time slot, the incoming RF signal is split between information accumulation and energy accumulation with the objective of minimizing the expected number of re transmissions. A major finding of this work is that the optimal policy minimizing the expected number of re transmissions utilizes the incoming RF signal to either exclusively harvest energy or to accumulate mutual information. This finding enables achieving an optimal solution in feasible time by converting a two dimensional uncountable state Markov decision process (MDP) with continuous action space into a countable state MDP with binary decision space.",
"author_names": [
"Mehdi Salehi Heydar Abad",
"Ozgur Ercetin",
"Tamer A Elbatt",
"Mohammed Nafie"
],
"corpus_id": 49191357,
"doc_id": "49191357",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Wireless energy and information transfer in networks with hybrid ARQ",
"venue": "2018 IEEE Wireless Communications and Networking Conference (WCNC)",
"year": 2018
}
] |
Optical radiation detection | [
{
"abstract": "Abstract Metal halide perovskites (MHPs) have emerged as a frontrunner semiconductor technology for application in third generation photovoltaics while simultaneously making significant strides in other areas of optoelectronics. Photodetectors are one of the latest additions in an expanding list of applications of this fascinating family of materials. The extensive range of possible inorganic and hybrid perovskites coupled with their processing versatility and ability to convert external stimuli into easily measurable optical/electrical signals makes them an auspicious sensing element even for the high energy domain of the electromagnetic spectrum. Key to this is the ability of MHPs to accommodate heavy elements while being able to form large, high quality crystals and polycrystalline layers, making them one of the most promising emerging X ray and g ray detector technologies. Here, the fundamental principles of high energy radiation detection are reviewed with emphasis on recent progress in the emerging and fascinating field of metal halide perovskite based X ray and g ray detectors. The review starts with a discussion of the basic principles of high energy radiation detection with focus on key performance metrics followed by a comprehensive summary of the recent progress in the field of perovskite based detectors. The article concludes with a discussion of the remaining challenges and future perspectives.",
"author_names": [
"George Kakavelakis",
"Murali Gedda",
"A Panagiotopoulos",
"Emmanuel Kymakis",
"Thomas D Anthopoulos",
"Konstantinos Petridis"
],
"corpus_id": 225136055,
"doc_id": "225136055",
"n_citations": 18,
"n_key_citations": 0,
"score": 1,
"title": "Metal Halide Perovskites for High Energy Radiation Detection",
"venue": "Advanced science",
"year": 2020
},
{
"abstract": "Purpose: Cancer treatment is limited by inaccurate predictors of patient specific therapeutic response. Therefore, some patients are exposed to unnecessary side effects and delays in starting effective therapy. A clinical tool that predicts treatment sensitivity for individual patients is needed. Experimental Design: Patient derived cancer organoids were derived across multiple histologies. The histologic characteristics, mutation profile, clonal structure, and response to chemotherapy and radiation were assessed using bright field and optical metabolic imaging on spheroid and single cell levels, respectively. Results: We demonstrate that patient derived cancer organoids represent the cancers from which they were derived, including key histologic and molecular features. These cultures were generated from numerous cancers, various biopsy sample types, and in different clinical settings. Next generation sequencing reveals the presence of subclonal populations within the organoid cultures. These cultures allow for the detection of clonal heterogeneity with a greater sensitivity than bulk tumor sequencing. Optical metabolic imaging of these organoids provides cell level quantification of treatment response and tumor heterogeneity allowing for resolution of therapeutic differences between patient samples. Using this technology, we prospectively predict treatment response for a patient with metastatic colorectal cancer. Conclusions: These studies add to the literature demonstrating feasibility to grow clinical patient derived organotypic cultures for treatment effectiveness testing. Together, these culture methods and response assessment techniques hold great promise to predict treatment sensitivity for patients with cancer undergoing chemotherapy and/or radiation.",
"author_names": [
"Cheri A Pasch",
"Peter Favreau",
"Alexander E Yueh",
"Christopher P Babiarz",
"Amani A Gillette",
"Joe T Sharick",
"Mohammad Rezaul Karim",
"Kwangok P Nickel",
"Alyssa DeZeeuw",
"Carley M Sprackling",
"Philip B Emmerich",
"Rebecca A DeStefanis",
"Rosabella T Pitera",
"Susan N Payne",
"Demetra P Korkos",
"Linda J Clipson",
"Christine M Walsh",
"Devon D Miller",
"Evie H Carchman",
"Mark E Burkard",
"Kayla K Lemmon",
"Kristina A Matkowskyj",
"Michael A Newton",
"Irene M Ong",
"Michael F Bassetti",
"Randall J Kimple",
"Melissa C Skala",
"Dustin Deming"
],
"corpus_id": 182949221,
"doc_id": "182949221",
"n_citations": 39,
"n_key_citations": 1,
"score": 0,
"title": "Patient Derived Cancer Organoid Cultures to Predict Sensitivity to Chemotherapy and Radiation",
"venue": "Clinical Cancer Research",
"year": 2019
},
{
"abstract": "The differences and similarities between the detection processes involved in the microwave and visible electromagnetic (EM) waves are presented for the case of two beam superposition. A microwave receiver measures the motion of conduction electrons which responds linearly to the superposed time dependent EM field amplitudes. A visible light detector also responds to the superposition of the EM field amplitudes due to interactions with the detecting dipoles. However, the visible light detector measures the quantum of energy absorbed and responds to the square modulus of the superposition of the time dependent amplitudes of the EM fields. The measured current in the microwave detector is directly proportional to the superposed microwave amplitudes. The measured energy in visible light detector is directly proportional to the square modulus of the superposed visible light amplitudes. We will highlight the similarities and differences in the detection processes. These important similarities and difference are not underscored in most optics textbooks.",
"author_names": [
"Negussie Tirfessa",
"Chandrasekhar Roychoudhuri"
],
"corpus_id": 198713908,
"doc_id": "198713908",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Similarities and differences in microwave and optical radiation detection",
"venue": "Fifteenth Conference on Education and Training in Optics and Photonics: ETOP 2019",
"year": 2019
},
{
"abstract": "Information on the spectral shape of prompt emission in gamma ray bursts (GRB) is mostly available only at energies 10 keV, where the main instruments for GRB detection are sensitive. The origin of this emission is still very uncertain because of the apparent inconsistency with synchrotron radiation, which is the most obvious candidate, and the resulting need for considering less straightforward scenarios. The inclusion of data down to soft X rays ~0.5 keV) which are available only in a small fraction of GRBs, has firmly established the common presence of a spectral break in the low energy part of prompt spectra, and even more importantly, the consistency of the overall spectral shape with synchrotron radiation in the moderately fast cooling regime, the low energy break being identified with the cooling frequency. In this work we further extend the range of investigation down to the optical band. In particular, we test the synchrotron interpretation by directly fitting a theoretically derived synchrotron spectrum and making use of optical to gamma ray data. Secondly, we test an alternative model that considers the presence of a black body component at ~keV energies, in addition to a non thermal component that is responsible for the emission at the spectral peak (100 keV 1 MeV) We find that synchrotron radiation provides a good description of the broadband data, while models composed of a thermal and a non thermal component require the introduction of a low energy break in the non thermal component in order to be consistent with optical observations. Motivated by the good quality of the synchrotron fits, we explore the physical parameter space of the emitting region. In a basic prompt emission scenario we find quite contrived solutions for the magnetic field strength (5 G B' 40 G) and for the location of the region where the radiation is produced (Rg 1016 cm) We discuss which assumptions of the basic model would need to be relaxed in order to achieve a more natural parameter space.",
"author_names": [
"Gor Oganesyan",
"Lara Nava",
"Giancarlo Ghirlanda",
"A Melandri",
"Annalisa Celotti"
],
"corpus_id": 131776024,
"doc_id": "131776024",
"n_citations": 28,
"n_key_citations": 1,
"score": 0,
"title": "Prompt optical emission as a signature of synchrotron radiation in gamma ray bursts",
"venue": "Astronomy Astrophysics",
"year": 2019
},
{
"abstract": "We study parametric down conversion (PDC) of optical laser radiation in the strongly frequency non degenerate regime which is promising for the generation of quantum correlated pairs of extremely different spectral ranges, the optical and the terahertz (THz) ones. The possibility to detect tenuous THz frequency photon fluxes generated under low gain spontaneous PDC is demonstrated using a hot electron bolometer. Then experimental dependences of the THz radiation power on the detection angle and on the pump intensity are analyzed.",
"author_names": [
"Galiya Kh Kitaeva",
"Vladimir V Kornienko",
"Kirill A Kuznetsov",
"Ivan V Pentin",
"Konstantin Smirnov",
"Yu B Vakhtomin"
],
"corpus_id": 73492297,
"doc_id": "73492297",
"n_citations": 11,
"n_key_citations": 0,
"score": 0,
"title": "Direct detection of the idler THz radiation generated by spontaneous parametric down conversion.",
"venue": "Optics letters",
"year": 2019
},
{
"abstract": "Passive infrared (PIR) sensors are the most popular deployed sensors in buildings for individual presence detection. However, PIR sensors are motion detectors in nature, responding only to incident radiation variation, which leads to false negative detections, inaccurate occupancy estimation, and uncomfortable lighting swings and waste of energy. To address this issue, an optical shutter driven by a Lavet motor PIR (LAMPIR) sensor is developed for true presence detection. In comparison with our previously developed chopped PIR (C PIR) and rotationally chopped PIR (Ro PIR) sensors, a low power single phase electro mechanical driving approach is introduced for LAMPIR to replace traditional servo and stepper motors and thus significantly reduce the power consumption by up to 89% size by up to 60% weight by up to 75% cost by up to 31% and acoustic noise by 12 dBA. More specifically, driven by pulsed signal from a microcontroller unit, the electro mechanical vibrator drives a semi transparent long wave infrared optical shutter to chop the field of view (FOV) of a PIR sensor periodically. By monitoring and analyzing the voltage outputs generated by the LAMPIR senor, high accuracy presence detection can be achieved by optimizing the shutter width and shuttering period through parametric studies. Experimental results show that a classification accuracy of 100% can be reached for detecting stationary occupants up to 4.5 m and moving occupants up to 10 m, suggesting a detection range improvement from both the C PIR and the Ro PIR sensors (4.0 m for stationary and 8.0 m for moving occupancy detection for both sensors) Additionally, the LAMPIR sensor has an FOV of 90deg in horizontal and 100deg in vertical, which is sufficient for most applications. For a 31 h long presence detection test, an accuracy of 97% is achieved when classifying unoccupied and occupied scenarios, while an accuracy of 93% is achieved when classifying unoccupied, stationary and moving occupant scenarios.",
"author_names": [
"Libo Wu",
"Ya Wang"
],
"corpus_id": 54465137,
"doc_id": "54465137",
"n_citations": 23,
"n_key_citations": 1,
"score": 0,
"title": "A Low Power Electric Mechanical Driving Approach for True Occupancy Detection Using a Shuttered Passive Infrared Sensor",
"venue": "IEEE Sensors Journal",
"year": 2019
},
{
"abstract": "The halide perovskitoid compound Cs3Bi2I9 (CBI) has attracted considerable interest as a semiconductor because of its outstanding stability and reduced toxicity compared with lead based halide perovskites. Here, we report the growth of nuclear radiation detection grade CBI bulk crystals (Ph 15 x 60 mm3) with a high resistivity of over 1010 O cm using a modified vertical Bridgman method. Because of their layered crystal structures we investigated the anisotropy in the optical and electrical properties using different crystal orientations. The CBI(001) sample exhibits a resistivity of ~1012 O cm compared to ~1010 O cm for the CBI(100) sample. This is due to the anisotropic mobility in the two crystallographic directions. Using 425 nm LED ~200 mW cm 2) illumination CBI(001) possesses a superior optical response with a switching ratio of over 40, which is critically higher than that of CBI(100) <2) Detectors of 2 mm thickness show a capability of detecting [email protected] MeV a particles, with good peak discrimination. A full width at half maximum (FWHM) of 32% was obtained under a bias of 560 V. Simultaneously, the electron mobility and mobility lifetime (mt) were calculated to be 6.10 cm2 V 1 s 1 and 2.03 x 10 5 cm V 1, respectively. First principles density functional theory calculations confirm the crystallographic anisotropy of the carrier effective masses. In addition, a significant X ray sensitivity of 111.9 mC Gy 1 cm 2 for a CBI detector was observed, under 80 kVp X rays at an electrical field of 450 V cm 1.",
"author_names": [
"Qihao Sun",
"Yadong Xu",
"Hongjian Zhang",
"Bao Xiao",
"Xin Liu",
"Jiangpeng Dong",
"Binbin Zhang",
"Wanqi Jie",
"Mercouri G Kanatzidis"
],
"corpus_id": 105209960,
"doc_id": "105209960",
"n_citations": 23,
"n_key_citations": 0,
"score": 0,
"title": "Optical and electronic anisotropies in perovskitoid crystals of Cs3Bi2I9 studies of nuclear radiation detection",
"venue": "",
"year": 2018
},
{
"abstract": "Photoconductive antennas are commonly used for terahertz wave generation and detection. However, their relatively low radiation power and detection sensitivity often place limitations on the signal to noise ratio and operation bandwidth of terahertz imaging and spectroscopy systems. Several different techniques are attempted to address these limitations. The most promising ones take advantage of the unique tools provided by nanotechnology. In this review, the recent nanotechnology enabled advances in photoconductive antennas, which use nanostructures, such as optical nanoantennas, plasmonic structures, and optical nanocavities, to increase the interaction of the optical pump beam with the photoconductive semiconductor, are discussed. All of these techniques are experimentally demonstrated to be efficient tools for enhancing the performance of photoconductive antennas for terahertz wave generation and detection.",
"author_names": [
"Nezih Tolga Yardimci",
"Mona Jarrahi"
],
"corpus_id": 52112802,
"doc_id": "52112802",
"n_citations": 58,
"n_key_citations": 0,
"score": 0,
"title": "Nanostructure Enhanced Photoconductive Terahertz Emission and Detection.",
"venue": "Small",
"year": 2018
},
{
"abstract": "Abstract Highly flexible Fe2O3/TiO2 composite nanofibers were successfully synthesized via electrospinning followed by high temperature calcination. The morphologies and structures of Fe2O3/TiO2 nanofibers were characterized by scanning electron microscopy (SEM) transmission electron microscopy (TEM) X ray diffraction (XRD) and ultraviolet visible (UV Vis) spectroscopy, and their optical properties, photocatalysis and photodetection properties were also measured. The flexible nanofibers possess large specific surface area, and can be recycled by a magnet. Moreover, the absorption region has been extended from the UV region to the visible region, improving the performance of photocatalyst under visible light radiation. In addition, the formation of Fe2O3/TiO2 heterostructures suppresses the recombination of photogenerated electron hole pairs, which also improves the photocatalyst behavior. Particularly, these nanofibers can also work as a sensitive UV detector, which offers in situ monitor method of such photocatalysis materials.",
"author_names": [
"Hanxing Liu",
"Zhiguang Zhang",
"Xiao-Xiong Wang",
"Guangming Nie",
"Jun Zhang",
"Shanyong Zhang",
"Cao Ning",
"Shi-Ying Yan",
"Yun-Ze Long"
],
"corpus_id": 102576880,
"doc_id": "102576880",
"n_citations": 37,
"n_key_citations": 0,
"score": 0,
"title": "Highly flexible Fe2O3/TiO2 composite nanofibers for photocatalysis and utraviolet detection",
"venue": "",
"year": 2018
},
{
"abstract": "The aim of the current study was to synthesize polyvinyl alcohol Cuprous Oxide composite films (PVA/Cu2O) for radiation detection depending on optical changes. The method adapted from solving of 5%",
"author_names": [
"Mohammed Ahmed Ali Omer",
"Emadeldin Abdeljabar Ali Bashir"
],
"corpus_id": 140078872,
"doc_id": "140078872",
"n_citations": 6,
"n_key_citations": 0,
"score": 0,
"title": "Synthesis of polyvinyl alcohol and cuprous oxide (PVA/Cu2O) films for radiation detection and personal dosimeter based on optical properties",
"venue": "",
"year": 2018
}
] |
Towards Oxide Electronics: A Roadmap | [
{
"abstract": "At the end of a rush lasting over half a century, in which CMOS technology has been experiencing a constant and breathtaking increase of device speed and density, Moore's law is approaching the insurmountable barrier given by the ultimate atomic nature of matter. A major challenge for 21st century scientists is finding novel strategies, concepts and materials for replacing silicon based CMOS semiconductor technologies and guaranteeing a continued and steady technological progress in next decades. Among the materials classes candidate to contribute to this momentous challenge, oxide films and heterostructures are a particularly appealing hunting ground. The vastity, intended in pure chemical terms, of this class of compounds, the complexity of their correlated behaviour, and the wealth of functional properties they display, has already made these systems the subject of choice, worldwide, of a strongly networked, dynamic and interdisciplinary research community. Oxide science and technology has been the target of a wide four year project, named Towards Oxide Based Electronics (TO BE) that has been recently running in Europe and has involved as participants several hundred scientists from 29 EU countries. In this review and perspective paper, published as a final deliverable of the TO BE Action, the opportunities of oxides as future electronic materials for Information and Communication Technologies ICT and Energy are discussed. The paper is organized as a set of contributions, all selected and ordered as individual building blocks of a wider general scheme. After a brief preface by the editors and an introductory contribution, two sections follow. The first is mainly devoted to providing a perspective on the latest theoretical and experimental methods that are employed to investigate oxides and to produce oxide based films, heterostructures and devices. In the second, all contributions are dedicated to different specific fields of applications of oxide thin films and heterostructures, in sectors as data storage and computing, optics and plasmonics, magnonics, energy conversion and harvesting, and power electronics.",
"author_names": [
"Merrimack Coll",
"Josep Fontcuberta",
"Martina Althammer",
"Manuel Bibes",
"Hans Boschker",
"Amaia Calleja",
"M Cuoco",
"Regina Dittmann",
"Brahim Dkhil",
"Ismail El Baggari",
"Marco Fanciulli",
"Ignasi Fina",
"Enzo Fortunato",
"Carles Frontera",
"Sebastian T B Goennenwein",
"C G Granqvist",
"Julie Grollier",
"Anders Hagfeldt",
"Gervasi Herranz",
"Kazuhiro Hono",
"Evert P Houwman",
"Mark Huijben",
"Alexei Kalaboukhov",
"David J Keeble",
"Gerhard Ing Grad Koster",
"Lena F Kourkoutis",
"Jeremy Levy",
"Monica Lira-Cantu",
"Judith L MacManus-Driscoll",
"J Mannhart",
"Stephan Menzel",
"Thomas Mikolajick",
"Mari Napari",
"Gunnar A Niklasson",
"Charles Paillard",
"Sridhar Panigrahi",
"Guus Rijnders",
"Pablo Sanchis",
"Sandro Sanna",
"Darrell G Schlom",
"Uwe Schroeder",
"Anne Siemon",
"Matjaz Spreitzer",
"Hiroyuki Sukegawa",
"R Tamayo",
"Jeroen van den Brink",
"N H Pryds",
"Fabio Miletto Granozio"
],
"corpus_id": 146074273,
"doc_id": "146074273",
"n_citations": 118,
"n_key_citations": 3,
"score": 1,
"title": "Towards Oxide Electronics: a Roadmap",
"venue": "Applied Surface Science",
"year": 2019
},
{
"abstract": "Spins confined in Metal Oxide Silicon (MOS) quantum dot devices are promising qubits in a quantum processor, demonstrating long coherence times, a large valley splitting, and coherent interactions with donor qubits. Furthermore, the mature fabrication infrastructure of the CMOS industry offers a tantalizing roadmap towards scaling to extremely large quantum systems on a single silicon chip. Despite the incredible advances in materials and fabrication developed by the classical CMOS industry and continued by the silicon quantum electronics community, many challenges remain in building a silicon quantum processor. One of the biggest challenges impeding the scaling of large quantum dot systems is the presence of disorder and shallow electron traps at the Si/SiO2 interface. The purpose of this thesis is to illuminate mechanisms of disorder at the Si/SiO2 interface relevant to quantum dot devices, develop a framework for understanding and quantifying shallow electron traps in terms of electron spin resonance and transport measurements, and to pave a path forward for scaling MOS quantum dot devices. This thesis is organized into three sections: first, we develop a fabrication process in silicon MOSFETs yielding a low disorder Si/SiO2 interface in order to leverage this process as a platform for fabricating low disorder quantum dot devices. One of the challenges in working with silicon oxide is that high energy processes and mobile ionic contamination during the fabrication process can create electron traps and disorder at the Si/SiO2 interface. Using the low temperature (4.2 K) electron mobility as a proxy for the Si/SiO2 interface quality, we study the effect of various processing parameters on the Si/SiO2 interface disorder and ultimately arrive at a fully optimized process yielding the highest reported mobility (23,000 cm/Vs) thin oxide =30 nm) silicon MOSFET. Secondly, we study the annealing of shallow electron traps created by electronbeam (e beam) lithography. E beam lithography is a necessary tool in defining nanoiii scale electrostatic gates which define the quantum dot potential, but the high energy electrons and photons created in the process create electron traps at the interface. We directly probe shallow electron traps using electron spin resonance (ESR) and demonstrate that 1) a standard forming gas anneal is sufficient to passivate electron traps created by the e beam exposure, and 2) that our lowest temperature ESR measurements agree with transport measurements of the devices' percolation threshold, demonstrating agreement between two independent methods of characterizing the Si/SiO2 interface. Finally, leveraging the above process optimizations, we fabricate and characterize a low disorder double quantum dot device. We demonstrate agreement between the dots' charging energy and lithographic size, concluding that our dots are lithographically defined and not dominated by random disorder. Charge sensing measurements indicate regular quantum dot transitions over a wide parameter range down to the single electron regime, with evidence of few defects in the vicinity of the quantum dots, and the controllable formation of a quantum double dot. Noise spectroscopy measurements of the dot indicate a 1/f like power spectral density that is comparable in magnitude to other Si quantum dot devices measured at 300 mK. Finally, magneto spectroscopy measurements of the first and second electron transitions yield a valley splitting of 110+ 26 meV, large enough to support high fidelity spin selective operations. With this work, we demonstrate a method of fabricating low disorder, highmobility silicon MOSFETs, a framework for studying disorder in quantum dot devices in the low electron density regime, and a promising platform for MOS qubits in a lowdisorder quantum dot device architecture.",
"author_names": [
"Jin-Sung Kim"
],
"corpus_id": 198388130,
"doc_id": "198388130",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Development and Characterization of Low Disorder Metal Oxide Silicon Quantum Dot Devices",
"venue": "",
"year": 2018
},
{
"abstract": "Abstract Fabrication of thin and flexible crystalline silicon solar cells based on single junction concept is reported with detailed investigations on each step of the production flow chain. With the aim of minimizing material use/wastage as per the international technology roadmap for photovoltaic (ITRPV) which is also directly related to the device cost, efforts have been made to introduce thin ~30 um) c Si wafers instead of a conventional 180 um wafer to fabricate single junction solar cells. Due to the introduction of thin ~30 um) c Si wafer, the device becomes flexible, which is also an additional benefit towards the development of future roll to roll electronics. In order to address better carrier collection in thin silicon as well as light management, measures have been taken by introducing an indium tin oxide (ITO) layer both on top and at the bottom. The influence of this ITO layer along with back Al contact toward the cell efficiency has been discussed. X ray diffraction (XRD) analysis has been carried out to investigate microstrain and dislocation density related changes in the thin wafer, which are known to have influence on the photoconversion efficiency. Under 1 Sun illumination, current voltage characteristics and external quantum efficiency were measured and found to be promising.",
"author_names": [
"Ashutosh Kumar Dikshit",
"Nabin Chandra Mandal",
"Sukanta Bose",
"Nillohit Mukherjee",
"P Chakrabarti"
],
"corpus_id": 204193366,
"doc_id": "204193366",
"n_citations": 9,
"n_key_citations": 0,
"score": 0,
"title": "Optimization of back ITO layer as the sandwiched reflector for exploiting longer wavelength lights in thin and flexible (30 um) single junction c Si solar cells",
"venue": "Solar Energy",
"year": 2019
},
{
"abstract": "Improvement of the energy efficiency is an imperative need for electronics towards the Internet of Things (IoT) In this study, we investigated the performance limits of n type sub 10 nm monolayer (ML) ReS2 metal oxide semiconductor FETs (MOSFETs) using ab initio quantum transport simulation. Due to the anisotropic nature of the band structure, the zigzag directed devices perform better than the armchair directed devices. The zigzag directed sub 10 nm ML ReS2 MOSFETs show a low subthreshold swing down to 52 mV dec 1 and a high on current up to 486 mA mm 1. When compared with the international technology roadmap for semiconductors (ITRS) 2013 edition, the delay time and power delay product of the zigzag devices have been found to fulfill the requirements for low power applications until the gate length is scaled down to 3 and 1 nm, respectively. The excellent electrostatics, large on current, and ultra low energy consumption make ReS2 a promising candidate for future electronics in the coming IoT era.",
"author_names": [
"Ruge Quhe",
"Jianxiu Chen",
"Jing Lu"
],
"corpus_id": 116419270,
"doc_id": "116419270",
"n_citations": 8,
"n_key_citations": 0,
"score": 0,
"title": "A sub 10 nm monolayer ReS2 transistor for low power applications",
"venue": "",
"year": 2019
},
{
"abstract": "The developments in electronics technology push the invention of Metal Oxide Semiconductor Field Effect Transistor (MOSFET) towards smaller physical dimension with improvements in both quality and performance. In this paper, design, fabrication and simulation of electrical characteristics of 14nm La<inf>2</inf>O<inf>3</inf>/WSi<inf>2</inf>NMOS is presented. The fabrication and simulation process of device were performed by using Virtual Wafer Fabrication (VWF) Silvaco TCAD Tools, which consists of ATHENA and ATLAS. The designed device was optimized using Taguchi Method that involves orthogonal arrays and analysis of variance (ANOVA) The original results before optimization process for V<inf>TH</inf>is 0.212648V (7.5% lower than the targeted value) and I<inf>OFF</inf> is 3.73851x10<sup> 9</sup> A/mm while the optimized results for V<inf>TH</inf> is 0.233321 V (1.44 higher than the targeted value) and I<inf>OFF</inf>is 4.732375x10<sup> 11</sup> A/Dm which fulfilled the targets based on International Technology Roadmap for Semiconductors (ITRS) 2013. The Taguchi optimization method yields a significantly lower I<inf>OFF</inf> with an improved I<inf>ON</inf>/I<inf>OFF</inf> ratio by a factor of 25.",
"author_names": [
"Shee Keat Mah",
"Ibrahim Ahmad",
"Pin Jern Ker",
"K P Tan",
"Z A Noor Faizah"
],
"corpus_id": 52931873,
"doc_id": "52931873",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Modeling, Simulation and Optimization of 14nm High K/Metal Gate NMOS with Taguchi Method",
"venue": "2018 IEEE International Conference on Semiconductor Electronics (ICSE)",
"year": 2018
},
{
"abstract": "Many advances in Complementary Metal Oxide Semiconductor (CMOS) technology (deep sub micron feature scales, GHz frequencies, and Silicon On Insulator (SOI)fabrication) have been made possible by increases in the packaging density of electronics. These advances began with the introduction of very large scale integration (VLSI) The combination of increased power dissipation and packaging density led to substantial growth in the chip and system heat fluxes, as well as the amplified complexity in electrical signal integrity and mechanical stackup design in the recent years, particularly in high end computers. With the trend towards miniaturization, heat removal has become a major bottleneck in product development, especially, in low profile systems, telecom servers and blades. According to ITRS roadmap, power dissipation of high performance single chip packages is predicted to be in 218 288 W range, posing a serious challenge with no proven air cooled solutions to exist.",
"author_names": [
"Ali Heydari",
"Vadim Gektin"
],
"corpus_id": 7046279,
"doc_id": "7046279",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Thermal and electro mechanical challenges in design and operation of high heat flux processors",
"venue": "The Ninth Intersociety Conference on Thermal and Thermomechanical Phenomena In Electronic Systems (IEEE Cat. No.04CH37543)",
"year": 2004
},
{
"abstract": "Oxide electronic materials provide a plethora of possible applications and offer ample opportunity for scientists to probe into some of the exciting and intriguing phenomena exhibited by oxide systems and oxide interfaces. In addition to the already diverse spectrum of properties, the nanoscale form of oxides provides a new dimension of hitherto unknown phenomena due to the increased surface to volume ratio. Oxide electronic materials are becoming increasingly important in a wide range of applications including transparent electronics, optoelectronics, magnetoelectronics, photonics, spintronics, thermoelectrics, piezoelectrics, power harvesting, hydrogen storage and environmental waste management. Synthesis and fabrication of these materials, as well as processing into particular device structures to suit a specific application is still a challenge. Further, characterization of these materials to understand the tunability of their properties and the novel properties that evolve due to their nanostructured nature is another facet of the challenge. The research related to the oxide electronic field is at an impressionable stage, and this has motivated us to contribute with a roadmap on 'oxide electronic materials and oxide interfaces' This roadmap envisages the potential applications of oxide materials in cutting edge technologies and focuses on the necessary advances required to implement these materials, including both conventional and novel techniques for the synthesis, characterization, processing and fabrication of nanostructured oxides and oxide based devices. The contents of this roadmap will highlight the functional and correlated properties of oxides in bulk, nano, thin film, multilayer and heterostructure forms, as well as the theoretical considerations behind both present and future applications in many technologically important areas as pointed out by Venkatesan. The contributions in this roadmap span several thematic groups which are represented by the following authors: novel field effect transistors and bipolar devices by Fortunato, Grundmann, Boschker, Rao, and Rogers; energy conversion and saving by Zaban, Weidenkaff, and Murakami; new opportunities of photonics by Fompeyrine, and Zuniga Perez; multiferroic materials including novel phenomena by Ramesh, Spaldin, Mertig, Lorenz, Srinivasan, and Prellier; and concepts for topological oxide electronics by Kawasaki, Pentcheva, and Gegenwart. Finally, Miletto Granozio presents the European action 'towards oxide based electronics' which develops an oxide electronics roadmap with emphasis on future nonvolatile memories and the required technologies. In summary, we do hope that this oxide roadmap appears as an interesting up to date snapshot on one of the most exciting and active areas of solid state physics, materials science, and chemistry, which even after many years of very successful development shows in short intervals novel insights and achievements.",
"author_names": [
"Michael Lorenz",
"Mamidanna Sri Ramachandra Rao",
"Thirumalai Venkatesan",
"Elvira Fortunato",
"Pedro Barquinha",
"Rita Branquinho",
"Daniel Collet Salgueiro",
"Rodrigo Martins",
"Emanuel Carlos",
"Ao Liu",
"Fukai Shan",
"Marius Grundmann",
"Hans Boschker",
"Joynarayan Mukherjee",
"Manisha Priyadarshini",
"Nandita DasGupta",
"David J Rogers",
"Ferechteh Teherani",
"Eric Sandana",
"Philippe Bove",
"Kevin J Rietwyk",
"Arie Zaban",
"Angelika Veziridis",
"Anke Weidenkaff",
"Miryala Muralidhar",
"Masato Murakami",
"Stefan Abel",
"Jean Fompeyrine",
"Jesus Zuniga-Perez",
"Ramamoorthy Ramesh",
"Nicola A Spaldin",
"Sergey A Ostanin",
"Vitaliy B Borisov",
"Ingrid Mertig",
"V V Lazenka",
"Gopalan Srinivasan",
"Wilfrid Prellier",
"Masaki Uchida",
"Masashi Kawasaki",
"Rossitza Pentcheva",
"Philipp Gegenwart",
"Fabio Miletto Granozio",
"Josep Fontcuberta",
"N H Pryds"
],
"corpus_id": 4788304,
"doc_id": "4788304",
"n_citations": 197,
"n_key_citations": 0,
"score": 0,
"title": "The 2016 oxide electronic materials and oxide interfaces roadmap",
"venue": "",
"year": 2016
},
{
"abstract": "Abstract Twisting monotonic and fatigue experiments were conducted on multi layered films of Ag alloy based indium tin oxide (ITO) deposited on polyethylene terephthalate (PET) In the twisting tests, crack development and electrical resistance were monitored in situ. Cracks initiated at an angle of 39deg 1.7deg and propagated towards the direction of the sample length. Two sets of experiments were performed; the first set of experiments was conducted to study the effect of twisting angle and temperature on the film's electromechanical performance. The other set of experiments was conducted to study the effect of temperature in the absence of cyclic twisting deformation. The change in electrical resistance increased with number of twisting cycles and twisting angle. In addition, the highest change in electrical resistance was observed for samples subjected to cyclic fatigue at 100 degC, which is attributed to crack growth and oxidation of the Ag alloy layer. The cracks were observed to initiate not only from coating defects but also from edge defects. Development of cracks is accelerated due to the combined effects of the external repeated stress and temperature. Therefore, it is suggested that controlling temperature when using ITO/Ag alloy/ITO thin film under mechanical stress is important for electrical device performance; temperatures in both fabrication and use should not exceed 50 degC.",
"author_names": [
"D W Mohammed",
"Rayan B M Ameen",
"Konstantinos A Sierros",
"James Bowen",
"Stephen N Kukureka"
],
"corpus_id": 53627239,
"doc_id": "53627239",
"n_citations": 7,
"n_key_citations": 0,
"score": 0,
"title": "Twisting fatigue in multilayer films of Ag alloy with indium tin oxide on polyethylene terephthalate for flexible electronics devices",
"venue": "",
"year": 2018
},
{
"abstract": "Globally, the demand for improved health care delivery while managing escalating costs is a major challenge. Measuring the biomagnetic fields that emanate from the human brain already impacts the treatment of epilepsy, brain tumours and other brain disorders. This roadmap explores how superconducting technologies are poised to impact health care. Biomagnetism is the study of magnetic fields of biological origin. Biomagnetic fields are typically very weak, often in the femtotesla range, making their measurement challenging. The earliest in vivo human measurements were made with room temperature coils. In 1963, Baule and McFee (1963 Am. Heart J. 55 95 6) reported the magnetic field produced by electric currents in the heart 'magnetocardiography' and in 1968, Cohen (1968 Science 161 784 6) described the magnetic field generated by alpha rhythm currents in the brain 'magnetoencephalography' Subsequently, in 1970, Cohen et al (1970 Appl. Phys. Lett. 16 278 80) reported the recording of a magnetocardiogram using a Superconducting QUantum Interference Device (SQUID) Just two years later, in 1972, Cohen (1972 Science 175 664 6) described the use of a SQUID in magnetoencephalography. These last two papers set the scene for applications of SQUIDs in biomagnetism, the subject of this roadmap. The SQUID is a combination of two fundamental properties of superconductors. The first is flux quantization the fact that the magnetic flux in a closed superconducting loop is quantized in units of the magnetic flux quantum, ?0 h/2e, 2.07 x 10 15 Tm2 (Deaver and Fairbank 1961 Phys. Rev. Lett. 7 43 6, Doll R and Nabauer M 1961 Phys. Rev. Lett. 7 51 2) Here, h is the Planck constant and e the elementary charge. The second property is the Josephson effect, predicted in 1962 by Josephson (1962 Phys. Lett. 1 251 3) and observed by Anderson and Rowell (1963 Phys. Rev. Lett. 10 230 2) in 1963. The Josephson junction consists of two weakly coupled superconductors separated by a tunnel barrier or other weak link. A tiny electric current is able to flow between the superconductors as a supercurrent, without developing a voltage across them. At currents above the 'critical current' (maximum supercurrent) however, a voltage is developed. In 1964, Jaklevic et al (1964 Phys. Rev. Lett. 12 159 60) observed quantum interference between two Josephson junctions connected in series on a superconducting loop, giving birth to the dc SQUID. The essential property of the SQUID is that a steady increase in the magnetic flux threading the loop causes the critical current to oscillate with a period of one flux quantum. In today's SQUIDs, using conventional semiconductor readout electronics, one can typically detect a change in corresponding to 10 6 ?0 in one second. Although early practical SQUIDs were usually made from bulk superconductors, for example, niobium or Pb Sn solder blobs, today's devices are invariably made from thin superconducting films patterned with photolithography or even electron lithography. An extensive description of SQUIDs and their applications can be found in the SQUID Handbooks (Clarke and Braginski 2004 Fundamentals and Technology of SQUIDs and SQUID Systems vol I (Weinheim, Germany: Wiley VCH) Clarke and Braginski 2006 Applications of SQUIDs and SQUID Systems vol II (Weinheim, Germany: Wiley VCH) The roadmap begins (chapter 1) with a brief review of the state of the art of SQUID based magnetometers and gradiometers for biomagnetic measurements. The magnetic field noise referred to the pick up loop is typically a few fT Hz 1/2, often limited by noise in the metallized thermal insulation of the dewar rather than by intrinsic SQUID noise. The authors describe a pathway to achieve an intrinsic magnetic field noise as low as 0.1 fT Hz 1/2, approximately the Nyquist noise of the human body. They also descibe a technology to defeat dewar noise. Chapter 2 reviews the neuroscientific and clinical use of magnetoencephalography (MEG) by far the most widespread application of biomagnetism with systems containing typically 300 sensors cooled to liquid helium temperature, 4.2 K. Two important clinical applications are presurgical mapping of focal epilepsy and of eloquent cortex in brain tumor patients. Reducing the sensor to brain separation and the system noise level would both improve spatial resolution. The very recent commercial innovation that replaces the need for frequent manual transfer of liquid helium with an automated system that collects and liquefies the gas and transfers the liquid to the dewar will make MEG systems more accessible.",
"author_names": [
"Rainer Korber",
"Jan-Hendrik Storm",
"Hugh C Seton",
"Jyrki P Makela",
"Ritva Paetau",
"Lauri Parkkonen",
"Christoph Pfeiffer",
"B Riaz",
"Justin F Schneiderman",
"Hui Dong",
"Seong-min Hwang",
"Lixing You",
"Ben A Inglis",
"John M Clarke",
"Michelle A Espy",
"Risto J Ilmoniemi",
"Per E Magnelind",
"Andrei Matlashov",
"Jaakko O Nieminen",
"P L Volegov",
"Koos C J Zevenhoven",
"Nora Hofner",
"Martin Burghoff",
"Keiji Enpuku",
"S Y Yang",
"J J Chieh",
"Jukka Knuutila",
"Petteri Laine",
"Jukka Nenonen"
],
"corpus_id": 4821285,
"doc_id": "4821285",
"n_citations": 47,
"n_key_citations": 3,
"score": 0,
"title": "SQUIDs in biomagnetism: a roadmap towards improved healthcare",
"venue": "",
"year": 2016
},
{
"abstract": "Twisting monotonic and fatigue experiments were conducted on multi layered films of Agalloy based indium tin oxide (ITO) deposited on polyethylene terephthalate (PET) In the twisting tests, crack development and electrical resistance were monitored in situ. Cracks initiated at an angle of 39deg 1.7deg and propagated towards the direction of the sample length. Two sets of experiments were performed; the first set of experiments was conducted to study the effect of twisting angle and temperature on the film's electromechanical performance. The other set of experiments was conducted to study the effect of temperature in the absence of cyclic twisting deformation. The change in electrical resistance increased with number of twisting cycles and twisting angle. In addition, the highest change in electrical resistance was observed for samples subjected to cyclic fatigue at 100 degC, which is attributed to crack growth and oxidation of the Ag alloy layer. The cracks were observed to initiate not only from coating defects but also from edge defects. Development of cracks is accelerated due to the combined effects of the external repeated stress with temperature. Therefore, it is suggested that controlling temperature when using ITO/Ag alloy/ITO thin film under mechanical stress is important for electrical device performance; temperatures in both fabrication and use should not exceed 50 degC.",
"author_names": [
"R B Ameena",
"K A Sierrosb",
"J Bowenc",
"S N Kukurekaa"
],
"corpus_id": 199462269,
"doc_id": "199462269",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Twisting fatigue in multilayer films of Ag alloy with indium tin oxide on polyethylene terephthalate for flexible electronics devices",
"venue": "",
"year": 2017
}
] |
Intrinsic structural defects in monolayer molybdenum disulfide | [
{
"abstract": "Monolayer molybdenum disulfide (MoS2) is a two dimensional direct band gap semiconductor with unique mechanical, electronic, optical, and chemical properties that can be utilized for novel nanoelectronics and optoelectronics devices. The performance of these devices strongly depends on the quality and defect morphology of the MoS2 layers. Here we provide a systematic study of intrinsic structural defects in chemical vapor phase grown monolayer MoS2, including point defects, dislocations, grain boundaries, and edges, via direct atomic resolution imaging, and explore their energy landscape and electronic properties using first principles calculations. A rich variety of point defects and dislocation cores, distinct from those present in graphene, were observed in MoS2. We discover that one dimensional metallic wires can be created via two different types of 60deg grain boundaries consisting of distinct 4 fold ring chains. A new type of edge reconstruction, representing a transition state during growth, was also identified, providing insights into the material growth mechanism. The atomic scale study of structural defects presented here brings new opportunities to tailor the properties of MoS2 via controlled synthesis and defect engineering.",
"author_names": [
"Wu Zhou",
"Xiaolong Zou",
"Sina Najmaei",
"Zheng Liu",
"Yumeng Shi",
"Jing Kong",
"Jun Lou",
"Pulickel M Ajayan",
"Boris I Yakobson",
"Juan Carlos Idrobo"
],
"corpus_id": 12003614,
"doc_id": "12003614",
"n_citations": 1268,
"n_key_citations": 10,
"score": 1,
"title": "Intrinsic structural defects in monolayer molybdenum disulfide.",
"venue": "Nano letters",
"year": 2013
},
{
"abstract": "Molybdenum disulfide is considered as one of the most promising two dimensional semiconductors for electronic and optoelectronic device applications. So far, the charge transport in monolayer molybdenum disulfide is dominated by extrinsic factors such as charged impurities, structural defects and traps, leading to much lower mobility than the intrinsic limit. Here we develop a facile low temperature thiol chemistry route to repair the sulfur vacancies and improve the interface, resulting in significant reduction of the charged impurities and traps. High mobility >80 cm(2) V( 1) s( 1) is achieved in backgated monolayer molybdenum disulfide field effect transistors at room temperature. Furthermore, we develop a theoretical model to quantitatively extract the key microscopic quantities that control the transistor performances, including the density of charged impurities, short range defects and traps. Our combined experimental and theoretical study provides a clear path towards intrinsic charge transport in two dimensional dichalcogenides for future high performance device applications.",
"author_names": [
"Zhihao Yu",
"Yiming Pan",
"Yuting Shen",
"Zilu Wang",
"Zhun-Yong Ong",
"Tao Xu",
"Run Xin",
"Lijia Pan",
"Baigeng Wang",
"Litao Sun",
"Jinlan Wang",
"Gang Zhang",
"Yan Zhang",
"Yi Shi",
"Xinran Wang"
],
"corpus_id": 205331614,
"doc_id": "205331614",
"n_citations": 383,
"n_key_citations": 3,
"score": 0,
"title": "Towards intrinsic charge transport in monolayer molybdenum disulfide by defect and interface engineering.",
"venue": "Nature communications",
"year": 2014
},
{
"abstract": "It is revealed by recent experimental and theoretical nanoindentation studies that the low concentration of monovacancy produces an abnormal noticeable stiffening effect on graphene sheets, which depending on the defect type. As for graphene like quasi two dimensional (2D) nanomaterials, the single layer molybdenum disulfide (SLMoS2) has intrinsic structural defects that are distinct to graphene. Therefore, it is intriguing to investigate if any kind of defects will lead to such unique effect on the mechanical properties of SLMoS2, including the elasticity and strength. Following our preliminary studies on the VMoS3 point defect, herein, we perform molecular dynamics simulations to look into the effect of the low concentration of single molybdenum vacancy defects on the mechanical properties of SLMoS2, under uniaxial tensile tests. The defect fractions of the single Mo vacancy varying from 0.1% to 1.0% are considered in our works, together with the random and regular vacancy distributions. Single molybdenum vacancy defects are found, as common intuition would suggest, to reduce the mechanical properties of SLMoS2, including the elastic modulus and tensile strength. The effect of chirality on the mechanical properties of the SLMoS2 is also discussed in the present work.",
"author_names": [
"Minglin Li",
"Yaling Wan",
"Weidong Wang"
],
"corpus_id": 21111707,
"doc_id": "21111707",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "Prediction of mechanical properties for defective monolayer MoS2 with single molybdenum vacancy defects using molecular dynamics simulations",
"venue": "2017 IEEE 17th International Conference on Nanotechnology (IEEE NANO)",
"year": 2017
},
{
"abstract": "Structural defects in the molybdenum disulfide (MoS2) monolayer are widely known for strongly altering its properties. Therefore, a deep understanding of these structural defects and how they affect MoS2 electronic properties is of fundamental importance. Here, we report on the incorporation of atomic hydrogen in monolayered MoS2 to tune its structural defects. We demonstrate that the electronic properties of single layer MoS2 can be tuned from the intrinsic electron (n) to hole (p) doping via controlled exposure to atomic hydrogen at room temperature. Moreover, this hydrogenation process represents a viable technique to completely saturate the sulfur vacancies present in the MoS2 flakes. The successful incorporation of hydrogen in MoS2 leads to the modification of the electronic properties as evidenced by high resolution X ray photoemission spectroscopy and density functional theory calculations. Micro Raman spectroscopy and angle resolved photoemission spectroscopy measurements show the high quality of the hydrogenated MoS2 confirming the efficiency of our hydrogenation process. These results demonstrate that the MoS2 hydrogenation could be a significant and efficient way to achieve tunable doping of transition metal dichalcogenides (TMD) materials with non TMD elements.",
"author_names": [
"Debora Pierucci",
"Hugo Henck",
"Zeineb Ben Aziza",
"Carl H Naylor",
"Adrian Balan",
"Julien E Rault",
"Mathieu G Silly",
"Yannick J Dappe",
"Francois Bertran",
"Patrick Le Fevre",
"Fausto Sirotti",
"A T Charlie Johnson",
"Abdelkarim Ouerghi"
],
"corpus_id": 206706923,
"doc_id": "206706923",
"n_citations": 51,
"n_key_citations": 1,
"score": 0,
"title": "Tunable Doping in Hydrogenated Single Layered Molybdenum Disulfide.",
"venue": "ACS nano",
"year": 2017
},
{
"abstract": "Nanoscale molybdenum disulfide (MoS2) has attracted ever growing interest as one of the most promising nonprecious catalysts for hydrogen evolution reaction (HER) However, the active sites of pristine MoS2 are located at the edges, leaving a large area of basal planes useless. Here, we systematically evaluate the capabilities of 16 kinds of structural defects including point defects (PDs) and grain boundaries (GBs) to activate the basal plane of MoS2 monolayer. Our first principle calculations show that six types of defects (i.e. Vs, VMoS3, MoS2 PDs; 4|8a, S bridge, and Mo Mo bond GBs) can greatly improve the HER performance of the in plane domains of MoS2. More importantly, Vs and MoS2 PDs and S bridge and 4|8a GBs exhibit outstanding activity in both Heyrovsky and Tafel reactions as well. Moreover, the different HER activities of defects are well understood by an amendatory band center model, which is applicable to a broad class of systems with localized defect states. Our study provides a comprehensi.",
"author_names": [
"Yixin Ouyang",
"Chongyi Ling",
"Qian Chen",
"Zilu Wang",
"Li Shi",
"Jinlan Wang"
],
"corpus_id": 100164078,
"doc_id": "100164078",
"n_citations": 230,
"n_key_citations": 1,
"score": 0,
"title": "Activating Inert Basal Planes of MoS2 for Hydrogen Evolution Reaction through the Formation of Different Intrinsic Defects",
"venue": "",
"year": 2016
},
{
"abstract": "Pristine monocrystalline molybdenum disulfide (MoS2) possesses high mechanical strength comparable to that of stainless steel. Large area chemical vapor deposited monolayer MoS2 tends to be polycrystalline with intrinsic grain boundaries (GBs) Topological defects and grain size skillfully alter its physical properties in a variety of materials; however, the polycrystallinity and its role played in the mechanical performance of the emerging single layer MoS2 remain largely unknown. Here, using large scale atomistic simulations, GB structures and mechanical characteristics of realistic single layered polycrystalline MoS2 of varying grain size prepared by confinement quenched method are investigated. Depending on misorientation angle, structural energetics of polar GBs in polycrystals favor diverse dislocation cores, consistent with experimental observations. Polycrystals exhibit grain size dependent thermally induced global out of plane deformation, although defective GBs in MoS2 show planar structures that are in contrast to the graphene. Tensile tests show that presence of cohesive GBs pronouncedly deteriorates the in plane mechanical properties of MoS2. Both stiffness and strength follow an inverse pseudo Hall Petch relation to grain size, which is shown to be governed by the weakest link mechanism. Under uniaxial tension, transgranular crack propagates with small deflection, whereas upon biaxial stretching, the crack grows in a kinked manner with large deflection. These findings shed new light in GB based engineering and control of mechanical properties of MoS2 crystals toward real world applications in flexible electronics and nanoelectromechanical systems.",
"author_names": [
"Jianyang Wu",
"Pinqiang Cao",
"Zhisen Zhang",
"Fulong Ning",
"Songsheng Zheng",
"Jianying He",
"Zhiliang Zhang"
],
"corpus_id": 206746686,
"doc_id": "206746686",
"n_citations": 38,
"n_key_citations": 1,
"score": 0,
"title": "Grain Size Controlled Mechanical Properties of Polycrystalline Monolayer MoS2.",
"venue": "Nano letters",
"year": 2018
},
{
"abstract": "Monolayer molybdenum disulfide (MoS2) a two dimensional semiconductor, possesses extraordinary physical properties and holds great promise for electronics, optoelectronics, and optics. However, the synthetic MoS2 samples usually comprise substantial structural defects, which greatly affect the device performance. Herein we comprehensively explore the atomic structures, energetic stability, and electronic and magnetic properties of grain boundaries (GBs) in monolayer MoS2 as well as the GBs decorated by antisite defects by first principles calculations. Eighteen types of GBs each carrying five kinds of antisite defects (a total of 108 defective systems) are constructed. The stability and magnetic properties of these defective monolayers are closely related to the type and number of homoelemental bonds. The GBs dominated by one type of homoelemental bond are ferromagnetic and have intrinsic magnetic moments up to 1.10 mB/nm. The GBs with equal number of defect rings that involve Mo Mo and S S bonds can exh.",
"author_names": [
"Nan Gao",
"Yu Guo",
"Si Zhou",
"Yizhen Bai",
"Jijun Zhao"
],
"corpus_id": 99801312,
"doc_id": "99801312",
"n_citations": 30,
"n_key_citations": 0,
"score": 0,
"title": "Structures and Magnetic Properties of MoS2 Grain Boundaries with Antisite Defects",
"venue": "",
"year": 2017
},
{
"abstract": "Structural defects in the molybdenum disulfide (MoS2) monolayer are widely reported and greatly degrade the transport and photoluminescence. However, how they influence the optical absorption properties remains unclear. In this work, by employing many body perturbation theory calculations, we investigate the influence of sulfur vacancies (SVs) the main type of intrinsic defects in the MoS2 monolayer, on the optical absorption and exciton effect. Our calculations reveal that the presence of SVs creates localized midgap states in the bandgap, which results in a dramatic red shift of the absorption peak and stronger absorbance in the visible light and near infrared region. Nevertheless, the SVs can be finely repaired by oxygen passivation and are beneficial to the formation of the stable localized excitons, which greatly enhance the optical absorption in the spectral range. The defect mediated/ engineered absorption mechanism is well understood, which offers insightful guides for improving the performance of two dimensional dichalcogenide based optoelectronic devices.",
"author_names": [
"Huabing Shu",
"Yunhai Li",
"Xianghong Niu",
"Jinlan Wang"
],
"corpus_id": 206421860,
"doc_id": "206421860",
"n_citations": 38,
"n_key_citations": 0,
"score": 0,
"title": "Greatly Enhanced Optical Absorption of a Defective MoS2 Monolayer through Oxygen Passivation.",
"venue": "ACS applied materials interfaces",
"year": 2016
},
{
"abstract": "Abstract In this paper, the mechanical properties of single layer Molybdenum disulfide (SLMoS2) containing point defects, such as vacancies, or extra Sulfur (S) or Molybdenum (Mo) atoms are investigated by molecular dynamics (MD) simulations. It was found that the single S vacancy is most probable as the formation energy is the lowest among all point defects. Other antisite defects having a Mo atom replaced by two S atoms are the least possible ones. The structures of antisite defects are also unstable. The Young's modulus of planner SLMoS2 with defect is found to be within the scatter margin of pristine MoS2 sheet (without defects) The effect of temperature on each type of defect has been studied and it is found that strength is more affected by vacancies than it is by phase transition.",
"author_names": [
"Avik Kumar Mahata",
"Jin-Wu Jiang",
"Debiprosad Roy Mahapatra",
"Timon Rabczuk"
],
"corpus_id": 139604353,
"doc_id": "139604353",
"n_citations": 11,
"n_key_citations": 0,
"score": 0,
"title": "Effect of intrinsic structural defects on mechanical properties of single layer MoS2",
"venue": "Nano Structures Nano Objects",
"year": 2019
},
{
"abstract": "Transition metal carbides (MXenes) with formula Mn+1CnTx (n 2 and 3) have been emerging as a new family of two dimensional (2D) materials that have great potential in electronic applications and CO2 conversion catalysts. It has been already found that the electronic and electrochemical properties of Ti3C2Tx MXenes can be tuned by replacing the two outer titanium layers with molybdenum layers. Similar to other 2D materials, intrinsic defects can be formed in the synthesized MXene flakes and the formation of defects can influence the performance of these materials. Herein, we systematically study the effect of the different types of structural defects on the structural stability, electronic behavior, and electrochemical properties of ordered Mo2TiC2Tx terminated with the specific surface functional groups of fluorine, oxygen, and hydroxide. The calculated defect formation energies imply that the formation of defects is dependent on the surface terminations, where the O terminated MXenes demand more energy than the F and OH terminated MXenes. We found that defect formation is more feasible in the outer molybdenum layers than in the inner titanium layer. Our results predicted that the CO2 molecule adsorbs on the defective surfaces through a spontaneous and exothermic process that is critical to its capture, while the perfect surface weakly attracts the molecule through a nonspontaneous and endothermic process. Thus, our study predicts that the electronic and electrochemical properties of Mo2TiC2Tx can be tuned by forming specific defects and these MXenes could be promising materials for CO2 adsorption and conversion.",
"author_names": [
"Rasoul Khaledialidusti",
"Abhishek Kumar Mishra",
"Afrooz Barnoush"
],
"corpus_id": 213536560,
"doc_id": "213536560",
"n_citations": 12,
"n_key_citations": 0,
"score": 0,
"title": "Atomic defects in monolayer ordered double transition metal carbide (Mo2TiC2Tx) MXene and CO2 adsorption",
"venue": "",
"year": 2020
}
] |
simple yet effective method to determine multiphoton | [
{
"abstract": "Colloidal semiconductor nanocrystals (NCs) are on the vanguard of nonlinear optical materials due to their superb optical properties including tunable multiphoton absorption (MPA) with large absorp.",
"author_names": [
"Arthur Alo",
"Leonardo Werneck Trindade de Barros",
"Gabriel Nagamine",
"Lucas Vieira",
"Jun Hyuk Chang",
"Byeong Guk Jeong",
"Wan Ki Bae",
"Lazaro A Padilha"
],
"corpus_id": 219747496,
"doc_id": "219747496",
"n_citations": 3,
"n_key_citations": 0,
"score": 1,
"title": "Simple Yet Effective Method to Determine Multiphoton Absorption Cross Section of Colloidal Semiconductor Nanocrystals",
"venue": "",
"year": 2020
},
{
"abstract": "Abstract Two rate flow test is widely used in petroleum industry to determine reservoir parameters because its advantage of avoiding the need of shutting in the well. Field practice of hundreds of wells in Changqing Oilfield, China, show that lacking the pressure data before production rate change period during the two rate flow test which is a customary rule in the pressure measurement is likely to bring about unreasonable interpretation results. In this paper, we extend the three rate flow test (Selim, 1967) by applying Gringarten Bourdet type curve analysis method, rather than specialized plot, to analyze the testing pressure behavior. This method, we call it modified two rate flow (MTRF) technique, is tested by measuring a short period of pressure data for an extra production rate (usually it is designed back to initial stabilized condition to reduce the production losses) based on conventional two rate flow test. As a result, the total measurement time for the MTRF test is nearly equal to, or slightly longer than, conventional two rate flow test, and much shorter than three rate flow test. The mathematical justification of Gringarten Bourdet type curve that applied in MTRF test is re derived, and the well test designs for horizontal and multi fractured horizontal wells are conducted to validate the applicability of the MTRF method. Results show that the results of conventional two rate flow tests are largely dependent on the initial parameters we set, while the results obtained by MTRF method are very close to the correct values even with different and far away initial values. This new technique not only reserves the same advantages as two rate technique, but also minimizes the non uniqueness of the results by add an extra pressure history, thus providing a double check of the results, like permeability, reservoir pressure, and so on.",
"author_names": [
"Shiqing Cheng",
"Kaidi Zhang",
"Xiaoping An"
],
"corpus_id": 216213306,
"doc_id": "216213306",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Type curve analysis of modified two rate flow test: A simple yet effective technique to minimize the non uniqueness of interpretation results",
"venue": "",
"year": 2020
},
{
"abstract": "Estimation of the parallel wavenumber in plasma devices finds wide applications such as determining the nature of instabilities. This task is often challenging, especially in toroidal magnetic configurations. In the present work, a simple yet effective method of achieving accurate probe alignment along the magnetic field lines is demonstrated in a simple magnetized toroidal device BETA (Basic Experiments in Toroidal Assembly) The alignment was achieved by aligning each probe to a tiny localized plasma source. Such an alignment is necessary for determining the parallel wavenumber precisely. The probe alignment was confirmed further from the measurements in the plasma and the corresponding parallel wavenumber was found to be in good agreement with the analytical predictions.",
"author_names": [
"Shekar Goud Thatipamula",
"Umesh K Shukla",
"Rajaraman Ganesh",
"Y C Saxena",
"Daniel Raju"
],
"corpus_id": 20594477,
"doc_id": "20594477",
"n_citations": 5,
"n_key_citations": 0,
"score": 0,
"title": "A simple experimental method to determine magnetic field topology in toroidal plasma devices.",
"venue": "The Review of scientific instruments",
"year": 2015
},
{
"abstract": "Nature language inference (NLI) task is a predictive task of determining the inference relationship of a pair of natural language sentences. With the increasing popularity of NLI, many state of the art predictive models have been proposed with impressive performances. However, several works have noticed the statistical irregularities in the collected NLI data set that may result in an over estimated performance of these models and proposed remedies. In this paper, we further investigate the statistical irregularities, what we refer as confounding factors, of the NLI data sets. With the belief that some NLI labels should preserve under swapping operations, we propose a simple yet effective way (swapping the two text fragments) of evaluating the NLI predictive models that naturally mitigate the observed problems. Further, we continue to train the predictive models with our swapping manner and propose to use the deviation of the model's evaluation performances under different percentages of training text fragments to be swapped to describe the robustness of a predictive model. Our evaluation metrics leads to some interesting understandings of recent published NLI methods. Finally, we also apply the swapping operation on NLI models to see the effectiveness of this straightforward method in mitigating the confounding factor problems in training generic sentence embeddings for other NLP transfer tasks.",
"author_names": [
"Haohan Wang",
"Da-You Sun",
"Eric P Xing"
],
"corpus_id": 52185625,
"doc_id": "52185625",
"n_citations": 24,
"n_key_citations": 6,
"score": 0,
"title": "What If We Simply Swap the Two Text Fragments? A Straightforward yet Effective Way to Test the Robustness of Methods to Confounding Signals in Nature Language Inference Tasks",
"venue": "AAAI",
"year": 2019
},
{
"abstract": "This paper presents a simple yet effective method to determine the electrical model parameters of the dielectric barrier discharge (DBD) ozone chamber at frequencies above 20 kHz. The method is an alternative to the conventional Lissajous plot estimation method which appears to yield unsatisfactory results in this frequency range. Furthermore, the proposed technique allows for the chamber parameters to be determined at different frequencies a flexibility which is not easily achievable using the typical Lissajous method. The measurement setup consists of a full bridge inverter and a high frequency variable inductor connected to the DBD chamber. The inductor can be adjusted to allow for parameter determination at different frequencies. The chamber parameters obtained from experimental results are validated by applying them in a high voltage power supply for ozone generator.",
"author_names": [
"Muhammad Amjad",
"Zainal Salam",
"Mochammad Facta",
"Kashif Ishaque"
],
"corpus_id": 29301742,
"doc_id": "29301742",
"n_citations": 33,
"n_key_citations": 2,
"score": 0,
"title": "A Simple and Effective Method to Estimate the Model Parameters of Dielectric Barrier Discharge Ozone Chamber",
"venue": "IEEE Transactions on Instrumentation and Measurement",
"year": 2012
},
{
"abstract": "Surrogate endpoints offer both benefits and limitations in medicine.1 7 To strengthen inferences from surrogates, interventions are often additionally assessed for effects on clinical endpoints. Yet clinical outcomes may not always offer concrete proof of efficacy. For instance, in thrombotic thrombocytopenic purpura (TTP) a drug that increases the platelet level (surrogate) may also result in fewer days of plasmapheresis (clinical endpoint) In this case, improvement in the clinical endpoint of plasmapheresis may be indirectly influenced by knowledge of changes in the surrogate endpoint, that is, platelet levels. Providers may cease plasmapheresis simply upon seeing normalized platelet levels, and thus plasmapheresis may be shorter, but this might occur in the absence of any true change in disease biology. When data supporting a treatment are based on surrogate endpoints and clinical endpoints that are contingent on knowledge of those surrogates, we cannot definitively determine whether the intervention is effective. In this commentary, we wish to separate clinical endpoints that may be mediated by surrogate outcomes from those that are independent of it. We propose that clinical endpoints potentially effected by provider interpretation of surrogate endpoints do not offer stronger inference about interventions compared to surrogate endpoints alone. How do we know which clinical endpoints are mediated by provider interpretation of surrogate outcomes and which are not? A simple thought experiment can clarify. When randomized controlled studies reach positive results, imagine what would occur if we substitute the experimental drug with an electronic medical record (EMR) glitch that gives the appearance of improved surrogate outcomes in patient charts (with no treatment differences compared to control) Imagine what the effects of spurious EMR records (the glitch) might be on the clinical outcomes investigated in the original study. If outcomes could be similar in the randomized trial and the EMR glitch thought experiment, it is likely that clinical endpoints would not offer independent evidence of benefit. We offer a few examples.",
"author_names": [
"Jennifer Gill",
"Vinay Prasad"
],
"corpus_id": 208454900,
"doc_id": "208454900",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "A method to determine if more than surrogate outcomes were improved: The EMR glitch experiment",
"venue": "Research and practice in thrombosis and haemostasis",
"year": 2020
},
{
"abstract": "Twenty seven methods currently used to characterize biochar were assessed in terms of their usefulness to determine the stability of biochar carbon in the environment. The International Biochar Initiative (IBI) which led the effort, gathered fourteen world class experts in different fields of biochar relevant to stability, who guided the process for obtaining a simple, yet reliable, measure for biochar stability. Important requisites were defined for the test, including cost, repeatability and availability. Identification of a cost effective, scientifically valid test to measure the stable carbon component of biochar is imperative to distinguish biochar from non biochar (non stable) materials, and to develop a biochar offset protocol for carbon markets. The stability of biochar carbon in soils makes it a highly promising product for consideration as a strategy for climate change mitigation. The definition of the variable BC+100, which stands for the amount of biochar carbon that is expected to remain stable after 100 years, along with predictions of stability based on simple (Alpha) and more sophisticated (Beta)",
"author_names": [
"Alice Budai",
"Andrew R Zimmerman",
"Bruno Glaser",
"Caroline A Masiello",
"Frank Shields",
"Johannes Lehmann",
"Marta Camps Arbestain",
"M Williams",
"Saran P Sohi",
"Stephen Joseph"
],
"corpus_id": 27528692,
"doc_id": "27528692",
"n_citations": 57,
"n_key_citations": 4,
"score": 0,
"title": "Biochar Carbon Stability Test Method: An assessment of methods to determine biochar carbon stability",
"venue": "",
"year": 2013
},
{
"abstract": "Fruit flies (Bactrocera sp. are the most common types of plant pests attacking fruit plants. The pest attacks the fruit in the plantation. The control of fruit flies is quite difficult, which is usually done by using eugenol. Fruit flies are insects that are sensitive to light with wavelengths of 300 650 nm. The light trap is a method commonly used yet it is rarely used to control the fruit flies. This research was conducted to determine the effect of the color variation in light traps on the number of trapped fruit flies. This study used quasi experimental research methods. The data were analyzed descriptively and continued with one way ANOVA statistical testing using SPSS 25.0. The results showed that the highest average number of fruit flies was in light traps with the addition of blue lights of 17.22. Post hoc tests showed that blue lights were more effective in attracting flies into light traps.",
"author_names": [
"Eka Sobiatin",
"Herianto Herianto",
"Nur Khosiyatun",
"Heru Kuswanto"
],
"corpus_id": 226807533,
"doc_id": "226807533",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "The Effect of Light Color Variation in Simple Light Traps on the Number of Fruit Flies (Bactrocera sp.",
"venue": "",
"year": 2019
},
{
"abstract": "Diagnosis of paratuberculosis (Johne's disease) is stymied by the lack of 1 diagnostic tool that can be used to detect both subclinically and clinically infected animals. At present, fecal culture remains the single diagnostic test that can detect infection in both disease states provided the animals actively shed Mycobacterium paratuberculosis in their feces. Yet, fecal culture has a disadvantage associated with the protracted incubation period of 8 16 weeks before results are available. Detection of nucleic acids specific to M. paratuberculosis in fecal samples is a technique that can circumvent the culture method. This study describes a rapid, simple, and effective method to extract DNA from fecal samples and modification of a polymerase chain reaction assay for optimal sensitivity of detection. An evaluation of 1,000 well characterized fecal samples was performed by the Colorado Department of Agriculture (Denver, CO) and the National Animal Disease Center (Ames, IA) to determine the sensitivity, specificity, and reproducibility of the new method. Results from this study show that the sensitivity of detection was highly dependent on the load of bacteria in the fecal sample with 81% detection of samples containing >70 colony forming units (cfu)/g of feces and a 45% detection rate for samples containing less than 1 cfu/g. Similarly, reproducibility of the technique between the 2 laboratories (n 250 samples) was much higher (75% for the fecal samples containing high levels of M. paratuberculosis and reduced to 25% for samples with less than 1 cfu/g. An overall specificity of 83% was obtained for known negative samples. The method described here is rapid, simple, and inexpensive compared with other techniques. In addition, this method can detect animals that are shedding less than 1 cfu/g.",
"author_names": [
"Judith R Stabel",
"Tracey Bosworth",
"T A Kirkbride",
"Richard L Forde",
"Robert H Whitlock"
],
"corpus_id": 24044249,
"doc_id": "24044249",
"n_citations": 41,
"n_key_citations": 5,
"score": 0,
"title": "A Simple, Rapid, and Effective Method for the Extraction of Mycobacterium Paratuberculosis DNA from Fecal Samples for Polymerase Chain Reaction",
"venue": "Journal of veterinary diagnostic investigation official publication of the American Association of Veterinary Laboratory Diagnosticians, Inc",
"year": 2004
},
{
"abstract": "Introduction: Degree of valve oversizing and calcification impact paravalvular aortic regurgitation (PAR) and aortic root rupture in TAVR, but no consistent method predicts both outcomes. Current valve sizing relies on 2D annular dimensions by CT or TEE yet does not account for severity and location of calcium in the aortic root complex. Hypothesis: We propose the concept of \"Effective Implant Area\" (EIA) to incorporate aortic root calcification to optimize valve selection and outcomes in TAVR. Methods: From 2/2013 6/2014, 35 out of 41 consecutive patients (age 83+ 8 years, 69% female) had valve sizing by CT then TAVR with Edwards Sapien valve (13 TF, 18 TA, 4 TAo) STS risk score averaged 7.5+ 3.3% and 80% patients were frail. 19 retrospective and 16 prospective comparison of annular area with the minimal supra annular and left ventricular outflow track areas were made to devise an EIA. Combined with evaluation of aortic root calcium, valve size and degree of balloon filling for deployment were finalized. Follow up was 100% complete. Results: Procedural success was 100% one had root rupture that retrospectively should have had a smaller valve by EIA (Table 1) Of 16 subsequent patients with EIA prospectively derived, 4 had significantly smaller EIA than annular areas due to calcification, resulting in selection of a smaller valve (Table 1) With EIA sizing, no further root rupture occurred, and 2 patients with moderate PAR without post dilatation improved to mild at discharge. At 30 days, 87% had none trivial, 13% mild PAR; improved to 95% none trivial, 5% mild PAR at 6 months and 100% none trivial PAR at 1 year. Conclusions: Determining \"Effective Implant Area\" is a simple yet reproducible method that accounts for aortic root calcification to optimize valve size in TAVR, minimizing PAR and root rupture risk. EIA should be routinely assessed in conjunction with annular area for valve sizing in all TAVR cases.",
"author_names": [
"Gilbert H L Tang",
"Angela Tong",
"Amar B Shah",
"Tanya Dutta",
"Martin H Cohen",
"Hasan Ahmad",
"Linda J Cuomo",
"Cenap Undemir",
"Steven L Lansman"
],
"corpus_id": 70546574,
"doc_id": "70546574",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Abstract 20605: The Concept of \"Effective Implant Area\" A Simple Method Beyond Annular Sizing to Optimize Valve Selection and Outcomes in Transcatheter Aortic Valve Replacement",
"venue": "",
"year": 2014
}
] |
WSEAS University of Bremen | [
{
"abstract": "Strain engineering vanadium dioxide thin films is one way to alter this material's characteristic first order transition from semiconductor to metal. In this study we extend the exploitable strain",
"author_names": [
"Simon Fischer",
"Jon-Olaf Krisponeit",
"Michael Foerster",
"Lucia Aballe",
"Jens Falta",
"Jan Ingo Flege"
],
"corpus_id": 212414626,
"doc_id": "212414626",
"n_citations": 6,
"n_key_citations": 0,
"score": 1,
"title": "Massively Strained VO2 Thin Film Growth on RuO2",
"venue": "",
"year": 2020
},
{
"abstract": "We have investigated the toroidal analog of ellipsoidal shells of matter, which are of great significance in Astrophysics. The exact formula for the gravitational potential \\Psi(R,Z) of a shell with a circular section at the pole of toroidal coordinates is first established. It depends on the mass of the shell, its main radius and axis ratio $e$ (i.e. core to main radius ratio) and involves the product of the complete elliptic integrals of the first and second kinds. Next, we show that successive partial derivatives \\partial^{n +m} \\Psi/\\partial_{R^n} \\partial_{Z^m} are also accessible by analytical means at that singular point, thereby enabling the expansion of the interior potential as a bivariate series. Then, we have generated approximations at orders $0$ $1$ $2$ and $3$ corresponding to increasing accuracy. Numerical experiments confirm the great reliability of the approach, in particular for small to moderate axis ratios $e^2 \\lesssim 0.1$ typically) In contrast with the ellipsoidal case (Newton's theorem) the potential is not uniform inside the shell cavity as a consequence of the curvature. We explain how to construct the interior potential of toroidal shells with a thick edge (i.e. tubes) and how a core stratification can be accounted for. This is a new step towards the full description of the gravitating potential and forces of tori and rings. Applications also concern electrically charged systems, and thus go beyond the context of gravitation.",
"author_names": [
"Jean-Marc Hur'e",
"Audrey Trova",
"Vladim R Karas",
"CNRSUniv Bordeaux",
"",
"University of Bremen",
"Center of Applied Space Technology",
"Microgravity Germany",
"A -M Dumont Astronomical Institute",
"Academy of Sciences",
"Czech Republic"
],
"corpus_id": 146121223,
"doc_id": "146121223",
"n_citations": 1,
"n_key_citations": 1,
"score": 0,
"title": "Interior potential of a toroidal shell from pole values",
"venue": "Monthly Notices of the Royal Astronomical Society",
"year": 2019
},
{
"abstract": "We present results from two ~30 ks Chandra observations of the hot atmospheres of the merging galaxy groups centered around NGC 7618 and UGC 12491. Our images show the presence of arc like sloshing cold fronts (CFs) wrapped around each group center and ~100 kpc long spiral tails in both groups. Most interestingly, the CFs are highly distorted in both groups, exhibiting \"wings\" along the fronts. These features resemble the structures predicted from non viscous hydrodynamic simulations of gas sloshing, where Kelvin Helmholtz instabilities (KHIs) distort the CFs. This is in contrast to the structure seen in many other sloshing and merger CFs, which are smooth and featureless at the current observational resolution. Both magnetic fields and viscosity have been invoked to explain the absence of KHIs in these smooth CFs, but the NGC 7618/UGC 12491 pair are two in a growing number of both sloshing and merger CFs that appear distorted. Magnetic fields and/or viscosity may be able to suppress the growth of KHIs at the CFs in some clusters and groups, but clearly not in all. We propose that the presence or absence of KHI distortions in CFs can be used as a measure of the effective viscosity and/or magnetic field strengths in the intracluster medium.",
"author_names": [
"Elke Roediger",
"Ralph P Kraft",
"Marie E Machacek",
"William R Forman",
"P E J Nulsen",
"C Jones",
"S Heinz Jacobs University Bremen",
"Harvard--Smithsonian Center for Astrophysics",
"Department of Engineering Physics",
"",
"The Johns Hopkins University"
],
"corpus_id": 118495690,
"doc_id": "118495690",
"n_citations": 23,
"n_key_citations": 5,
"score": 0,
"title": "Irregular Sloshing Cold Fronts in the nearby Merging Groups NGC 7618 and UGC 12491: Evidence for Kelvin Helmholtz Instabilities",
"venue": "",
"year": 2012
},
{
"abstract": "In this paper we present results of two novel experimental methods to investigate the collisional behavior of individual macroscopic icy bodies. The experiments reported here were conducted in the microgravity environments of parabolic flights and the Bremen drop tower facility. Using a cryogenic parabolic flight setup, we were able to capture 41 near central collisions of 1.5 cm sized ice spheres at relative velocities between 6 and 22 cm s 1 The analysis of the image sequences provides a uniform distribution of coefficients of restitution with a mean value of e 0.45 and values ranging from e 0.06 to 0.84. Additionally, we designed a prototype drop tower experiment for collisions within an ensemble of up to one hundred cm sized projectiles and performed the first experiments with solid glass beads. We were able to statistically analyze the development of the kinetic energy of the entire system, which can be well explained by assuming a granular'fluid' following Haff's law with a constant coefficient of restitution of e 0.64. We could also show that the setup is suitable for studying collisions at velocities of <5 mm s 1 appropriate for collisions between particles in Saturn's dense main rings.",
"author_names": [
"Daniel Heisselmann",
"Jurgen Blum",
"Helen Jane Fraser",
"K Maltman Institut fur Theor Physik",
"Technische Universitat Braunschweig",
"",
"University of Strathclyde",
"United Kingdom"
],
"corpus_id": 15100171,
"doc_id": "15100171",
"n_citations": 49,
"n_key_citations": 13,
"score": 0,
"title": "Microgravity experiments on the collisional behavior of Saturnian ring particles",
"venue": "",
"year": 2010
},
{
"abstract": "Real time electron microscopy observation on morphological changes in gold nanostructures deposited on Si (1?0?0) surfaces as a function of annealing temperatures has been reported. Two types of interfaces with silicon substrates were used prior to gold thin film deposition: (i) without native oxide and on ultra clean reconstructed Si surfaces and (ii) with native oxide covered Si surfaces. For ?2.0?nm thick Au films deposited on reconstructed Si (1?0?0) surfaces using the molecular beam epitaxy method under ultra high vacuum conditions, aligned four fold symmetric nanogold silicide structures formed at relatively lower temperatures (compared with the one with native oxide at the interface) For this system, 82% of the nanostructures were found to be nanorectangle like structures with an average length of ?27?nm and aspect ratio of 1.13 at ?700??C. For ?5.0?nm thick Au films deposited on Si (1?0?0) surface with native oxide at the interface, the formation of a rectangular structure was observed at higher temperatures ?850??C) At these high temperatures, desorption of gold silicide followed the symmetry of the substrate. Native oxide at the interface was found to act like a barrier for the inter diffusion phenomena. Structural characterization was carried out using advanced electron microscopy methods.",
"author_names": [
"Ashutosh Rath",
"Jatis Kumar Dash",
"Raghavendra Rao Juluri",
"Andreas Rosenauer",
"P V Satyam Institute of Physics",
"Sachivalaya Marg",
"India Department of Physics",
"University of Bremen",
""
],
"corpus_id": 118403212,
"doc_id": "118403212",
"n_citations": 22,
"n_key_citations": 0,
"score": 0,
"title": "Temperature dependent electron microscopy study of Au thin films on Si (1 0 0) with and without a native oxide layer as barrier at the interface",
"venue": "",
"year": 2011
},
{
"abstract": "Solar UV variability is extremely relevant for the stratospheric ozone. It has an impact on Earth's atmospheric structure and dynamics through radiative heating and ozone photochemistry. Our goal is to study the slope of the solar UV spectrum in two UV bands important to the stratospheric ozone production. In order to investigate the solar spectral variability, we use data from SOLSTICE (the Solar Stellar Irradiance Comparison Experiment) on board the Solar Radiation and Climate Experiment (SORCE) satellite. Datasets used are far UV (115 180 nm) and middle UV (180 310 nm) as well as the Mg II index (the Bremen composite) We introduce the SOLSTICE [FUV MUV] colour to study the solar spectral characteristics, as well as to analyse the colour versus Mg II index. To isolate the 11 year scale variation, we used Empirical Mode Decomposition (EMD) on the datasets. The [FUV MUV] colour strongly correlates with the Mg II index. The [FUV MUV] colour shows a time dependent behaviour when plotted versus the Mg II index. To explain this dependence we hypothesize an efficiency reduction of SOLSTICE FUV irradiance using an exponential ageing law.",
"author_names": [
"Milan Lovric",
"Federico Tosone",
"Ermanno Pietropaolo",
"Dario Del Moro",
"Luca Giovannelli",
"Chiara Cagnazzo",
"Finland Department of Physics",
"Univ Roma Tor Vergata",
"",
"Department of Engineering Physics",
"University of L'Aquila",
"Institute for Nuclear Research of the Russian Academy of Sciences"
],
"corpus_id": 55409147,
"doc_id": "55409147",
"n_citations": 7,
"n_key_citations": 1,
"score": 0,
"title": "The dependence of the [FUV MUV] colour on solar cycle",
"venue": "",
"year": 2016
},
{
"abstract": "We report on the formation of oriented gold nanostructures on Si(100) substrate by annealing procedures in low vacuum (10 2 mbar) and at high temperature (975 degC) Various thicknesses of gold films have been deposited with SiOx (using high vacuum thermal evaporation) and without SiOx (using molecular beam epitaxy) at the interface on Si(100) Electron microscopy measurements were performed to determine the morphology, orientation of the structures and the nature of oxide layer. Interfacial oxide layer, low vacuum and high temperature annealing conditions are found to be necessary to grow oriented gold structures. These gold structures can be transferred by simple scratching method.",
"author_names": [
"Ashutosh Rath",
"Jatis Kumar Dash",
"Raghavendra Rao Juluri",
"Andreas Rosenauer",
"Marcos Schoewalter",
"P V Satyam Institute of Physics",
"Sachivalaya Marg",
"",
"India Department of Physics",
"University of Bremen"
],
"corpus_id": 98704881,
"doc_id": "98704881",
"n_citations": 14,
"n_key_citations": 1,
"score": 0,
"title": "Growth of oriented Au nanostructures: Role of oxide at the interface",
"venue": "",
"year": 2012
},
{
"abstract": "Institut fu\"r Theoretische Physik, Universita\"t Bremen Otto Hahn Allee 1, D 28359 Bremen, GermanyReceived December, 27th 2006/ accepted ?ABSTRACTContext.We study the planet populations in the discovery window of the CoRoT space telescope scheduled for launch on December27th. We base the prediction on 'first principles' calculati ons of planet formation in the framework of the planetesimal hypothesis.Aims.To provide a priori planetary initial mass functions for confrontation with the CoRoT planet discoveries in the entire range ofsensitivity of the CoRoT instrument, i.e. for all giant planets and down to terrestrial planet masses.Methods. We construct a comprehensive set of static complete equilibrium core envelope protoplanets with detailed equationsofstate and opacity and radiative transfer by convection and radiation. Protoplanets are calculated for host star masses of 0.8 to 2 solarmasses and orbital periods of 1 to 16 days. We subsequently check the stability of the planetary population by a series of methods.Results.We find the static planetary populations to be stable and thus a plausible ensemble to predict the planetary IMF for orbitalperiods in the specified range.Conclusions.We predict bimodal planetary initial mass functions with shapes depending on orbital period. The two main maximaare around a Jupiter mass and about 50 earth masses. We predict an abundant population of Hot Neptunes and a large population ofplanets that fill the solar system gap of planetary masses between Neptune and Saturn.Key words. planets:formation exoplanets planets: mass solar syst em:formation initial mass function",
"author_names": [
"Gunther Wuchterl",
"Christopher Broeg",
"S W Krause",
"Bojan Pecnik",
"Jochen Eisloeffel Thueringer Landessternwarte Tautenburg",
"",
"Department of Engineering Physics",
"University of Split",
"Institut fuer Theoretische Physik",
"Bremen University"
],
"corpus_id": 8970366,
"doc_id": "8970366",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Properties of the short period CoRoT planet population I: Theoretical planetary mass spectra for a population of stars of 0.8 to 2 solar masses and orbital periods of less then 20 days.",
"venue": "",
"year": 2008
},
{
"abstract": "We report on the phase separation in Au Ge system leading to the formation of lobe lobe (bi lobed) Au Ge nanostructures under ultra high vacuum (UHV) conditions (3 x 10 10 mbar) on clean Si(100) surfaces. For this study, 2.0 nm thick Au samples were grown on the substrate surface by molecular beam epitaxy. Thermal annealing was carried out inside the UHV chamber at temperature 500 degC and following this, nearly square shaped AuxSi1 x nano structures of average length 48 nm were formed. A 2 nm Ge film was further deposited on the above surface while the substrate was kept at a temperature of 500 degC. Well ordered Au Ge nanostructures where Au and Ge residing side by side (lobe lobe structures) were formed. In our systematic studies, we show that, gold silicide nanoalloy formation at the substrate (Si) surface is necessary for forming phase separated Au Ge bilobed nanostructures. These results show that the Au Ge bonding is unstable in nature. Electron microscopy (TEM, STEM EDS, SEM) studies were carrie.",
"author_names": [
"Ashutosh Rath",
"Jatis Kumar Dash",
"Raghavendra Rao Juluri",
"Marco Schowalter",
"K N Mueller",
"Andreas Rosenauer",
"P V Satyam Institute of Physics",
"Sachivalaya Marg",
"India Department of Physics",
"University of Bremen",
""
],
"corpus_id": 73653673,
"doc_id": "73653673",
"n_citations": 6,
"n_key_citations": 0,
"score": 0,
"title": "Nano scale phase separation in Au Ge system on ultra clean Si(100) surfaces",
"venue": "",
"year": 2012
},
{
"abstract": "Two questions on the topology of compact energy surfaces of natural two degrees of freedom Hamiltonian systems in a magnetic field are discussed. We show that the topology of this 3 manifold (if it is not a unit tangent bundle) is uniquely determined by the Euler characteristic of the accessible region in configuration space. In this class of 3 manifolds for most cases there does not",
"author_names": [
"Alexey Bolsinov",
"Holger R Dullin",
"Andrea E Schweitzer Ohio State University",
"University of Bremen",
""
],
"corpus_id": 15778505,
"doc_id": "15778505",
"n_citations": 18,
"n_key_citations": 1,
"score": 0,
"title": "Topology of energy surfaces and existence of transversal Poincare sections",
"venue": "",
"year": 1996
}
] |
i. High Efficiency RF and Microwave Solid Sate Power Amplifiers | [
{
"abstract": "Preface. About the Authors. Acknowledgments. 1 Power Amplifier Fundamentals. 1.1 Introduction. 1.2 Definition of Power Amplifier Parameters. 1.3 Distortion Parameters. 1.4 Power Match Condition. 1.5 Class of Operation. 1.6 Overview of Semiconductors for PAs. 1.7 Devices for PA. 1.8 Appendix: Demonstration of Useful Relationships. 1.9 References. 2 Power Amplifier Design. 2.1 Introduction. 2.2 Design Flow. 2.3 Simplified Approaches. 2.4 The Tuned Load Amplifier. 2.5 Sample Design of a Tuned Load PA. 2.6 References. 3 Nonlinear Analysis for Power Amplifiers. 3.1 Introduction. 3.2 Linear vs. Nonlinear Circuits. 3.3 Time Domain Integration. 3.4 Example. 3.5 Solution by Series Expansion. 3.6 The Volterra Series. 3.7 The Fourier Series. 3.8 The Harmonic Balance. 3.9 Envelope Analysis. 3.10 Spectral Balance. 3.11 Large Signal Stability Issue. 3.12 References. 4 Load Pull. 4.1 Introduction. 4.2 Passive Source/Load Pull Measurement Systems. 4.3 Active Source/Load Pull Measurement Systems. 4.4 Measurement Test sets. 4.5 Advanced Load Pull Measurements. 4.6 Source/Load Pull Characterization. 4.7 Determination of Optimum Load Condition. 4.8 Appendix: Construction of Simplified Load Pull Contours through Linear Simulations. 4.9 References. 5 High Efficiency PA Design Theory. 5.1 Introduction. 5.2 Power Balance in a PA. 5.3 Ideal Approaches. 5.4 High Frequency Harmonic Tuning Approaches. 5.5 High Frequency Third Harmonic Tuned (Class F) 5.6 High Frequency Second Harmonic Tuned. 5.7 High Frequency Second and Third Harmonic Tuned. 5.8 Design by Harmonic Tuning. 5.9 Final Remarks. 5.10 References. 6 Switched Amplifiers. 6.1 Introduction. 6.2 The Ideal Class E Amplifier. 6.3 Class E Behavioural Analysis. 6.4 Low Frequency Class E Amplifier Design. 6.5 Class E Amplifier Design with 50# Duty cycle. 6.6 Examples of High Frequency Class E Amplifiers. 6.7 Class E vs. Harmonic Tuned. 6.8 Class E Final Remarks. 6.9 Appendix: Demonstration of Useful Relationships. 6.10 References. 7 High Frequency Class F Power Amplifiers. 7.1 Introduction. 7.2 Class F Description Based on Voltage Wave shaping. 7.3 High Frequency Class F Amplifiers. 7.4 Bias Level Selection. 7.5 Class F Output Matching Network Design. 7.6 Class F Design Examples. 7.7 References. 8 High Frequency Harmonic Tuned Power Amplifiers. 8.1 Introduction. 8.2 Theory of Harmonic Tuned PA Design. 8.3 Input Device Nonlinear Phenomena: Theoretical Analysis. 8.4 Input Device Nonlinear Phenomena: Experimental Results. 8.5 Output Device Nonlinear Phenomena. 8.6 Design of a Second HT Power Amplifier. 8.7 Design of a Second and Third HT Power Amplifier. 8.8 Example of 2nd HT GaN PA. 8.9 Final Remarks. 8.10 References. 9 High Linearity in Efficient Power Amplifiers. 9.1 Introduction. 9.2 Systems Classification. 9.3 Linearity Issue. 9.4 Bias Point Influence on IMD. 9.5 Harmonic Loading Effects on IMD. 9.6 Appendix: Volterra Analysis Example. 9.7 References. 10 Power Combining. 10.1 Introduction. 10.2 Device Scaling Properties. 10.3 Power Budget. 10.4 Power Combiner Classification. 10.5 The T junction Power Divider. 10.6 Wilkinson Combiner. 10.7 The Quadrature (90 Hybrid. 10.8 The 180 Hybrid (Ring Coupler or Rat race) 10.9 Bus bar Combiner. 10.10 Other Planar Combiners. 10.11 Corporate Combiners. 10.12 Resonating Planar Combiners. 10.13 Graceful Degradation. 10.14 Matching Properties of Combined PAs. 10.15 Unbalance Issue in Hybrid Combiners. 10.16 Appendix: Basic Properties of Three port Networks. 10.17 References. 11 The Doherty Power Amplifier. 11.1 Introduction. 11.2 Doherty's Idea. 11.3 The Classical Doherty Configuration. 11.4 The 'AB C' Doherty Amplifier Analysis. 11.5 Power Splitter Sizing. 11.6 Evaluation of the Gain in a Doherty Amplifier. 11.7 Design Example. 11.8 Advanced Solutions. 11.9 References. Index.",
"author_names": [
"Paolo Colantonio",
"Franco Giannini",
"Ernesto Limiti"
],
"corpus_id": 109853195,
"doc_id": "109853195",
"n_citations": 275,
"n_key_citations": 21,
"score": 1,
"title": "High Efficiency RF and Microwave Solid State Power Amplifiers",
"venue": "",
"year": 2009
},
{
"abstract": "In the last 10 years or so wide bandgap transistors (SiC MESFETs and GaN HEMTs) have appeared on the market for high power RF/microwave transistors. They offer higher power density and higher voltage operation, which in turn are associated with much lower parasitic capacitances and much higher load line dynamic resistance, and hence wider bandwidth applications. Of the two kinds the GaN HEMTs offer higher gain performance and became dominant on the market. The much wider bandwidth matching networks though could not be designed optimally with the traditional Smith Chart and optimization techniques [1] The new requirements for broadband high power and high efficiency performance requires new more sophisticated matching networks synthesis techniques such as the real frequency technique [4] [7]",
"author_names": [
"Ivan Boshnakov",
"Anna Wood",
"Simon Taylor"
],
"corpus_id": 110797161,
"doc_id": "110797161",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "RF and microwave solid state power amplifiers design requires specialised engineering",
"venue": "",
"year": 2012
},
{
"abstract": "Energy efficient conversion of electrical grid power into radio frequency power is becoming one of the key aspects of future accelerators. A significant part of the initial investment and running costs of these machines will be determined by the cost and efficiency of their RF systems. For largescale accelerators, which are proposed for instance by the International Linear Collider Study or by the Future Circular Collider Study, RF efficiency will likely be a determining factor in the approval process. Efforts are already in place to stretch the efficiency of existing RF sources to higher levels. Well known technologies such as klystrons are being reinvented with modern day beam physics, inductive output tubes are being combined for higher power output, and modular solid state amplifiers are becoming more popular as RF sources for accelerators. This talk will give an overview of recent advances and trends in RF source developments. It will discuss future needs and the strategy towards higher efficiency devices for the benefit of the accelerator community. THE NEED FOR HIGHER EFFICIENCY RF SOURCES The electrical power consumption of future accelerators will be driven to a large part by their RF systems. This is particularly true for electron colliders, circular (e.g. FCC) or linear (e.g. ILC or CLIC) which need RF systems in the order of 100 MW or above (see Table 1, [1 6] Other examples with significant RF power consumption are high power hadron linacs (e.g. ESS) and cyclotrons (PSI) for neutron science or Accelerator Driven Systems. In the case of hadron colliders, magnet systems dominate electrical power consumption while RF systems only use a few percent. At CERN, where most accelerators are circular, only 6% of the annual consumption 1.1 TWh in 2017) are caused by the RF systems. For a 3 TeV CLIC machine, around 50% of the facility power consumption is due to the RF system. With an estimated yearly total of 2.74 TWh [4] and a European average price for non household consumption of 0.1e/kWh in 2017, this translates into 187 Me of electricity costs/year for the RF system alone. Therefore every percentage point in RF efficiency has a significant impact on the running cost. For Accelerator Driven Systems (ADS) the power to run the accelerator complex (including cooling, ventilation, cryogenics, offices, etc. must be small (5 10% compared to the power, which is produced in the ADS core. To achieve these values the accelerator complex must have a total efficiency between 0.2 eacc 0.4 [7] which presents a challenge for today's accelerators. If not achieved, the idea [email protected] Table 1: RF Power for Various Future Accelerators Project Ptotal [MW] PRF [MW] Pbeam [MW] PSI* 10 4 1.3 LEP2+ 120 42 19 FCC ee+ tbd 165 100 ESS* 35 15 5 CLIC 500* 272 109 9.8 ILC 500* 164 68 9.4 CLIC 3000* 582 289 28 total power is for the whole facility with experiments, +without injector of using ADS for energy production is unlikely to become economically viable [8] TODAY'S TECHNOLOGIES NEW DEVELOPMENTS In the following we will review today's state of the art of high power RF sources (see Table 2) and point to developments, which may change their efficiencies or power reach in the near future. As pulsed and Continuous Wave (CW) operation pose different challenges to the RF sources both modes of operation will be treated. Modulator Efficiency Most high power RF sources need a High voltage (HV) modulator, which transforms the voltage of the electrical grid to the HV and pulse pattern needed by the RF source. For CW operation in general but also for pulsed operation of gridded tubes (tetrodes, IOTs) the modulator is basically a HV power supply. Pulsed power for gridded tubes is obtained by simply pulsing the grid using the input RF signal, whereas HV pulses for the operation of non gridded tubes (klystrons, magnetrons) have to be formed by the modulator itself. Various topologies have been developed in recent years, which are adapted to different pulse lengths and voltage needs [9] i) Traditional pulse transformer based modulators with efficiencies between 85% and 90% and rise times in the range of a few hundred microseconds. ii) High frequency transformer based modulators such as the resonant polyphase design employed at SNS [10] or the stacked multi level (SML) design developed and used by the European Spallation Source (ESS) with efficiencies up to 92% and with a very short rise time in the range of 100 ms. iii) Transformerless modulators such as the Marx generator [11] which have the potential for even higher efficiency, or direct switch designs. 9th International Particle Accelerator Conference IPAC2018, Vancouver, BC, Canada JACoW Publishing ISBN: 978 3 95450 184 7 doi:10.18429/JACoW IPAC2018 MOYGB1",
"author_names": [
"Frank Gerigk"
],
"corpus_id": 116382352,
"doc_id": "116382352",
"n_citations": 5,
"n_key_citations": 0,
"score": 0,
"title": "Status and Future Strategy for Advanced High Power Microwave Sources for Accelerators",
"venue": "",
"year": 2018
},
{
"abstract": "RF simulations of two different methods of implementing power combining of eight, 1kW, 500 MHz solid state power amplifiers are presented. The first using eight circulator plus isolation loads feeding an 8 way impedance combiner. The second method utilizes an eight input Gysel type power combiner. The overall electrical performance, response to the loss of one or two amplifiers, and estimated costs of each method is also compared to illustrate the issues involved. Introduction The steady progress of Solid State Power Amplifier technology has made the prospect of replacing high power tube transmitters more realizable in the 100 2000 MHz frequency bands. The method used to combine the outputs of those SSPA's has become more important since there is a push to achieve high efficiency and electrical performance while still protecting the output stages of the amplifiers. Ferrite circulators, feeding n port impedance power splitter/combiners, are the tried and true method of protection from high power reflections. However, at these output levels, there are significant impacts to both the insertion loss and power dissipation of using circulators. Using a corporate feed/combiner network of isolated 4 port devices is also an established method to combine these power levels. However, with the number SSPA's required to generate the 10 60kW final output range to compete with tube transmitters, this scheme becomes cumbersome and space inefficient. In addition, the isolation load power requirements get more demanding, and expensive, as the signal gets closer to the final output. The SSPA output power also makes the N port Wilkinson type combiners not usable due to the roughly 100W power dissipation limit on the isolation resistors. The N port Gysel type combiner offers an attractive alternative for combining multiple high power inputs. The configuration can be implemented in a space saving configuration, often in a 19\" rack mountable package, and uses isolation loads that are on the same order as the power output of the SSPA. By using two levels of Gysel combiners, a system can easily be created to combine 50 to 80 SSPA outputs. The electrical performance can also be optimized to be very wideband (1 octave or more) for those applications that require that feature with high power. This paper compares two methods to specifically implement combining eight 1kW SSPA's at 500 MHz to illustrate some of the issues involved. The first uses eight, 1kW circulators (Type N coax inputs) feeding an 8way, coax impedance power splitter/combiner with a 3 1/8 output. The second method demonstrates an 8 input Gysel combiner also with Type N inputs and a 3 1/8 coax output. RF simulations establish the overall electrical performance of each method and the response of the two systems to a few possible scenarios of one or two of the SSPA's going dead or operating at reduced power. A comparison of the final implemented monetary cost of each system is also presented. Circulator with 8 way Splitter Method The analysis tool used to perform the RF simulations in this paper was Keysight's Genesys. The microwave circuit diagram is shown in Figure 1. You see from the diagram that the 8 way impedance power splitter is the star network of transmission lines (TL1 TL9) on the right side with the output being the 50 ohm port #10. The output quarter wave section is a 30.4 ohm section in 3 1/8 coax. The eight 86.6 ohm quarter wave sections feeding the output can be realized in any smaller sized coax that can handle the 1kW input power. This arrangement of quarter wave transformers gives a reasonably broad band splitter/combiner network by balancing the transition impedance ratio between any input and the output to the effective star point impedance. As a point of reference, if this network were viewed as and power splitter with port 10 as the input, then this port would have return loss of better than 20 dB from 465 to 535 MHz (14% BW) The center 8 symbols are the circulators with the load ports shown just below them starting with port 2 thru 9. For analysis purposes, these are ideal circulators with no insertion loss and infinite isolation. The remainder of the circuit symbols on the left hand side are ideal attenuators and phase shifters which are fed, in parallel by the ideal amplifier from port 1 with the gain set to 9.03 dB (gain of 8) This arrangement allows one to simulate 8 SSPA's feeding each circulator with 1kW of power. The attenuator and phase shifter allows individual control of the SSPA's output to simulate the effective power distribution given certain conditions. For example, as shown in Figure 1, if Attn_1 is set to 40 dB, this simulates the power loss of one of the eight SSPA's. The simulation then shows where the remaining power of the seven SSPA's is distributed. Figure 1. Schematic of 8 way Impedance Splitter/Combiner with circulators and feeding network Many different scenarios can thus be anticipated with this arrangement simply by applying different attenuations and phase shift distributions. For example, tolerances with respect to amplitude and phase balance of the SSPA's could be determined with respect to output power efficiency, or how to size the loads placed on the outputs of the circulators given the expected operating conditions or worst case scenarios. These simulations Impedance Splitter/Combiner with Circulators would be invaluable for the transmitter manufacturer to determine the operating conditions for the system of SSPA's. For the sake of comparison in this paper, 6 scenarios were simulated to illustrate the response of the combining networks. Table I gives the power output (in kW) at ports 2 thru 10 for the following conditions: 1. Nominal: All SSPA's in perfect amplitude and phase balance 2. 1 Amp 10o: One amplifier (port 2) with phase error of 10 degrees 3. 1 Amp 20o: One amplifier (port 2) with phase error of 20 degrees 4. 2 Amp 20o: Two amplifiers (ports 2 3) with phase error of 20 degrees 5. 1 Amp Dn: One amplifier (port 2) completely off, no output 6. 2 Amp Dn: Two amplifiers (ports 2 3) completely off, no output Port Nominal 1 Amp 10o 1 Amp 20o 2 Amp 20o 1 Amp Dn 2 Amp Dn #10 Main Output 8.000 7.973 7.894 7.761 6.142 4.530 #2 Refl. Power Thru Circulator .001 .023 .092 .119 .740 .543 #3 Refl. Power Thru Circulator .001 .001 .002 .119 .017 .543 #4 Refl. Power Thru Circulator .001 .001 .002 .001 .017 .064 #5 Refl. Power Thru Circulator .001 .001 .002 .001 .017 .064 #6 Refl. Power Thru Circulator .001 .001 .002 .001 .017 .064 #7 Refl. Power Thru Circulator .001 .001 .002 .001 .017 .064 #8 Refl. Power Thru Circulator .001 .001 .002 .001 .017 .064 #9 Refl. Power Thru Circulator .001 .001 .002 .001 .017 .064 Table I: Distribution of SSPA power (in kW) under various conditions for Circulator Splitter/Combiner Network One of the first observations to note is that phase imbalances of less than 10o do not cause much degradation in the output power in terms of percent power loss. The 10o phase imbalance of one SSPA causes less than 0.3% power reduction at the output. The majority of miss directed power comes back at the SSPA that is out of balance which is shunted by the circulator to its associated load (note that this will be a common phenomena of these combining networks) Even a 20o imbalance of one or two SSPAs cause a power reduction of 1.3% and 2.9% respectively. More simulations could be run to determine an RMS phase error that is required to achieve a certain efficiency goal, and thus, the requirements of the \"drive\" phase compensation network on the input of the SSPAs. The impact of having a SSPA lose power all together is much more dramatic, however. Note, the power from the seven remaining amplifiers will not all go towards the output. In fact, only 87.7% of the remaining 7kW will be seen at the output. The remainder is lost in the circulator loads, and most of that power is directed towards the SSPA that is down. Thus, if the intention is to still operate the system with one SSPA lost, then the designer must size the loads on the circulator to handle at least 75% of the SSPA output. The situation is even worse when two SSPAs are down: 75.5% of the 6kW power to the output, the rest to the circulator loads. Of course, the situation is different, if instead of an SSPA being total lost, one or two amplifiers run at reduced power. This situation was not presented but can be inferred from the data. For example, if one amplifier is running at 750W compared to the 1kW for the other seven, then 87.7% of that difference (250W) would go to the circulator load for that SSPA in the form of reflected power (i.e. 0.877*250W=219W) Thus, the total output would then be 7531W, or a 2.8% reduction of the total available power. With any of the above scenarios, the combiner system would still allow the SSPA system to operate at predictable reduced power with the circulators protecting all individual SSPAs from high power reflections. Note, this continued operation necessitates being able to dissipate the additional heat generated from the loads. One must also take note, that even with all the SSPAs operating at identical power and perfect phase balance there is still the practical insertion loss of the circulators which for these power levels are of the order of 0.2 to 0.5 dB which corresponds to 5 to 10% power lost which is the major drawback of this scheme of combining. 8 Input Gysel Combiner The Gysel circuit diagram is shown in Figure 2. The star network on the output side is essentially the same as an impedance splitter/combiner. The important difference is the additional star network to the left comprised of transmission lines TL3, TL7 13 and a set of intermediate quarter wave lines, TL2, TL6, TL14 19. It is this additional network of transmission lines that makes the input to the Gysel combiner matched independent of the condition of the other inputs. Much like how a 4 port passive device can have",
"author_names": [
"P S Matthews"
],
"corpus_id": 211107630,
"doc_id": "211107630",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Comparison of Combining Schemes for 1 2kW Solid State Power Amplifiers in the 0.1 2 GHz Frequency Band",
"venue": "",
"year": 2015
},
{
"abstract": "Power amplification at microwave frequency and above is one of strategic targets under investigation of vacuum microelectronic devices, because it has possibilities to generate more output power and higher frequency than solid state devices. Most of this work has focussed on a triode structure commonly based on a field emission array (FEA)l) FEA is sufficiently nonlinear in I V characteristics associated with Fowler Nordheim equation, which provides highly efficient operation, as expected from B and C class amplifiers. This is an additional advantage in EA based devices. FEA driven by RF power emits a pulsed electron beam with narrow width repeated at the RF signal. When the beam is sufficiently accelerated and coupled with a RF circuit, one can create a high power and high efficiency amplifier having a simple structure without the velocity modulation required in a multicavity klystron. Additionally, highly efficient harmonic amplification up to a higher order becomes possible by coupling with a harmonic RF circuit2) The paper describes the expected operation for the tubes. Figure 1 shows a schematic drawing of the microwave amplifier or frequency multiplier using the FEA. Figure 2 shows a phase distribution of emitted electron current density calculated for a RF FEA with tip density of 1.6x107A/cm2 by using the WKB approximation under a suitable tip shape. The emitted electrons are well bunched within a narrow range of RF phase, as shown in Fig. 2. Table 1 shows the peak efficiencies and output powers for the fundamental and higher harmonic operations. Here, the cathode diameter of lmm and an accelerating voltage of 30kV are assumed. Extraordinarily high efficiencies of 97% 90% 78% and 50% are expected at the fundamental, second, third and even fifth harmonics, respectively, and several tenth kW of output power are extracted from the simple and light weight tube. Operation frequency is commonly limited by the cut off frequency governed by transconductance and the parasitic capacitance of the FEA. However, there are many method to overcome the frequency limitation. For examples, since FEA is installed in a reentrant cavity at high frequency operation, a field emission may be extracted by RF field added on DC bias or basically no extraction electrode may be required for RF FEA operation. Furthermore, distributed interaction structure and Bragg resonator structure with or without gate electrode may be considered at millimeter waves and optical waves3)",
"author_names": [
"Kuniyoshi Yokoo",
"Hidetaka Shimawaki",
"Shoichi Ono"
],
"corpus_id": 108596906,
"doc_id": "108596906",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "Proposal Of A High Efficiency Microwave Power Source Using A Field Emission Array",
"venue": "[Proceedings] IVMC '93 Sixth International Vacuum Microelectronics Conference",
"year": 1993
},
{
"abstract": "This paper describes the theory and development of a high power solid state amplifier technology for RF and microwave frequencies. The amplifier, which contains a large number of transistors, utilizes a pair of resonant cavities that efficiently function as input distributor and output combiner. Moreover, the modal structure and the high intrinsic Q of the cavities provide the required high transformer ratio for i/o matching and low insertion loss. The physical cavities can serve as heat sink, and also provide dc grounding and RF shielding. Experimental results show, among other desirable features, a 3dB bandwidth of 10% and a combining efficiency in the high 90% range. The structural design lends itself to ease of manufacture.",
"author_names": [
"Bernard R-S Cheo",
"Douglas A Davids",
"Li-hsiang S Cheo",
"M K Ke"
],
"corpus_id": 11211319,
"doc_id": "11211319",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "A Cavity Based High Power Solid State RF/Microwave Amplifier",
"venue": "2006 IEEE Sarnoff Symposium",
"year": 2006
},
{
"abstract": "Can Kao Wen Xian Koch, M.J. Fisher, R.E.\"A high efficiency 835 MHz linear power amplifier for digital cellular telephony\" Vehicular Technology Conference, 1989 IEEE 39th, 1 3, vol.1, May 1989, Pages: 17 18 Staudinger, J. Gilsdorf, B. Newman, D. Norris, G. Sadowniczak, G. Sherman, R. Quach, T.\"High efficiency CDMA RF power amplifier using dynamic envelope tracking technique\" Microwave Symposium Digest, 2000 IEEE MTT S International, Volume: 2, 11 16, June 2000, Pages: 873 876 Staudinger, J. Gilsdorf, B. Newman, D. Norris, G. Sadowniczak, G. Sherman, R. Quach, T. Wang, V. \"800 MHz power amplifier using envelope following technique\" Radio and Wireless Conference, 1999. RAWCON 99. 1999, IEEE, 1 4 Aug. 1999, Pages: 301 304 Miers, T.H. Hirsch, V.A.\"A thorough investigation of dynamic bias on linear GaAs FET power amplifier performance\" Microwave Symposium Digest, 1992, IEEE MTT S International, 1 5, vol.2, June 1992, Pages: 537 540 Noh, Y.S. Park, C.S. \"An intelligent power amplifier MMIC using a new adaptive bias control circuit for W CDMA applications\" Solid State Circuits IEEE Journal of, Volume: 39 Issue: 6 June 2004, Pages: 967 970 Masaya Iwamoto; Pin Fan Chen; Andre G Metzger; Lawrence E Larson; Peter M Asbeck; \"An Extended Doherty Amplifier With High Efficiency Over a Wide Power Range\" IEEE Transactions on Microwave Theory and Techniques, Volume: 49, Issue: 42, December 2001, Pages: 2472 2479 Jeonghyeon Cha; Youngoo Yang; Bumjae Shin; Bumman Kim; \"An adaptive bias controlled power amplifier with a load modulated combining scheme for high efficiency and linearity\" Microwave Symposium Digest, 2003 IEEE MTT S International, Volume: 1, 8 13 June 2003, Pages: 81 84 Gerald K. Wong; Stephen Long; \"An 800 MHz HBT Class E Amplifier With 74% PAE at 3.0 Volts for GMSK\" Microwave Symposium Digest, 1999 IEEE MTT S International, Pages: 299 302 S. Zhang; J. Cao, R. Mcmorrow; \"E PHEMT, Single, High Efficienct Power amplifiers for GSM and DCS Application\" Microwave Symposium Digest, 2002 IEEE MTT S International, Pages: 927 930 B. Nelson; S. Cripps, J. S. Kenney; F. Podell \"A High Efficiency Single Supply RFIC PHS Linear Amplifier with Low Adjacent Channel Power Leakage\" Microwave Symposium Digest, 1996 IEEE MTT S International, Pages: 49 52 T. Yoshimasu, N. Tanba, S. Hara \"High Efficiency HBT MMIC Linear Power Amplifier for L Band Personal\" IEEE Microwave and Guided Wave Letters, V4, No3, March 1994, pp 65 67 N. Schlumpf, M. declercq, C. Dehollain \"A Fast Modulator for Dynamic Supply Linear RF Power Amplifier\" IEEE Journal of Solid State Circuits, V39, No7, July 2004, pp 1015 1025 T. Yoshimasu, N. Tanba, S. Hara \"An HBT MMIC Linear Power Amplifier for 1.9 GHz Personal Communications\" Microwave and Millimeter Wave Monolithic Circuits Symposium, 1994, Pages: 59 62 Ian Hickman,Yi Xu Rui Ming \"RFShe Pin Ji Shu Shi Wu \",Qi Biao Chu Ban Gu Fen You Xian Gong Si ,2004Nian 7Yue Huang Zhi Wei \"She Pin Gong Lu Fang Da Qi Dian Lu She Ji \" Xi An Dian Zi Ke Ji Da Xue Chu Ban She ,2009Nian You Jin Hu \"Dian Jing Ti Yu ICDian Lu \",Wen Sheng Shu Ju ,1981Nian 11Yue Li Wei Nong GaAs pHEMTShe Pin Qie Huan Kai Guan De Te Xing Fen Xi ,Yi Shou Da Xue Shuo Shi Lun Wen Miyatbuji, K. Ueda, D.\"A GaAs High Power RF Single Pole Dual Throw Switch IC for Digital Mobile Communication System,\"IEEE Jounal of Solid Circuits .Vol 30.NO 9.September 1995 Robert Anholt, \" Electrical and Thermal Characterization of MESFETs, HEMTs, and HBTs\" R.Dingle, H. L. Stormer, A. c. Gossard and W. Wiegmann:\"Electron mobility in modulation doped semiconductor heterojunction superlattices,\"App1. Phys. Lett. vol.33, p.665, 1978 S.M. Sze,\"High Speed Semiconductor Devices\",John Wiley,1990 William Clausen, \"Small and Large Signal Modeling of MM Wave MHEMT Devices\",A thesis submitted to University of South Florida,2003. Zhang Zhe Jia \"Jia Jing Gao Dian Zi Qian Yi Lu Dian Jing Ti Zhi Deng Xiao Dian Lu Mo Xing \"Guo Li Yun Lin Ke Ji Da Xue ,2007Nian Cao Heng Wei Lin Hao Xiong Guo Jian Hong Chen Jian Zhong \"Wei Dian Zi Dian Lu (Shang \",Tai Bei Tu Shu You Xian Gong Si ,2004Nian 9Yue J. Micheal Golio \"microwave MESFETs HEMTs\" Artech House, Inc. 1991. Huang Guan Kai \"Shen Hua Lu Jia /Shen Hua Yin Jia /Shen Hua Jia Jia Xing Gao Dian Zi Qian Yi Lu Dian Jing Ti Mo Xing Can Shu Cui Qu Yu Jian Li \",Guo Li Cheng Gong Da Xue ,2006Nian Gu, Z. Johnson, D. Belletete, S. Frykund, D. Skyworks Solutions, Inc. \"A 2.3 V PHEMT power SP3T antenna switch IC for GSM handsets\" Gallium Arsenide Integrated Circuit (GaAs IC) Symposium, 2003. 25th Annual Technical Digest 2003. IEEE. Sanusi, R. Ismail, M.A. Norhapizin, K. Rahim, A. Marzuki, A. Yahya, \"15 GHz SPDT Switch Design using 0.15 _m GaAs Technology for Microwave Applications\",2008 International Conference on Electronic Design. Kuang Zhong Yuan \"Yi Zhi Jie Mian Shuang Ji Xing Dian Jing Ti Da Xun Hao Mo Xing Jian Li Yu She Pin Gong Lu Fang Da Qi Dian Lu She Ji Ji Feng Zhuang \",Guo Li Zhong Yang Da Xue ,2004 Chen Jian Xun Ying Yong Yu Shou Ji Gong Lu Fang Da Qi Zhi Kong Zhi Qi She Ji ,Yi Shou Da Xue Shuo Shi Lun Wen Behzad Razavi,Design of analog CMOS Integrated Circuit,McGraw Hill,2002 Phillip E. Allen,Douglas R. Holberg,Cmos Analog Circuit Design,Oxford,2002 Adel S. Sedra,Kenneth C. Smith Microelectronic Circuits 5th, Oxford,2004 Donald A. Neamen, Microelectronic: Circuit Analysis And Design 3th, McGraw Hill E. Sa ckinger and W. Guggenbu hl, \"A versatile building block: The CMOS differential difference amplifier,\" IEEE J. Solid State Circuit. vol. SC 22, pp. 287 294, Apr. 1987 Bob Wolbert, \"Designing With Low Dropout Voltage Regulators,\" Micrel Semiconductor, December 1998 Charles H. Roth,Jr. Fundamentals of Logic Design 5th,Thomson Brooks/Cole,2004 David K. Cheng, Field and Wave Electromagnetics,Addison Wesley,1989 Xiao Pei Yong Wu Meng Xian \"HSpice Ji Ti Dian Lu She Ji Fen Xi Yu Mo Ni Dao Lun \",Tai Wan Dong Hua Shu Ju Gu Fen You Xian Gong Si ,2007Nian 6Yue Chenyuan Zhao,JunKai Hua, \"A new high performance bandgap reference\",ICECC,pp.64 66,Sept.2011 D. Hilbiber,\"A New Semiconductor Voltage Standard\",ISSCC Dig.of Tech.Papers,pp.32 33,Feb.1964 Edward K.F.Lee,\"Low Voltage CMOS Bandgap Reference with Temperrature Compensated Reference Current Output\",ISCAS,pp.1643 1646,May 30 2010 june 2 2010 Tom Kugelstadt,\"fundamental Theory of PMOS LOW Dropout Voltage Regulato\",Application Reports,Texas Instruments Inc.,literature number SLVA068,April 1999 Bang S. Lee,\"Technical Review of Low Dropout Voltage Regulato Operration and Perfomance\",Application Reports,TexasInstruments Inc.,literature number SLVA072, Aug. 1999 Bang S. Lee,\"Understanding the Terms and definitions of LDO Voltage Regulators\"Application Reports.Texas Instruments Inc.,literature number SLVA079,Oct 1999 Xu Yan Xun \"Di Gong Zuo Dian Liu Lei Bi Ji Ti Dian Lu \",Guo Li Jiao Tong Da Xue ,2002Nian 6Yue Li Min Qing Wang Qing Song Li Ming Da Ceng Shi Hong Lin Zhang Wei Lin Zhong You ,Di Ya Jiang Xian Xing Wen Ya Qi Fen Xi Yu She Ji ,Ya Dong Xue Bao ,Di 27Qi ,Ye 77~86,2007Nian 6Yue Seyed R. Zarababi,Frode Larsen,and Mohammed Ismail,\"A Reconfigurable Op Amp/DDA CMOS Amplifier Architecture\",IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: ANALOG AND DIGITAL SIGNAL PROCESSING,vol.39,6,June 1992 Shu Chuan Huang,Mohammed Ismail,Seyed R.Zarabad,\"A Wide Range Differential Difference Amplifier: A Basic Block for Analog Signal Processing in MOS Technology\",IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II I: ANALOG AND DIGITAL SIGNAL PROCESSING,vol.40,no.5,May 1993 Zdzislaw Czarnul, Tetsuya Iida, Kazuhiro Tsuji and Naoyuki Hamanishi,\"A Highly Linear Low voltage and Multiple Weighted Input Differential Difference Amplifier Design\",Solid State Circuits Conference,ESSCIRC'95,pp.318 321,1995 Soliman A. Mahmoud and Ahmed M. Soliman,\"A New CMOS Realization of The Differential Difference Amplifier and Its Application to A MOS C Oscillator\",INT. J. ELECTRONICS,vol.83,no.4,455 465,1997",
"author_names": [
"",
"Bin-yi Chen"
],
"corpus_id": 106415010,
"doc_id": "106415010",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "GSM/DCS Si Pin Shou Ji Gong Lu Fang Da Qi Mo Zu Dian Lu Te Xing Yan Jiu",
"venue": "",
"year": 2013
},
{
"abstract": "The multiple beam, Ku band active phased array antennas currently being developed for communications satellites require broadband, reproducible, and power efficient solid state power amplifiers (SSPAs) Since these amplifiers will operate in a multiearricr environment, they must be designed to meet a stringent linearity specification while functioning at high efficiency. The design and development of a fully monolithic 1 W SSPA, and the production of SSPA modules for a 64 clement phased array antenna application, are described. A summary of phased array antenna systems considerations is included. Monolithic microwave integrated circuit (MMIC) design approaches and the thermal analysis of the SSPA module are also reviewed. The measured RF performance of the SSPA shows 22.5 dB small signal gain, output power of +30 dBm, and two tone third order intermodulation, C/I3, greater than 16 dB, The power added efficiency of the overall 1 W amplifier is greater than 25 percent, with a power chip efficiency greater than 28 percent. The measured RF performance of 80 SSPA modules is summarized in the form of histograms. The excellent performance uniformity obtained over all modules is the result of processinsensitive MMIC designs, chip selection procedures using on wafer DC and RF probe data, and a repeatable module assembly approach. Substantial portions of this paper appeared in the Proceedings of the AIAA 15th International Communications Satellite Systems Conference, San Diego, California, February /March 1994, A Collection of Technical Papers, Pt I. pp. 316 322. AIAA Paper No. 94 0952 CP.",
"author_names": [
"Ramon A Khalona",
"G C Estee"
],
"corpus_id": 48402771,
"doc_id": "48402771",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Performance of M ary FSK modulation in a shadowed land mobile satellite communications channel",
"venue": "",
"year": 2006
},
{
"abstract": "The VIL410 HeatwaveTM Inductive Output Tube (IOT) amplifier system has been developed to meet the requirements of superconducting RF accelerators. Two VIL410 systems were completed and delivered in April 2014. The VKL9130A1 IOT in the VIL410 provides up to 30 kW RF output power over a 5 MHz bandwidth centered at 1.30 GHz. It operates both CW and pulsed. The VIL410 amplifier has been designed to achieve very tight amplitude and phase control. The amplitude and phase ripple are specified to be less than 0.1% rms and better than 0.2deg rms, respectively. The stability of the output power is specified to be better than 0.2% over a 20 second period. In normal system operation, smooth control of the output power is accomplished via input from the low level RF system. The VIL410 uses CPI's VSL3616 Solid State Power Amplifier (SSPA) to drive the IOT. The VSL3616 is a 700 watt CW SSPA that operates at 250 watts CW in the VIL410. The VIL410 has an embedded processor that controls all internal functions of the amplifier system and interfaces directly to EPICS. The VIL410 can be operated locally using a LabViewTM PC Host program or remotely by EPICS. Figure 1: VIL410 IOT amplifier. RF REQUIREMENTS In order to operate into super conducting cavities, the VIL410 (Figure 1) must be protected by a four port waveguide circulator. The circulator protects the amplifier from high reflected RF power. The circulator is equipped with water loads that can absorb the full output power, allowing the amplifier to operate into an RF short of any phase without damage or fault shutdown. The circulator, manufactured by Ferrite Microwaves Technologies, LLC, was provided as part of the VIL410 system. The VIL410 meets the specifications listed in Table 1. Table 1: Specifications Parameter Specification Frequency 1300 MHz 3 dB Bandwidth 5 MHz Gain 72 dB Output Power 25 kW Input Power 1 mW Phase Variation 8deg Gain Variation 2 dB Amplitude Ripple 0.1 RMS Phase Ripple 0.2deg RMS Power Stability 0.2 in 20 sec Interval RF CHAIN The RF chain consists of an SSPA (VSL3616) driving an IOT (VKL9130A1) and then finally a four port WR650 differential phase shift circulator. The SSPA provides 250 W of drive power to the IOT with 62 dB gain typical input drive is 9 dBm. The IOT gain at 25 kW output power is 22 dB. The insertion loss of the circulator is 0.4 dB at full power. The overall system gain is 83.6 dB. VSL3616 SSPA The SSPA consists of a three stage driver amplifier assembly driving a two way in phase power splitter. The output from the splitter drives a pair of two stage power sections consisting of a 40 W stage driving a pair of 200 W unmatched power transistors operating in a balanced configuration. RF from the two pair of output devices is combined using a four way Gysel power combiner that has low insertion loss and high port to port isolation. The combined output provides up to 750W of RF power into a ferrite isolator that protects the SSPA from reflected power. The output of the isolator drives a dual directional coupler with a rear panel 7/16 DIN connector. The coupled outputs are used for forward and reverse power monitoring. The entire system including the DC power supplies is mounted to a water cooled plate to draw heat from the major assemblies. The system is contained in a 19 inch rack which is 4 units high and 26 inches deep. The unit is powered by 240 Vac and requires approximately 1900 W of power at full RF output. The VSL3616 SSPA is described in detail in [1] VKL9130A1 IOT Shown in Figure 2, the CPI VKL9130A1 selected for this transmitter achieves an output power of 30 kW CW ____________________________________________ #[email protected] Proceedings of IPAC2014, Dresden, Germany WEPME023 07 Accelerator Technology Main Systems T08 RF Power Sources ISBN 978 3 95450 132 8 2305 C op yr ig ht (c) 20 14 C C B Y3. 0 an d by th e re sp ec tiv e au th or s and can be operated CW or in pulsed mode. The IOT is a hybrid vacuum electron device incorporating a powergrid triode input with an inductive, klystron type output. Its beam is RF modulated by a gridded gun that biases the beam so that the amplifier can be adjusted for differing classes of operation. An IOT based amplifier has the advantage of possessing smaller foot print, lower capital cost, and enhanced power efficiencies when compared with 30 kW solid state or klystron based amplifiers. Figure 2: VKL9130A1 IOT. RF PERFORMANCE Gain and phase pushing as a function of output power are shown in Figure 3 for the VIL410. For output power between 5 and 25 kW, the gain and phase are wellbehaved. RF output power and efficiency are shown in Figure 4 as a function of input RF power. The efficiency of the VIL410 is 40% at 25 kW. The bandwidth of the VIL410 system is determined by the IOT amplifier and measured data is shown in Figure 5. It can be seen that the system meets a 2.5 MHz requirement. RMS amplitude and phase ripple were measured in a 100 mS window using 120 kHz bandwidth. The measured amplitude ripple was 0.1% RMS, and the phase ripple was 0.05deg RMS. The bridge configuration shown in Figure 6 was used to make these measurements. RMS ripple values, both phase and amplitude, were calculated from mixer I and Q waveforms that were digitized using a 12 bit sampling oscilloscope. Power stability was measured in a 20 second window. Because our cooling water regulator might cycle during the data acquisition, the stability numbers varied between measurements but were typically about 0.13% The measured phase sensitivity to coolant water temperature is 0.58deg/degC, and the power sensitivity is 0.07dB/degC. Figure 3: Gain and phase vs. output power. Figure 4: Output power and efficiency vs. input RF power. Figure 5: Gain vs. frequency. Figure 6: Measuring phase and amplitude ripple. WEPME023 Proceedings of IPAC2014, Dresden, Germany ISBN 978 3 95450 132 8 2306 C op yr ig ht (c) 20 14 C C B Y3. 0 an d by th e re sp ec tiv e au th or s 07 Accelerator Technology Main Systems T08 RF Power Sources Pulsed Operation Although the VIL410 system was designed for CW operation, it can be operated in pulsed mode by applying a pulsed RF input signal [2] In pulsed operation the peak power is still 25 kW and any pulse width greater than 100 ms is supported. Figure 7 shows a detected RF pulse 100 ms wide (top) Because the HV and grid power supplies are already on, the RF rise and fall time are only 120 ns, when measured from 10 to 90% (bottom) Figure 7: Pulse mode detected output RF. CABINET LAYOUT The VIL410 occupies three standard rack mount cabinets. The first cabinet houses two high voltage DC power supplies and a controller that synchronizes the outputs to achieve high regulation and low ripple. Also in this bay are the embedded industrial controller and fast fault control electronics. Additionally auxiliary power supplies and three phase AC line power distribution is accomplished in this bay. The middle cabinet is the HV bay. The floating grid deck power supply, stored energy capacitor, arc protection, and current sensing circuitry are mounted here. The third cabinet is the RF bay. The VSL3616 SSPA and the VKL9130A1 IOT are mounted in this bay, together with a dual directional waveguide coupler. The WR650 waveguide circulator is located outside the amplifier cabinet. See Figure 8 for component locations. Figure 8: VIL410 cabinet layout and circulator. AC POWER AND WATER COOLING The AC prime power requirement for the VIL410 is 380 Vac, 50/60 Hz, 130 kVA, Y (3 phase plus neutral and ground) At an RF output power of 26.3kW, the AC line current is about 100 A per leg. Figure 9 shows AC prime power as a function of RF output power. The required cooling water flow is 18 GPM. Figure 9: AC line power vs. output power. CONTRIBUTORS TO RF STABILITY The greatest technical challenge was meeting the RF stability requirements. For 30 kW RF output, Table 2 shows estimated IOT phase and amplitude sensitivities for RF drive, grid voltage, and cathode voltage. Some general observations: Grid voltage exerts the greatest influence on phase and amplitude stability. Meeting the amplitude stability specification guarantees meeting the phase stability specification. RF drive from the SSPA takes the bulk of the total amplitude and phase stability budget. Because the gain of the SSPA is much higher than that of the IOT, RF stability of the SSPA dominates in the system stability budget. Table 2: IOT RF Sensitivities at 30 kW Parameter Amplitude Phase RF Drive 158 Pout/Pin 0.06deg/W Grid Voltage 300 W/V 1.7deg/W Cathode Voltage 2.1 W/V 0.5deg/kV",
"author_names": [
"Elkin Igor",
"Russ Army",
"Paul D Brown",
"Steve Locke",
"R Rizzo",
"Robert Snyder",
"G A Solomon",
"Mike Tracy",
"Todd A Treado"
],
"corpus_id": 114424194,
"doc_id": "114424194",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "VIL410, CPI's 1.3 GHz, 25 kW CW IOT Amplifier System",
"venue": "",
"year": 2014
},
{
"abstract": "1 Review of semiconductor physics and devices. Energy bands. Statistical properties of electrons and holes. Carrier transport. Carrier recombination and generation. P N junctions. Schottky barriers. Reverse break down. Phonons. References. Further reading. 2 Transferred electron devices (TED) GUNN devices. Electron transfer and negative differential mobility. High field dipole domains in GUNN devices. Modes of operation of GUNN devices. Indium phosphide transferred electron devices/ millimeter wave operation of TED's. Example: Growth rate of a high field dipole Domain the \"equal areas\" rule. Stationary domain at the anode. Problems, Chapter 2. References. Further reading. 3 IMPATT (Impact Avalanche Transit Time) devices. Operation of IMPATT devices physical discussion. Small signal theory of IMPATT device impedance. Estimate of the power conversion efficiency of IMPATT devices a simple large signal model. Doping profiles for IMPATT diodes. An analytical large signal model of IMPATT devices. Non steady state large signal models for IMPATT devices. Problems, Chapter 3. References. Additional reading. 4 Tunneling devices. Tunnel diodes. Resonant tunneling devices. Problems, Chapter 4. References. Further reading. 5 Fundamental limitations on power output from solid state microwave devices. The thermal limit. The electronic limit. Measured data for rf power. Problems, Chapter 5. References. 6 Basic properties and circuit aspects of oscillators and amplifiers based on two terminal devices. A basic oscillator model. Injection locking of oscillators. Model for FM and AM noise in oscillators. Actual noise observed in two terminal solid state devices. Electronic tuning of solid state oscillators. Examples of actual circuits and impedance diagrams for GUNN and IMPATT oscillators. Negative resistance devices used as amplifiers. Problems, Chapter 6. References. Further reading. 7 Power combining. Chip level power combining. Circuit level power combining. Spatial (quasi optical) power combining. Problems, Chapter 7. References. Further reading. 8 Review of noise processes and noise concepts relevant to microwave semiconductor devices. Thermal noise noise figure and equivalent noise temperature. Shot noise. Diffusion noise. Flicker noise, or 1/F noise. References. Further reading. 9 Diode applications to microwave frequency conversion and control. Frequency conversion devices. Semi conductor diode detectors. Schottky barrier diodes. Semi conductor diode mixers: intrinsic conversion loss. Parasitic element effects in semiconductor mixers. Noise figure/noise temperature of mixer receivers. Other types of mixers. Noise temperature versus frequency for mixers. Varactor harmonic multipliers. PIN diodes and microwave control devices. Problems, Chapter 9. References. Further reading. 10 MESFET Devices. I V characteristics of MESFETs. Small signal equivalent circuit model. Ultra fast electrons, or how ballistic can an electron be. The Fukui noise model for MESFETs. The Pucel Haus State noise model. Noise in FET oscillators. Power frequency limitations in MESFETs. Overview. Problems, Chapter 10. References. Further reading. 11 HFETs Heterojunction Field Effect Transistors. Discussion of the I V characteristics of a HFET. Transconductance and cut off frequencies for HFETs. Indium based heterostructures for HFETs. Microwave equivalent circuit for HFETs. Noise modeling of HFETs comparison with MESFETs. Review of noise data for HFETs and MESFETs. HFET power amplifiers. HFET oscillators. Overview. Problems, Chapter 11. References. Further reading. 12 Bipolar microwave transistors. Basic relations for microwave BJTs. Equivalent circuit of the BJT frequency performance. Noise modeling of BJTs. BJT power amplifiers and oscillators. Heterojunction bipolar transistors (HBTs) Structure and I V characteristics of HBTs. Equivalent circuit and cut off frequencies of HBTs. HBTs with other material combinations than A1GaAs/GaAs. Noise properties of HBTs. HBT power amplifiers and oscillators. Overview. Problems, Chapter 12. References. Further reading. 13 Overview of conventional and novel devices. Hot electron transistors. Resonant tunneling transistors. Permeable base transistors. Review of the performance of microwave semiconductor devices 1990. Conclusion. References. Further reading.",
"author_names": [
"K Sigfrid Yngvesson"
],
"corpus_id": 106692571,
"doc_id": "106692571",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Microwave semidonductor [i.e. semiconductor] devices",
"venue": "",
"year": 1991
}
] |
How 2D semiconductors could extend Moore’s law | [
{
"abstract": "Incredibly thin transistors could deliver even more powerful computers if three research challenges can be solved, argue Ming Yang Li and colleagues.Incredibly thin transistors could deliver even more powerful computers if three research challenges can be solved, argue Ming Yang Li and colleagues.",
"author_names": [
"Ming-Yang Li",
"S K Su",
"H -S Philip Wong",
"Lain-Jong Li"
],
"corpus_id": 75136648,
"doc_id": "75136648",
"n_citations": 89,
"n_key_citations": 0,
"score": 1,
"title": "How 2D semiconductors could extend Moore's law",
"venue": "Nature",
"year": 2019
},
{
"abstract": "White people make pollution, others breathe it in. Plus: nearly half of childhood cancer goes undiagnosed and 2D semiconductors could extend Moore's law. White people make pollution, others breathe it in. Plus: nearly half of childhood cancer goes undiagnosed and 2D semiconductors could extend Moore's law.",
"author_names": [
"Flora Graham"
],
"corpus_id": 159887765,
"doc_id": "159887765",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Daily briefing: Black and Hispanic Americans breathe more than their fair share of deadly air pollution",
"venue": "Nature",
"year": 2019
},
{
"abstract": "The challenges of electronic design are escalating as software and embedded processors are fast becoming a more dominant component of electronic products. Software is now acknowledged as the most effective way for electronics companies to differentiate their products. But what if the processors running the software aren't up to the task? Electronics companies are increasingly adopting a new system level design methodology to stay competitive, one that enables design that is centred on custom processors and software. The ripple effects of system level design are even affecting the way that semiconductor companies take products to market and how their customers choose and use silicon. Mr Naumann will provide examples of how companies adopting advanced system level design can gain competitive advantage, and the issues to be resolved in the drive to extend Moore's Law.",
"author_names": [
"Alan Naumann"
],
"corpus_id": 21474897,
"doc_id": "21474897",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Keynote address: Was Darwin wrong? Has design evolution stopped at the RTL level. or will software and custom processors (or system level design) extend Moore's law?",
"venue": "DATE '07",
"year": 2007
},
{
"abstract": "The challenges of electronic design are escalating as software and embedded processors are fast becoming a more dominant component of electronic products. Software is now acknowledged as the most effective way for electronics companies to differentiate their products. But what if the processors running the software aren't up to the task? Electronics companies are increasingly adopting a new system level design methodology to stay competitive, one that enables design that is centred on custom processors and software. The ripple effects of systems level design are even affecting the way that semiconductor companies take products to market and how their customers choose and use silicon.",
"author_names": [
"Alan Naumann"
],
"corpus_id": 62603600,
"doc_id": "62603600",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Was Darwin Wrong? Has Design Evolution Stopped at the RTL Level. or Will Software and Custom Processors (or System Level Design) Extend Moore's Law?",
"venue": "",
"year": 2007
},
{
"abstract": "Moore's law relates how the integration of semiconductors has progressed in time. This research shows that the exponential trend shown in the electronics manufacturing industry can have applications elsewhere. This study shows that the internal combustion engine followed the same trend for over 70 years. Though not the most used engine variable, engine power density shows the same trends for engines as transistor density does for microchips. This now mature technology has ended its period of rapid growth. However, the present day engine trends can show how Moore's law can be extended to include the slower growth of long established technologies. Because exponential growth cannot go on forever, the extension Moore's law requires that the logistic function be used. The new function also allows one to predict a theoretical value for maximum power density.",
"author_names": [
"M Laviolette"
],
"corpus_id": 109841191,
"doc_id": "109841191",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "On Moore's Law and Its Application to Spark Ignition Engine Technology",
"venue": "",
"year": 2009
},
{
"abstract": "The purpose of this paper is to identify the organizational constraints on science innovations in the midst of the increasing complexity of technology and markets and to search for measures to overcome them. For this purpose, we scrutinize the rise and fall of Japanese chipmakers in their commodity DRAM business during the last three decades, during which time all of them have been deeply wounded. We take up this business case mainly because the Japanese semiconductor industry seems to be a forerunner of various science based industries facing rapid globalization and could provide instructive examples for them in an age of speed to market. We think that the rise and fall of Japanese chipmakers in their commodity DRAM business has been deeply influenced by three kinds of ever growing complexities: the growing market complexity triggered by the collapse of commodity DRAM prices in 1996, the growing (manufacturing) system complexity boosted by the advent of 200mm fabrication plants (fabs) in the early 1990s, and the growing process complexity in fabrication technologies necessitated by 64Mb commodity DRAMs. We explain how and why, compared with U.S. and Korean competitors, Japanese chipmakers could not respond to these growing complexities in a systematic and well organized manner.",
"author_names": [
"Hiroyuki Chuma",
"Norikazu Hashimoto"
],
"corpus_id": 109223243,
"doc_id": "109223243",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Moore's Law Increasing Complexity, and the Limits of Organization: The Modern Significance of Japanese Chipmakers' Commodity DRAM Business",
"venue": "",
"year": 2010
},
{
"abstract": "Moore's law relates how the integration of semiconductors has progressed in time. This research shows that the exponential trend shown in the electronics manufacturing industry can have applications elsewhere. This study shows that the internal combustion engine followed the same trend for over 70 years. Though not the most used engine variable, engine power density shows the same trends for engines as transistor density does for microchips. This now mature technology has ended its period of rapid growth. However the present day engine trends can show how Moore's law can be extended to include the slower growth of long established technologies. Because exponential growth cannot go on forever, the extension Moore's law requires that the logistic function be used. The new function also allows one to predict a theoretical value for maximum power density.Copyright (c) 2005 by ASME",
"author_names": [
"M Laviolette"
],
"corpus_id": 110514806,
"doc_id": "110514806",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "On Moore's Law and Its Application to SI Engine Technology",
"venue": "",
"year": 2005
},
{
"abstract": "The inventions of the transistor and the integrated circuit set in motion the extraordinary progress of computing performance that has kept the number of transistors on a chip doubling every two years without increasing the price. Ever since the trend best known as Moore's law was postulated, it has served as a guide to identify long term R&D targets for the semiconductor industry. For years, chip makers have been consistently able to figure out new ways to shrink the transistor size in time for the next node to hit the market. The downscaling of transistors has come a long way from the very first commercial 10 mm technology to the 10 nm node that is currently being introduced. These days, however, keeping pace with the traditional two year cadence has become an increasingly challenging endeavour. This fact was fully acknowledged in the final International Technology Roadmap for Semiconductors1 (ITRS) in 2015, which predicted that transistors will reach their minimal limit soon after 2021. Although 7 nm FinFET devices are expected to ship sometime in 2018, the foundries face an uphill struggle to find cost effective engineering solutions for the next 5 nm node as the existing transistor architecture is nearing the end of its scaling lifespan. The FinFET, featured in every modern microprocessor, was originally designed to mitigate leakage current issues associated with a planar FET geometry. This device has 3D silicon structures that jut out from the substrate like a fin, hence the name. As the fins continue to get thinner, the technology is expected to hit another wall at a width of 5 nm due to channel size variations and potential mobility loss. Hence, to keep Moore's law going, new engineering strategies are urgently needed. For chips to get denser, the manufacturers may still try to extend FinFET to 5 nm or, building upon the existing technology, switch to gate all around (GAA) FETs. In terms of its geometry, a GAA FET closely resembles a FinFET except that the gate surrounds the channel region on all sides. Owning to these similarities, the GAA FET is considered a likely candidate for the 5 nm node, which, according to some predictions, may be extended further down to 3 nm. This scenario looks very plausible judging by the recent IBM demonstration2 of a test silicon chip containing 30 billion horizontal GAA FETs. This technological marvel has a 40% performance edge over commercial 10 nm chips and offers 75% lower power consumption at the same level of performance. Besides, as opposed to 7 nm technology the newly presented chip accommodates a whopping 10 billion additional transistors. Each device contains a stack of three silicon nanosheets between the source and drain electrodes wrapped in the gate. Analogous to 7 nm IBM transistors, the fabrication process of a GAA FET with its tiny features requires an extra step that involves extreme ultraviolet (EUV) lithography. Despite the remarkable resolution limit of less than 4 nm, the deployment of EUV for volume manufacturing has been postponed for years due to its extreme complexity, high implementation cost and multiple reliability issues. Therefore it is no secret that the 5 nm node will cost manufacturers dearly, making the future of transistor downscaling economically irrelevant and potentially putting the technology out of reach for a large number of consumer applications. Economic arguments aside, vertical transistors with nanowire channels could provide another way of cramming more transistors onto a chip similar to the Samsung 3D V NAND memory3. But then again, opting for vertical transistors won't offer any long term relief for the chip makers, as according to the same ITRS the 3D technology will run out of steam by 20241. The reason is that existing chip cooling solutions will no longer be sufficient to tackle ever increasing power dissipation densities. Another critical issue that urgently needs an effective engineering solution is the so called interconnect bottleneck that comes as a result of tighter packing of the components on a microprocessor and could severely impair the speed of computing. Finally, looking for new channel materials instead of changing a transistor design is also under consideration. To that end, semiconductors such as III V and germanium are being considered as the most promising silicon substitutes in terms of mobility. With all these challenges in mind, the manufacturers are clearly not in a rush to introduce another node or switch to an alternative transistor type. Clinging on to the purely economic yet short lived strategy of further FinFET downscaling would help chip makers squeeze every last drop out of the existing technology and justify billions of dollars of investment. Yet, the future of the 5 nm node and beyond is far from certain, especially in the absence of one clear transistor contender and other much needed cost effective technological innovations. After decades of the semiconductor industry faithfully living by the golden rule smaller, faster, cheaper the time has come to re evaluate its capacity for fabricating eversmaller features at ever increasing densities. After all, it doesn't really matter whether Moore's law lives or dies as long as consumer needs are satisfied at a reasonable cost.",
"author_names": [],
"corpus_id": 205457081,
"doc_id": "205457081",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Moore's deviation.",
"venue": "Nature nanotechnology",
"year": 2017
},
{
"abstract": "Ultra wide bandgap (UWBG) semiconductors and ultra thin two dimensional materials (2D) are at the very frontier of the electronics for energy management or energy electronics. A new generation of UWBG semiconductors will open new territories for higher power rated power electronics and deeper ultraviolet optoelectronics. Gallium oxide Ga2O3 (4.5 4.9 eV) has recently emerged as a suitable platform for extending the limits which are set by conventional ~3 eV) WBG e.g. SiC and GaN and transparent conductive oxides (TCO) e.g. In2O3, ZnO, SnO2. Besides, Ga2O3, the first efficient oxide semiconductor for energy electronics, is opening the door to many more semiconductor oxides (indeed, the largest family of UWBGs) to be investigated. Among these new power electronic materials, ZnGa2O4 ~5 eV) enables bipolar energy electronics, based on a spinel chemistry, for the first time. In the lower power rating end, power consumption also is also a main issue for modern computers and supercomputers. With the predicted end of the Moore's law, the memory wall and the heat wall, new electronics materials and new computing paradigms are required to balance the big data (information) and energy requirements, just as the human brain does. Atomically thin 2D materials, and the rich associated material systems (e.g. graphene (metal) MoS2 (semiconductor) and h BN (insulator) have also attracted a lot of attention recently for beyond silicon neuromorphic computing with record ultra low power consumption. Thus, energy nanoelectronics based on UWBG and 2D materials are simultaneously extending the current frontiers of electronics and addressing the issue of electricity consumption, a central theme in the actions against climate change.",
"author_names": [
"Amador Perez-Tomas",
"E Chikoizde",
"David J Rogers"
],
"corpus_id": 233791620,
"doc_id": "233791620",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "A walk on the frontier of energy electronics with power ultra wide bandgap oxides and ultra thin neuromorphic 2D materials",
"venue": "OPTO",
"year": 2021
},
{
"abstract": "Improvements in microprocessor performance over time have been expressed through the use of Moore's law, an observation that the number of transistors on a semiconductor device could be expected to double approximately every 18 24 months. Other performance indicators have focused on processing rates, for example, microprocessor clock speed expressed in megahertz or gigahertz. Today, energy efficiency has become a crucial aspect in the design and manufacturing of high performance microprocessor products. Limitations in current silicon manufacturing technology, as well as practical and environmental considerations are behind this change. This paper describes how new transistor designs and materials of construction are resulting in increased energy efficiency, and lower power in today's high performance microprocessors.",
"author_names": [
"Donna M Sadowy"
],
"corpus_id": 19647177,
"doc_id": "19647177",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "New microprocessor features for lower power and increased performance: doing \"Moore's\" with less",
"venue": "Proceedings of the 2005 IEEE International Symposium on Electronics and the Environment, 2005.",
"year": 2005
}
] |
, , and , Chem. Soc. Rev., 2017, 46, 464-480. | [
{
"abstract": "Compared to the conventional strip waveguide microring resonators, subwavelength grating (SWG) waveguide microring resonators have better sensitivity and lower detection limit due to the enhanced photon analyte interaction. As sensors, especially biosensors, are usually used in absorptive ambient environment, it is very challenging to further improve the detection limit of the SWG ring resonator by simply increasing the sensitivity. The high sensitivity resulted from larger mode analyte overlap also brings significant absorption loss, which deteriorates the quality factor of the resonator. To explore the potential of the SWG ring resonator, we theoretically and experimentally optimize an ultrasensitive transverse magnetic mode SWG racetrack resonator to obtain maximum quality factor and thus lowest detection limit. A quality factor of 9800 around 1550 nm and sensitivity of 429.7 0.4nm/RIU in water environment are achieved. It corresponds to a detection limit (l/S*Q) of 3.71 x 10 4 RIU, which marks a reduction of 32.5% compared to the best value reported for SWG microring sensors. (c) 2017 Optical Society of America OCIS codes: (050.6624) Subwavelength structures; (050.2770) Gratings; (280.4788) Optical sensing and sensors; (230.3120) Integrated optics devices. References and links 1. X. Fan and I. M. White, \"Optofluidic microsystems for chemical and biological analysis,\" Nat. Photonics 5(10) 591 597 (2011) 2. W. C. Lai, S. Chakravarty, Y. Zou, and R. T. Chen, \"Multiplexed detection of xylene and trichloroethylene in water by photonic crystal absorption spectroscopy,\" Opt. Lett. 38(19) 3799 3802 (2013) 3. S. Chakravarty, W. C. Lai, Y. Zou, H. A. Drabkin, R. M. Gemmill, G. R. Simon, S. H. Chin, and R. T. Chen, \"Multiplexed specific label free detection of NCI H358 lung cancer cell line lysates with silicon based photonic crystal microcavity biosensors,\" Biosens. Bioelectron. 43, 50 55 (2013) 4. H. Yan, Y. Zou, S. Chakravarty, C. J. Yang, Z. Wang, N. Tang, D. Fan, and R. T. Chen, \"Silicon on chip bandpass filters for the multiplexing of high sensitivity photonic crystal microcavity biosensors,\" Appl. Phys. Lett. 106(12) 121103 (2015) 5. Q. Quan, P. B. Deotare, and M. Loncar, \"Photonic crystal nanobeam cavity strongly coupled to the feeding waveguide,\" Appl. Phys. Lett. 96(20) 203102 (2010) 6. B. H. Ahn, J. H. Kang, M. K. Kim, J. H. Song, B. Min, K. S. Kim, and Y. H. Lee, \"One dimensional parabolicbeam photonic crystal laser,\" Opt. Express 18(6) 5654 5660 (2010) 7. K. Yao and Y. Shi, \"High Q width modulated photonic crystal stack mode gap cavity and its application to refractive index sensing,\" Opt. Express 20(24) 27039 27044 (2012) 8. L. Huang, J. Zhou, F. Sun, Z. Fu, and H. Tian, \"Optimization of One Dimensional Photonic Crystal EllipticalHole Low Index Mode Nanobeam Cavities for On chip Sensing,\" J. Lightwave Technol. 34(15) 3496 3502 (2016) Vol. 25, No. 9 1 May 2017 OPTICS EXPRESS 10527 #287549 https:/doi.org/10.1364/OE.25.010527 Journal (c) 2017 Received 7 Mar 2017; revised 12 Apr 2017; accepted 22 Apr 2017; published 27 Apr 2017 9. X. Wang, X. Guan, Q. Huang, J. Zheng, Y. Shi, and D. Dai, \"Suspended ultra small disk resonator on silicon for optical sensing,\" Opt. Lett. 38(24) 5405 5408 (2013) 10. S. M. Grist, S. A. Schmidt, J. Flueckiger, V. Donzella, W. Shi, S. Talebi Fard, J. T. Kirk, D. M. Ratner, K. C. Cheung, and L. Chrostowski, \"Silicon photonic micro disk resonators for label free biosensing,\" Opt. Express 21(7) 7994 8006 (2013) 11. X. Fan, I. M. White, H. Zhu, J. D. Suter, and H. Oveys, \"Overview of novel integrated optical ring resonator bio/chemical sensors,\" Lasers Appl. Sci. Eng. 64520M (2007) 12. T. Claes, J. G. Molera, K. De Vos, E. Schacht, R. Baets, and P. Bienstman, \"Label free biosensing with a slotwaveguide based ring resonator in silicon on insulator,\" IEEE Photonics J. 1(3) 197 204 (2009) 13. V. Donzella, A. Sherwali, J. Flueckiger, S. M. Grist, S. T. Fard, and L. Chrostowski, \"Design and fabrication of SOI micro ring resonators based on sub wavelength grating waveguides,\" Opt. Express 23(4) 4791 4803 (2015) 14. L. Huang, H. Tian, J. Zhou, Q. Liu, P. Zhang, and Y. Ji, \"Label free optical sensor by designing a high Q photonic crystal ring slot structure,\" Opt. Commun. 335, 73 77 (2015) 15. L. Huang, H. Tian, J. Zhou, and Y. Ji, \"Design low crosstalk ring slot array structure for label free multiplexed sensing,\" Sensors (Basel) 14(9) 15658 15668 (2014) 16. C. A. Barrios, \"Optical slot waveguide based biochemical sensors,\" Sensors (Basel) 9(6) 4751 4765 (2009) 17. S. Chakravarty, A. Hosseini, X. Xu, L. Zhu, Y. Zou, and R. T. Chen, \"Analysis of ultra high sensitivity configuration in chip integrated photonic crystal microcavity bio sensors,\" Appl. Phys. Lett. 104(19) 191109 (2014) 18. K. Qin, S. Hu, S. T. Retterer, I. I. Kravchenko, and S. M. Weiss, \"Slow light Mach Zehnder interferometer as label free biosensor with scalable sensitivity,\" Opt. Lett. 41(4) 753 756 (2016) 19. J. T. Kindt, M. S. Luchansky, A. J. Qavi, S. H. Lee, and R. C. Bailey, \"Subpicogram per milliliter detection of interleukins using silicon photonic microring resonators and an enzymatic signal enhancement strategy,\" Anal. Chem. 85(22) 10653 10657 (2013) 20. S. Schmidt, J. Flueckiger, W. X. Wu, S. M. Grist, S. Talebi Fard, V. Donzella, P. Khumwan, E. R. Thompson, Q. Wang, P. Kulik, X. Wang, A. Sherwali, J. Kirk, K. C. Cheung, L. Chrostowski, and D. Ratner, \"Improving the performance of silicon photonic rings, disks, and Bragg gratings for use in label free biosensing,\" Proc. SPIE 9166, 91660M (2014) 21. J. Flueckiger, S. Schmidt, V. Donzella, A. Sherwali, D. M. Ratner, L. Chrostowski, and K. C. Cheung, \"Subwavelength grating for enhanced ring resonator biosensor,\" Opt. Express 24(14) 15672 15686 (2016) 22. X. C. Xu, H. Subbaraman, J. Covey, D. Kwong, A. Hosseini, and R. T. Chen, \"Complementary metal oxide semiconductor compatible high efficiency subwavelength grating couplers for silicon integrated photonics,\" Appl. Phys. Lett. 101(3) 031109 (2012) 23. Z. Wang, X. Xu, D. Fan, Y. Wang, H. Subbaraman, and R. T. Chen, \"Geometrical tuning art for entirely subwavelength grating waveguide based integrated photonics circuits,\" Sci. Rep. 6(1) 24106 (2016) 24. P. Lalanne and J. P. Hugonin, \"High order effective medium theory of subwavelength gratings in classical mounting: application to volume holograms,\" J. Opt. Soc. Am. A 15(7) 1843 1851 (1998) 25. N. Mortensen, S. Xiao, and J. Pedersen, \"Liquid infiltrated photonic crystals: enhanced light matter interactions for lab on a chip applications,\" Microfluid. Nanofluidics 4(1 2) 117 127 (2008) 26. L. C. Andreani, \"Photonic bands and radiation losses in photonic crystal waveguides,\" Phys. Status Solidi, B Basic Res. 234(1) 139 146 (2002) 27. L. Chrostowski and M. Hochberg, Silicon Photonics Design, (Cambridge University, 2015) 28. J. C. Slater, Microwave electronics (Van Nostrand, 1950) 29. W. Bogaerts, P. De Heyn, T. Van Vaerenbergh, K. De Vos, S. Kumar Selvaraja, T. Claes, P. Dumon, P. Bienstman, D. Van Thourhout, and R. Baets, \"Silicon microring resonators,\" Laser Photonics Rev. 6(1) 47 73 (2012) 30. L. F. Hoyt, \"New table of the refractive index of pure glycerol at 20degC,\" Ind. Eng. Chem. 26(3) 329 332 (1934)",
"author_names": [
""
],
"corpus_id": 11771963,
"doc_id": "11771963",
"n_citations": 28,
"n_key_citations": 3,
"score": 1,
"title": "Improving the detection limit for on chip photonic sensors based on subwavelength grating racetrack resonators",
"venue": "",
"year": 2017
},
{
"abstract": "We report experimental observations of rotated echoes of alignment induced by a pair of time delayed and polarization skewed femtosecond laser pulses interacting with an ensemble of molecular rotors. Rotated fractional echoes, rotated high order echoes and rotated imaginary echoes are directly visualized by using the technique of coincident Coulomb explosion imaging. We show that the echo phenomenon not only exhibits temporal recurrences but also spatial rotations determined by the polarization of the time delayed second pulse. The dynamics of echo formation is well described by the laser induced filamentation in rotational phase space. The quantum mechanical simulation shows good agreements with the experimental results. (c) 2017 Optical Society of America OCIS codes: (320.7120) Ultrafast phenomena; (020.2649) Strong field laser physics. References and links 1. R. W. Brown, Y. C. N. Cheng, E. M. Haacke, M. R. Thompson, and R. Venkatesan, Magnetic Resonance Imaging: Physical Principles and Sequence Design (Wiley Blackwell, 2014) 2. G. Stupakov, \"Using the Beam Echo Effect for Generation of Short Wavelength Radiation,\" Phys. Rev. Lett. 102, 074801 (2009) 3. D. Xiang, E. Colby, M. Dunning, S. Gilevich, C. Hast, K. Jobe, D. McCormick, J. Nelson, T. O. Raubenheimer, K. Soong, G. Stupakov, Z. Szalata, D. Walz, S. Weathersby, and M. Woodley, \"Demonstration of the Echo Enabled Harmonic Generation Technique for Short Wavelength Seeded Free Electron Lasers,\" Phys. Rev. Lett. 105, 114801 (2010) 4. Z. T. Zhao, D. Wang, J. H. Chen, Z. H. Chen, H. X. Deng, J. G. Ding, C. Feng, Q. Gu, M. M. Huang, T. H. Lan, Y. B. Leng, D. G. Li, G. Q. Lin, B. Liu, E. Prat, X. T. Wang, Z. S. Wang, K. R. Ye, L. Y. Yu, H. O. Zhang, J. Q. Zhang, Me. Zhang, Mi. Zhang, T. Zhang, S. P. Zhong, and Q. G. Zhou, \"First lasing of an echo enabled harmonic generation free electron laser,\" Nature Photonics 6, 360 (2012) 5. E. Hemsing, G. Stupakov, D. Xiang, and A. Zholents, \"Beam by design: Laser manipulation of electrons in modern accelerators,\" Rev. Mod. Phys. 86, 897 (2014) 6. E. L. Hahn, \"Spin Echoes,\" Phys. Rev. 80, 589 (1950) 7. E. L. Hahn, \"Free nuclear induction,\" Physics Today 6(11) 4 (1953) 8. N. A. Kurnit, I. D. Abella, and S. R. Hartmann, \"Observation of a Photon Echo,\" Phys. Rev. Lett. 13, 567 (1964) 9. R. W. Gould, T. M. O'Neil, and J. H. Malmberg, \"Plasma Wave Echo,\" Phys. Rev. Lett. 19, 219 (1967) 10. R. M. Hill and D .E. Kaplan, \"Cyclotron Resonance Echo,\" Phys. Rev. Lett. 14, 1062 (1965) 11. A. Bulatov, A. Kuklov, B. E. Vugmeister, and H. Rabitz, \"Echo in optical lattices: Stimulated revival of breathing oscillations,\" Phys. Rev. A 57, 3788 (1998) 12. M. Herrera, T. M. Antonsen, E. Ott, and S. Fishman, Echoes and revival echoes in systems of anharmonically confined atoms,\" Phys. Rev. A 86, 023613 (2012) Vol. 25, No. 21 16 Oct 2017 OPTICS EXPRESS 24917 #303929 https:/doi.org/10.1364/OE.25.024917 Journal (c) 2017 Received 2 Aug 2017; revised 23 Sep 2017; accepted 25 Sep 2017; published 2 Oct 2017 13. T. Meunier, S. Gleyzes, P. Maioli, A. Auffeves, G. Nogues, M. Brune, J. M. Raimond, and S. Haroche, \"Rabi Oscillations Revival Induced by Time Reversal: A Test of Mesoscopic Quantum Coherence,\" Phys. Rev. Lett. 94, 010401 (2005) 14. G. V. Stupakov, \"Echo Effect In Hadron Colliders,\" Ssc Report SSCL579 (1992) http:/www.osti.gov/scitech/servlets/purl/7237216/ 15. L. K. Spentzouris, J. F. Ostiguy, and P. L. Colestock, \"Direct Measurement of Diffusion Rates in High Energy Synchrotrons Using Longitudinal Beam Echoes,\" Phys. Rev. Lett. 76, 620 (1996) 16. G. Karras, E. Hertz, F. Billard, B. Lavorel, J. M. Hartmann, O. Faucher, E. Gershnabel, Y. Prior, I. Sh. Averbukh, \"Orientation and Alignment Echoes,\" Phys. Rev. Lett. 114, 15361 (2015) 17. G. Karras, E. Hertz, F. Billard, B. Lavorel, G. Siour, J. M. Hartmann, O. Faucher, E. Gershnabel, Y. Prior, and I. Sh. Averbukh, \"Experimental observation of fractional echoes,\" Phys. Rev. A 94, 033404 (2016) 18. K. Lin, P. F. Lu, J. Y. Ma, X. C. Gong, Q. Y. Song, Q. Y. Ji, W. B. Zhang, H. P. Zeng, J. Wu, G. Karras, G. Siour, J. M. Hartmann, O. Faucher, E. Gershnabel, Y. Prior, and I. Sh. Averbukh, \"Echoes in Space and Time,\" Phys. Rev. X 6, 041056 (2016) 19. H. Stapelfeldt, and T. Seideman, \"Aligning molecules with strong laser pulses,\" Rev. Mod. Phys. 75, 543 (2003) 20. Y. Ohshima, and H. Hasegawa, \"Coherent rotational excitation by intense nonresonant laser fields,\" Int. Rev.Phys. Chem. 29, 619 (2010) 21. S. Fleischer, Y. Khodorkovsky, E. Gershnabel, Y. Prior, and I. Sh. Averbukh, \"Molecular Alignment Induced by Ultrashort Laser Pulses and Its Impact on Molecular Motion,\" Isr. J. Chem. 52, 414 (2012) 22. M. Lemeshko, R. V. Krems, J. M. Doyle, and S. Kais, \"Manipulation of molecules with electromagnetic fields,\" Mol. Phys. 111, 1648 (2013) 23. K. Lin, Q. Y. Song, X. C. Gong, Q. Y. Ji, H. F. Pan, J. X. Ding, H. P. Zeng, J. Wu, \"Visualizing molecular unidirectional rotation,\" Phys. Rev. A 92, 013410 (2015) 24. K. Mizuse, K. Kitano, H. Hasegawa, and Y. Ohshima, \" Quantum unidirectional rotation directly imaged with molecules,\" Sci. Adv. 1, e1400185 (2015) 25. J. Parker and C. R. Jr. Stroud, \"Coherence and decay of Rydberg wave packets,\" Phys. Rev. Lett. 56, 716 (1986) 26. I. Sh. Averbukh, and N. F. Perelman, \"Fractional revivals: Universality in the long term evolution of quantum wave packets beyond the correspondence principle dynamics,\" Phys. Lett. A 139, 449 453 (1989) 27. R.W. Robinett, \" Quantum wave packet revivals,\" Physics Reports 392, pp.1 119 (2004) 28. R.W. Boyd, \" Nonlinear Optics,\" (Academic, 1992) 29. B. Friedrich, and D. Herschbach, \" Alignment and Trapping of Molecules in Intense Laser Fields,\" Phys. Rev. Lett. 74, 4623 (1995) \" Polarization of Molecules Induced by Intense Nonresonant Laser Fields,\" J. Phys. Chem. 99, 15 686 (1995) 30. D. Lynden Bell, \" Statistical mechanics of violent relaxation in stellar systems,\" Mon. Not. R. Astr. Soc. 136, 101 (1967) 31. A. J. Lichtenberg, Phase space Dynamics of Particles (Wiley, 1969) 32. B. Ya. Dubetskii, and V. P. Chebotaev, \"Echoes in classical and quantum ensembles with determinate frequencies,\" Pis'ma Zh.Eksp.Teor. Fiz. 41, 267 (1985) [JETP Lett. 41, 328 (1985) 33. B. Ya. Dubetskii, and V. P. Chebotaev, \" Imaginary echo in a gas in a Doppler expanded transition,\" Izvestiya Akademii Nauk SSSR, Seriya Fizicheskaya, 50, 1530 (1986) [Bull. Acad. Sci. USSR, Phys. Ser. (English Transl. 50, 70 (1986) 34. R. Dorner, Mergel,V. Mergel, O. Jagutzki, L. Spielberger, J. Ullrich, R. Moshammer, and H. Schmidt Bocking, \" Cold Target Recoil Ion Momentum Spectroscopy: a \"momentum microscope\" to view atomic collision dynamics,\" Physics Reports 330, 95 192 (2000) 35. H. Y. Jiang, C. Y. Wu, H. Zhang, H. B. Jiang, H. Yang, and Q. H. Gong, \"Alignment structures of rotational wavepacket created by two strong femtosecond laser pulses,\" Opt. Express 18, 8990 (2010) 36. E. Hemsing, M. Dunning, B. Garcia, C. Hast, T. Raubenheimer, G. Stupakov and D. Xiang, \"Echo enabled harmonics up to the 75th order from precisely tailored electron beams,\" Nat. Photonics 10, 512 (2016)",
"author_names": [
"",
"M -"
],
"corpus_id": 198926597,
"doc_id": "198926597",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Rotated echoes of molecular alignment fractional high order and imaginary",
"venue": "",
"year": 2017
},
{
"abstract": "Quantitative photoacoustic tomography is an imaging modality in which distributions of optical parameters inside tissue are estimated from photoacoustic images. This optical parameter estimation is an ill posed problem and it needs to be approached in the framework of inverse problems. In this work, utilising surface light measurements in quantitative photoacoustic tomography is studied. Estimation of absorption and scattering is formulated as a minimisation problem utilising both internal quantitative photoacoustic data and surface light data. The image reconstruction problem is studied with two dimensional numerical simulations in various imaging situations using the di ffusion approximation as the model for light propagation. The results show that quantitative photoacoustic tomography augmented with surface light data can improve both absorption and scattering estimates when compared to the conventional quantitative photoacoustic tomography. c (c) 2017 Optical Society of America OCIS codes: (110.5120) Photoacoustic imaging; (170.3010) Image reconstruction techniques; (170.6960) Tomography; (100.3190) Inverse problems. References and links 1. M. Xu and L. V. Wang, \"Photoacoustic imaging in biomedicine,\" Rev. Sci. Instrum. 77, 041101 (2006) 2. C. Li and L. V. Wang, \"Photoacoustic tomography and sensing in biomedicine,\" Phys. Med. Biol. 54, R59 R97 (2009) 3. L. V. Wang, ed.,Photoacoustic Imaging and Spectroscopy (CRC Press, 2009) 4. P. Beard, \"Biomedical photoacoustic imaging,\" Interface Focus 1, 602 631 (2011) 5. J. Xia and L. V. Wang, \"Small animal whole body photoacoustic tomography: a review,\" Phys. Med. Biol. 61, 1380 1389 (2014) 6. L. V. Wang and J. Yao, \"A practical guide to photoacoustic tomography in the life sciences,\" Nature Methods 13, 627 638 (2016) 7. J. Weber, P. C. Beard, and S. Bohndiek, \"Contrast agents for molecular photoacoustic imaging,\" Nature Methods 13, 639 650 (2016) 8. J. Brunker, J. Yao, J. Laufer, and S. E. Bohndiek, \"Photoacoustic imaging using genetically encoded reporters: a review,\" J. Biomed. Opt. 22, 070901 (2017) 9. B. Cox, J. G. Laufer, S. R. Arridge, and P. C. Beard, \"Quantitative spectroscopic photoacoustic imgaging: a review,\" J. Biomed. Opt.17, 061202 (2012) 10. B. T. Cox, S. R. Arridge, and P. C. Beard, \"Estimating chromophore distributions from multiwavelength photoacoustic images,\" J. Opt. Soc. Am A 26, 443 455 (2009) 11. D. Razansky, J. Baeten, and V. Ntziachristos, \"Sensitivity of molecular target detection by multispectral optoacoustic tomography (MSOT)\" Med. Phys. 36, 939 945 (2009) 12. J. Laufer, B. Cox, E. Zhang, and P. Beard, \"Quantitative determination of chromophore concentrations form 2D photoacoustic images using a nonlinear model based inversion scheme,\" Appl. Opt. 49, 1219 1233 (2010) 13. G. Bal and K. Ren, \"On multi spectral quantitative photoacoustic tomography in a di ffusive regime,\" Inv. Probl. 28, 025010 (2012) 14. D. Razansky, \"Multispectral optoacoustic tomography volumetric color hearing in real time,\" IEEE Sel.Top. Quantum Electron.18, 1234 1243 (2012) 15. A. V. Mamonov and K. Ren, \"Quantitative photoacoustic imaging in radiative transport regime,\" Comm. Math. Sci. 12, 201 234 (2014) 16. A. Pulkkinen, B. T. Cox, S. R. Arridge, J. P. Kaipio, and T. Tarvainen, \"A Bayesian approach to spectral quantitative photoacoustic tomography,\" Inv. Probl. 30, 065012 (2014) 17. J. Ripoll and V. Ntziachristos, \"Quantitative point source photoacoustic inversion formulas for scattering and absorbing media,\" Phys. Rev. E 71, 031912 (2005) Vol. 8, No. 10 1 Oct 2017 BIOMEDICAL OPTICS EXPRESS 4380 #301703 Journal (c) 2017 https:/doi.org/10.1364/BOE.8.004380 Received 6 Jul 2017; revised 18 Aug 2017; accepted 26 Aug 2017; published 8 Sep 2017 18. B. T. Cox, S. R. Arridge, K. P. Kostli, and P. C. Beard, \"Two dimensional quantitative photoacoustic image reconstruction of absorption distributions in scattering media by use of a simple iterative method,\" Appl. Opt. 45, 1866 1875 (2006) 19. B. Banerjee, S. Bagchi, R. M. Vasu, and D. Roy, \"Quantitative photoacoustic tomography from boundary pressure measurements: noniterative recovery of optical absorption coe ffi ient from the reconstructed absorbed energy map,\" J. Opt. Soc. Am. A25, 2347 2356 (2008) 20. T. Jetzfellner, D. Razansky, A. Rosenthal, R. Schulz, and K. H. Englmeier, \"Performance of iterative optoacoustic tomography with experimental data,\" Appl. Phys. Lett. 95, 013703 (2009) 21. L. Yao, Y. Sun, and H. Jiang, \"Quantitative photoacoustic tomography based on the radiative transfer equation,\" 34, 1765 1767 (2009) 22. H. Gao, H. Zhao, and S. Osher, \"Bregman methods in quantitative photoacoustic tomography,\" UCLA CAM Report 10 24 (2010) 23. R. J. Zemp, \"Quantitative photoacoustic tomography with multiple optical sources,\" Appl. Opt. 49, 3566 3572 (2010) 24. G. Bal and K. Ren, \"Multi source quantitative photoacoustic tomography in a di ffusive regime,\" Inv. Probl.27, 075003 (2011) 25. T. Tarvainen, B. T. Cox, J. P. Kaipio, and S. R. Arridge, \"Reconstructing absorption and scattering distributions in quantitative photoacoustic tomography,\" Inv. Probl. 28, 084009 (2012) 26. S. Bu, Z. Liu, T. Shiina, K. Kondo, M. Yamakawa, K. Fukutani, Y. Someda, and Y. Asao, \"Model based reconstruction integrated with fluence compensation for photoacoustic tomography,\" IEEE Trans. Biomed. Eng. 59, 1354 1363 (2012) 27. X. L. Dean Ben, A. Buehler, V. Ntziachristos, and D. Razansky, \"Accurate model based reconstruction algorithm for three dimensional optoacoustic tomography,\" IEEE Trans. Med. Imag. 31, 1922 1928 (2012) 28. T. Tarvainen, A. Pulkkinen, B. T. Cox, J. P. Kaipio, and S. R. Arridge, \"Bayesian image reconstruction in quantitative photoacoustic tomography,\" IEEE Trans. Med. Imag. 32, 2287 2298 (2013) 29. A. Pulkkinen, V. Kolehmainen, J. P. Kaipio, B. T. Cox, S. R. Arridge, and T. Tarvainen, \"Approximate marginalization of unknown scattering in quantitative photoacoustic tomography,\" Inv. Probl. Imag. 8, 811 829 (2014) 30. W. Naetar and O. Scherzer, \"Quantitative photoacoustic tomography with piecewise constant material parameters,\" SIAM J. Imaging Sci.7, 1755 1774 (2014) 31. X. Zhang, W. Zhou, X. Zhang, and H. Gao, \"Forward backward splitting method for quantitative photoacoustic tomography,\" Inv. Probl. 30, 125012 (2014) 32. E. Malone, S. Powell, B. T. Cox, and S. Arridge, \"Reconstruction classification method for quantitative photoacoustic tomography,\" J. Biomed. Opt. 20, 126004 (2015) 33. A. Hannukainen, N. Hyvonen, H. Majander, and T. Tarvainen, \"E fficient inclusion of total variation type priors in quantitative photoacoustic tomography,\" SIAM J. Imag. Sci. 9, 1132 1153 (2016) 34. M. Venugopal, P. van Es, S. Manohar, D. Roy, and R. M. Vasu, \"Quantitative photoacoustic tomography by stochastic search: direct recovery of the optical absorption field,\" Opt. Lett. 41, 4202 4205 (2016) 35. R. Hochuli, S. Powell, S. Arridge, and B. Cox, \"Quantitative photoacoustic tomography using forward and adjoint Monte Carlo models of radiance,\" J. Biomed. Opt. 21, 126004 (2016) 36. P. Shao, T. Harrison, and R. J. Zemp, \"Iterative algorithm for multiple illumination photoacoustic tomography (MIPAT) using ultrasound channel data,\" Biomed. Opt. Express 3, 3240 3248 (2012) 37. N. Song, C. Deumie, and A. Da Silva, \"Considering sources and detectors distributions for quantitative photoacoustic tomography,\" Biomed. Opt. Express 5, 3960 3974 (2014) 38. M. Haltmeier, L. Neumann, and S. Rabanser, \"Single stage reconstruction algorithm for quantitative photoacoustic tomography,\" Inv. Probl. 31, 065005 (2015) 39. H. Gao, J. Feng, and L. Song, \"Limited view multi source quantitative photoacoustic tomography,\" Inv. Probl. 31, 065004 (2015) 40. T. Ding, K. Ren, and S. Vallelian, \"A one step reconstruction algorithm for quantitative photoacoustic imaging,\" Inv. Probl.31, 095005 (2015) 41. A. Pulkkinen, B. T. Cox, S. R. Arridge, H. Goh, J. P. Kaipio, and T. Tarvainen, \"Direct estimation of optical parameters from photoacoustic time series in quantitative photoacoustic tomography,\" IEEE Trans. Med. Imag. 35, 2497 2508 (2016) 42. B. Cox, T. Tarvainen, and S. Arridge, \"Multiple illumination quantitative photoacoustic tomography using transport and di ffusion models,\" in \"Tomography and Inverse Transport Theory (Contemporary Mathematics)\" G. Bal, D. Finch, P. Kuchment, J. Schotland, P. Stefanov, and G. Uhlmann, eds. vol. 559, pp. 1 12, (American Mathematical Society, Providence, 2011) 43. X. Li, L. Xi, R. Jiang, L. Yao, and H. Jiang, \"Integrated di ffuse optical tomography and photoacoustic tomography: phantom validations,\" Biomed. Opt. Express 2, 2348 2353 (2011) 44. X. Li and H. Jiang, \"Impact of inhomogeneous optical scattering coe ffi ient distribution on recovery of optical absorption coe fficient maps using tomographic photoacoustic data,\" Phys. Med. Biol. 58, 999 1011 (2013) 45. C. Xu, P. D. Kumavor, A. Aguirre, and Q. Zhu, \"Investigation of a di ffuse optical measurements assisted quantitative photoacoustic tomographic method in reflection geometry,\" J. Biomed. Opt. 17, 061213 (2012) 46. K. Ren, H. Gao, and H. Zhao, \"A hybrid reconstruction method for quantitative PAT,\" SIAM J. Imag. Sci. 6, 32 55 Vol. 8, No. 10 1 Oct 2017 BIOMEDICAL OPTICS EXPRESS 4381",
"author_names": [
""
],
"corpus_id": 3389490,
"doc_id": "3389490",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Quantitative photoacoustic tomography augmented with surface light measurements",
"venue": "",
"year": 2017
},
{
"abstract": "This chapter focuses on the production of NOx from air and air+O2 is investigated in a pulsed powered milli scale gliding arc (GA) reactor, aiming at a containerized process for fertilizer production. First, the electrical parameters of the gliding arc reactor, such as frequency, pulse width, and amplitude of input voltage are investigated. Influence of process parameters such as feed mixture, flowrate, feed preheating, and effect of Ar and O2 content in feed are also investigated at varying specific energy input. The findings are correlated with high speed imaging of the GA dynamics. Increase in frequency, pulse width and input voltage amplitude resulted in an increased specific energy input, which lead to increase in NOx production. An O2 content of 40 48% was optimum, with an enhancement of ~20% in NOx production. Addition of Ar and preheating of the feed resulted in lower NOx production. The volume covered by GA depends strongly on the gas flowrate, emphasizing that the gas flowrate has a major impact on the GA dynamics and the reaction kinetics. For 0.5 L/min, 1.4 vol% of NOx concentration was realized. Chapter 1 Introduction Page 20 Processing, Wiley, 2005. [43] S. L. Miller, Science 1953, 117, 528 529. [44] S. L. Miller, H. C. Urey, Sci. 1959, 130, 245 251. [45] R. Hippler, Low Temperature Plasma Physics: Fundamental Aspects and Applications, Wiley VCH, 2001. [46] A. P. Napartovich, Plasmas Polym. 2001, 6, 1 14. [47] M. Thiemann, E. Scheibler, K. W. Wiegand, in Ullmann's Encycl. Ind. Chem. Wiley VCH Verlag GmbH Co. KGaA, 2000. [48] D. C. Frost, C. A. McDowell, R. Soc. London. Math. Phys. Sci. 1956, A, 278 284. [49] F. Coudert J, Contribution D L'ktude de La Synthese Des Oxydes D'azote Par Chalumeau D Plasma, Universitt de Limoges, 1978. [50] P. R. Ammann, R. S. Timmins, AIChE J. 1966, 12, 956 963. [51] G. Schaub, T. Turek, Energy Flows, Material Cycles and Global Development: A Process Engineering Approach to the Earth System, 2011. [52] S. Samukawa, M. Hori, S. Rauf, K. Tachibana, P. Bruggeman, G. Kroesen, J. C. Whitehead, A. B. Murphy, A. F. Gutsol, S. Starikovskaia, et al. J. Phys. D. Appl. Phys. 2012, 45, 253001. [53] P. Fauchais, J. Rakowitz, J. Phys. 1979, 40, C7 289 C7 312. [54] J. C. Whitehead, J. Phys. D. Appl. Phys. 2016, 49, 243001. [55] J. C. Whitehead, Pure Appl. Chem. 2010, 82, 1329 1336. [56] H. Kim, Y. Teramoto, N. Negishi, A. Ogata, Catal. Today 2015, 256, 13 22. [57] E. C. Neyts, K. (Ken) Ostrikov, M. K. Sunkara, A. Bogaerts, Chem. Rev. 2015, 115, 13408 13446. [58] E. C. Neyts, a Bogaerts, J. Phys. D. Appl. Phys. 2014, 47, 224010. [59] C. E. Stere, W. Adress, R. Burch, S. Chansai, A. Goguet, W. G. Graham, F. De Rosa, V. Palma, C. Hardacre, ACS Catal. 2014, 4, 666 673. [60] A. Gomez Ramirez, V. J. Rico, J. Cotrino, A. R. Gonzalez Elipe, R. M. Lambert, ACS Catal. 2014, 4, 402 408. [61] L. Wang, Y. Yi, Y. Zhao, R. Zhang, J. Zhang, H. Guo, ACS Catal. 2015, 4167 4174. [62] X. Duan, Z. Hu, Y. Li, B. Wang, AIChE J. 2014, DOI 10.1002/aic. [63] H. J. Gallon, X. Tu, J. C. Whitehead, Plasma Process. Polym. 2012, 9, 90 97. [64] Q. Wang, B. H. Yan, Y. Jin, Y. Cheng, Plasma Chem. Plasma Process. 2009, 29, 217 228. [65] X. Tu, H. J. Gallon, M. V Twigg, P. a Gorry, J. C. Whitehead, J. Phys. D. Appl. Phys. 2011, 44, 274007. [66] H. L. Chen, H. M. Lee, S. H. Chen, M. B. Chang, S. J. Yu, S. N. Li, Environ. Sci. Technol. 2009, 43, 2216 27. [67] A. M. Vandenbroucke, R. Morent, N. De Geyter, C. Leys, J. Hazard. Mater. 2011, 195, 30 54. [68] J. Van Durme, J. Dewulf, C. Leys, H. Van Langenhove, Appl. Catal. B Environ. 2008, 78, 324 333. [69] F. Thevenet, L. Sivachandiran, O. Guaitella, C. Barakat, a Rousseau, J. Phys. D. Appl. Phys. 2014, 47, 224011. [70] M. B. Kizling, S. G. Jaras, Appl. Catal. A Gen. 1996, 147, 1 21. [71] A. Fridman, S. Nester, L. A. Kennedy, A. Saveliev, O. Mutaf yardimci, 1999, 25, 211 231. [72] A. Czemichowski, 1994, 66, 1301 1310. [73] S. Kolev, a Bogaerts, Plasma Sources Sci. Technol. 2015, 24, 15025. [74] A. Indarto, D. R. Yang, J. W. Choi, H. Lee, H. K. Song, J. Hazard. Mater. 2007, 146, 309 315. [75] K. Pornmai, A. Jindanin, H. Sekiguchi, 2012, 2 12. [76] B. Spasova, D. Tiemann, M. O'Connell, A. Ziogas, G. Kolb, V. Hessel, Int. J. Hydrogen Energy 2014, 39, 12657 12666. [77] X. Tu, J. C. Whitehead, Int. J. Hydrogen Energy 2014, 39, 9658 9669. [78] K. Krawczyk, B. Ulejczyk, Plasma Chem. Plasma Process. 2003, 23, 265 281. [79] V. Dalaine, J. M. Cormier, P. Lefaucheux, J. Appl. Phys. 1998, 83, 2435 2441. [80] K. Krawczyk, M. Mlotek, Appl. Catal. B Environ. 2001, 30, 233 245. [81] V. Hessel, a. Anastasopoulou, Q. Wang, G. Kolb, J. Lang, Catal. Today 2013, 211, 9 28. [82] V. Hessel, Q. Wang, J. Lang, Chim. Oggi/Chemistry Today 2016, 34, 78 81. [83] A. Anastasopoulou, Q. Wang, V. Hessel, J. Lang, Processes 2014, 2, 694 710. [84] A. Anastasopoulou, S. Butala, B. S. Patil, J. Lang, V. Hessel, Q. Wang, Submitt. to Process. 2016. CHAPTER 2 PLASMA ASSISTED NITROGEN OXIDE PRODUCTION FROM AIR IN A GLIDING ARC REACTOR: CHARACTERIZATION FOR ELECTRICAL AND PROCESS PARAMETERS This chapter has been adapted from: Patil, B. S. F. J. J. Peeters, Medrano J. A. Gerard van Rooij, Gallucci F. Lang, J. Wang, Q. Hessel, V. (2016) Plasma nitrogen oxide production from air at atmospheric pressure using a pulse powered milli scale gliding arc reactor. Submitted to AIChEJ. Patil, B. S. Rovira Palau, Joan, Hessel, V. Lang, J. Wang, Q. (2016) Plasma nitrogen oxides synthesis in a milli scale gliding arc reactor: investigating the electrical and process parameters. Plasma Chem. Plasma Proc. 36(1) 241 257. Abstract This chapter focuses on the production of NOx from air and air+O2 is investigated in a pulsed powered milli scale gliding arc (GA) reactor, aiming at a containerized process for fertilizer production. First, the electrical parameters of the gliding arc reactor, such as frequency, pulse width, and amplitude of input voltage are investigated. Influence of process parameters such as feed mixture, flowrate, feed preheating, and effect of Ar and O2 content in feed are also investigated at varying specific energy input. The findings are correlated with high speed imaging of the GA dynamics. Increase in frequency, pulse width and input voltage amplitude resulted in an increased specific energy input, which lead to increase in NOx production. An O2 content of 40 48% was optimum, with an enhancement of ~20% in NOx production. Addition of Ar and preheating of the feed resulted in lower NOx production. The volume covered by GA depends strongly on the gas flowrate, emphasizing that the gas flowrate has a major impact on the GA dynamics and the reaction kinetics. For 0.5 L/min, 1.4 vol% of NOx concentration was realized.This chapter focuses on the production of NOx from air and air+O2 is investigated in a pulsed powered milli scale gliding arc (GA) reactor, aiming at a containerized process for fertilizer production. First, the electrical parameters of the gliding arc reactor, such as frequency, pulse width, and amplitude of input voltage are investigated. Influence of process parameters such as feed mixture, flowrate, feed preheating, and effect of Ar and O2 content in feed are also investigated at varying specific energy input. The findings are correlated with high speed imaging of the GA dynamics. Increase in frequency, pulse width and input voltage amplitude resulted in an increased specific energy input, which lead to increase in NOx production. An O2 content of 40 48% was optimum, with an enhancement of ~20% in NOx production. Addition of Ar and preheating of the feed resulted in lower NOx production. The volume covered by GA depends strongly on the gas flowrate, emphasizing that the gas flowrate has a major impact on the GA dynamics and the reaction kinetics. For 0.5 L/min, 1.4 vol% of NOx concentration was realized. 2 Chapter 2 NOx Production in Milli scale Gliding Arc Reactor Page 22 2.1 Introduction Among several alternatives, electricity driven non equilibrium plasma processes are considered to be very attractive contenders for energy efficient nitrogen fixation[9,10] It is worth noting that nitrogen fixation via nonthermal plasma (NTP) offers an opportunity to produce fossil free nitrogen oxides due its 3.5 times lower theoretical energy requirement[11,12] In NTP, highly energetic electrons collide with bulk gas molecules, yielding a mixture of highly reactive species which enable thermodynamically unfavorable reactions like nitrogen fixation at low temperatures and atmospheric pressures[13,14] Plasma processes are more attractive on smaller scales like in containers or modular plants[15] Plasma assisted nitrogen fixation offers an interesting opportunity as atmospheric pressure and ambient temperature processing will substantially improve plant safety and decrease the operational and capital costs compared to the high pressure HaberBosch process[16] The mild operating conditions and relatively small scale could also encourage development of localized nitrogen fertilizer production plants. The approach of decentralized chemical production has been undertaken in the EU funded MAPSYN project for plasma assisted nitrogen fixation process[11,12] which would eventually benefit remote places to produce their own fertilizer and fuels, using only renewable energy sources such as solar or wind[17] along similar lines, N2 applied, a Norwegian company, is commercializing the plasma assisted nitrogen fixation process on smaller scale for use by farmers[18] The overall reactions representing nitrogen fixation with oxygen to give NOx (NO NO2) which further absorbed in water to yield nitric acid are depicted by reaction R1 and R2. The ratio of NO and NO2 is determined by thermodynamic equilibrium and the reaction kinetics[29] The reaction enthalpy of the process (DH) is fairly high, therefore high energy is needed to carry out this reaction. DHf= 90.4 kJ mol 1 (R1) DHf= 33.2 kJ mol",
"author_names": [
"Bs Bhaskar Patil"
],
"corpus_id": 102762207,
"doc_id": "102762207",
"n_citations": 13,
"n_key_citations": 1,
"score": 0,
"title": "Plasma (catalyst) assisted nitrogen fixation reactor development for nitric oxide and ammonia production",
"venue": "",
"year": 2017
},
{
"abstract": "High aspect ratio titanium nitride (TiN) grating structures are fabricated by the combination of deep reactive ion etching (DRIE) and atomic layer deposition (ALD) techniques. TiN is deposited at 500 *C on a silicon trench template. Silicon between vertical TiN layers is selectively etched to fabricate the high aspect ratio TiN trenches with the pitch of 400 nm and height of around 2.7 mm. Dielectric functions of TiN films with different thicknesses of 18 105 nm and post annealing temperatures of 700 900 *C are characterized by an ellipsometer. We found that the highest annealing temperature of 900 *C gives the most pronounced plasmonic behavior with the highest plasma frequency, op 2.53 eV (lp 490 nm) Such high aspect ratio trench structures function as a plasmonic grating sensor that supports the Rayleigh Woods anomalies (RWAs) enabling the measurement of changes in the refractive index of the ambient medium in the wavelength range of 600 900 nm. We achieved the bulk refractive index sensitivity (BRIS) of approximately 430 nm/RIU relevant to biosensing liquids. (c) 2017 Optical Society of America OCIS codes: (050.1950) Diffraction gratings; (250.5403) Plasmonics; (160.4760) Optical properties; (240.0310) Thin films; (220.4241) Nanostructure fabrication. References and links 1. W. L. Barnes, A. Dereux, and T. W. Ebbesen, \"Surface plasmon subwavelength optics,\" Nature 424(6950) 824 830 (2003) 2. M. Sandtke and L. Kuipers, \"Slow guided surface plasmons at telecom frequencies,\" Nat. Photon. 1(10) 573 576 (2007) 3. S. P. Burgos, Ho W. Lee, E. Feigenbaum, R. M. Briggs, and H. A. Atwater, \"Synthesis and characterization of plasmonic resonant guided wave networks,\" Nano Lett. 14(6) 3284 3292 (2014) 4. H. A. Atwater and A. Polman, \"Plasmonics for improved photovoltaic devices,\" Nat. Mater. 9(10) 205 213 (2010) 5. A. Aubry, D. Y. Lei, A. I. Fernandez Domonguez, Y. Sonnefraud, S. A. Maier and J. B. Pendry, \"Plasmonic light harvesting devices over the whole visible spectrum,\" Nano Lett. 10(7) 2574 2579 (2010) 6. J. Homola, S. S. Yee, and G. Gauglitz, \"Surface plasmon resonance sensors: review,\" Sensors Actuators B Chem. 54, 3 15 (1999) 7. J. Homola, I. Koudela, and S. S. Yee, \"Surface plasmon resonance sensors based on diffraction gratings and prism couplers: sensitivity comparison,\" Sensors and Actuators B 54, 16 24 (1999) 8. M. E. Stewart, C. R. Anderton, L. B. Thompson, J. Maria, S. K. Gray, J. A. Rogers, and R. G. Nuzzo, \"Nanostructured plasmonic sensors,\" Chem. Rev. 108, 494 521 (2008) 9. J. N. Anker, W. P. Hall, O. Lyandres, N. C. Shah, J. Zhao and R. P. Van Duyne, \"Biosensing with plasmonic nanosensors,\" Nat. Mater. 7(6) 442 453 (2008) 10. A. V. Kabashin, P. Evans, S. Pastkovsky, W. Hendren, G. A. Wurtz, R. Atkinson, R. Pollard, V. A. Podolskiy, and A. V. Zayats, \"Plasmonic nanorod metamaterials for biosensing,\" Nat. Mater. 8(11) 867 871 (2009) 11. A. G. Brolo, \"Plasmonics for future biosensors,\" Nat. Photon. 6(11) 709 713 (2012) 12. C. Valsecchi and A. G. Brolo, \"Periodic metallic nanostructures as plasmonic chemical sensors,\" Langmuir 29, 5638 5649 (2013) 13. S. Savoia, A. Ricciardi, A. Crescitelli, C. Granata, E. Esposito, V. Galdi, and A. Cusano, \"Surface sensitivity of Rayleigh anomalies inmetallic nanogratings,\" Opt. Express 21(20) 23531 23542 (2013) 14. M. Eitan, Z. Iluz, Y. Yifat, A. Boag, Y. Hanein, and J. Scheuer, \"Degeneracy breaking of Wood's anomaly for enhanced refractive index sensing,\" ACS Photonics 2, 615 621 (2015) 15. B. Spackova, P. Wrobel, M. Bockova, and J. Homola, \"Optical biosensors based on plasmonic nanostructures: a review,\" Proceedings of the IEEE 23(12) 2380 2408 (2016) 16. K. V. Sreekanth, Y. Alapan, M. ElKabbash, E. Ilker, M. Hinczewski, U. A. Gurkan, A. De Luca, and G. Strangi, \"Extreme sensitivity biosensing platform based on hyperbolic metamaterials,\" Nat. Mater. 15(6) 621 627 (2016) Vol. 7, No. 11 1 Nov 2017 OPTICAL MATERIALS EXPRESS 4171 #305449 https:/doi.org/10.1364/OME.7.004171 Journal (c) 2017 Received 23 Aug 2017; revised 25 Oct 2017; accepted 25 Oct 2017; published 31 Oct 2017 17. H. Inan, M. Poyraz, F. Inci, M. A. Lifson, M. Baday, B. T. Cunningham, and U. Demirci, \"Photonic crystals: emerging biosensors and their promise for point of care applications,\" Chem. Soc. Rev. 46, 366 388 (2017) 18. H. E. Rebenne and D. G. Bhat, \"Review of CVD TiN coatings for wear resistant applications: deposition processes, properties and performance,\" Surf. and Coat.Technol. 63(1 2) 1 13 (1994) 19. P. R. West, S. Ishii, G. V. Naik, N. K. Emani, V. M. Shalaev, and A. Boltasseva, \"Searching for better plasmonic materials,\" Laser Photonics Rev. 4(6) 795 808 (2010) 20. G. V. Naik, J. L. Schroeder, X.Ni, A. V. Kildishev, T. D. Sands, and A. Boltasseva, \"Titanium nitride as a plasmonic material for visible and near infrared wavelengths,\" Opt. Mater. Express 2(4) 478 489 (2012) 21. G. V. Naik, V. M. Shalaev, and A. Boltasseva, \"Alternative plasmonic materials: beyond gold and silver,\" Adv. Mater. 25, 3264 3294 (2013) 22. G. V. Naik, B. Saha, J. Liu, S. M. Saber, E. Stach, J. M. K. Irudayaraj, T. D. Sands, V. M. Shalaev, and A. Boltasseva, \"Epitaxial superlattices with titanium nitride as a plasmonic component for optical hyperbolic metamaterials,\" Proc. Natl. Acad. Sci. 111, 7546 7551 (2014) 23. A. Boltasseva, \"Empowering plasmonics and metamaterials technology with new material platforms,\" MRS Bull. 39(5) 461 468 (2014) 24. Y. Wang, A. Capretti, and L. Dal Negro, \"Wide tuning of the optical and structural properties of alternative plasmonic materials,\" Opt. Mater. Express 5(11) 2415 2430 (2015) 25. S. Prayakarao, S. Robbins, N. Kinsey, A. Boltasseva, V. M. Shalaev, U. B. Wiesner, C. E. Bonner, R. Hussain, N. Noginova, and M. A. Noginov, \"Gyroidal titanium nitride as nonmetallic metamaterial,\" Opt. Mater. Express 5(6) 1316 1322 (2015) 26. L. Berthod, V. Gate,M. Bichotte, M. Langlet, f. Vocanson, C. Jimenez, d. Jamon, I. Verrier, C. Veillas, O. Parriaux, and Y. Jourlin, \"Direct fabrication of metal like TiN based plasmonic grating using nitridation of a photopatternable TiO2 sol gel film,\" Opt. Mater. Express 6(8) 2508 2520 (2016) 27. U. Guler, D. Zemlyanov, J. Kim, Z. Wang, R. Chandrasekar, X. Meng, E. Stach, A. V. Kildishev, V. M. Shalaev, and A. Boltasseva, \"Plasmonic titanium nitride nanostructures via nitridation of nanopatterned titanium dioxide,\" Adv. Opt. Mater. 5(7) 1600717 (2017) 28. I. S. Yu, H. E. Cheng, C. C. Chang, Y. W. Lin, H. T. Chen, Y. C. Wang, and Z. P. Yang, \"Substrate insensitive atomic layer deposition of plasmonic titanium nitride films,\" Opt. Mater. Express7(3) 777 784 (2017) 29. L. Braic, N. Vasilantonakis, A. P. Mihai, I. J. V. Garcia, S. Fearn, B. Zou, B. Doiron, R. F. Oulton, L. Cohen, S. A. Maier, N. McN. Alford, A. V. Zayats, and P. K. Petrov, \"Titanium oxynitride thin films with tunable double epsilon near zero behaviour,\" https:/arxiv.org/abs/1703.09467 30. S. M. George, \"Atomic layer deposition: an overview,\" Chem. Rev. 110(1) 111 131 (2010) 31. D. R. G. Mitchell, D. J. Attard, K. S. Finnie, G. Triani, C. J. Barbe, C. Depagne and J. R. Bartlett, \"TEM and ellipsometry studies of nanolaminate oxide films prepared using atomic layer deposition,\" Appl. Surf. Sci. 243(1 4) 265 277 (2005) 32. S. V. Zhukovsky, A. Andryieuski, O. Takayama, E. Shkondin, R. Malureanu, F. Jensen, and A. V. Lavrinenko, \"Experimental demonstration of effective medium approximation breakdown in deeply subwavelength all dielectric multilayers,\" Phys. Rev. Lett. 115(17) 177402 (2015) 33. E. Shkondin, O. Takayama, J. M. Lindhard, P. V. Larsen, M. D. Mar, F. Jensen, and A. V. Lavrinenko, \"Fabrication of high aspect ratio TiO2 and Al2O3 nanogratings by atomic layer deposition,\" J. Vac. Sci. Technol. A 34(3) 31605 (2016) 34. C. T. Riley, J. S. T. Samallet, J. R. J. Brodie, Y. Fainman, D. J. Sirbuly and Z. Liu, \"Near perfect broadband absorption from hyperbolic metamaterial nanoparticles,\" PNAS 114(6) 1264 1268 (2017) 35. C. T. Riley, J. S. T. Smalley, K. W. Post, D. N. Basov, Y. Fainman, D. Wang, Z. Liu, and D. J. Sirbuly, \"High Quality, Ultraconformal Aluminum Doped Zinc Oxide Nanoplasmonic and Hyperbolic Metamaterials,\" Small 12(7) 892 901 (2016) 36. E. Shkondin, O. Takayama, M. E. A. Panah, P. Liu, P. V. Larsen, M. D. Mar, F. Jensen, and A. V. Lavrinenko, \"Large scale high aspect ratio Al doped ZnO nanopillars arrays as anisotropic metamaterials,\" Opt. Mater. Express 7(5) 1606 1627 (2017) 37. O. Takayama, E. Shkondin, A. Bodganov, M. E. A. Panah, K. Golenitskii, P. Dmitriev, T. Repan, R. Malureanu, P. Belov, F. Jensen, and A. V. Lavrinenko, \"Mid infrared directional surface waves on a high aspect ratio nano trench platform,\" https:/arxiv.org/abs/1704.06108. 38. P. Parsalas, N. Kalfagiannis, and S. Kassavetis, \"Optical properties and plasmonic performance of titanium nitride,\" Materials 8 3128 3154 (2015) 39. C. J. Choi, Y. S. Seol, and K. Baik, \"TiN etching and its effects on tungsten etching in SF6/Ar helicon plasma,\" Jpn. J. Appl. Phys. 37, 801 806 (1998) 40. M. Darnon, T. Chevolleau, D. Eon, L. Vallier, J. Torres, and O. Joubert, \"Etching characteristics of TiN used as hard mask in dielectric etch process,\" J. Vac. Sci. Technol. B 24(5) 2262 2270 (2006) 41. J. Woo, C. Choi, Y. Joo, H. Kim, and C. Kim, \"The dry etching of TiN thin films using inductively coupled CF4/Ar plasma,\" Trans. Electr. Electron. Mater. 14(2) 67 70 (2013) 42. J. Tonotani, T. Iwamoto, F. Sato, K. Hattori, S. Ohmi, and H. Iwai \"Dry etching characteristics of TiN film using Ar/CHF3, Ar/Cl2, and Ar/BCl3 gas chemistries in an inductively coupled plasma,\" J. Vac. Sci. Technol. B 21(5) 2163 2168 (2003) Vol. 7, No. 11 1 Nov 2017 OPTICAL MATERIALS EXPRESS 4172",
"author_names": [
"S E",
"",
"Ram Prabhu T",
"T O",
"L A V"
],
"corpus_id": 211095150,
"doc_id": "211095150",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "High aspect ratio titanium nitride trench structures as plasmonic biosensor",
"venue": "",
"year": 2017
},
{
"abstract": "1. Srisawat N, Hoste EE, Kellum JA: Modern classification of acute kidney injury. Blood Purif 29: 300, 2010. [PMID: 20130395] 2. Bellomo R, Ronco C, Kellum JA, et al: Acute renal failure: definition, outcome measures, animal models, fluid therapy and information technology needs: the Second International Consensus Conference of the Acute Dialysis Quality Initiative (ADQI) Group. Crit Care 8: R204, 2004. [PMID: 15312219] 3. Mehta RL, Kellum JA, Shah SV, et al: Acute Kidney Injury Network: report of an initiative to improve outcomes in acute kidney injury. Crit Care 11: R31, 2007. [PMID: 17331245] 4. Kidney Disease: Improving Global Outcomes (KDIGO) Acute Kidney Injury Work Group: KDIGO clinical practice guideline for acute kidney injury. Kidney Int Suppl 2: 1, 2012. 5. Kaufman J, Dhakal M, Patel B, Hamburger R: Community acquired acute renal failure. Am J Kidney Dis 17: 191, 1991. [PMID: 1992662] 6. Hsu CN, Lee CT, Su CH, et al: Incidence, outcomes, and risk factors of communityacquired and hospital acquired acute kidney injury. Medicine 95: e3674, 2016. [PMID: 27175701] 7. Stucker F, Ponte B, De la Fuente V, et al: Risk factors for community acquired acute kidney injury in patients with and without chronic kidney injury and impact of its initial management on prognosis: a prospective observational study. BMC Nephrol 18: 380, 2017. [PMID: 29287584] 8. Xu X, Nie S, Liu Z, et al: Epidemiology and clinical correlates of AKI in Chinese hospitalized adults. Clin J Am Soc Nephrol 10: 1510, 2015. [PMID: 26231194] 9. Pierson Marchandise M, Gras V, Moragny J, et al: The drugs that most frequently induce acute kidney injury: a case noncase study of a pharmacovigilance database. Br J Clin Pharmacol 83: 1341, 2017. [PMID: 28002877] 10. Wonnacott A, Meran S, Amphlett B, et al: Epidemiology and outcomes in communityacquired versus hospital acquired AKI. Clin J Am Soc Nephrol 9: 1007, 2014. [PMID: 24677557] 11. Talabani B, Zouwail S, Pyart RD, Meran S, Riley SG, Phillips AO: Epidemiology and outcome of community acquired acute kidney injury. Nephrology (Carlton) 19: 282, 2014. [PMID: 24571827] 12. Wang Y, Cui Z, Fan M: Hospital acquired and community acquired acute renal failure in hospitalized Chinese: a ten year review. Ren Fail 29: 163, 2007. [PMID: 17365931] 13. Wang Y, Wang J, Su T, et al: Community acquired acute kidney injury: a nationwide survery in China. Am J Kidney Dis 69: 674, 2016. 14. Der Mesropian PJ, Kalamaras JS, Eisele G, Phelps KR, Asif A, Mathew RO: Long term outcomes of community acquired versus hospitalacquired acute kidney injury: a retrospective analysis. Clin Nephrol 81: 174, 2014. [PMID: 24361059] 15. Soto K, Campos P, Pinto I, et al: The risk of chronic kidney disease and mortality are increased after community acquired acute kidney disease. Kidney Int 90: 1090, 2016. [PMID: 27658322] 16. Coca SG, Singanamala S, Parikh CR: Chronic kidney disease after acute kidney injury: a systematic review and meta analysis. Kidney Int 81: 442 2012. [PMID: 22113526] 17. Akram AR, Singanayagam A, Choudhury G, et al: Incidence and prognostic implicaitons of acute kidney injury on admission in patients with community acquired pneumonia. Chest 138: 825, 2010. [PMID: 20435657] 18. Hodgson LE, Dimitrov BD, Roderick PJ, et al: Predicting AKI in emergency admissions: an external validation study of the acute kidney injury prediction score (APS) BMJ Open 7: e013511, 2017. [PMID: 28274964] 19. Xu X, Hu J, Song N, et al: Hyperuricemia increases the risk of acute kidney injury: a systematic review and meta analysis. BMC Nephrol 18: 27, 2017. [PMID: 28095822] 20. Delaney I, Givens ML, Vohra R: Use of RIFLE criteria to predict the severity and prognosis of acute kidney injury in emergency department patients with rhabdomyolysis. J Emerg Med 42: 521, 2012. [PMID: 21549548] 21. Wrenn KD, Slovis CM, Slovis BS: The ability of physicians to predict hyperkalemia from the ECG. Ann Emerg Med 20: 1229, 1991. [PMID: 1952310] 22. Freeman K, Feldman JA, Mitchell P, et al: Effects of presentation and electrocardiogram on time to treatment of hyperkalemia. Acad Emerg Med 15: 239, 2008. [PMID: 18304054] 23. Haukoos JS, Lewis RJ: The propensity score. JAMA 314: 1637, 2015. [PMID: 26501539] 24. Davenport MS, Khalatbari S, Dillman JR, Cohan RH, Caoili EM, Ellis JH: Contrast material induced nephrotoxicity and intravenous low osmolality iodinated contrast material. Radiology 267: 94, 2013. [PMID: 23360737] 25. McDonald RJ, McDonald JS, Carter RE, et al: Intravenous contrast material exposure is not an independent risk factor for dialysis or mortality. Radiology 273: 714, 2014. [PMID: 25203000] 26. Hsieh MS, Chiu CS, How CK, et al: Contrast medium exposure during computed tomography and risk of development of end stage renal disease in patients with chronic kidney disease: a nationwide population based, propensity score matched, longitudinal followup study. Medicine 95: e3388, 2016. [PMID: 27100424] 27. Tremblay LN, Tien H, Hamilton P, et al: Risk and benefit of intravenous contrast in trauma patients with an elevated serum creatinine. J Trauma 59: 1162, 2005. [PMID: 16385295] 28. Cely CM, Schein RM, Quartin AA: Risk of contrast induced nephropathy in the critically ill: a prospective, case matched study. Crit Care 16: R67, 2012. [PMID: 22534554] 29. Hinson JS, Ehmann MR, Fine DM, et al: Risk of acute kidney injury after intravenous contrast media administration. Ann Emerg Med 69: 577, 2017. [PMID: 28131489] 30. Subramaniam RM, Suarez Cuervo C, Wilson RF, et al: Effectiveness of prevention strategies for contrast induced nephropathy: a systematic review and meta analysis. Ann Intern Med 164: 406, 2016. [PMID: 26830221] 31. Kama A, Yilmaz S, Yaka E, et al: Comparison of short term infusion regimens of N acetylcysteine plus intravenous fluids, sodium bicarbonate plus intravenous fluids, and intravenous fluids alone for prevention of contrast induced nephropathy in the emergency department. Acad Emerg Med 21: 615, 2014. [PMID: 25039544] 32. ACR Committee on Drugs and Contrast Media: ACR Manual on Contrast Media, Version 10.3. Reston VA: American College of Radiology; 2017:1 125. 33. Khalili H, Bairami S, Kargar M: Antibiotics induced acute kidney injury: incidence, risk factors, onset time and outcome. Acta Medica Iranica 51: 871, 2013. [PMID: 24442542] 34. Gelfand MS, Cleveland KO: Vancomycin induced nephrotoxicity. Antimicrob Agents Chemother 57: 2435, 2013. [PMID: 23580581] 35. Bird ST, Etminan M, Brophy JM, et al: Risk of acute kidney injury associated with the use of fluoroquinolones. CMAJ 185: E475, 2013. [PMID: 23734036] 36. Soto K, Campos P, Pinto I, et al: The risk of chronic kidney disease and mortality are increased after community acquired acute kidney disease. Kidney Int 90: 1090, 2016. [PMID: 27658322] 37. Malhotra R, Siew ED: Biomarkers for the early detection and prognosis of acute kidney injury. Clin J Am Soc Nephrol 12: 149, 2017. [PMID: 27827308] 38. Uchino S, Bellomo R, Goldsmith D: The meaning of the blood urea nitrogen/creatinine ratio in acute kidney injury. Clin Kidney J 5: 187, 2012. [PMID: 29497527] 39. Manoeuvrier G, Bach Ngohou K, Batard E, et al: Diagnostic performance of serum blood urea nitrogen to creatinine ratio for distinguishing prerenal from intrinsic acute kidney injury in the emergency department. BMC Nephrol 18: 173, 2017. [PMID: 28545421] 40. Kidney Disease: Improving Global Outcomes (KDIGO) Acute Kidney Injury Work Group: KDIGO Clinical Practice Guideline for Acute Kidney Injury. Kidney Int Suppl 2: 1, 2012. 41. Ftouh S, Thomas M: Acute kidney injury: summary of NICE guidance. BMJ 347: f4930, 2013. [PMID: 23985310] 42. Joannidis M, Druml W, Forni LG, et al: Prevention of acute kidney injury and protection of renal function in the intensive care unit: update 2017: expert opinion of the Working Group on Prevention, AKI section, European Society of Intensive Care Medicine. Intensive Care Med 43: 730, 2017. [PMID: 28577069] 43. Perel P, Roberts I, Ker K: Colloids versus crystalloids for fluid resuscitation in critically ill patients. Cochrane Database Syst Rev 28: CD000567, 2013. [PMID: 23450531] 44. Semler MW, Self WH, Wanderer JP, et al: Balanced crystalloids versus saline in critically ill adults. N Engl J Med 378: 829, 2018. [PMID: 29485925] 45. Self WH, Semler MW, Wanderer JP, et al: Balanced crystalloids versus saline in noncritically ill adults. N Engl J Med 378: 819, 2018. [PMID: 29485926] 46. Wang N, Jiang L, Zhu B, et al: Fluid balance and mortality in critically ill patients with acute kidney injury: a multicenter prospective epidemiological study. Crit Care 19: 371, 2015. [PMID: 26494153] 47. Airapetian N, Maizel J, Alyamani O, et al: Does inferior vena cava respiratory variability predict fluid responsiveness in spontaneously breathing patients? Crit Care 19: 400, 2015. [PMID: 26563768] 48. Corl KA, George NR, Romanoff J, et al: Inferior vena cava collapsibility detects fluid responsiveness among spontaneously breathing critically ill patients. J Crit Care 41: 130, 2017. [PMID: 28525778] 49. Zhang L, Chen Z, Diao Y, et al: Associations of fluid overload with mortality and kidney recovery in patients with acute kidney injury: a systematic review and meta analysis. J Crit Care 30: 860.e7, 2015. [PMID: 25979272] 50. Ho KM, Sheridan DJ: Meta analysis of furosemide to prevent or treat acute renal failure. BMJ 333: 420, 2006. [PMID: 16861256] 51. Ho KM, Power BM: Benefits and risks of furosemide in acute kidney injury. Anaesthesia 65: 283, 2010. [PMID: 20085566] 52. Chawla LS, Davison DL, Brasha Mitchell E, et al: Development and standardization of a furosemide stress test to predict the severity of acute kidney injury. Crit Care 17: R207, 2013. [PMID: 24053972] 53. Koyner JL, Davison DL, Brasha Mitchell E, et al: Furosemide stress test and biomarkers for the prediction of AKI severity. J Am Soc Nephrol 26: 2023, 2015. [PMID: 25655065] 54. Matsuura R, Komaru Y, Miyamoto Y, et al: Response to different fu",
"author_names": [
"Richard Solomon",
"Harold L Dauerman"
],
"corpus_id": 209287101,
"doc_id": "209287101",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Acute Kidney Injury",
"venue": "",
"year": 2017
},
{
"abstract": "High aspect ratio free standing Al doped ZnO (AZO) nanopillars and nanotubes were fabricated using a combination of advanced reactive ion etching and atomic layer deposition (ALD) techniques. Prior to the pillar and tube fabrication, AZO layers were grown on flat silicon and glass substrates with different Al concentrations at 150 250 degC. For each temperature and Al concentration the ALD growth behavior, crystalline structure, physical, electrical and optical properties were investigated. It was found that AZO films deposited at 250 degC exhibit the most pronounced plasmonic behavior with the highest plasma frequency. During pillar fabrication, AZO conformally passivates the silicon template, which is characteristic of typical ALD growth conditions. The last step of fabrication is heavily dependent on the selective chemistry of the SF6 plasma. It was shown that silicon between AZO structures can be selectively removed with no observable influence on the ALD deposited coatings. The prepared free standing AZO structures were characterized using Fourier transform infrared spectroscopy (FTIR) The restoration of the effective permittivities of the structures reveals that their anisotropy significantly deviates from the effective medium approximation (EMA) prognoses. It suggests that the permittivity of the AZO in tightly confined nanopillars is very different from that of flat AZO films. (c) 2017 Optical Society of America OCIS codes: (160.3918) Metamaterials; (160.4760) Optical properties; (240.0310) Thin films; (220.4241) Nanostructure fabrication. References and links 1. K. Nomura, H. Ohta, A. Takagi, T. Kamiya, M. Hirano, and H. Hosono, \"Room temperature fabrication of transparent flexible thin film transistors using amorphous oxide semiconductors,\" Nature 432(7016) 488 492 (2004) 2. O. K. Varghese, M. Paulose, and C. A. Grimes, \"Long vertically aligned titania nanotubes on transparent conducting oxide for highly efficient solar cells,\" Nat. Nanotechnol. 4(9) 592 597 (2009) 3. D. J. Lee, H. M. Kim, J. Y. Kwon, H. Choi, S. H. Kim, and K. B. Kim, \"Structural and Electrical Properties of Atomic Layer Deposited Al Doped ZnO Films,\" Adv. Funct. Mater. 21(3) 448 455 (2011) 4. H. Kim, C. M. Glimore, A. Pique, J. S. Horwitz, H. Mattoussi, H. Murata, Z. H. Kafafi, and D. B. Chrisey, \"Electrical, optical, and structural properties of indium tin oxide thin films for organic light emitting devices,\" J. Appl. Phys. 86(11) 6451 6461 (1999) 5. P. Banerjee, W. J. Lee, K. Bae, S. B. Lee, and G. W. Rubloff, \"Structural, electrical, and optical properties of atomic layer deposition Al doped ZnO films,\" J. Appl. Phys. 108(4) 043504 (2010) 6. T. Dhakal, D. Vanhart, R. Christian, A. Nandur, A. Sharma, and C. R. Westgate, \"Growth morphology and electrical/optical properties of Al doped ZnO thin films grown by atomic layer deposition,\" J. Vac. Sci. Technol. A 30(2) 021202 (2012) 7. Y. Geng, Z. Y. Xie, S. S. Xu, Q. Q. Sun, S. J. Ding, H. L. Lu, and D. W. Zhang, \"Effects of Rapid Thermal Annealing on Structural, Luminescent, and Electrical Properties of Al Doped ZnO Films Grown by Atomic Layer Deposition,\" ECS J. Solid State Sci. Technol. 1(3) N45 N48 (2012) 8 T. Tynell, H. Yamauchi, M. Karppinen, R. Okazaki, and I. Terasaki, \"Atomic layer deposition of Al doped ZnO thin films,\" J. Vac. Sci. Technol. A 31, 01A109 (2013) Vol. 7, No. 5 1 May 2017 OPTICAL MATERIALS EXPRESS 1606 #289092 https:/doi.org/10.1364/OME.7.001606 Journal (c) 2017 Received 6 Mar 2017; revised 10 Apr 2017; accepted 11 Apr 2017; published 13 Apr 2017 9. E. B. Pollock and R. J. Lad, \"Influence of dosing sequence and film thickness on structure and resistivity of AlZnO films grown by atomic layer deposition,\" J. Vac. Sci. Technol. A 32(4) 041516 (2014) 10. A. Crovetto, T. S. Ottsen, E. Stamate, D. Kjaer, J. Schou, and O. Hansen, \"On performance limitations and property correlations of Al doped ZnO deposited by radio frequency sputtering,\" J. Phys. D Appl. Phys. 49(29) 295101 (2016) 11. G. V. Naik, J. Liu, A. V. Kildishev, V. M. Shalaev, and A. Boltasseva, \"Demonstration of Al:ZnO as a plasmonic component for near infrared metamaterials,\" Proc. Natl. Acad. Sci. U.S.A. 109(23) 8834 8838 (2012) 12. A. K. Pradhan, R. M. Mundle, K. Santiago, J. R. Skuza, B. Xiao, K. D. Song, M. Bahoura, R. Cheaito, and P. E. Hopkins, \"Extreme tunability in aluminum doped zinc oxide plasmonic materials for near infrared applications,\" Sci. Rep. 4, 6415 (2014) 13. C. T. Riley, J. S. T. Smalley, K. W. Post, D. N. Basov, Y. Fainman, D. Wang, Z. Liu, and D. J. Sirbuly, \"HighQuality, Ultraconformal Aluminum Doped Zinc Oxide Nanoplasmonic and Hyperbolic Metamaterials,\" Small 12(7) 892 901 (2016) 14. S. Y. Myong, S. J. Baik, C. H. Lee, W. Y. Cho, and K. S. Lim, \"Extremely transparent and conductive ZnO:Al thin films prepared by photo assisted metalorganic chemical vapor deposition (photo MOCVD) using AlCl3(6H2O) as new doping material,\" Jpn. J. Appl. Phys. 36(8) 1078 1081 (1997) 15. P. P. Sahay and R. K. Nath, \"Al doped ZnO thin films as methanol sensors,\" Sens. Actuators B Chem. 134(2) 654 659 (2008) 16. H. Tanaka, K. Ihara, T. Miyata, H. Sato, and T. Minami, \"Low resistivity polycrystalline ZnO Al thin films prepared by pulsed laser deposition,\" J. Vac. Sci. Technol. A 22(4) 1757 1762 (2004) 17. S. K. Kim and J. Y. Son, \"Epitaxial ZnO Thin Films for the Application of Ethanol Gas Sensor: Thickness and Al Doping Effects,\" Electrochem. Solid State Lett. 12(2) J17 J19 (2009) 18. S. M. George, \"Atomic layer deposition: an overview,\" Chem. Rev. 110(1) 111 131 (2010) 19. S. Law, V. Podolskiy, and D. Wasserman, \"Towards nano scale photonics with micro scale photons: the opportunities and challenges of mid infrared plasmonics,\" Nanophotonics 2(2) 103 130 (2013) 20. Y. Zhong, S. D. Malagari, T. Hamilton, and D. Wasserman, \"Review of mid infrared plasmonic materials,\" J. Nanophotonics 9(1) 093791 (2015) 21. J. Haas and B. Mizaikoff, \"Advances in mid infrared spectroscopy for chemical analysis,\" Annu. Rev. Anal. Chem. (Palo Alto, Calif. 9(1) 45 68 (2016) 22. P. R. West, S. Ishii, G. V. Naik, N. K. Emani, V. M. Shalaev, and A. Boltasseva, \"Searching for better plasmonic materials,\" Laser Photonics Rev. 4(6) 795 808 (2010) 23. A. Boltasseva, \"Empowering plasmonics and metamaterials technology with new material platforms,\" MRS Bull. 39(5) 461 468 (2014) 24. V. N'Tsame Guilengui, L. Cerutti, J. B. Rodriguez, E. Tournie, and T. Taliercio, \"Localized surface plasmon resonances in highly doped semiconductors nanostructures,\" Appl. Phys. Lett. 101(16) 161113 (2012) 25. M. Cada, D. Blazek, J. Pistora, K. Postava, and P. Siroky, \"Theoretical and experimental study of plasmonic effects in heavily doped gallium arsenide and indium phosphide,\" Opt. Mater. Express 5(2) 340 352 (2015) 26. M. E. Panah, O. Takayama, S. V. Morozov, K. E. Kudryavtsev, E. S. Semenova, and A. V. Lavrinenko, \"Highly doped InP as a low loss plasmonic material for mid IR region,\" Opt. Express 24(25) 29077 29088 (2016) 27. J. Sun, N. M. Litchinitser, and J. Zhou, \"Indefinite by Nature: From Ultraviolet to Terahertz,\" ACS Photonics 1(4) 293 303 (2014) 28. J. D. Caldwell, I. Vurgaftman, J. G. Tischler, O. J. Glembocki, J. C. Owrutsky, and T. L. Reinecke, \"Atomicscale photonic hybrids for mid infrared and terahertz nanophotonics,\" Nat. Nanotechnol. 11(1) 9 15 (2016) 29. W. Cai and V. Shalaev, Optical Metamaterials (Springer, 2010) 30. S. Jahani and Z. Jacob, \"All dielectric metamaterials,\" Nat. Nanotechnol. 11(1) 23 36 (2016) 31. P. Shekhar, J. Atkinson, and Z. Jacob, \"Hyperbolic metamaterials: fundamentals and applications,\" Nano Converg 1(1) 14 (2014) 32 Y. Guo, W. Newman, C. L. Cortes, and Z. Jacob, \"Applications of Hyperbolic Metamaterial Substrates,\" Adv. Optoelectron. 2012(2012) 452502 (2012) 33. L. Ferrari, C. Wu, D. Lepage, X. Zhang, and Z. Liu, \"Hyperbolic metamaterials and their applications,\" Prog. Quantum Electron. 40, 1 40 (2015) 34. D. Lu and Z. Liu, \"Hyperlenses and metalenses for far field super resolution imaging,\" Nat. Commun. 3, 1205 (2012) 35. A. V. Kabashin, P. Evans, S. Pastkovsky, W. Hendren, G. A. Wurtz, R. Atkinson, R. Pollard, V. A. Podolskiy, and A. V. Zayats, \"Plasmonic nanorod metamaterials for biosensing,\" Nat. Mater. 8(11) 867 871 (2009) 36. K. V. Sreekanth, Y. Alapan, M. ElKabbash, E. Ilker, M. Hinczewski, U. A. Gurkan, A. De Luca, and G. Strangi, \"Extreme sensitivity biosensing platform based on hyperbolic metamaterials,\" Nat. Mater. 15(6) 621 627 (2016) 37. S. Molesky, C. J. Dewalt, and Z. Jacob, \"High temperature epsilon near zero and epsilon near pole metamaterial emitters for thermophotovoltaics,\" Opt. Express 21(1) A96 A110 (2013) 38. S. S. Kruk, Z. J. Wong, E. Pshenay Severin, K. O'Brien, D. N. Neshev, Y. S. Kivshar, and X. Zhang, \"Magnetic hyperbolic optical metamaterials,\" Nat. Commun. 7, 11329 (2016) 39. A. A. High, R. C. Devlin, A. Dibos, M. Polking, D. S. Wild, J. Perczel, N. P. de Leon, M. D. Lukin, and H. Park, \"Visible frequency hyperbolic metasurface,\" Nature 522(7555) 192 196 (2015) Vol. 7, No. 5 1 May 2017 OPTICAL MATERIALS EXPRESS 1607",
"author_names": [
"T O",
"",
"J F",
"L A V"
],
"corpus_id": 210087605,
"doc_id": "210087605",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Large scale high aspect ratio Al doped ZnO nanopillars arrays as anisotropic metamaterials",
"venue": "",
"year": 2017
},
{
"abstract": "A novel photonic structure formed by the monolithic integration of a vertical III V nanowire on top of a L3 two dimensional photonic crystal microcavity is proposed to enhance light emission from the nanowire. The impact on the nanowire spontaneous emission rate is evaluated by calculating the spontaneous emission factor b, and the material gain at threshold is used as a figure of merit of this vertical emitting nanolaser. An optimal design is identified for a GaAs nanowire geometry with r 155 nm and L~1.1 mm, where minimum gain at threshold (gth 3 13 10 x cm) and large spontaneous emission factor (b~0.3) are simultaneously achieved. Modification of the directivity of the L3 photonic crystal cavity via the band folding principle is employed to further optimize the far field radiation pattern and to increase the directivity of the device. These results lay the foundation for a new approach toward large scale integration of vertical emitting nanolasers and may enable applications such as intra chip optical interconnects. (c)2012 Optical Society of America OCIS codes: (350.4238) Nanophotonics and photonic crystals; (160.4236) Nanomaterials; (140.4780) Optical resonators; (140.7270) Vertical emitting lasers. References and links 1. H. J. Joyce, Q. Gao, H. Hoe Tan, C. Jagadish, Y. Kim, J. Zou, L. M. Smith, H. E. Jackson, J. M. Yarrison Rice, P. Parkinson, and M. B. Johnston, \"III V semiconductor nanowires for optoelectronic device applications,\" Prog. Quantum Electron. 35(2 3) 23 75 (2011) 2. C. Wilhelm, A. Larrue, X. Dai, D. Migas, and C. Soci, \"Anisotropic photonic properties of III V nanowires in the zinc blende and wurtzite phase,\" Nanoscale 4(5) 1446 1454 (2012) 3. W. Wei, X. Y. Bao, C. Soci, Y. Ding, Z. L. Wang, and D. Wang, \"Direct heteroepitaxy of vertical InAs nanowires on Si substrates for broad band photovoltaics and photodetection,\" Nano Lett. 9(8) 2926 2934 (2009) 4. X. Y. Bao, C. Soci, D. Susac, J. Bratvold, D. P. R. Aplin, W. Wei, C. Y. Chen, S. A. Dayeh, K. L. Kavanagh, and D. Wang, \"Heteroepitaxial growth of vertical GaAs nanowires on Si(111) substrates by metal organic chemical vapor deposition,\" Nano Lett. 8(11) 3755 3760 (2008) 5. K. Tomioka, T. Tanaka, S. Hara, K. Hiruma, and T. Fukui, \"III V nanowires on Si substrate: selective area growth and device applications,\" IEEE J. Sel. Top. Quantum Electron. 17(4) 1112 1129 (2011) 6. A. H. Chin, S. Vaddiraju, A. V. Maslov, C. Z. Ning, M. K. Sunkara, and M. Meyyappan, \"Near infrared semiconductor subwavelength wire lasers,\" Appl. Phys. Lett. 88(16) 163115 (2006) 7. J. C. Johnson, H. J. Choi, K. P. Knutsen, R. D. Schaller, P. Yang, and R. J. Saykally, \"Single gallium nitride nanowire lasers,\" Nat. Mater. 1(2) 106 110 (2002) 8. J. C. Johnson, H. Yan, R. D. Schaller, L. H. Haber, R. J. Saykally, and P. Yang, \"Single nanowire lasers,\" J. Phys. Chem. B 105(46) 11387 11390 (2001) 9. X. Duan, Y. Huang, R. Agarwal, and C. M. Lieber, \"Single nanowire electrically driven lasers,\" Nature 421(6920) 241 245 (2003) 10. Y. Xiao, C. Meng, P. Wang, Y. Ye, H. Yu, S. Wang, F. Gu, L. Dai, and L. Tong, \"Single nanowire single mode laser,\" Nano Lett. 11(3) 1122 1126 (2011) #162603 $15.00 USD Received 7 Feb 2012; revised 6 Mar 2012; accepted 11 Mar 2012; published 20 Mar 2012 (C) 2012 OSA 26 March 2012 Vol. 20, No. 7 OPTICS EXPRESS 7758 11. B. Hua, J. Motohisa, Y. Kobayashi, S. Hara, and T. Fukui, \"Single GaAs/GaAsP coaxial core shell nanowire lasers,\" Nano Lett. 9(1) 112 116 (2009) 12. R. Chen, T. T. D. Tran, K. W. Ng, W. S. Ko, L. C. Chuang, F. G. Sedgwick, and C. Chang Hasnain, \"Nanolasers grown on silicon,\" Nat. Photonics 5(3) 170 175 (2011) 13. C. J. Barrelet, J. Bao, M. Loncar, H. G. Park, F. Capasso, and C. M. Lieber, \"Hybrid single nanowire photonic crystal and microresonator structures,\" Nano Lett. 6(1) 11 15 (2006) 14. H. G. Park, F. Qian, C. J. Barrelet, and Y. Li, \"Microstadium single nanowire laser,\" Appl. Phys. Lett. 91(25) 251115 (2007) 15. S. Noda, M. Fujita, and T. Asano, \"Spontaneous emission control by photonic crystals and nanocavities,\" Nat. Photonics 1(8) 449 458 (2007) 16. T. Yoshie, A. Scherer, J. Hendrickson, G. Khitrova, H. M. Gibbs, G. Rupper, C. Ell, O. B. Shchekin, and D. G. Deppe, \"Vacuum Rabi splitting with a single quantum dot in a photonic crystal nanocavity,\" Nature 432(7014) 200 203 (2004) 17. J. Heo, W. Guo, and P. Bhattacharya, \"Monolithic single GaN nanowire laser with photonic crystal microcavity on silicon,\" Appl. Phys. Lett. 98(2) 021110 (2011) 18. L. Yang, J. Motohisa, T. Fukui, L. X. Jia, L. Zhang, M. M. Geng, P. Chen, Y. L. Liu, and T. Wang, \"Fabry Perot microcavity modes observed in the micro photoluminescence spectra of the single nanowire with InGaAs/GaAs heterostructure,\" Opt. Express 17(11) 9337 9346 (2009) 19. C. Z. Ning, \"Semiconductor nanolasers,\" Phys. Status Solidi 247, 774 788 (2010) (b) 20. M. K. Seo, J. K. Yang, K. Y. Jeong, H. G. Park, F. Qian, H. S. Ee, Y. S. No, and Y. H. Lee, \"Modal characteristics in a single nanowire cavity with a triangular cross section,\" Nano Lett. 8(12) 4534 4538 (2008) 21. A. L. Henneghien, B. Gayral, Y. Desieres, and J. M. Gerard, \"Simulation of waveguiding and emitting properties of semiconductor nanowires with hexagonal or circular sections,\" J. Opt. Soc. Am. B 26(12) 2396 2403 (2009) 22. Q. Wang, Y. Z. Huang, Q. Chen, and Z. P. Cai, \"Analysis of mode quality factors and mode reflectivities for nanowire cavity by FDTD technique,\" IEEE J. Quantum Electron. 42(2) 146 151 (2006) 23. A. V. Maslov and C. Z. Ning, \"Modal properties of semiconductor nanowires for laser application,\" Proc. SPIE 5349, 24 30 (2004) 24. I. Friedler, C. Sauvan, J. P. Hugonin, P. Lalanne, J. Claudon, and J. M. Gerard, \"Solid state single photon sources: the nanowire antenna,\" Opt. Express 17(4) 2095 2110 (2009) 25. L. Chen and E. Towe, \"Nanowire lasers with distributed Bragg reflector mirrors,\" Appl. Phys. Lett. 89(5) 053125 (2006) 26. L. A. Coldren and S. W. Corzine, Diode Lasers and Photonic Integrated Circuits (John Wiley Sons, New York, 1995) 27. S. Strauf, K. Hennessy, M. T. Rakher, Y. S. Choi, A. Badolato, L. C. Andreani, E. L. Hu, P. M. Petroff, and D. Bouwmeester, \"Self tuned quantum dot gain in photonic crystal lasers,\" Phys. Rev. Lett. 96(12) 127404 (2006) 28. Y. S. Choi, M. T. Rakher, K. Hennessy, S. Strauf, A. Badolato, P. M. Petroff, D. Bouwmeester, and E. L. Hu, \"Evolution of the onset of coherence in a family of photonic crystal nanolasers,\" Appl. Phys. Lett. 91(3) 031108 (2007) 29. T. Baba and D. Sano, \"Low threshold lasing and Purcell effect in microdisk lasers at room temperature,\" IEEE J. Sel. Top. Quantum Electron. 9(5) 1340 1346 (2003) 30. R. Hostein, R. Braive, L. Le Gratiet, A. Talneau, G. Beaudoin, I. Robert Philip, I. Sagnes, and A. Beveratos, \"Demonstration of coherent emission from high b photonic crystal nanolasers at room temperature,\" Opt. Lett. 35(8) 1154 1156 (2010) 31. L. C. Andreani, G. Panzarini, and J. M. Gerard, \"Strong coupling regime for quantum boxes in pillar microcavities: Theory,\" Phys. Rev. B 60(19) 13276 13279 (1999) 32. J. M. Gerard, B. Sermage, B. Gayral, B. Legrand, E. Costard, and V. Thierry Mieg, \"Enhanced spontaneous emission by quantum boxes in a monolithic optical microcavity,\" Phys. Rev. Lett. 81(5) 1110 1113 (1998) 33. T. Suhr, N. Gregersen, K. Yvind, and J. Mork, \"Modulation response of nanoLEDs and nanolasers exploiting Purcell enhanced spontaneous emission,\" Opt. Express 18(11) 11230 11241 (2010) 34. J. M. Gerard, \"Solid state cavity quantum electrodynamics with self assembled quantum dots,\" in Single quantum dots, Fundamentals, Applications, and New Concepts, P. Michler, ed. (Springer, Berlin, 2003) pp. 269 314. 35. T. Baba, \"Photonic crystals and microdisk cavities based on GaInAsP InP system,\" IEEE J. Sel. Top. Quantum Electron. 3(3) 808 830 (1997) 36. M. Fujita, A. Sakai, and T. Baba, \"Ultrasmall and ultralow threshold GaInAsP InP microdisk injection lasers: design, fabrication, lasing characteristics, and spontaneous emission factor,\" IEEE J. Sel. Top. Quantum Electron. 5(3) 673 681 (1999) 37. T. Baba, T. Hamano, F. Koyama, and K. Iga, \"Spontaneous emission factor of a microcavity DBR surfaceemitting laser,\" IEEE J. Quantum Electron. 27(6) 1347 1358 (1991) 38. D. Spirkoska, G. Abstreiter, and A. F. Morral, \"GaAs nanowires and related prismatic heterostructures,\" Semicond. Sci. Technol. 24(11) 113001 (2009) 39. C. Kim, W. J. Kim, A. Stapleton, J. R. Cao, J. D. O'Brien, and P. D. Dapkus, \"Quality factors in single defect photonic crystal lasers with asymmetric cladding layers,\" J. Opt. Soc. Am. B 19(8) 1777 1781 (2002) 40. S. Matsuo, A. Shinya, T. Kakitsuka, K. Nozaki, T. Segawa, T. Sato, Y. Kawaguchi, and M. Notomi, \"Highspeed ultracompact buried heterostructure photonic crystal laser with 13 fJ of energy consumed per bit transmitted,\" Nat. Photonics 4(9) 648 654 (2010) #162603 $15.00 USD Received 7 Feb 2012; revised 6 Mar 2012; accepted 11 Mar 2012; published 20 Mar 2012 (C) 2012 OSA 26 March 2012 Vol. 20, No. 7 OPTICS EXPRESS 7759 41. B. Ellis, M. A. Mayer, G. Shambat, T. Sarmiento, J. Harris, E. E. Haller, and J. Vuckovic, \"Ultralow threshold electrically pumped quantum dot photonic crystal nanocavity laser,\" Nat. Photonics 5(5) 297 300 (2011) 42. C. Sauvan, P. Lalanne, and J. P. Hugonin, \"Slow wave effect and mode profile matching in photonic crystal microcavities,\" Phys. Rev. B 71(16) 165118 (2005) 43. A. R. A. Chalcraft, S. Lam, D. O'Brien, T. F. Krauss, M. Sahin, D. Szymanski, D. Sanvitto, R. Oulton, M. S. Skolnick, A. M. Fox, D. M. Whittaker, H. Y. Liu, and M. Hopkinson, \"Mode structure of the L3 photonic crystal cavity,\" Appl. Phys. Lett. 90(24) 241117 (2007) 44. A. V. Maslov and C. Z. Ning, \"Far field emission of a semiconductor nanowire laser,\" Opt. Lett. 29(6) 572 574 (2004) 45. N. V. Q. Tran, S. Combrie, P. Colman, A. De Rossi, and T. Mei, \"Verti",
"author_names": [
"Alexandre Larrue",
"Christophe E Wilhelm",
"Gwenaelle Vest",
"S Combrie",
"Alfredo de Rossi",
"C Soci"
],
"corpus_id": 8674975,
"doc_id": "8674975",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Title Monolithic integration of III V nanowire with photoniccrystal microcavity for vertical light emission",
"venue": "",
"year": 2017
},
{
"abstract": "We demonstrate supercontinuum generation in stoichiometric silicon nitride (Si3N4 in SiO2) integrated optical waveguides, pumped at telecommunication wavelengths. The pump laser is a mode locked erbium fiber laser at a wavelength of 1.56 mm with a pulse duration of 120 fs. With a waveguide internal pulse energy of 1.4 nJ and a waveguide with 1.0 mm x 0.9 mm cross section, designed for anomalous dispersion across the 1500 nm telecommunication range, the output spectrum extends from the visible, at around 526 nm, up to the mid infrared, at least to 2.6 mm, the instrumental limit of our detection. This output spans more than 2.2 octaves (454 THz at the 30 dB level) The measured output spectra agree well with theoretical modeling based on the generalized nonlinear Schrodinger equation. The infrared part of the supercontinuum spectra shifts progressively towards the mid infrared, well beyond 2.6 mm, by increasing the width of the waveguides. c (c) 2017 Optical Society of America OCIS codes: (130.0130) Integrated optics; (190.4390) Nonlinear optics, integrated optics; (320.6629) Supercontinuum generation; (190.7110) Ultrafast nonlinear optics. References and links 1. J. M. Dudley, G. Genty, and S. Coen, \"Supercontinuum generation in photonic crystal fiber,\" Rev. Mod. Phys. 78, 1135 1184 (2006) 2. D. J. Jones, S. A. Diddams, J. K. Ranka, A. Stentz, R. S. Windeler, J. L. Hall, and S. T. Cundiff, \"Carrier Envelope Phase Control of Femtosecond Mode Locked Lasers and Direct Optical Frequency Synthesis,\" Science 288, 635 639 (2000) 3. A. Ruehl, M. J. Martin, K. C. Cossel, L. Chen, H. McKay, B. Thomas, C. Benko, L. Dong, J. M. Dudley, M. E. Fermann, I. Hartl, and J. Ye, \"Ultrabroadband coherent supercontinuum frequency comb,\" Phys. Rev. A 84, 011806 (2011) 4. H. Kano and H. Hamaguchi, \"Characterization of a supercontinuum generated from a photonic crystal fiber and its application to coherent Raman spectroscopy,\" Opt. Lett. 28, 2360 2362 (2003) 5. G. Humbert, W. Wadsworth, S. Leon Saval, J. Knight, T. Birks, P. St. J. Russell, M. Lederer, D. Kopf, K. Wiesauer, E. Breuer, and D. Stifter, \"Supercontinuum generation system for optical coherence tomography based on tapered photonic crystal fibre,\" Opt. Express 14, 1596 1603 (2006) 6. J. T. Woodward, A. W. Smith, C. A. Jenkins, C. Lin, S. W. Brown, and K. R. Lykke, \"Supercontinuum sources for metrology,\" Metrologia 46, S277 S282 (2009) Vol. 25, No. 2 23 Jan 2017 OPTICS EXPRESS 1542 #281693 Journal (c) 2017 http:/dx.doi.org/10.1364/OE.25.001542 Received 28 Nov 2016; revised 6 Jan 2017; accepted 8 Jan 2017; published 19 Jan 2017 7. G. Ycas, S. Osterman, and S. A. Diddams, \"Generation of a 660 2100 nm laser frequency comb based on an erbium fiber laser,\" Opt. Lett. 37, 2199 2201 (2012) 8. V. Brasch, T. Herr, M. Geiselmann, G. Lihachev, M. H. P. Pfeiffer, M. L. Gorodetsky, and T. J. Kippenberg, \"Photonic chip based optical frequency comb using soliton induced Cherenkov radiation,\" Science 351, 357 360 (2016) 9. V. Torres Company and A. M. Weiner, \"Optical frequency comb technology for ultra broadband radio frequency photonics,\" Laser Photonics Rev. 8, 368 393 (2014) 10. T. G. Nguyen, M. Shoeiby, S. T. Chu, B. E. Little, R. Morandotti, A. Mitchell, and D. J. Moss, \"Integrated frequency comb source based Hilbert transformer for wideband microwave photonic phase analysis,\" Opt. Express 23, 22087 22097 (2015) 11. K.L. Corwin, N.R. Newbury, J.M. Dudley, S. Coen, S.A. Diddams, K. Weber, and R.S. Windeler, \"Fundamental Noise Limitations to Supercontinuum Generation in Microstructure Fiber,\" Phys. Rev. Lett. 90, 113904 (2003) 12. B. Kuyken, F. Leo, S. Clemmen, U. Dave, R. Van Laer, T. Ideguchi, H. Zhao, X. Liu, J. Safioui, S. Coen, S.P. Gorza, S.K. Selvaraja, S. Massar, R.M. Osgood Jr. P. Verheyen, J. Van Campenhout, R. Baets, W.M.J. Green, G. Roelkens, \"Nonlinear optical interactions in silicon waveguides,\" Nanophotonics 5, 1 16 (2016) 13. C. Ciret and S P. Gorza, \"Generation of ultra broadband coherent supercontinuum in tapered and dispersion managed silicon nanophotonic waveguides,\" arXiv:1610.05665 [physics.optics] (2016) 14. H. Hu, W. Li, N.K. Dutta, \"Dispersion engineered tapered planar waveguide for coherent supercontinuum generation,\" Opt. Commun. 324, 252 257 (2014) 15. D. Y. Oh, D. Sell, H. Lee, K. Y. Yang, S. A. Diddams, and K. J. Vahala, \"Supercontinuum generation in an on chip silica waveguide,\" Opt. Lett. 39, 1046 1048 (2014) 16. D. Duchesne, M. Peccianti, M. R. E. Lamont, M. Ferrera, L. Razzari, F. Legare, R. Morandotti, S. Chu, B. E. Little, and D. J. Moss, \"Supercontinuum generation in a high index doped silica glass spiral waveguide,\" Opt. Express 18, 923 930 (2010) 17. R. Halir, Y. Okawachi, J. S. Levy, M. A. Foster, M. Lipson, and A. L. Gaeta, \"Ultrabroadband supercontinuum generation in a CMOS compatible platform,\" Opt. Lett. 37, 1685 1687 (2012) 18. J. M. Chavez Boggio, D. Bodenmuller, T. Fremberg, R. Haynes, M. M. Roth, R. Eisermann, M. Lisker, L. Zimmermann, and M. Bohm, \"Dispersion engineered silicon nitride waveguides by geometrical and refractive index optimization,\" J. Opt. Soc. Am. B 31, 2846 2857 (2014) 19. M. R. Lamont, B. Luther Davies, D. Y. Choi, S. Madden, and B. J. Eggleton, \"Supercontinuum generation in dispersion engineered highly nonlinear (g 10 /W/m) As2S3 chalcogenide planar waveguide,\" Opt. Express 16, 14938 14944 (2008) 20. Y. Yu, X. Gai, P. Ma, D. Y. Choi, Z. Yang, R. Wang, S. Debbarma, S. J. Madden, and B. Luther Davies, \"A broadband, quasi continuous, mid infrared supercontinuum generated in a chalcogenide glass waveguide,\" Laser Photonics Rev. 8, 792 798 (2014) 21. F. Leo, S. P. Gorza, J. Safioui, P. Kockaert, S. Coen, U. Dave, B. Kuyken, and G. Roelkens, \"Dispersive wave emission and supercontinuum generation in a silicon wire waveguide pumped around the 1550 nm telecommunication wavelength,\" Opt. Lett. 39, 3623 3626 (2014) 22. R. K. W. Lau, M. R. E. Lamont, A. G. Griffith, Y. Okawachi, M. Lipson, and A. L. Gaeta, \"Octave spanning mid infrared supercontinuum generation in silicon nanowaveguides,\" Opt. Lett. 39, 4518 4521 (2014) 23. B. Kuyken, T. Ideguchi, S. Holzner, M. Yan, T. W. Hansch, J. Van Campenhout, P. Verheyen, S. Coen, F. Leo, R. Baets, G. Roelkens, and N. Picque, \"An octave spanning mid infrared frequency comb generated in a silicon nanophotonic wire waveguide,\" Nat. Commun. 6, 6310 (2015) 24. X. Liu, M. Pu, B. Zhou, C. J. Kruckel, A. Fulop, V. Torres Company, and M. Bache, \"Octave spanning supercontinuum generation in a silicon rich nitride waveguide,\" Opt. Lett. 41, 2719 2722 (2016) 25. F. Morichetti, A. Melloni, M. Martinelli, R. Heideman, A. Leinse, D. Geuzebroek, and A. Borremann, \"Box shaped dielectric waveguides: A new concept in integrated optics?\" J. Lightwave Technol. 25, 2579 2589 (2007) 26. R. G. H. Kerstin Worhoff, \"TriPleX: A versatile dielectric photonic platform,\" Adv. Opt. Techn. 4, 189 207 (2015) 27. R. M. Oldenbeuving, E. J. Klein, H. L. Offerhaus, C. J. Lee, H. Song, and K. J. Boller, \"25 kHz narrow spectral bandwidth of a wavelength tunable diode laser with a short waveguide based external cavity,\" Laser Phys. Lett. 10, 015804 (2013) 28. Y. Fan, R. M. Oldenbeuving, E. J. Klein, C. J. Lee, H. Song, M. R. H. Khan, H. L. Offerhaus, P. J. M. van der Slot, and K. J. Boller, \"A hybrid semiconductor glass waveguide laser,\" Proc. SPIE 9135, 91351 (2014) 29. A. Gondarenko, J. S. Levy, and M. Lipson, \"High confinement micron scale silicon nitride high Q ring resonator,\" Opt. Express 17, 11366 11370 (2009) 30. J. F. Bauters, M. J. R. Heck, D. John, D. Dai, M. C. Tien, J. S. Barton, A. Leinse, R. G. Heideman, D. J. Blumenthal, and J. E. Bowers, \"Ultra low loss high aspect ratio Si3N4 waveguides,\" Opt. Express 19, 3163 3174 (2011) 31. C. Xiong, X. Zhang, A. Mahendra, J. He, D. Y. Choi, J. Chae, D. Marpaung, A. Leinse, R. G. Heideman, M. Hoekman, C. G. H. Roeloffzen, R. M. Oldenbeuving, P. W. L. van Dijk, C. Taddei, P. H. W. Leong, and B. J. Eggleton, \"Compact and reconfigurable silicon nitride time bin entanglement circuit,\" Optica 2, 724 727 (2015) 32. L. Zhuang, D. Marpaung, M. Burla, W. Beeker, A. Leinse, and C. Roeloffzen, \"Low loss, high index contrast Si3N4/SiO2 optical waveguides for optical delay lines in microwave photonics signal processing,\" Opt. Express 19, 23162 23170 (2011) 33. C. G. H. Roeloffzen, L. Zhuang, C. Taddei, A. Leinse, R. G. Heideman, P. W. L. van Dijk, R. M. Oldenbeuving, Vol. 25, No. 2 23 Jan 2017 OPTICS EXPRESS 1543",
"author_names": [
"",
"P A G",
"M J",
"Van Der",
"L J",
"B J"
],
"corpus_id": 117910115,
"doc_id": "117910115",
"n_citations": 11,
"n_key_citations": 0,
"score": 0,
"title": "Two octave spanning supercontinuum generation in stoichiometric silicon nitride waveguides pumped at telecom wavelengths",
"venue": "",
"year": 2017
},
{
"abstract": "s of Papers, 245th National Meeting of the American Chemical Society, New Orleans, LA, April 7 11, 2013; American Chemical Society: Washington, DC, 2013. (476) DeAngelis, P. L. Heparosan Polysaccharide for Drug Delivery; a Biosuperior Alternative to Pegylation. Glycobiology 2013, 23, 1347. (477) Schlapschy, M. Binder, U. Borger, C. Theobald, I. Wachinger, K. Kisling, S. Haller, D. Skerra, A. Pasylation: A Biological Alternative to Pegylation for Extending the Plasma Half Life of Pharmaceutically Active Proteins. Protein Eng. Des. Sel. 2013, 26, 489 501. (478) Sun, R. Du, X. J. Sun, C. Y. Shen, S. Liu, Y. Yang, X. Z. Bao, Y. Zhu, Y. H. Wang, J. A Block Copolymer of Zwitterionic Polyphosphoester and Polylactic Acid for Drug Delivery. Biomater. Sci. 2015, 3, 1105 1113. (479) Almeida, J. P. Chen, A. L. Foster, A. Drezek, R. In Vivo Biodistribution of Nanoparticles. Nanomedicine (London, U. K. 2011, 6, 815 835. (480) Choi, H. S. Liu, W. Liu, F. Nasr, K. Misra, P. Bawendi, M. G. Frangioni, J. V. Design Considerations for Tumour Targeted Nanoparticles. Nat. Nanotechnol. 2010, 5, 42 47. (481) Liao, W. Y. Li, H. J. Chang, M. Y. Tang, A. C. Hoffman, A. S. Hsieh, P. C. Comprehensive Characterizations of Nanoparticle Biodistribution Following Systemic Injection in Mice. Nanoscale 2013, 5, 11079 11086. (482) Torosean, S. Flynn, B. Axelsson, J. Gunn, J. Samkoe, K. S. Hasan, T. Doyley, M. M. Pogue, B. W. Nanoparticle Uptake in Tumors Is Mediated by the Interplay of Vascular and Collagen Density with Interstitial Pressure. Nanomedicine 2013, 9, 151 158. (483) Minchinton, A. I. Tannock, I. F. Drug Penetration in Solid Tumours. Nat. Rev. Cancer 2006, 6, 583 592. (484) Blouw, B. Song, H. Tihan, T. Bosze, J. Ferrara, N. Gerber, H. P. Johnson, R. S. Bergers, G. The Hypoxic Response of Tumors Is Dependent on Their Microenvironment. Cancer Cell 2003, 4, 133 146. (485) Manzoor, A. A. Lindner, L. H. Landon, C. D. Park, J. Y. Simnick, A. J. Dreher, M. R. Das, S. Hanna, G. Park, W. Chilkoti, A. et al. Overcoming Limitations in Nanoparticle Drug Delivery: Triggered, Intravascular Release to Improve Drug Penetration into Tumors. Cancer Res. 2012, 72, 5566 5575. (486) Huang, X. Li, L. Liu, T. Hao, N. Liu, H. Chen, D. Tang, F. The Shape Effect of Mesoporous Silica Nanoparticles on Biodistribution, Clearance, and Biocompatibility in Vivo. ACS Nano 2011, 5, 5390 5399. (487) Akiyama, Y. Mori, T. Katayama, Y. Niidome, T. Conversion of Rod Shaped Gold Nanoparticles to Spherical Forms and Their Effect on Biodistribution in Tumor Bearing Mice. Nanoscale Res. Lett. 2012, 7, 565. (488) Harris, B. J. D. P. Particle Shape Effects in Vitro and in Vivo. Front. Biosci. Scholar Ed. 2012, S4, 1344 1353. (489) Christian, D. A. Cai, S. Garbuzenko, O. B. Harada, T. Zajac, A. L. Minko, T. Discher, D. E. Flexible Filaments for in Vivo Imaging and Delivery: Persistent Circulation of Filomicelles Opens the Dosage Window for Sustained Tumor Shrinkage. Mol. Pharmaceutics 2009, 6, 1343 1352. (490) Geng, Y. Dalhaimer, P. Cai, S. Tsai, R. Tewari, M. Minko, T. Discher, D. E. Shape Effects of Filaments Versus Spherical Particles in Flow and Drug Delivery. Nat. Nanotechnol. 2007, 2, 249 255. (491) Lee, S. Yun, H. S. Kim, S. H. The Comparative Effects of Mesoporous Silica Nanoparticles and Colloidal Silica on Inflammation and Apoptosis. Biomaterials 2011, 32, 9434 9443. (492) Tarn, D. Ashley, C. E. Xue, M. Carnes, E. C. Zink, J. I. Brinker, C. J. Mesoporous Silica Nanoparticle Nanocarriers: Biofunctionality and Biocompatibility. Acc. Chem. Res. 2013, 46, 792 801. (493) Shahbazi, M. A. Hamidi, M. Makila, E. M. Zhang, H. Almeida, P. V. Kaasalainen, M. Salonen, J. J. Hirvonen, J. T. Santos, H. A. The Mechanisms of Surface Chemistry Effects of Mesoporous Silicon Nanoparticles on Immunotoxicity and Biocompatibility. Biomaterials 2013, 34, 7776 7789. (494) Barandeh, F. Nguyen, P. L. Kumar, R. Iacobucci, G. J. Kuznicki, M. L. Kosterman, A. Bergey, E. J. Prasad, P. N. Gunawardena, S. Organically Modified Silica Nanoparticles Are Biocompatible and Can Be Targeted to Neurons in Vivo. PLoS One 2012, 7, e29424. (495) He, Q. Zhang, Z. Gao, F. Li, Y. Shi, J. In Vivo Biodistribution and Urinary Excretion of Mesoporous Silica Nanoparticles: Effects of Particle Size and Pegylation. Small 2011, 7, 271 280. (496) Lee, S. Kim, M. S. Lee, D. Kwon, T. K. Khang, D. Yun, H. S. Kim, S. H. The Comparative Immunotoxicity of Mesoporous Silica Nanoparticles and Colloidal Silica Nanoparticles in Mice. Int. J. Nanomed. 2013, 8, 147 158. (497) Hamad, I. Al Hanbali, O. Hunter, A. C. Rutt, K. J. Andresen, T. L. Moghimi, S. M. Distinct Polymer Architecture Mediates Switching of Complement Activation Pathways at the NanosphereSerum Interface: Implications for Stealth Nanoparticle Engineering. ACS Nano 2010, 4, 6629 6638. (498) Huang, J. Zhang, H. Yu, Y. Chen, Y. Wang, D. Zhang, G. Zhou, G. Liu, J. Sun, Z. Sun, D. et al. Biodegradable Self Assembled Chemical Reviews Review DOI: 10.1021/acs.chemrev.5b00321 Chem. Rev. XXXX, XXX, XXX XXX AP D ow nl oa de d by U N IV O F N E B R A SK A L IN C O L N o n A ug us t 2 7, 2 01 5 h ttp pu bs .a cs .o rg P ub lic at io n D at e (W eb A ug us t 2 7, 2 01 5 d oi 1 0. 10 21 /a cs .c he m re v. 5b 00 32 1 Nanoparticles of Poly (D,L Lactide Co Glycolide)/Hyaluronic Acid Block Copolymers for Target Delivery of Docetaxel to Breast Cancer. Biomaterials 2014, 35, 550 566. (499) Marin, E. Briceno, M. I. Caballero George, C. Critical Evaluation of Biodegradable Polymers Used in Nanodrugs. Int. J. Nanomed. 2013, 8, 3071 3090. (500) Yang, Y. Pan, D. Luo, K. Li, L. Gu, Z. Biodegradable and Amphiphilic Block Copolymer Doxorubicin Conjugate as Polymeric Nanoscale Drug Delivery Vehicle for Breast Cancer Therapy. Biomaterials 2013, 34, 8430 8443. (501) Liechty, W. B. Kryscio, D. R. Slaughter, B. V. Peppas, N. A. Polymers for Drug Delivery Systems. Annu. Rev. Chem. Biomol. Eng. 2010, 1, 149 173. (502) Liechty, W. B. Peppas, N. A. Expert Opinion: Responsive Polymer Nanoparticles in Cancer Therapy. Eur. J. Pharm. Biopharm. 2012, 80, 241 246. (503) Connor, E. E. Mwamuka, J. Gole, A. Murphy, C. J. Wyatt, M. D. Gold Nanoparticles Are Taken up by Human Cells but Do Not Cause Acute Cytotoxicity. Small 2005, 1, 325 327. (504) Simpson, C. A. Huffman, B. J. Gerdon, A. E. Cliffel, D. E. Unexpected Toxicity of Monolayer Protected Gold Clusters Eliminated by Peg Thiol Place Exchange Reactions. Chem. Res. Toxicol. 2010, 23, 1608 1616. (505) Freese, C. Uboldi, C. Gibson, M. I. Unger, R. E. Weksler, B. B. Romero, I. A. Couraud, P. O. Kirkpatrick, C. J. Uptake and Cytotoxicity of Citrate Coated Gold Nanospheres: Comparative Studies on Human Endothelial and Epithelial Cells. Part. Fibre Toxicol. 2012, 9, 23. (506) Chen, H. Dorrigan, A. Saad, S. Hare, D. J. Cortie, M. B. Valenzuela, S. M. In Vivo Study of Spherical Gold Nanoparticles: Inflammatory Effects and Distribution in Mice. PLoS One 2013, 8, e58208. (507) Arnida; Malugin, A. Ghandehari, H. Cellular Uptake and Toxicity of Gold Nanoparticles in Prostate Cancer Cells: A Comparative Study of Rods and Spheres. J. Appl. Toxicol. 2010, 30, 212 217. (508) Favi, P. M. Gao, M. Sepulveda Arango, L. J. Ospina, S. P. Morales, M. Pavon, J. J. Webster, T. J. Shape and Surface Effects on the Cytotoxicity of Nanoparticles: Gold Nanospheres Versus Gold Nanostars. J. Biomed. Mater. Res. Part A 2015, DOI: 10.1002/ jbm.a.35491. (509) Sultana, S. Djaker, N. Boca Farcau, S. Salerno, M. Charnaux, N. Astilean, S. Hlawaty, H. de la Chapelle, M. L. Comparative Toxicity Evaluation of Flower Shaped and Spherical Gold Nanoparticles on Human Endothelial Cells. Nanotechnology 2015, 26, 055101. (510) Sun, Y. N. Wang, C. D. Zhang, X. M. Ren, L. Tian, X. H. Shape Dependence of Gold Nanoparticles on in Vivo Acute Toxicological Effects and Biodistribution. J. Nanosci. Nanotechnol. 2011, 11, 1210 1216. (511) Tarantola, M. Pietuch, A. Schneider, D. Rother, J. Sunnick, E. Rosman, C. Pierrat, S. Sonnichsen, C. Wegener, J. Janshoff, A. Toxicity of Gold Nanoparticles: Synergistic Effects of Shape and Surface Functionalization on Micromotility of Epithelial Cells. Nanotoxicology 2011, 5, 254 268. (512) Wang, J. Xie, Y. D. Wang, L. M. Tang, J. L. Li, J. Y. Kocaefe, D. Kocaefe, Y. Zhang, Z. W. Li, Y. P. Chen, C. Y. In Vivo Pharmacokinetic Features and Biodistribution of Star and Rod Shaped Gold Nanoparticles by Multispectral Optoacoustic Tomography. RSC Adv. 2015, 5, 7529 7538. (513) Sengupta, J. Datta, P. Patra, H. K. Dasgupta, A. K. Gomes, A. In Vivo Interaction of Gold Nanoparticles after Acute and Chronic Exposures in Experimental Animal Models. J. Nanosci. Nanotechnol. 2013, 13, 1660 1670. (514) Kwon, K. C. Ryu, J. H. Lee, J. H. Lee, E. J. Kwon, I. C. Kim, K. Lee, J. Proteinticle/Gold Core/Shell Nanoparticles for Targeted Cancer Therapy without Nanotoxicity. Adv. Mater. 2014, 26, 6436 6441. (515) Zhang, X. D. Wu, H. Y. Wu, D. Wang, Y. Y. Chang, J. H. Zhai, Z. B. Meng, A. M. Liu, P. X. Zhang, L. A. Fan, F. Y. Toxicologic Effects of Gold Nanoparticles in Vivo by Different Administration Routes. Int. J. Nanomed. 2010, 5, 771 781. (516) Cheng, L. Yang, K. Shao, M. Lu, X. Liu, Z. In Vivo Pharmacokinetics, Long Term Biodistribution and Toxicology Study of Functionalized Upconversion Nanoparticles in Mice. Nanomedicine (London, U. K. 2011, 6, 1327 1340. (517) Gu, Z. Yan, L. Tian, G. Li, S. Chai, Z. Zhao, Y. Recent Advances in Design and Fabrication of Upconversion Nanoparticles and Their Safe Theranostic Applications. Adv. Mater. 2013, 25, 3758 3779. (518) Zhou, J. C. Yang, Z. L. Dong, W. Tang, R. J. Sun, L. D. Yan, C. H. Bioimaging and Toxicity Assessments of near Infrared Upconversion Luminescent Nayf4:Yb,Tm Nanocrystals. Biomaterials 2011, 32, 9059 9067",
"author_names": [
"Alyssa B Chinen",
"Chenxia Guan",
"Jennifer R Ferrer",
"Stacey N Barnaby",
"Timothy J Merkel",
"Chad A Mirkin"
],
"corpus_id": 19142781,
"doc_id": "19142781",
"n_citations": 609,
"n_key_citations": 3,
"score": 0,
"title": "Nanoparticle Probes for the Detection of Cancer Biomarkers, Cells, and Tissues by Fluorescence.",
"venue": "Chemical reviews",
"year": 2015
}
] |
ho kian hoon | [
{
"abstract": "It is widely thought that the water oxidation reaction limits the maximum work function to about 5.25 eV for hole doped semiconductors exposed to the ambient, constrained by the oxidation potential of air saturated water. Here, we show that polymer organic semiconductors, when hole doped, can show work functions up to 5.9 eV, and yet remain stable in the ambient. We further show that de doping of the polymer is not determined by the oxidation of bulk water, as previously thought, due to its general absence, but by the counter balancing anion and its ubiquitously hydrated complexes. The effective donor levels of these species, representing the edge of the 'chemical' density of states, can be depressed to about 6.0 eV below vacuum level. This can be achieved by raising the oxidation potential for hydronium generation, using large super acid anions that are themselves also stable against oxidation. In this way, we demonstrate that poly(fluorene alt triarylamine) derivatives with tethered perfluoroalkyl sulfonylimidosulfonyl anions can provide ambient solution processability directly in the ultrahigh workfunction hole doped state to give films with good thermal stability. These results lay the path for design of soft materials for battery, bio electronic and thermoelectric applications.",
"author_names": [
"Qi-Mian Koh",
"Cindy Guanyu Tang",
"Mervin Chun-Yi Ang",
"Kim-Kian Choo",
"Qiu-Jing Seah",
"Rui-Qi Png",
"Lay-Lay Chua",
"Peter K H Ho"
],
"corpus_id": 235369561,
"doc_id": "235369561",
"n_citations": 0,
"n_key_citations": 0,
"score": 1,
"title": "Overcoming the water oxidative limit for ultra high workfunction hole doped polymers",
"venue": "Nature communications",
"year": 2021
},
{
"abstract": "Perovskite light emitting diodes (PeLEDs) based on three dimensional (3D) polycrystalline perovskites suffer from ion migration, which causes overshoot of luminance over time during operation and reduces its operational lifetime. Here, we demonstrate 3D/2D hybrid PeLEDs with extremely reduced luminance overshoot and 21 times longer operational lifetime than 3D PeLEDs. The luminance overshoot ratio of 3D/2D hybrid PeLED is only 7.4% which is greatly lower than that of 3D PeLED (150.4% The 3D/2D hybrid perovskite is obtained by adding a small amount of neutral benzylamine to methylammonium lead bromide, which induces a proton transfer from methylammonium to benzylamine and enables crystallization of 2D perovskite without destroying the 3D phase. Benzylammonium in the perovskite lattice suppresses formation of deep trap states and ion migration, thereby enhances both operating stability and luminous efficiency based on its retardation effect in reorientation. Ion migration can induce overshoot of luminance in normal 3D perovskite light emitting diode devices and results in reduced lifetime. Here Kim et al. show that the ion migration and overshoot can be suppressed in 3D/2D hybrid perovskites, leading to 21 times longer operational lifetime.",
"author_names": [
"Hobeom Kim",
"Joo Sung Kim",
"Jungwoo Heo",
"Mingyuan Pei",
"In-Hyeok Park",
"Zhun Liu",
"Hyung Joong Yun",
"Min-Ho park",
"Su-Hun Jeong",
"Young-Hoon Kim",
"Jin-Woo Park",
"Emad Oveisi",
"Satyawan Nagane",
"Aditya Sadhanala",
"Lijun Zhang",
"Jin Jung Kweon",
"Sung Keun Lee",
"Hoichang Yang",
"Hyun Myung Jang",
"Richard H Friend",
"Kian Ping Loh",
"Mohammad Khaja Nazeeruddin",
"Nam-Gyu Park",
"Tae-Woo Lee"
],
"corpus_id": 220351436,
"doc_id": "220351436",
"n_citations": 23,
"n_key_citations": 0,
"score": 0,
"title": "Proton transfer induced 3D/2D hybrid perovskites suppress ion migration and reduce luminance overshoot",
"venue": "Nature Communications",
"year": 2020
},
{
"abstract": "Atopic dermatitis (AD) is a multifactorial, heterogeneous disease associated with epidermal barrier disruption and intense systemic inflammation. Previously, we showed that exosomes derived from human adipose tissue derived mesenchymal stem cells (ASC exosomes) attenuate AD like symptoms by reducing multiple inflammatory cytokine levels. Here, we investigated ASC exosomes' effects on skin barrier restoration by analyzing protein and lipid contents. We found that subcutaneous injection of ASC exosomes in an oxazolone induced dermatitis model remarkably reduced trans epidermal water loss, while enhancing stratum corneum (SC) hydration and markedly decreasing the levels of inflammatory cytokines such as IL 4, IL 5, IL 13, TNF a, IFN g, IL 17, and TSLP, all in a dose dependent manner. Interestingly, ASC exosomes induced the production of ceramides and dihydroceramides. Electron microscopic analysis revealed enhanced epidermal lamellar bodies and formation of lamellar layer at the interface of the SC and stratum granulosum with ASC exosomes treatment. Deep RNA sequencing analysis of skin lesions demonstrated that ASC exosomes restores the expression of genes involved in skin barrier, lipid metabolism, cell cycle, and inflammatory response in the diseased area. Collectively, our results suggest that ASC exosomes effectively restore epidermal barrier functions in AD by facilitating the de novo synthesis of ceramides, resulting in a promising cell free therapeutic option for treating AD.",
"author_names": [
"Dae Hyun Ha",
"Debra Crumrine",
"Joan S Wakefield",
"Bogyeong Kim",
"Sungeun Kim",
"Hyun-keun Kim",
"Joon Lee",
"Jun Ho Lee",
"Peter M Elias"
],
"corpus_id": 212693880,
"doc_id": "212693880",
"n_citations": 27,
"n_key_citations": 1,
"score": 0,
"title": "Exosomes from Human Adipose Tissue Derived Mesenchymal Stem Cells Promote Epidermal Barrier Repair by Inducing de Novo Synthesis of Ceramides in Atopic Dermatitis",
"venue": "Cells",
"year": 2020
},
{
"abstract": "Despite increasing efforts to decarbonize the power sector, the utilization of natural gas fired power plants is anticipated to continue. This study models existing solventbased carbon capture technologies on natural gas fired power plants, using site specific emissions and regionally defined cost parameters to calculate the cost of CO2 avoided for two scenarios: delivery to and injection within reliable sequestration sites, and delivery and injection for the purpose of CO2 enhanced oil recovery (EOR) Despite the application of credits from the existing federal tax code 45Q, a minimum incentive gap of roughly $38/ tCO2 remains for the geologic sequestration of CO2 and $56/tCO2 for CO2 EOR (before consideration of revenue generated from delivered CO2 contracts) At full escalation of 45Q, delivered CO2 costs from this sector for geologic sequestration could reach as low as $22/tCO2. However, given the capital investment required in the near term, it would be beneficial if the credit provided the greatest economic benefit early on and decreasing over time as deployment continues to ramp up. Additionally, due to the high qualifying limit of 45Q for the power sector, e.g. 500 ktCO2/yr, the tax credit incentivizes the capture of roughly 397 MtCO2/yr at a 90% capture efficiency or 75% of the emissions in this sector, with missed opportunities equating to roughly 118 MtCO2. Advancing the scale of carbon capture and sequestration (CCS) will require both technological advances in the capture technology, cost reductions through the leveraging of existing infrastructure, and increased policy incentives in terms of cost along with the reduction of qualifying limits. INTRODUCTION Roughly 1500 million tonnes of CO2 were generated from the combustion of natural gas in the United States in 2018, representing 33% of fossil based emissions. The technology exists today to avoid roughly half of these emissions through the direct installation of carbon capture and sequestration (CCS) at large (i.e. >100 000 tonnes CO2/yr or 100 ktCO2/ yr) point sources consisting mostly of the industrial and electric power sectors (Figure 1) As demonstrated in Figure 2, of all natural gas fired power plants in the United States, roughly 37% qualify for the federal tax credit 45Q, provided they capture greater than 500 ktCO2/yr. This represents 397 MtCO2/yr or 26% of total emissions associated with natural gas and 75% of emissions of natural gas used for the power sector. Facilities that capture carbon and sequester it geologically or use it for CO2 enhanced oil recovery (EOR) are eligible for 45Q. In the case of CO2 used for EOR, the federal tax credit was $15.29/tCO2 in 2018 and grows linearly in value to $35/tCO2 by 2026. For geologic sequestration of CO2, the credit was $25.70 per ton in 2018 and similarly will grow to $50/tCO2 by 2026. In fact, in many cases, emissions are much higher than 500 ktCO2/yr. For example, in the Southeastern region of the United States, there are 28 natural gas plants that produce over 2 MtCO2 annually, with the largest plant producing more than 7 MtCO2/yr alone. Although the U.S. dependence on coal is still strong, representing 65% of U.S. electricity related emissions in 2018, it has exhibited a decline in primary energy consumption of 8.0% from 2017 to 2018 and roughly 27% over the past 5 years. Meanwhile, following an increase in production, the primary consumption of natural gas grew 6% from 2017 to 2018 and roughly 12% over the past 5 years. Renewable energy such as solar and wind represents lowcarbon opportunities that could replace some of these fossilsourced emissions. Today, wind and solar comprise approximately 8.4% of the electric power sector (Figure 1) which is double that of 2008. In 2018, 6.6 GW (wind) and 4.9 GW (solar) capacities were added in the U.S. while 12.9 GW of coal generating capacity was retired. Some municipalities have passed legislation encouraging a phase out of coal power plants in favor of renewables. For example, in response to the Clean Air Clean Jobs Act (CACJA) which mandates the decommissioning of coal generating power in Colorado, Xcel, the Public Service Company of Colorado closed two coal fired units in Pueblo county in 2018. Combined, the 2 plants Received: October 11, 2019 Revised: April 24, 2020 Accepted: April 24, 2020 Published: April 24, 2020 Article pubs.acs.org/est (c) 2020 American Chemical Society 6272 https:/dx.doi.org/10.1021/acs.est.9b06147 Environ. Sci. Technol. 2020, 54, 6272 6280 D ow nl oa de d vi a W O R C E ST E R P O L Y T E C H N IC I N ST o n Ju ne 5 2 02 0 at 1 1: 25 :1 1 (U T C Se e ht tp s: /p ub s. ac s. or g/ sh ar in gg ui de lin es f or o pt io ns o n ho w to le gi tim at el y sh ar e pu bl is he d ar tic le s.",
"author_names": [
"Peter C Psarras",
"Jiajun He",
"Helene Pilorge",
"Noah McQueen",
"Alexander Jensen-Fellows",
"Kourosh Kian",
"Jennifer Wilcox"
],
"corpus_id": 219600497,
"doc_id": "219600497",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Cost Analysis of Carbon Capture and Sequestration from U.S. Natural Gas Fired Power Plants",
"venue": "",
"year": 2020
},
{
"abstract": "BACKGROUND A high percentage of small cell lung cancer (SCLC) cases harbor cell cycle related gene mutations and RICTOR amplification. Based on underlying somatic mutations, the authors have conducted a phase 2 biomarker driven, multiarm umbrella study. METHODS The SCLC Umbrella Korea StudiES (SUKSES) is an adaptive platform trial that undergoes continual modification according to the observed outcomes. This study included 286 patients with SCLC who failed platinum therapy and who had known genomic profiles based on a predesigned screening trial. Patients with MYC amplification or CDKN2A and TP53 co alterations were allocated to adavosertib (SUKSES protocol C [SUKSES C] 7 patients) and those with RICTOR amplification were allocated to vistusertib (SUKSES D; 4 patients) Alternatively, patients who were without any predefined biomarkers were assigned to a non biomarker selected arm: adavosertib (SUKSES N1; 21 patients) or AZD2811NP (SUKSES N3; 15 patients) RESULTS Patients in the SUKSES C and SUKSES N1 arms demonstrated no objective response. Three patients presented with stable disease (SD) in SUKSES C and 6 patients in SUKSES N1. The median progression free survival (PFS) was 1.3 months (95% confidence interval, 0.9 months to not available) for SUKSES C and 1.2 months (95% CI, 1.1 1.4 months) for SUKSES N1. Patients in the SUKSES D arm demonstrated no objective response and no SD, with a PFS of 1.2 months (95% CI, 1.0 months to not available) The SUKSES N3 arm had 5 patients with SD and a PFS of 1.6 months (95% CI, 0.9 1.7 months) without an objective response. Grade>=3 adverse events (graded according to National Cancer Institute Common Terminology Criteria for Adverse Events [version 4.03] were observed as follows: 3.2% in the SUKSES C and SUKSES N1 arms and 50.0% in the SUKSES D arm. Target related neutropenia (grade>=3) was observed in approximately 60.0% of patients in the AZD2811NP arm using the current dosing schedule. CONCLUSIONS To the best of the authors' knowledge, the current study is the first biomarker driven umbrella study conducted in patients with recurrent SCLC. Although the current study demonstrated the limited clinical efficacy of monotherapy, novel biomarker approaches using other cell cycle inhibitor(s) or combinations warrant further investigation.",
"author_names": [
"Sehhoon Park",
"Joon Ho Shim",
"Peter Mortimer",
"Simon Smith",
"Robert Godin",
"Simon J Hollingsworth",
"Hee-Jung Kim",
"Hyun Ae Jung",
"Jong-Mu Sun",
"Woong-Yang Park",
"Jin Seok Ahn",
"Myung-Ju Ahn",
"Se-Hoon Lee",
"Keunchil Park"
],
"corpus_id": 220060289,
"doc_id": "220060289",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Biomarker driven phase 2 umbrella trial study for patients with recurrent small cell lung cancer failing platinum based chemotherapy.",
"venue": "Cancer",
"year": 2020
},
{
"abstract": "Identifying molecular cancer drivers is critical for precision oncology. Multiple advanced algorithms to identify drivers now exist, but systematic attempts to combine and optimize them on large datasets are few. We report a PanCancer and PanSoftware analysis spanning 9,423 tumor exomes (comprising all 33 of The Cancer Genome Atlas projects) and using 26 computational tools to catalog driver genes and mutations. We identify 299 driver genes with implications regarding their anatomical sites and cancer/cell types. Sequence and structure based analyses identified >3,400 putative missense driver mutations supported by multiple lines of evidence. Experimental validation confirmed 60% 85% of predicted mutations as likely drivers. We found that >300 MSI tumors are associated with high PD 1/PD L1, and 57% of tumors analyzed harbor putative clinically actionable events. Our study represents the most comprehensive discovery of cancer genes and mutations to date and will serve as a blueprint for future biological and clinical endeavors.",
"author_names": [
"Matthew H Bailey",
"Collin J Tokheim",
"Eduard Porta-Pardo",
"Sohini Sengupta",
"Denis Bertrand",
"Amila Weerasinghe",
"Antonio Colaprico",
"Michael C Wendl",
"Jaegil Kim",
"Brendan Reardon",
"Patrick Kwok Shing Ng",
"Kang Jin Jeong",
"Song Cao",
"Zixing Wang",
"Qingsong Gao",
"Eric Minwei Liu",
"Loris Mularoni",
"Carlota Rubio-Perez",
"Niranjan Nagarajan",
"Isidro Cortes-Ciriano",
"Daniel Cui Zhou",
"Wen-Wei Liang",
"Julian M Hess",
"Venkata Yellapantula",
"David Tamborero",
"Abel Gonzalez-Perez",
"Chayaporn Suphavilai",
"Jia Yu Ko",
"Ekta Khurana",
"Peter J Park",
"Eliezer M Van Allen",
"Han Liang",
"Michael S Lawrence",
"Adam Godzik",
"Nuria Lopez-Bigas",
"Josh Stuart",
"David A Wheeler",
"Gad Getz",
"Alexander J Lazar",
"Gordon B Mills",
"Rachel Karchin",
"Li Ding",
"Samantha J Caesar-Johnson",
"John A Demchok",
"Ina Felau",
"Melpomeni Kasapi",
"Martin L Ferguson",
"Carolyn Hutter",
"Heidi J Sofia",
"Roy W Tarnuzzer",
"Zhining Wang",
"Liming Yang",
"Jean Claude Zenklusen",
"Jiashan (Julia) Zhang",
"Sudha Chudamani",
"Jia Liu",
"Laxmi Lolla",
"Rashi Naresh",
"Todd Pihl",
"Qiang Sun",
"Yunhu Wan",
"Ye Wu",
"Juok Cho",
"Timothy DeFreitas",
"Scott R Frazer",
"Nils Gehlenborg",
"David I Heiman",
"Pei Lin",
"Sam Meier",
"Michael S Noble",
"Gordon Saksena",
"Douglas Voet",
"Hailei Zhang",
"Brady Bernard",
"Nyasha Chambwe",
"Varsha Dhankani",
"Theo A Knijnenburg",
"Roger Kramer",
"Kalle Leinonen",
"Yuexin Liu",
"Michael Miller",
"Sheila M Reynolds",
"Ilya Shmulevich",
"Vesteinn Thorsson",
"Rehan Akbani",
"Bradley M Broom",
"Apurva M Hegde",
"Zhenlin Ju",
"Rupa S Kanchi",
"Anil Korkut",
"Shiyun Ling",
"Wenbin Liu",
"Kwok-Shing Ng",
"Arvind U K Rao",
"Michael Ryan",
"John N Weinstein",
"Jiexin Zhang",
"Adam A Abeshouse",
"Joshua Armenia",
"Debyani Chakravarty",
"Walid K Chatila",
"Ino de Bruijn",
"Benjamin E Gross",
"Zachary J Heins",
"Ritika Kundra",
"Konnor C La",
"Marc Ladanyi",
"Augustin Luna",
"Moriah G Nissan",
"Angelica Ochoa",
"Sarah M Phillips",
"Ed Reznik",
"Francisco Sanchez-Vega",
"Chris Sander",
"Nikolaus Schultz",
"Robert L Sheridan",
"Selcuk Onur Sumer",
"Yichao Sun",
"Barry S Taylor",
"Jioajiao Wang",
"Hongxing Zhang",
"Pavana Anur",
"Myron Peto",
"Paul T Spellman",
"Christopher C Benz",
"Joshua M Stuart",
"Christopher K Wong",
"Christina Yau",
"David Neil Hayes",
"Joel S Parker",
"Matthew D Wilkerson",
"Adrian Ally",
"Miruna Balasundaram",
"Reanne Bowlby",
"Denise Brooks",
"Rebecca Carlsen",
"Eric Chuah",
"Noreen Dhalla",
"Robert W Holt",
"Steven J M Jones",
"Katayoon Kasaian",
"Darlene Lee",
"Yussanne Ma",
"Marco A Marra",
"Michael Mayo",
"Richard A Moore",
"Andrew J Mungall",
"Karen L Mungall",
"A Gordon Robertson",
"Sara Sadeghi",
"Jacqueline E Schein",
"Payal Sipahimalani",
"Angela Tam",
"Nina Thiessen",
"Kane Tse",
"Tina Wong",
"Ashton C Berger",
"Rameen Beroukhim",
"Andrew D Cherniack",
"Carrie L Cibulskis",
"S Gabriel",
"Galen F Gao",
"Gavin Ha",
"Matthew L Meyerson",
"Steven E Schumacher",
"Juliann Shih",
"Melanie Kucherlapati",
"Raju Kucherlapati",
"Stephen Baylin",
"Leslie M Cope",
"Ludmila V Danilova",
"Moiz S Bootwalla",
"Phillip H Lai",
"Dennis T Maglinte",
"David J Van Den Berg",
"Daniel J Weisenberger",
"James T Auman",
"Saianand Balu",
"Tom Bodenheimer",
"Cheng Fan",
"Katherine A Hoadley",
"Alan Hoyle",
"Stuart R Jefferys",
"Corbin D Jones",
"Shao-Wu Meng",
"Piotr A Mieczkowski",
"Lisle E Mose",
"Amy H Perou",
"Charles M Perou",
"Jeffrey M Roach",
"Yan Shi",
"Janae V Simons",
"Tara J Skelly",
"Matthew G Soloway",
"Donghui Tan",
"Umadevi Veluvolu",
"Huihui Fan",
"Toshinori Hinoue",
"Peter W Laird",
"Hui Shen",
"Wanding Zhou",
"Michelle Bellair",
"Kyle Chang",
"Kyle R Covington",
"Chad J Creighton",
"Huyen Dinh",
"Harshavardhan Doddapaneni",
"Lawrence A Donehower",
"J Drummond",
"Richard A Gibbs",
"Robert Glenn",
"Walker Hale",
"Yi Han",
"Viktoriya Korchina",
"Sandra Lee",
"Lora L Lewis",
"Xiuping Liu",
"Margaret B Morgan",
"Donna Morton",
"Donna M Muzny",
"Jireh Santibanez",
"Margi Sheth",
"Eve Shinbrot",
"Liu Xi",
"Fengmei Zhao",
"Elizabeth L Appelbaum",
"Matthew G Cordes",
"Catrina C Fronick",
"Lucinda A Fulton",
"Robert S Fulton",
"Cyriac Kandoth",
"Elaine R Mardis",
"Michael D McLellan",
"Christopher A Miller",
"Heather K Schmidt",
"Richard K Wilson",
"Daniel Crain",
"Erin E Curley",
"Johanna Gardner",
"Kevin R Lau",
"David W Mallery",
"Scott Morris",
"Joseph D Paulauskis",
"Robert J Penny",
"Candace Shelton",
"Troy Shelton",
"Mark E Sherman",
"Eric M Thompson",
"Peggy Yena",
"Jay Bowen",
"Julie M Gastier-Foster",
"Mark J Gerken",
"Kristen M Leraas",
"Tara M Lichtenberg",
"Nilsa C Ramirez",
"Lisa Wise",
"E J Zmuda",
"Niall M Corcoran",
"Tony Costello",
"Christopher M Hovens",
"Andre Lopes Carvalho",
"Ana C de Carvalho",
"Jose H Fregnani",
"Adhemar Longatto-Filho",
"Rui Manuel Reis",
"Cristovam Scapulatempo-Neto",
"Henrique Cesar Santejo Silveira",
"Daniel Onofre Vidal",
"Andrew Burnette",
"Jennifer Eschbacher",
"Beth Hermes",
"Ardene Noss",
"Rosy Singh",
"Matthew L Anderson",
"Patricia D Castro",
"Michael M Ittmann",
"David G Huntsman",
"Bernard Kohl",
"Xuan Van Le",
"Richard A Thorp",
"Chris Andry",
"Elizabeth R Duffy",
"Vladimir K Lyadov",
"Oxana V Paklina",
"Galiya R Setdikova",
"Alexey Shabunin",
"M M Tavobilov",
"Christopher M McPherson",
"Ronald Warnick",
"Ross S Berkowitz",
"Daniel Cramer",
"Colleen M Feltmate",
"Neil Horowitz",
"Adam S Kibel",
"Michael G Muto",
"Chandrajit P Raut",
"Andrei G Malykh",
"Jill S Barnholtz-Sloan",
"Wendi Barrett",
"Karen Dragon Devine",
"Jordonna Fulop",
"Quinn T Ostrom",
"Kristen Shimmel",
"Yingli Wolinsky",
"Andrew E Sloan",
"Agostino Maria De Rose",
"Felice Giuliante",
"Marc T Goodman",
"Beth Y Karlan",
"Curt H Hagedorn",
"John Eckman",
"Jodi Harr",
"Jerome B Myers",
"Kelinda Tucker",
"Leigh Anne Zach",
"Brenda Deyarmin",
"Hai Hu",
"Leonid Kvecher",
"Caroline Larson",
"Richard J Mural",
"S B Somiari",
"Ales Vicha",
"Tomas Zelinka",
"Joseph Bennett",
"Mary V Iacocca",
"Brenda Rabeno",
"Patricia Swanson",
"Mathieu Latour",
"Louis Lacombe",
"Bernard Tetu",
"Alain Bergeron",
"Mary McGraw",
"Susan M Staugaitis",
"John A Chabot",
"Hanina Hibshoosh",
"Antonia Sepulveda",
"Tao Su",
"Timothy Wang",
"Olga Potapova",
"Olga Voronina",
"Laurence Desjardins",
"Odette Mariani",
"Sergio Roman-Roman",
"Xavier Sastre",
"Marc-Henri Stern",
"Feixiong Cheng",
"Sabina Signoretti",
"Andrew Berchuck",
"Darell Bigner",
"Eric S Lipp",
"Jeffrey R Marks",
"Shannon J McCall",
"Roger R McLendon",
"Angeles Alvarez Secord",
"Alexis Sharp",
"Madhusmita Behera",
"Daniel J Brat",
"Amy Y Chen",
"Keith A Delman",
"Seth Daniel Force",
"Fadlo R Khuri",
"Kelly R Magliocca",
"Shishir K Maithel",
"Jeffrey J Olson",
"Taofeek Kunle Owonikoko",
"Alan Pickens",
"Suresh R Ramalingam",
"Gabriel L Sica",
"Hong-zhen Zhang",
"Wil Eijckenboom",
"Ad Gillis",
"Esther Korpershoek",
"Leendert H J Looijenga",
"Wolter J Oosterhuis",
"Hans Stoop",
"Kim E M van Kessel",
"Ellen C Zwarthoff",
"Chiara Calatozzolo",
"Lucia Cuppini",
"Stefania Cuzzubbo",
"Francesco DiMeco",
"Gaetano Finocchiaro",
"Luca Mattei",
"Alessandro Perin",
"Bianca Pollo",
"Chu Chen",
"John R Houck",
"Pawadee Lohavanichbutr",
"Arndt Hartmann",
"Christine G Stoehr",
"Robert Stoehr",
"Helge Taubert",
"Sven Wach",
"Bernd Wullich",
"Witold Kycler",
"Dawid Murawa",
"Maciej Wiznerowicz",
"K J Kwon Chung",
"William Jeffery Edenfield",
"Julie M Martin",
"Eric Baudin",
"Glenn J Bubley",
"Raphael Bueno",
"Assunta De Rienzo",
"William G Richards",
"Steven N Kalkanis",
"Tom Mikkelsen",
"Houtan Noushmehr",
"Lisa Scarpace",
"Nicolas Girard",
"Marta Aymerich",
"Elias Campo",
"Eva Gine",
"Armando Lopez Guillermo",
"Nguyen Van Bang",
"Phan Thi Hong Hanh",
"Bui Duc Phu",
"Yufang Tang",
"Howard Colman",
"Kimberley J Evason",
"Peter R Dottino",
"John A Martignetti",
"Hani Gabra",
"Hartmut Juhl",
"Teniola Akeredolu",
"Serghei Stepa",
"Dave S B Hoon",
"Keun-Young Ahn",
"Koo Jeong Kang",
"Felix Beuschlein",
"Anne C Breggia",
"Michael J Birrer",
"Deborah Bell",
"Mitesh J Borad",
"Alan H Bryce",
"Erik Castle",
"Vishal Chandan",
"John Cheville",
"John A Copland",
"Michael B Farnell",
"Thomas Flotte",
"Nasra H Giama",
"Thai H Ho",
"Michael J Kendrick",
"Jean-Pierre A Kocher",
"Karla J Kopp",
"Catherine D Moser",
"David M Nagorney",
"Daniel R O'Brien",
"Brian P O'neill",
"Tushar Patel",
"Gloria M Petersen",
"Florencia G Que",
"Michael Rivera",
"Lewis R Roberts",
"Robert Christian Smallridge",
"Thomas C Smyrk",
"Melissa L Stanton",
"R Houston Thompson",
"Michael S Torbenson",
"Ju Dong Yang",
"Lizhi Zhang",
"Fadi Brimo",
"Jaffer A Ajani",
"Ana Maria Angulo Gonzalez",
"Carmen Behrens",
"Jolanta E Bondaruk",
"Russell Broaddus",
"Bogdan A Czerniak",
"Bita Esmaeli",
"Junya Fujimoto",
"Jeffrey E Gershenwald",
"Charles Chuanhai Guo",
"Christopher L Logothetis",
"Funda Meric-Bernstam",
"Cesar A Moran",
"Lois M Ramondetta",
"David Rice",
"Anil K Sood",
"Pheroze Tamboli",
"Timothy Thompson",
"Patricia Troncoso",
"Anne S Tsao",
"Ignacio I Wistuba",
"Candace D Carter",
"Lauren E Haydu",
"Peter Hersey",
"Valerie Jakrot",
"Hojabr Kakavand",
"Richard F Kefford",
"Kenneth Lee",
"Georgina V Long",
"Graham Mann",
"Michael Cj Quinn",
"Robyn P M Saw",
"Richard A Scolyer",
"Kerwin F Shannon",
"Andrew J Spillane",
"Jonathan Stretch",
"Maria Synott",
"John Ryan Thompson",
"James S Wilmott",
"Hikmat A Al-Ahmadie",
"Timothy A Chan",
"Ronald A Ghossein",
"Anuradha Gopalan",
"Douglas A Levine",
"Victor Reuter",
"Samuel Singer",
"Bhuvanesh Singh",
"Nguyen Viet Tien",
"Thomas B Broudy",
"Cyrus Mirsaidi",
"Praveen Nair",
"Paul Drwiega",
"Judy Miller",
"Jennifer Smith",
"Howard A Zaren",
"Joong-Won Park",
"Nguyen Phi Hung",
"Electron Kebebew",
"W Marston Linehan",
"Adam R Metwalli",
"Karel Pacak",
"Peter A Pinto",
"Mark Schiffman",
"Laura S Schmidt",
"Cathy D Vocke",
"Nicolas Wentzensen",
"Robert A Worrell",
"Hannah P Yang",
"Marc D S Moncrieff",
"Chandra Goparaju",
"Jonathan Melamed",
"Harvey I Pass",
"Natalia Botnariuc",
"Irina Caraman",
"Mircea Cernat",
"Inga Chemencedji",
"Adrian Clipca",
"Serghei Doruc",
"Ghenadie Gorincioi",
"Sergiu Mura",
"Maria Pirtac",
"Irina Stancul",
"Diana Tcaciuc",
"Monique Albert",
"Iakovina Alexopoulou",
"Angel Arnaout",
"John M S Bartlett",
"Jay Engel",
"Sebastien Gilbert",
"Jeremy R Parfitt",
"Harmandeep Singh Sekhon",
"George V Thomas",
"Doris M Rassl",
"Robert Campbell Rintoul",
"Carlo B Bifulco",
"Raina A Tamakawa",
"Walter J Urba",
"Nicholas Hayward",
"Henri J L M Timmers",
"Anna Antenucci",
"Francesco Facciolo",
"Gian Luca Grazi",
"Mirella Marino",
"Roberta Merola",
"Ronald R de Krijger",
"Anne-Paule Gimenez-Roqueplo",
"Alain Piche",
"Simone Chevalier",
"Ginette McKercher",
"Kivanc Birsoy",
"Gene H Barnett",
"Cathy Brewer",
"Carol F Farver",
"Theresa Naska",
"Nathan A Pennell",
"Daniel Raymond",
"Cathy Schilero",
"Kathy Smolenski",
"Felicia Williams",
"Carl D Morrison",
"Jeffrey A Borgia",
"Michael J Liptay",
"Mark D Pool",
"Christopher W Seder",
"Kerstin Junker",
"Larsson Omberg",
"Mikhail Dinkin",
"George Manikhas",
"Domenico Alvaro",
"Maria Consiglia Bragazzi",
"Vincenzo Cardinale",
"Guido Carpino",
"Eugenio Gaudio",
"David W Chesla",
"Sandra L Cottingham",
"Michael V Dubina",
"Fedor Vladimirovich Moiseenko",
"Renumathy Dhanasekaran",
"Karl Friedrich Becker",
"Klaus-Peter Janssen",
"Julia Slotta-Huspenina",
"Mohamed H Abdel-Rahman",
"Dina Aziz",
"Sue Bell",
"Colleen M Cebulla",
"Amy Davis",
"Rebecca Duell",
"J Bradley Elder",
"Joe Hilty",
"Bahavna Kumar",
"James Lang",
"Norman L Lehman",
"Randy Mandt",
"Phuong T L Nguyen",
"Robert Pilarski",
"Karan Rai",
"Lynn R Schoenfield",
"Kelly Senecal",
"Paul E Wakely",
"Paul Daniel Hansen",
"Ronald Lechan",
"James Powers",
"Arthur S Tischler",
"William E Grizzle",
"Katherine C Sexton",
"Alison Kastl",
"Joel M Henderson",
"Sima P Porten",
"Jens Waldmann",
"Martin Fassnacht",
"Sylvia L Asa",
"Dirk Schadendorf",
"Marta Couce",
"Markus Graefen",
"Hartwig Huland",
"Guido Sauter",
"Thorsten Schlomm",
"Ronald Simon",
"Pierre Tennstedt",
"Oluwole Olabode",
"Mark Nelson",
"Oliver F Bathe",
"Peter R Carroll",
"June M Chan",
"Philip John Disaia",
"Pat Glenn",
"Robin Kate Kelley",
"Charles N Landen",
"Joanna J Phillips",
"M Prados",
"Jeffry P Simko",
"Karen Smith-McCune",
"Scott VandenBerg",
"Kevin King Roggin",
"Ashley Fehrenbach",
"Ady Kendler",
"Suzanne Sifri",
"Ruth Steele",
"Antonio Jimeno",
"Francis A Carey",
"Ian M Forgie",
"Massimo Mannelli",
"Michael E Carney",
"Brenda Hernandez",
"Benito Campos",
"Christel Herold-Mende",
"Christine Jungk",
"Andreas Unterberg",
"Andreas von Deimling",
"Aaron Bossler",
"Joseph A Galbraith",
"Laura Jacobus",
"Michael C Knudson",
"Tina M Knutson",
"Deqin Ma",
"Mohammed M Milhem",
"Rita Sigmund",
"Andrew K Godwin",
"Rashna Madan",
"Howard G Rosenthal",
"Clement A Adebamowo",
"Sally N Adebamowo",
"Alex Boussioutas",
"David G Beer",
"Thomas J Giordano",
"Anne-Marie Mes-Masson",
"Fred Saad",
"Therese Bocklage",
"Lisa Michelle Landrum",
"Robert S Mannel",
"Kathleen Moore",
"Katherine M Moxley",
"Russell Postier",
"Joan L Walker",
"Rosemary Zuna",
"Michael Feldman",
"Federico Valdivieso",
"Rajiv Dhir",
"James D Luketich",
"Edna M Mora Pinero",
"Mario E Quintero-Aguilo",
"Carlos Gilberto Carlotti",
"Jose Sebastiao Dos Santos",
"Rafael Kemp",
"Ajith Sankarankuty",
"Daniela Tirapelli",
"James W F Catto",
"Kathy J Agnew",
"Elizabeth M Swisher",
"Jenette Creaney",
"Bruce Robinson",
"Carl S Shelley",
"Eryn M Godwin",
"Sara Kendall",
"Cassaundra Shipman",
"Carol R Bradford",
"Thomas E Carey",
"Andrea Haddad",
"Jeff Moyer",
"Lisa A Peterson",
"Mark Prince",
"Laura S Rozek",
"Gregory T Wolf",
"Rayleen V Bowman",
"Kwun M Fong",
"Ian Yang",
"Robert J Korst",
"W Kimryn Rathmell",
"J Leigh Fantacone-Campbell",
"Jeffrey Hooke",
"Albert J Kovatich",
"Craig D Shriver",
"John F Dipersio",
"Bettina F Drake",
"Ramaswamy Govindan",
"Sharon E Heath",
"Timothy J Ley",
"Brian A Van Tine",
"Peter Westervelt",
"Mark A Rubin",
"Jung Il Lee",
"Natalia D Aredes",
"Armaz Mariamidze"
],
"corpus_id": 4603144,
"doc_id": "4603144",
"n_citations": 955,
"n_key_citations": 36,
"score": 0,
"title": "Comprehensive Characterization of Cancer Driver Genes and Mutations",
"venue": "Cell",
"year": 2018
},
{
"abstract": "BACKGROUND D2 gastrectomy is recommended in US and European guidelines, and is preferred in east Asia, for patients with resectable gastric cancer. Adjuvant chemotherapy improves patient outcomes after surgery, but the benefits after a D2 resection have not been extensively investigated in large scale trials. We investigated the effect on disease free survival of adjuvant chemotherapy with capecitabine plus oxaliplatin after D2 gastrectomy compared with D2 gastrectomy only in patients with stage II IIIB gastric cancer. METHODS The capecitabine and oxaliplatin adjuvant study in stomach cancer (CLASSIC) study was an open label, parallel group, phase 3, randomised controlled trial undertaken in 37 centres in South Korea, China, and Taiwan. Patients with stage II IIIB gastric cancer who had had curative D2 gastrectomy were randomly assigned to receive adjuvant chemotherapy of eight 3 week cycles of oral capecitabine (1000 mg/m(2) twice daily on days 1 to 14 of each cycle) plus intravenous oxaliplatin (130 mg/m(2) on day 1 of each cycle) for 6 months or surgery only. Block randomisation was done by a central interactive computerised system, stratified by country and disease stage. Patients, and investigators giving interventions, assessing outcomes, and analysing data were not masked. The primary endpoint was 3 year disease free survival, analysed by intention to treat. This study reports a prespecified interim efficacy analysis, after which the trial was stopped after a recommendation by the data monitoring committee. The trial is registered at ClinicalTrials.gov (NCT00411229) FINDINGS 1035 patients were randomised (520 to receive chemotherapy and surgery, 515 surgery only) Median follow up was 34*2 months (25*4 41*7) in the chemotherapy and surgery group and 34*3 months (25*6 41*9) in the surgery only group. 3 year disease free survival was 74% (95% CI 69 79) in the chemotherapy and surgery group and 59% (53 64) in the surgery only group (hazard ratio 0*56, 95% CI 0*44 0*72; p<0*0001) Grade 3 or 4 adverse events were reported in 279 of 496 patients (56% in the chemotherapy and surgery group and in 30 of 478 patients (6% in the surgery only group. The most common adverse events in the intervention group were nausea (n=326) neutropenia (n=300) and decreased appetite (n=294) INTERPRETATION Adjuvant capecitabine plus oxaliplatin treatment after curative D2 gastrectomy should be considered as a treatment option for patients with operable gastric cancer. FUNDING F Hoffmann La Roche and Sanofi Aventis.",
"author_names": [
"Yung-Jue Bang",
"Young-Woo Kim",
"Han-Kwang Yang",
"Hyun Cheol Chung",
"Young-Kyu Park",
"Kyung Hee Lee",
"Keun-Wook Lee",
"Yong Ho Kim",
"Sang-Ik Noh",
"Jae Yong Cho",
"Young-jae Mok",
"Yeul-Hong Kim",
"Jiafu Ji",
"Ta-Sen Yeh",
"Peter Button",
"Florin Sirzen",
"Sung Hoon Noh"
],
"corpus_id": 7823000,
"doc_id": "7823000",
"n_citations": 859,
"n_key_citations": 19,
"score": 0,
"title": "Adjuvant capecitabine and oxaliplatin for gastric cancer after D2 gastrectomy (CLASSIC) a phase 3 open label, randomised controlled trial",
"venue": "The Lancet",
"year": 2012
},
{
"abstract": "Jeeyun Lee1, Seung Tae Kim1, Kyung Kim1, Hyuk Lee2, Iwanka Kozarewa3, Peter G.S. Mortimer4, Justin I. Odegaard5, Elizabeth A. Harrington3, Juyoung Lee1, Taehyang Lee1, Sung Yong Oh6, Jung Hun Kang7, Jung Hoon Kim8, Youjin Kim9, Jun Ho Ji9, Young Saing Kim10, Kyoung Eun Lee11, Jinchul Kim1, Tae Sung Sohn12, Ji Yeong An12, Min Gew Choi12, Jun Ho Lee12, Jae Moon Bae12, Sung Kim12, Jae J. Kim2, Yang Won Min2, Byung Hoon Min2, Nayoung K.D. Kim13,4, Sally Luke3, Young Hwa Kim4, Jung Yong Hong1, Se Hoon Park1, Joon Oh Park1, Young Suk Park1, Ho Yeong Lim1, AmirAli Talasaz5, Simon J. Hollingsworth14, Kyoung Mee Kim15, and Won Ki Kang1 RESEARCH ARTICLE",
"author_names": [
"Jeeyun Lee",
"Seung Tae Kim",
"Kyung Bo Kim",
"Hyuk Joon Lee",
"Iwanka Kozarewa",
"Peter Mortimer",
"Justin I Odegaard",
"Elizabeth A Harrington",
"Juyoung Lee",
"Sung Yong Oh",
"Jung Hun Kang",
"Jung Hoon Kim",
"Youjin Kim",
"Jun Ho Ji",
"Kyoung Eun Lee",
"Jinchul Kim",
"Tae Sung Sohn",
"Ji Yeong An",
"Min Gew Choi",
"Jun Ho Lee",
"Jae Moon Bae",
"Jae J Kim",
"Yang Won Min",
"Byung-Hoon Min",
"Nayoung K D Kim",
"Sally Luke",
"Jung Yong Hong",
"Se Hoon Park",
"Joon-Oh Park",
"Young Suk Park",
"Ho Yeong Lim",
"A Talasaz",
"Simon J Hollingsworth",
"Kyoung-Mee Kim",
"Won Ki Kang"
],
"corpus_id": 235329843,
"doc_id": "235329843",
"n_citations": 31,
"n_key_citations": 0,
"score": 0,
"title": "Tumor Genomic Profiling Guides Patients with Metastatic Gastric Cancer to Targeted Treatment: The VIKTORY Umbrella Trial",
"venue": "",
"year": 2019
},
{
"abstract": "DOI: 10.1002/admi.201900607 energy (IE) or electron affinity (EA) respectively, of the OSC. The precise work function required depends on the injection resistance that can be tolerated, but the threshold for ohmic transition lies well beyond the onset of Fermi level pinning.[2] For making hole contacts, air stable hole doped conducting polymers with work functions of up to 5.2 eV, such as poly(3,4 ethylenedioxythiophene):poly (styrenesulfonic acid) (PEDT:PSSH)[3] and sulfonated poly(thiophene 3 [2 (2 methoxyethoxy)ethoxy] 2,5 diyl):poly(4 hydroxystyrene) (S P3MEET:PHOST)[4] have long been available. For making electron contacts, however, stable electron doped conducting polymers with work functions smaller than 4.0 eV are not available because of vulnerability to oxygen reduction reaction.[5] Various schemes have thus been devised to fabricate electron doped semiconductor electron transport/injection layers,[6] including the use of multivalent anions as latent electron donors[7] with the selfcompensated polymer platform which allow heavily doped conducting polymers to be obtained over a wide range of work functions, free from the problem of \"dopant migration.\"[8] The ability to make ohmic contacts at will, in particular to the more challenging high IE and low EA semiconductors, is important for continued advancement in the field. Recently, polyethylenimine (PEI) polyethylenimine (ethoxylated) (PEIE) and related polymers, and even amine solvents,[9] have been suggested to greatly improve electron injection when applied to various surfaces, including metals, metal oxides, and PEDT:PSSH.[10] However, PEI and PEIE are both electronic insulators, so this result is puzzling. Careful recent work has shown that their thin interlayers indeed act as tunnel barriers, not ohmic electron contacts; they confine holes injected from the opposite contact to induce an electric field realignment improving electron injection, but far away from ohmic regime.[11] This mechanism is the same as that demonstrated some time ago through the use of layer by layer assembled monolayers to confine carriers in organic light emitting diodes.[12,13] The large 1 eV work function reduction generated by the PEI or PEIE interlayers is thus essentially futile. Their electron contacts are nonohmic, despite their low work functions.[14] This is not surprising. It has been well established It is well known that thin polyethylenimine and polyethylenimine (ethoxylated) (PEIE) layers can reduce the work function of metals, metal oxides, and conducting polymers by 1 eV or more through an interface dipole, but this is not generally sufficient to produce an ohmic electron contact. Here, it is shown that a short, positive bias preconditioning can switch the injection characteristics of a self assembled monolayer of PEIE on poly(3,4 ethyl ene dioxythiophene):poly(styrenesulfonic acid) (PEDT:PSSH) from electronblocking to electron injecting into poly(N,N' bis(2 octyldodecyl) 1,4,5,8naphthalenebis(dicarboxy imide) 2,6 diyl) alt (2,2' bithiophene) 5,5' diyl) Despite its low work function (4.0 eV) and favorable energy level alignment, the PEIE modified contact is initially blocking because the tunneling barrier limits carrier accumulation. The prebias boosts this accumulation density through an interfacial solid state electrochemical process that positively charges the PEIE, which induces charge compensation by mobile electron carriers in the adjacent semiconductor layer through the field effect. This mechanism to trigger the ohmic transition appears to be general, as similar effects, albeit to a small extent, is found in semiconductors with even smaller electron affinity. This is the first example of ohmic electron injection from PEDT:PSSH into an organic semiconductor, which would be useful for fabricating tandem and other cells.",
"author_names": [
"Chao Zhao",
"Adrian Nugraha Utama",
"Kim-Kian Choo",
"Lay-Lay Chua",
"Rui-Qi Png",
"Peter K H Ho"
],
"corpus_id": 202218375,
"doc_id": "202218375",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Bias Induced Electrochemical Electron Doping of Organic Semiconductor Contacts",
"venue": "Advanced Materials Interfaces",
"year": 2019
},
{
"abstract": "Genetic alterations in signaling pathways that control cell cycle progression, apoptosis, and cell growth are common hallmarks of cancer, but the extent, mechanisms, and co occurrence of alterations in these pathways differ between individual tumors and tumor types. Using mutations, copy number changes, mRNA expression, gene fusions and DNA methylation in 9,125 tumors profiled by The Cancer Genome Atlas (TCGA) we analyzed the mechanisms and patterns of somatic alterations in ten canonical pathways: cell cycle, Hippo, Myc, Notch, Nrf2, PI 3 Kinase/Akt, RTK RAS, TGFb signaling, p53 and b catenin/Wnt. We charted the detailed landscape of pathway alterations in 33 cancer types, stratified into 64 subtypes, and identified patterns of co occurrence and mutual exclusivity. Eighty nine percent of tumors had at least one driver alteration in these pathways, and 57% percent of tumors had at least one alteration potentially targetable by currently available drugs. Thirty percent of tumors had multiple targetable alterations, indicating opportunities for combination therapy.",
"author_names": [
"Francisco Sanchez-Vega",
"Marco Mina",
"Joshua Armenia",
"Walid K Chatila",
"Augustin Luna",
"Konnor C La",
"Sofia Dimitriadoy",
"David L Liu",
"Havish S Kantheti",
"Sadegh Saghafinia",
"Debyani Chakravarty",
"Foysal Daian",
"Qingsong Gao",
"Matthew H Bailey",
"Wen-Wei Liang",
"Steven M Foltz",
"Ilya Shmulevich",
"Li Ding",
"Zachary J Heins",
"Angelica Ochoa",
"Benjamin E Gross",
"Hongxing Zhang",
"Ritika Kundra",
"Cyriac Kandoth",
"Istemi Bahceci",
"Leonard Dervishi",
"Ugur Dogrusoz",
"Wanding Zhou",
"Hui Shen",
"Peter W Laird",
"Gregory P Way",
"Casey S Greene",
"Han Liang",
"Yonghong Xiao",
"Chen Wang",
"Antonio Iavarone",
"Alice H Berger",
"Trever G Bivona",
"Alexander J Lazar",
"Gary D Hammer",
"Thomas J Giordano",
"Lawrence N Kwong",
"Grant A McArthur",
"Aaron D Tward",
"Mitchell J Frederick",
"Frank McCormick",
"Matthew L Meyerson",
"Eliezer M Van Allen",
"Andrew D Cherniack",
"Giovanni Ciriello",
"Chris Sander",
"Nikolaus Schultz",
"Samantha J Caesar-Johnson",
"John A Demchok",
"Ina Felau",
"Melpomeni Kasapi",
"Martin L Ferguson",
"Carolyn Hutter",
"Heidi J Sofia",
"Roy W Tarnuzzer",
"Zhining Wang",
"Liming Yang",
"Jean Claude Zenklusen",
"Jiashan (Julia) Zhang",
"Sudha Chudamani",
"Jia Liu",
"Laxmi Lolla",
"Rashi Naresh",
"Todd Pihl",
"Qiang Sun",
"Yunhu Wan",
"Ye Wu",
"Juok Cho",
"Timothy DeFreitas",
"Scott R Frazer",
"Nils Gehlenborg",
"Gad Getz",
"David I Heiman",
"Jaegil Kim",
"Michael S Lawrence",
"Pei Lin",
"Sam Meier",
"Michael S Noble",
"Gordon Saksena",
"Douglas Voet",
"Hailei Zhang",
"Brady Bernard",
"Nyasha Chambwe",
"Varsha Dhankani",
"Theo A Knijnenburg",
"Roger Kramer",
"Kalle Leinonen",
"Yuexin Liu",
"Michael Miller",
"Sheila M Reynolds",
"Vesteinn Thorsson",
"Rehan Akbani",
"Bradley M Broom",
"Apurva M Hegde",
"Zhenlin Ju",
"Rupa S Kanchi",
"Anil Korkut",
"Shiyun Ling",
"Wenbin Liu",
"Gordon B Mills",
"Kwok-Shing Ng",
"Arvind U K Rao",
"Michael J Ryan",
"John N Weinstein",
"Jiexin Zhang",
"Adam A Abeshouse",
"Ino de Bruijn",
"Marc Ladanyi",
"Moriah G Nissan",
"Sarah M Phillips",
"Ed Reznik",
"Robert L Sheridan",
"Selcuk Onur Sumer",
"Yichao Sun",
"Barry S Taylor",
"Jioajiao Wang",
"Pavana Anur",
"Myron Peto",
"Paul T Spellman",
"Christopher C Benz",
"Joshua M Stuart",
"Christopher K Wong",
"Christina Yau",
"David Neil Hayes",
"Joel S Parker",
"Matthew D Wilkerson",
"Adrian Ally",
"Miruna Balasundaram",
"Reanne Bowlby",
"Denise Brooks",
"Rebecca Carlsen",
"Eric Chuah",
"Noreen Dhalla",
"Robert W Holt",
"Steven J M Jones",
"Katayoon Kasaian",
"Darlene Lee",
"Yussanne Ma",
"Marco A Marra",
"Michael Mayo",
"Richard A Moore",
"Andrew J Mungall",
"Karen L Mungall",
"A Gordon Robertson",
"Sara Sadeghi",
"Jacqueline E Schein",
"Payal Sipahimalani",
"Angela Tam",
"Nina Thiessen",
"Kane Tse",
"Tina Wong",
"Ashton C Berger",
"Rameen Beroukhim",
"Carrie L Cibulskis",
"S Gabriel",
"Galen F Gao",
"Gavin Ha",
"Steven E Schumacher",
"Juliann Shih",
"Melanie Kucherlapati",
"Raju Kucherlapati",
"Stephen Baylin",
"Leslie M Cope",
"Ludmila V Danilova",
"Moiz S Bootwalla",
"Phillip H Lai",
"Dennis T Maglinte",
"David J Van Den Berg",
"Daniel J Weisenberger",
"James T Auman",
"Saianand Balu",
"Tom Bodenheimer",
"Cheng Fan",
"Katherine A Hoadley",
"Alan Hoyle",
"Stuart R Jefferys",
"Corbin D Jones",
"Shao-Wu Meng",
"Piotr A Mieczkowski",
"Lisle E Mose",
"Amy H Perou",
"Charles M Perou",
"Jeffrey M Roach",
"Yan Shi",
"Janae V Simons",
"Tara J Skelly",
"Matthew G Soloway",
"Donghui Tan",
"Umadevi Veluvolu",
"Huihui Fan",
"Toshinori Hinoue",
"Michelle Bellair",
"Kyle Chang",
"Kyle R Covington",
"Chad J Creighton",
"Huyen Dinh",
"Harshavardhan Doddapaneni",
"Lawrence A Donehower",
"J Drummond",
"Richard A Gibbs",
"Robert Glenn",
"Walker Hale",
"Yi Han",
"Viktoriya Korchina",
"Sandra Lee",
"Lora L Lewis",
"Xiuping Liu",
"Margaret B Morgan",
"Donna Morton",
"Donna M Muzny",
"Jireh Santibanez",
"Margi Sheth",
"Eve Shinbrot",
"David A Wheeler",
"Liu Xi",
"Fengmei Zhao",
"Julian M Hess",
"Elizabeth L Appelbaum",
"Matthew G Cordes",
"Catrina C Fronick",
"Lucinda A Fulton",
"Robert S Fulton",
"Elaine R Mardis",
"Michael D McLellan",
"Christopher A Miller",
"Heather K Schmidt",
"Richard K Wilson",
"Daniel Crain",
"Erin E Curley",
"Johanna Gardner",
"Kevin R Lau",
"David W Mallery",
"Scott Morris",
"Joseph D Paulauskis",
"Robert J Penny",
"Candace Shelton",
"Troy Shelton",
"Mark E Sherman",
"Eric M Thompson",
"Peggy Yena",
"Jay Bowen",
"Julie M Gastier-Foster",
"Mark J Gerken",
"Kristen M Leraas",
"Tara M Lichtenberg",
"Nilsa C Ramirez",
"Lisa Wise",
"E J Zmuda",
"Niall M Corcoran",
"Tony Costello",
"Christopher M Hovens",
"Andre Lopes Carvalho",
"Ana C de Carvalho",
"Jose H Fregnani",
"Adhemar Longatto-Filho",
"Rui Manuel Reis",
"Cristovam Scapulatempo-Neto",
"Henrique Cesar Santejo Silveira",
"Daniel Onofre Vidal",
"Andrew Burnette",
"Jennifer Eschbacher",
"Beth Hermes",
"Ardene Noss",
"Rosy Singh",
"Matthew L Anderson",
"Patricia D Castro",
"Michael M Ittmann",
"David G Huntsman",
"Bernard Kohl",
"Xuan Van Le",
"Richard A Thorp",
"Chris Andry",
"Elizabeth R Duffy",
"Vladimir K Lyadov",
"Oxana V Paklina",
"Galiya R Setdikova",
"Alexey Shabunin",
"M M Tavobilov",
"Christopher M McPherson",
"Ronald E Warnick",
"Ross S Berkowitz",
"Daniel Cramer",
"Colleen M Feltmate",
"Neil Horowitz",
"Adam S Kibel",
"Michael G Muto",
"Chandrajit P Raut",
"A P Malykh",
"Jill S Barnholtz-Sloan",
"Wendi Barrett",
"Karen Dragon Devine",
"Jordonna Fulop",
"Quinn T Ostrom",
"Kristen Shimmel",
"Yingli Wolinsky",
"Andrew E Sloan",
"Agostino Maria De Rose",
"Felice Giuliante",
"Marc T Goodman",
"Beth Y Karlan",
"Curt H Hagedorn",
"John Eckman",
"Jodi Harr",
"Jerome B Myers",
"Kelinda Tucker",
"Leigh Anne Zach",
"Brenda Deyarmin",
"Hai Hu",
"Leonid Kvecher",
"Caroline Larson",
"Richard J Mural",
"S B Somiari",
"Ales Vicha",
"Tomas Zelinka",
"Joseph Bennett",
"Mary V Iacocca",
"Brenda Rabeno",
"Patricia Swanson",
"Mathieu Latour",
"Louis Lacombe",
"Bernard Tetu",
"Alain Bergeron",
"Mary McGraw",
"Susan M Staugaitis",
"John Chabot",
"Hanina Hibshoosh",
"Antonia Sepulveda",
"Tao Su",
"Timothy Wang",
"Olga Potapova",
"Olga Voronina",
"Laurence Desjardins",
"Odette Mariani",
"Sergio Roman-Roman",
"Xavier Sastre",
"Marc-Henri Stern",
"Feixiong Cheng",
"Sabina Signoretti",
"Andrew Berchuck",
"Darell D Bigner",
"Eric S Lipp",
"Jeffrey R Marks",
"Shannon J McCall",
"Roger R McLendon",
"Angeles Alvarez Secord",
"Alexis Sharp",
"Madhusmita Behera",
"Daniel J Brat",
"Amy Y Chen",
"Keith A Delman",
"Seth Daniel Force",
"Fadlo R Khuri",
"Kelly R Magliocca",
"Shishir K Maithel",
"Jeffrey J Olson",
"Taofeek Kunle Owonikoko",
"Alan Pickens",
"Suresh R Ramalingam",
"Gabriel L Sica",
"Hong-zhen Zhang",
"Wil Eijckenboom",
"Ad Gillis",
"Esther Korpershoek",
"Leendert H J Looijenga",
"Wolter J Oosterhuis",
"Hans Stoop",
"Kim E M van Kessel",
"Ellen C Zwarthoff",
"Chiara Calatozzolo",
"Lucia Cuppini",
"Stefania Cuzzubbo",
"Francesco DiMeco",
"Gaetano Finocchiaro",
"Luca Mattei",
"Alessandro Perin",
"Bianca Pollo",
"Chu Chen",
"John R Houck",
"Pawadee Lohavanichbutr",
"Arndt Hartmann",
"Christine G Stoehr",
"Robert Stoehr",
"Helge Taubert",
"Sven Wach",
"Bernd Wullich",
"Witold Kycler",
"Dawid Murawa",
"Maciej Wiznerowicz",
"K J Kwon Chung",
"William Jeffery Edenfield",
"Julie M Martin",
"Eric Baudin",
"Glenn J Bubley",
"Raphael Bueno",
"Assunta De Rienzo",
"William G Richards",
"Steven N Kalkanis",
"Tom Mikkelsen",
"Houtan Noushmehr",
"Lisa Scarpace",
"Nicolas Girard",
"Marta Aymerich",
"Elias Campo",
"Eva Gine",
"Armando Lopez Guillermo",
"Nguyen Van Bang",
"Phan Thi Hong Hanh",
"Bui Duc Phu",
"Yufang Tang",
"Howard Colman",
"Kimberley J Evason",
"Peter R Dottino",
"John A Martignetti",
"Hani Gabra",
"Hartmut Juhl",
"Teniola Akeredolu",
"Serghei Stepa",
"Dave S B Hoon",
"Keun-Young Ahn",
"Koo Jeong Kang",
"Felix Beuschlein",
"Anne C Breggia",
"Michael Birrer",
"Deborah Bell",
"Mitesh J Borad",
"Alan H Bryce",
"Erik Castle",
"Vishal Chandan",
"John Cheville",
"John A Copland",
"Michael B Farnell",
"Thomas Flotte",
"Nasra H Giama",
"Thai H Ho",
"Michael J Kendrick",
"Jean-Pierre A Kocher",
"Karla J Kopp",
"Catherine D Moser",
"David M Nagorney",
"Daniel R O'Brien",
"Brian P O'neill",
"Tushar Patel",
"Gloria M Petersen",
"Florencia G Que",
"Michael Rivera",
"Lewis R Roberts",
"Robert Christian Smallridge",
"Thomas C Smyrk",
"Melissa L Stanton",
"R Houston Thompson",
"Michael S Torbenson",
"Ju Dong Yang",
"Lizhi Zhang",
"Fadi Brimo",
"Jaffer A Ajani",
"Ana Maria Angulo Gonzalez",
"Carmen Behrens",
"Jolanta E Bondaruk",
"Russell Broaddus",
"Bogdan A Czerniak",
"Bita Esmaeli",
"Junya Fujimoto",
"Jeffrey E Gershenwald",
"Charles Chuanhai Guo",
"Christopher L Logothetis",
"Funda Meric-Bernstam",
"Cesar Moran",
"Lois M Ramondetta",
"David Rice",
"Anil K Sood",
"Pheroze Tamboli",
"Timothy Thompson",
"Patricia Troncoso",
"Anne S Tsao",
"Ignacio I Wistuba",
"Candace D Carter",
"Lauren E Haydu",
"Peter Hersey",
"Valerie Jakrot",
"Hojabr Kakavand",
"Richard F Kefford",
"Kenneth Lee",
"Georgina V Long",
"Graham Mann",
"Michael Cj Quinn",
"Robyn P M Saw",
"Richard A Scolyer",
"Kerwin F Shannon",
"Andrew J Spillane",
"Jonathan Stretch",
"Maria Synott",
"John Ryan Thompson",
"James S Wilmott",
"Hikmat A Al-Ahmadie",
"Timothy A Chan",
"Ronald A Ghossein",
"Anuradha Gopalan",
"Douglas A Levine",
"Victor Reuter",
"Samuel Singer",
"Bhuvanesh Singh",
"Nguyen Viet Tien",
"Thomas B Broudy",
"Cyrus Mirsaidi",
"Praveen Nair",
"Paul Drwiega",
"Judy Miller",
"Jennifer Smith",
"Howard A Zaren",
"Joong-Won Park",
"Nguyen Phi Hung",
"Electron Kebebew",
"W Marston Linehan",
"Adam R Metwalli",
"Karel Pacak",
"Peter A Pinto",
"Mark Schiffman",
"Laura S Schmidt",
"Cathy D Vocke",
"Nicolas Wentzensen",
"Robert A Worrell",
"Hannah P Yang",
"Marc Moncrieff",
"Chandra Goparaju",
"Jonathan Melamed",
"Harvey I Pass",
"Natalia Botnariuc",
"Irina Caraman",
"Mircea Cernat",
"Inga Chemencedji",
"Adrian Clipca",
"Serghei Doruc",
"Ghenadie Gorincioi",
"Sergiu Mura",
"Maria Pirtac",
"Irina Stancul",
"Diana Tcaciuc",
"Monique Albert",
"Iakovina Alexopoulou",
"Angel Arnaout",
"John M S Bartlett",
"Jay Engel",
"Sebastien Gilbert",
"Jeremy R Parfitt",
"Harmandeep Singh Sekhon",
"George V Thomas",
"Doris M Rassl",
"Robert Campbell Rintoul",
"Carlo B Bifulco",
"Raina A Tamakawa",
"Walter J Urba",
"Nicholas Hayward",
"Henri J L M Timmers",
"Anna Antenucci",
"Francesco Facciolo",
"Gian Luca Grazi",
"Mirella Marino",
"Roberta Merola",
"Ronald R de Krijger",
"Anne-Paule Gimenez-Roqueplo",
"Alain Piche",
"Simone Chevalier",
"Ginette McKercher",
"Kivanc Birsoy",
"Gene H Barnett",
"Cathy Brewer",
"Carol F Farver",
"Theresa Naska",
"Nathan A Pennell",
"Daniel Raymond",
"Cathy Schilero",
"Kathy Smolenski",
"Felicia Williams",
"Carl D Morrison",
"Jeffrey A Borgia",
"Michael J Liptay",
"Mark D Pool",
"Christopher W Seder",
"Kerstin Junker",
"Larsson Omberg",
"Mikhail Dinkin",
"George Manikhas",
"Domenico Alvaro",
"Maria Consiglia Bragazzi",
"Vincenzo Cardinale",
"Guido Carpino",
"Eugenio Gaudio",
"David W Chesla",
"Sandra L Cottingham",
"Michael V Dubina",
"Fedor Vladimirovich Moiseenko",
"Renumathy Dhanasekaran",
"Karl Friedrich Becker",
"Klaus-Peter Janssen",
"Julia Slotta-Huspenina",
"Mohamed H Abdel-Rahman",
"Dina Aziz",
"Sue Bell",
"Colleen M Cebulla",
"Amy Davis",
"Rebecca Duell",
"J Bradley Elder",
"Joe Hilty",
"Bahavna Kumar",
"James Lang",
"Norman L Lehman",
"Randy Mandt",
"Phuong T L Nguyen",
"Robert Pilarski",
"Karan Rai",
"Lynn R Schoenfield",
"Kelly Senecal",
"Paul E Wakely",
"Paul Daniel Hansen",
"Ronald Lechan",
"James Powers",
"Arthur S Tischler",
"William E Grizzle",
"Katherine C Sexton",
"Alison Kastl",
"Joel Henderson",
"Sima P Porten",
"Jens Waldmann",
"Martin Fassnacht",
"Sylvia L Asa",
"Dirk Schadendorf",
"Marta Couce",
"Markus Graefen",
"Hartwig Huland",
"Guido Sauter",
"Thorsten Schlomm",
"Ronald Simon",
"Pierre Tennstedt",
"Oluwole Olabode",
"Mark Nelson",
"Oliver F Bathe",
"Peter R Carroll",
"June M Chan",
"Philip John Disaia",
"Pat Glenn",
"Robin Kate Kelley",
"Charles N Landen",
"Joanna J Phillips",
"M Prados",
"Jeffry P Simko",
"Karen Smith-McCune",
"Scott L Vandenberg",
"Kevin King Roggin",
"Ashley Fehrenbach",
"Ady Kendler",
"Suzanne Sifri",
"Ruth Steele",
"Antonio Jimeno",
"Francis A Carey",
"Ian M Forgie",
"Massimo Mannelli",
"Michael E Carney",
"Brenda Hernandez",
"Benito Campos",
"Christel Herold-Mende",
"Christine Jungk",
"Andreas Unterberg",
"Andreas von Deimling",
"Aaron D Bossler",
"Joseph A Galbraith",
"Laura Jacobus",
"Michael C Knudson",
"Tina M Knutson",
"Deqin Ma",
"Mohammed M Milhem",
"Rita D Sigmund",
"Andrew K Godwin",
"Rashna Madan",
"Howard G Rosenthal",
"Clement A Adebamowo",
"Sally N Adebamowo",
"Alex Boussioutas",
"David G Beer",
"Anne-Marie Mes-Masson",
"Fred Saad",
"Therese Bocklage",
"Lisa Michelle Landrum",
"Robert S Mannel",
"Kathleen Moore",
"Katherine M Moxley",
"Russell Postier",
"Joan L Walker",
"Rosemary Zuna",
"Michael Feldman",
"Federico Valdivieso",
"Rajiv Dhir",
"James D Luketich",
"Edna M Mora Pinero",
"Mario E Quintero-Aguilo",
"Carlos Gilberto Carlotti",
"Jose Sebastiao Dos Santos",
"Rafael Kemp",
"Ajith Sankarankuty",
"Daniela Tirapelli",
"James W F Catto",
"Kathy J Agnew",
"Elizabeth M Swisher",
"Jenette Creaney",
"Bruce Robinson",
"Carl S Shelley",
"Eryn M Godwin",
"Sara Kendall",
"Cassaundra Shipman",
"Carol R Bradford",
"Thomas E Carey",
"Andrea Haddad",
"Jeff Moyer",
"Lisa A Peterson",
"Mark Prince",
"Laura S Rozek",
"Gregory Wolf",
"Rayleen V Bowman",
"Kwun M Fong",
"Ian Yang",
"Robert J Korst",
"W Kimryn Rathmell",
"J Leigh Fantacone-Campbell",
"Jeffrey Hooke",
"Albert J Kovatich",
"Craig D Shriver",
"John F Dipersio",
"Bettina F Drake",
"Ramaswamy Govindan",
"Sharon E Heath",
"Timothy J Ley",
"Brian A Van Tine",
"Peter Westervelt",
"Mark A Rubin",
"Jung Il Lee",
"Natalia D Aredes",
"Armaz Mariamidze"
],
"corpus_id": 4606265,
"doc_id": "4606265",
"n_citations": 976,
"n_key_citations": 26,
"score": 0,
"title": "Oncogenic Signaling Pathways in The Cancer Genome Atlas",
"venue": "Cell",
"year": 2018
}
] |
Protein Detection with Field-Effect Transistors | [
{
"abstract": "Proteins mediate the bulk of biological activity and are powerfully assayed in the diagnosis of diseases. Protein detection relies largely on antibodies, which have significant technical limitations especially when immobilized on two dimensional surfaces. Here, we report the integration of peptide aptamers with extended gate metal oxide semiconductor field effect transistors (MOSFETs) to achieve label free sub picomolar target protein detection. Specifically, peptide aptamers that recognize highly related protein partners of the cyclin dependent kinase (CDK) family are immobilized on the transistor gate to enable human CDK2 to be detected at 100 fM or 5 pg/mL, well within the clinically relevant range. The target specificity, ease of fabrication, and scalability of these FET arrays further demonstrate the potential application of the multiplexable field effect format to protein sensing.",
"author_names": [
"Pedro Estrela",
"Debjani Paul",
"Qifeng Song",
"L K J Stadler",
"Ling Wang",
"Ejaz Huq",
"Jason J Davis",
"Paul Ko Ferrigno",
"Piero Migliorato"
],
"corpus_id": 12495495,
"doc_id": "12495495",
"n_citations": 59,
"n_key_citations": 0,
"score": 1,
"title": "Label free sub picomolar protein detection with field effect transistors.",
"venue": "Analytical chemistry",
"year": 2010
},
{
"abstract": "",
"author_names": [
"Pedro Estrela",
"Debjani Paul",
"L K J Stadler",
"Ling Wang",
"Ejaz Huq",
"Paul Ko Ferrigno",
"Piero Migliorato"
],
"corpus_id": 134646817,
"doc_id": "134646817",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Label free sub picomolar protein detection with extended gate field effect transistors",
"venue": "",
"year": 2010
},
{
"abstract": "Abstract Nanomaterial based field effect transistors (FETs) have been proposed for real time, label free detection of various biological species. However, two major challenges have limited their use in physiological samples: screening of the analyte charge by electrolyte ions (Debye screening) and non specific adsorption. Here, these challenges are overcome by combining highly stable FETs based on single walled semiconducting carbon nanotube (SWCNTs) networks with a novel surface functionalization comprising: 1) short nanobody (VHH) receptors, and 2) a polyethylene glycol (PEG) layer. Nanobodies are stable, easy to produce biological receptors that are very small ~2 4 nm) thus enabling analyte binding closer to the sensor surface. Despite their unique properties, nanobodies have not been used yet as receptors in FET based biosensors. The addition of PEG strongly enhances the signal in high ionic strength environment. Using green fluorescent protein (GFP) as a model antigen, high selectivity and sub picomolar detection limit with a dynamic range exceeding 5 orders of magnitude is demonstrated in physiological solutions. In addition, long term stability measurements reveal a low drift of SWCNTs of 0.05 mV/h. The presented immunoassay is fast, label free, does not require any sample pretreatment or washing steps.",
"author_names": [
"Marcin S Filipiak",
"Marcel Rother",
"Nesha May O Andoy",
"Arne C Knudsen",
"St Grimm",
"Christopher Bachran",
"Lee Kim Swee",
"Jana Zaumseil",
"Alexey B Tarasov"
],
"corpus_id": 103481894,
"doc_id": "103481894",
"n_citations": 26,
"n_key_citations": 0,
"score": 0,
"title": "Highly sensitive, selective and label free protein detection in physiological solutions using carbon nanotube transistors with nanobody receptors",
"venue": "",
"year": 2018
},
{
"abstract": "Nanomaterial based field effect transistors (FETs) have been proposed for real time, label free detection of various biological species. However, screening of the analyte charge by electrolyte ions (Debye screening) has so far limited their use in physiological samples. Here, this challenge is overcome by combining FETs based on single walled semiconducting carbon nanotube networks (SWCNTs) with a novel surface functionalization comprising: (1) short nanobody receptors, and (2) a polyethylene glycol layer (PEG) Nanobodies are stable, easy to produce, short biological receptors ~2 4 nm) that enable analyte binding closer to the sensor surface. The addition of PEG enhances the signal in high ionic strength environment. Using green fluorescent protein (GFP) as a model antigen, high selectivity and sub picomolar detection limit with a dynamic range exceeding 4 orders of magnitude is demonstrated in physiological solutions. The presented immunoassay is fast, label free, does not require any sample pre treatment or washing steps.",
"author_names": [
"Marcin S Filipiak",
"Marcel Rother",
"Nesha May O Andoy",
"Arne C Knudsen",
"St Grimm",
"Christopher Bachran",
"Lee Kim Swee",
"Jana Zaumseil",
"Alexey B Tarasov"
],
"corpus_id": 28832626,
"doc_id": "28832626",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Label Free Immunodetection in High Ionic Strength Solutions Using Carbon Nanotube Transistors with Nanobody Receptors",
"venue": "",
"year": 2017
},
{
"abstract": "",
"author_names": [
"Debjani Paul",
"Pedro Estrela",
"Piero Migliorato",
"Paul Ko Ferrigno",
"Ling Wang",
"Ejaz Huq"
],
"corpus_id": 137215891,
"doc_id": "137215891",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Sub Picomolar Protein Detection with Field Effect Transistors",
"venue": "",
"year": 2009
},
{
"abstract": "Abstract The front cover artwork is provided by the group of Dr. Tsuyoshi Minami at the Institute of Industrial Science, the University of Tokyo (Japan) Easy to use sensing systems for on site biomarker testing have been researched numerously, because conventional approaches for biomarker detection (e.g. enzyme linked immunosorbent assays, etc. are too complicated. In that regard, organic filed effect transistors (OFETs) are some of the most promising platforms for construction of on site testing systems. As OFETs can be easily fabricated on flexible substrates using wet processes, these are not only valuable transducers for chemo /biosensors, but also the prospective device for rollable displays and low cost radio frequency identification tags. Thus, the components of the sensing system could be integrated into a single chip by using OFET based circuits. For more details, see the full text of the Communication at 10.1002/open.201700070.",
"author_names": [
"Tsukuru Minamiki",
"Yui Sasaki",
"Shizuo Tokito",
"Tsuyoshi Minami"
],
"corpus_id": 34120427,
"doc_id": "34120427",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Label Free Direct Electrical Detection of a Histidine Rich Protein with Sub Femtomolar Sensitivity using an Organic Field Effect Transistor",
"venue": "ChemistryOpen",
"year": 2017
},
{
"abstract": "Abstract There is a growing interest in achieving sensor systems to enable on site testing of biomarkers. Herein, a new strategy for highly sensitive protein detection at sub femtomolar levels without any labelling has been demonstrated by using an organic field effect transistor (OFET) An artificial histidine rich protein receptor (NiII nitrilotriacetic acid complex, NiII nta) functionalizes a detection portion (i.e. an extended gate electrode) of the fabricated OFET device. The OFET responds electrically and selectively to a target analyte (bovine serum albumin) meaning that the binding processes at the NiII nta on the extended gate electrode for the analyte affect the field effect properties of the device. Our results demonstrate that the combination of the OFET with the artificial receptor is an ideal approach for label free and immune free protein detection.",
"author_names": [
"Tsukuru Minamiki",
"Yui Sasaki",
"Shizuo Tokito",
"Tsuyoshi Minami"
],
"corpus_id": 7599555,
"doc_id": "7599555",
"n_citations": 12,
"n_key_citations": 0,
"score": 0,
"title": "Label Free Direct Electrical Detection of a Histidine Rich Protein with Sub Femtomolar Sensitivity using an Organic Field Effect Transistor",
"venue": "ChemistryOpen",
"year": 2017
},
{
"abstract": "Organic bio electronics represents one of the most exciting directions in printable electronics, promising to deliver new technologies for healthcare and human well being. Among the others, organic field effect transistors have been proven to work as highly performing sensors. Selectivity is achieved by integrating a layer of functional biological recognition elements, directly coupled with an electronic interface. The devices were shown to reach detection limits down to the picomolar (10 12 M) range with highly repeatable responses (within few percentage of standard deviation) even for hundreds of reiterated measurements. In this lecture recent developments in the field of organic and printable electronics implemented to probe biological interfaces will be discussed highlighting the importance of the interplay among disciplines such as organic electronics, analytical chemistry and biochemistry to reach a comprehensive understanding of the underpinning phenomena. It will also be shown that applications can lead to label free electronic biosensors with unprecedented detection limits and selectivity. Notably, the extremely good sensing performance level can be rationalized by quantifying electrostatic and capacitance contributions characterizing the surface confined biological recognition elements interacting with their affinity ligands. Examples of the detection of clinical relevant biomarkers will be provided too.",
"author_names": [
"Luisa Torsi"
],
"corpus_id": 6409337,
"doc_id": "6409337",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Label free protein electronic detection with an electrolyte gated organic field effect transistor based immunosensor",
"venue": "2017 7th IEEE International Workshop on Advances in Sensors and Interfaces (IWASI)",
"year": 2017
},
{
"abstract": "Abstract Biosensors based on Organic Field Effect Transistors (OFETs) have attracted increasing attention due to the possibility of rapid, label free, and inexpensive detection. Among all the different possibilities, inkjet printed top gate organic Field Effect Transistors Based Biosensors (BioFETs) using a polymeric gate insulator have been seldom reported. In this work, a systematic investigation in terms of topographical and electrical characterization was carried out in order to find the optimal fabrication process for obtaining a reliable polymer insulator. Previous studies have demonstrated that the best electrical performance arises from the use of the perfluoropolymer Cytop(tm)[12,13,14] Consequently, a simple immobilization protocol was used to ensure the proper attachment of a model biomolecule onto the Cytop's hydrophobic surface whilst keeping its remarkable insulating properties with gate current in the range of dozens of pico amperes. The top gate inkjet printed BioFETs presented in this study operate at threshold voltages in the range of 1 2 V and show durability even when exposed to oxygen plasma, wet amine functionalization treatments, and aqueous media. As a preliminary application, the inkjet printed top gate BioFETs is used for monitoring an immunoreaction by measuring changes in the drain current, paving the way for further use of this device in the immunosensing field.",
"author_names": [
"C Martinez-Domingo",
"Silvia Conti",
"Alfredo de la Escosura-Muniz",
"Lluis Teres",
"Arben Merkoci",
"Eloi Ramon"
],
"corpus_id": 218934009,
"doc_id": "218934009",
"n_citations": 5,
"n_key_citations": 0,
"score": 0,
"title": "Organic based field effect transistors for protein detection fabricated by inkjet printing",
"venue": "",
"year": 2020
},
{
"abstract": "The Front Cover picture shows an electrical bioassay for the label free and highly sensitive detection of a histidine rich protein (serum albumin) using an organic filed effect transistor (an OFET) modified with a NiII trinitriloacetic acid monolayer (NiII nta) More information can be found in the Communication by T. Minamiki et al. on page 472 in Issue 6, 2017 (DOI: 10.1002/open.201700070)",
"author_names": [
"Tsukuru Minamiki",
"Yui Sasaki",
"Shizuo Tokito",
"Tsuyoshi Minami"
],
"corpus_id": 27780569,
"doc_id": "27780569",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Cover Picture: Label Free Direct Electrical Detection of a Histidine Rich Protein with Sub Femtomolar Sensitivity using an Organic Field Effect Transistor (ChemistryOpen 4/2017)",
"venue": "ChemistryOpen",
"year": 2017
}
] |
the end of logic locking | [
{
"abstract": "The globalization of integrated circuit (IC) supply chain and the emergence of threats, such as intellectual property (IP) piracy, reverse engineering, and hardware Trojans, have forced semiconductor companies to revisit the trust in the supply chain. Logic locking is emerging as a popular and effective countermeasure against these threats. Over the years, multiple logic techniques have been developed. Moreover, a number of attacks have been proposed that expose the security vulnerabilities of these techniques. This paper highlights the key developments in the logic locking research and presents a comprehensive literature review of logic locking.",
"author_names": [
"Muhammad Yasin",
"Ozgur Sinanoglu"
],
"corpus_id": 28508493,
"doc_id": "28508493",
"n_citations": 33,
"n_key_citations": 4,
"score": 0,
"title": "Evolution of logic locking",
"venue": "2017 IFIP/IEEE International Conference on Very Large Scale Integration (VLSI SoC)",
"year": 2017
},
{
"abstract": "With continuously shrinking feature sizes of integrated circuits, the vast majority of semiconductor companies have become fabless, i.e. chip manufacturing has been outsourced to foundries across the globe. However, by outsourcing critical stages of IC fabrication, the design house puts trust in entities which may have malicious intents. This exposes the design industry to a number of threats, including piracy via unauthorized overproduction and subsequent reselling on the black market. One alleged solution for this problem is logic locking, also known as logic encryption, where the genuine functionality of a chip is \"locked\" using a key only known to the designer. If a correct key is provided, the design works as intended but with an incorrect key, the circuit produces faulty outputs. As the keys are inserted by the designer after production, an adversarial foundry should not be able to unlock overproduced chips. In this work, we highlight major shortcomings of proposed logic locking schemes. They are primarily due to the absence of a well defined and realistic attacker model in the current literature. To this end, we characterize physical capabilities of a malicious foundry, especially with respect to invasive attacks. This allows us to derive an attacker model that matches reality, yielding attacks against the foundations of locking schemes beyond the usually employed SAT based attacks. Our analysis shows that no previously proposed logic locking scheme is able to achieve the intended protection goals against piracy in real world scenarios. As an important conclusion, we argue that there are strong indications that logic locking will most likely never be secure against a determined malicious foundry.",
"author_names": [
"Susanne Engels",
"Max Hoffmann",
"Christof Paar"
],
"corpus_id": 198186861,
"doc_id": "198186861",
"n_citations": 12,
"n_key_citations": 1,
"score": 1,
"title": "The End of Logic Locking? A Critical View on the Security of Logic Locking",
"venue": "IACR Cryptol. ePrint Arch.",
"year": 2019
},
{
"abstract": "The transactional memory programming paradigm is gaining momentum as the approach of choice for replacing locks in concurrent programming. This paper introduces the transactional locking II (TL2) algorithm, a software transactional memory (STM) algorithm based on a combination of commit time locking and a novel global version clock based validation technique. TL2 improves on state of the art STMs in the following ways: (1) unlike all other STMs it fits seamlessly with any system's memory life cycle, including those using malloc/free (2) unlike all other lock based STMs it efficiently avoids periods of unsafe execution, that is, using its novel version clock validation, user code is guaranteed to operate only on consistent memory states, and (3) in a sequence of high performance benchmarks, while providing these new properties, it delivered overall performance comparable to (and in many cases better than) that of all former STM algorithms, both lock based and non blocking. Perhaps more importantly, on various benchmarks, TL2 delivers performance that is competitive with the best hand crafted fine grained concurrent structures. Specifically, it is ten fold faster than a single lock. We believe these characteristics make TL2 a viable candidate for deployment of transactional memory today, long before hardware transactional support is available.",
"author_names": [
"David Dice",
"Ori Shalev",
"Nir Shavit"
],
"corpus_id": 8255241,
"doc_id": "8255241",
"n_citations": 1031,
"n_key_citations": 216,
"score": 0,
"title": "Transactional Locking II",
"venue": "DISC",
"year": 2006
},
{
"abstract": "A unified approach to program verification is suggested, which applies to both sequential and parallel programs. The main proof method suggested is that of temporal reasoning in which the time dependence of events is the basic concept. Two formal systems are presented for providing a basis for temporal reasoning. One forms a formalization of the method of intermittent assertions, while the other is an adaptation of the tense logic system Kb, and is particularly suitable for reasoning about concurrent programs.",
"author_names": [
"Amir Pnueli"
],
"corpus_id": 117103037,
"doc_id": "117103037",
"n_citations": 2165,
"n_key_citations": 117,
"score": 0,
"title": "The temporal logic of programs",
"venue": "18th Annual Symposium on Foundations of Computer Science (sfcs 1977)",
"year": 1977
},
{
"abstract": "Abstract A theoretical analysis is made of the evolution of behavioural strategies in contest situations. It is assumed that behaviour will evolve so as to maximize individual fitness. If so, a population will evolve an 'evolutionarily stable strategy' or ESS, which can be defined as a strategy such that, if all members of a population adopt it, no 'mutant' strategy can do better. A number of simple models of contest situations are analysed from this point of view. It is concluded that in 'symmetric' contests the ESS is likely to be a 'mixed' strategy; that is, either the population will be genetically polymorphic or individuals will be behaviourally variable. Most real contests are probably asymmetric, either in pay off to the contestants, or in size or weapons, or in some 'uncorrelated' fashion; i.e. in a fashion which does not substantially bias either the pay offs or the likely outcome of an escalated contest. An example of an uncorrelated asymmetry is that between the 'discoverer' of a resource and a 'late comer' It is shown that the ESS in asymmetric contests will usually be to permit the asymmetric cue to settle the contest without escalation. Escalated contests will, however, occur if information to the contestants about the asymmetry is imperfect.",
"author_names": [
"John Maynard Smith",
"Geoffrey A Parker"
],
"corpus_id": 53161069,
"doc_id": "53161069",
"n_citations": 1700,
"n_key_citations": 120,
"score": 0,
"title": "The logic of asymmetric contests",
"venue": "Animal Behaviour",
"year": 1976
},
{
"abstract": "In the last quarter of the twentieth century, trends in seven different regions converged to change the political landscape of the world: 1) the fall of right wing authoritarian regimes in Southern Europe in the mid1970s; 2) the replacement of military dictatorships by elected civilian governments across Latin America from the late 1970s through the late 1980s; 3) the decline of authoritarian rule in parts of East and South Asia starting in the mid 1980s; 4) the collapse of communist regimes in Eastern Europe at the end of the 1980s; 5) the breakup of the Soviet Union and the establishment of 15 post Soviet republics in 1991; 6) the decline of one party regimes in many parts of sub Saharan Africa in the first half of the 1990s; and 7) a weak but recognizable liberalizing trend in some Middle Eastern countries in the 1990s. The causes, shape, and pace of these different trends varied considerably. But they shared a dominant characteristic simultaneous movement in at least several countries in each region away from dictatorial rule toward more liberal and often more democratic governance. And though differing in many ways, these trends influenced and to some extent built on one another. As a result, they were considered by many observers, especially in the West, as component parts of a larger whole, a global democratic trend that thanks to Samuel Huntington has widely come to be known as the \"third wave\" of democracy. This striking tide of political change was seized upon with enthusiasm by the U.S. government and the broader U.S. foreign policy community. As early as the mid 1980s, President Ronald Reagan, Secretary of State Thomas Carothers is vice president for studies at the Carnegie Endowment for International Peace in Washington, D.C. He is the author of many works on democracy promotion, including Aiding Democracy Abroad: The Learning Curve (1999) and is the coeditor with Marina Ottaway of Funding Virtue: Civil Society Aid and Democracy Promotion (2000)",
"author_names": [
"Thomas Carothers"
],
"corpus_id": 20154183,
"doc_id": "20154183",
"n_citations": 2110,
"n_key_citations": 80,
"score": 0,
"title": "The End of the Transition Paradigm",
"venue": "",
"year": 2002
},
{
"abstract": "The authors of this ambitious book address a fundamental political question: why are leaders who produce peace and prosperity turned out of office while those who preside over corruption, war, and misery endure? Considering this political puzzle, they also answer the related economic question of why some countries experience successful economic development and others do not. The authors construct a provocative theory on the selection of leaders and present specific formal models from which their central claims can be deduced. They show how political leaders allocate resources and how institutions for selecting leaders create incentives for leaders to pursue good and bad public policy. They also extend the model to explain the consequences of war on political survival. Throughout the book, they provide illustrations from history, ranging from ancient Sparta to Vichy France, and test the model against statistics gathered from cross national data. The authors explain the political intuition underlying their theory in nontechnical language, reserving formal proofs for chapter appendixes. They conclude by presenting policy prescriptions based on what has been demonstrated theoretically and empirically.",
"author_names": [
"Bruce Bueno de Mesquita",
"Alastair David Smith",
"Randolph M Siverson",
"James D Morrow"
],
"corpus_id": 142514365,
"doc_id": "142514365",
"n_citations": 3496,
"n_key_citations": 338,
"score": 0,
"title": "The Logic of Political Survival",
"venue": "",
"year": 2003
},
{
"abstract": "A bow string release device for use by archers to effect controlled consistent release of a bow string and discharge of an arrow. The release device includes a pair of movably connected jaw members each having a forwardly extended lip. The lips are movable with the jaw members toward each other to provide a bow string retaining eye, and away from each other to provide an open throat for release of the bow string. Digital pressure on finger engaging arms located on each jaw member governs opening and closure of the lips. The jaw members are substantially coplanar in their entirety. The device has finger release portions that are positioned between the pin pivotally connecting jaw members and a bow string being operated upon. The jaw members may be connected by a flexible beam that is flexed in order to have the jaw members move relative to one another.",
"author_names": [
"Trudy L Schwartz"
],
"corpus_id": 140867416,
"doc_id": "140867416",
"n_citations": 5477,
"n_key_citations": 382,
"score": 0,
"title": "The Logic of Collective Action",
"venue": "",
"year": 1986
},
{
"abstract": "Conflicts between animals of the same species usually are of \"limited war\" type, not causing serious injury. This is often explained as due to group or species selection for behaviour benefiting the species rather than individuals. Game theory and computer simulation analyses show, however, that a \"limited war\" strategy benefits individual animals as well as the species.",
"author_names": [
"J Maynard Smith",
"GEORGE R Price"
],
"corpus_id": 4224989,
"doc_id": "4224989",
"n_citations": 5048,
"n_key_citations": 281,
"score": 0,
"title": "The Logic of Animal Conflict",
"venue": "Nature",
"year": 1973
},
{
"abstract": "The temporal logic of actions (TLA) is a logic for specifying and reasoning about concurrent systems. Systems and their properties are represented in the same logic, so the assertion that a system meets its specification and the assertion that one system implements another are both expressed by logical implication. TLA is very simple; its syntax and complete formal semantics are summarized in about a page. Yet, TLA is not just a logician's toy; it is extremely powerful, both in principle and in practice. This report introduces TLA and describes how it is used to specify and verify concurrent algorithms. The use of TLA to specify and reason about open systems will be described elsewhere.",
"author_names": [
"Leslie Lamport"
],
"corpus_id": 5498471,
"doc_id": "5498471",
"n_citations": 2170,
"n_key_citations": 190,
"score": 0,
"title": "The temporal logic of actions",
"venue": "TOPL",
"year": 1994
}
] |
consistency of cooling temperature | [
{
"abstract": "The adequacy of the model of high temperature precipitation in dislocation free silicon single crystals to the classical theory of nucleation and growth of second phase particles in solids has been considered. It has been shown that the introduction and consideration of thermal conditions of crystal growth in the initial equations of the classical nucleation theory make it possible to explain the precipitation processes occurring in the high temperature range and thus extend the theoretical basis of the application of the classical nucleation theory. According to the model of high temperature precipitation, the smallest critical radius of oxygen and carbon precipitates is observed in the vicinity of the crystallization front. Cooling of the crystal is accompanied by the growth and coalescence of precipitates. During heat treatments, the nucleation of precipitates starts at low temperatures, whereas the growth and coalescence of precipitates occur with an increase in the temperature. It has been assumed that the high temperature precipitation of impurities can determine the overall kinetics of defect formation in other dislocation free single crystals of semiconductors and metals.",
"author_names": [
"Vitalyi Igorevich Talanin",
"I E Talanin"
],
"corpus_id": 121311394,
"doc_id": "121311394",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "On the problem of the consistency of the high temperature precipitation model with the classical nucleation theory",
"venue": "",
"year": 2014
},
{
"abstract": "It is known that the weld metal mechanical properties of quenched and tempered steels are dependent upon cooling rate, which is presently indirectly controlled by specification of heat input. A method to directly control weld metal cooling rate would result in more consistency in achieving required mechanical properties. In the present investigation the use of commercial infrared sensing equipment was explored as a means of real time monitoring of weld metal cooling rate. Infrared equipment has a distinct advantage over other temperature detecting systems in that it requires no physical contact with the work, thus affording a minimum amount of interference with the welding process, and is therefore a potentially useful detector for an adaptive feedback welding control system based on cooling rate. It was found that infrared thermography appears to offer considerable promise for welding control by providing weld metal cooling rates which are: (1) reproducible, (2) sensitive to variations in welding conditions, and (3) relatable to cooling rates as measured by plunged thermocouples. ADMINISTRATIVE INFORMATION This report was prepared under Work Unit 2822 130, Program Element 61152N, project ZR00001, titled, \"Feedback Control of Arc Welding,\" as part of the Center Independent Rc~erch Program, managed by the Technical Director, Dr. A. Powell. The work reported herein was conducted under the supervision of Mr. F. J. Lengenfelder, Head, Titanium and Nonferrous Metals Fabrication Branch, and Mr. A. Pollack, Head, Ferrous Metals Fabrication Branch. ACKNOWLEDGMENT The authors wish to acknowledge Dr. I. Kramer, Code 2802, of this Center for technical guidance in support of this project.",
"author_names": [],
"corpus_id": 53623146,
"doc_id": "53623146",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "INFRARED TEMPERATURE SENSING OF COOLING RATES FOR ARC WELDING C ETC(U)",
"venue": "",
"year": null
},
{
"abstract": "Abstract The efficiency of photovoltaic modules depends mainly on the cell operating temperatures. Performance enhancement of building integrated photovoltaic (BIPV) panels by passive cooling has been investigated both experimentally and with computational modeling. It has been shown that mounting the BIPV with a narrow channel can reduce the operating temperature of the photovoltaic panel. This enhancement in the heat transfer from the PV panel results in decreasing the average temperature of the PV panel from 5 to 10 degC. Results show that having a 30 cm channel beneath the panel can increase the electrical output by 3 4% This increase in PV output can translate into a significant amount over the life cycle of a given PV module. Various channel aspect ratios have been tested in order to correlate the enhancement in performance to the cooling channel geometry. There is significant consistency between the experimentally measured PV panel surface temperatures and those obtained from the computational model.",
"author_names": [
"Tareq Abu Hamed",
"Aiman Alshare",
"Hossam Elkhalil"
],
"corpus_id": 117340848,
"doc_id": "117340848",
"n_citations": 11,
"n_key_citations": 0,
"score": 1,
"title": "Passive cooling of building integrated photovoltaics in desert conditions: Experiment and modeling",
"venue": "Energy",
"year": 2019
},
{
"abstract": "Abstract Free cooling technique using Phase Change Material (PCM) is a promising process to shave the peak load for the cooling system and reduce energy ventilation consumption. However it needs additional energy for the fan. In the way optimize the process we then proposed a first approach to estimate a priori the flow rate required to reach full freezing or thawing of PCM which is a useful indication of the process efficiency. The approach is based on the inverse method associated with sensitivity coefficient. The objective function was defined in terms of air temperature at the exit of the heat exchanger. The model was compared to experimental data, and the validation of numerical results shows the robustness and the consistency of this method. In the worst case, relative error does not exceed 5 x 10 03.",
"author_names": [
"A Ousegui",
"Bernard Marcos",
"Michel Havet"
],
"corpus_id": 115445841,
"doc_id": "115445841",
"n_citations": 9,
"n_key_citations": 0,
"score": 0,
"title": "Inverse method to estimate air flow rate during free cooling using PCM air heat exchanger",
"venue": "",
"year": 2019
},
{
"abstract": "Abstract As a southeast neighbor of the heavily industrialized and urbanized region of the Pearl River Delta (PRD) Hong Kong, China, has been susceptible to aerosols transported from the PRD in winter when the prevailing flow is from the west. The decadal trend in pollution during recent decades has likely indicated a difference in aerosol loading between winter and summer when different winds dominate. The surface air temperature shows a wintertime cooling trend during recent decades in Hong Kong, particularly during the midday hours. Differently, the surface air temperature shows a summertime warming trend during recent decades in Hong Kong. By examining the distinct winter versus summer trends in midday hour temperature under low cloud cover conditions in Hong Kong from 1979 to 2014, we found a close relationship between the temperature reduction during midday hours and increased aerosol loading in winter but not in summer. The consistency in turning point for both the increase in aerosol loading and the variation in winter summer temperature differences in the late 1980s also supports the strong association between aerosol and temperature changes. Further analysis shows that there was a significant negative correlation between downwelling solar radiation (DSR) and aerosol loading. Quantitatively, aerosols over Hong Kong during the study period reduced surface DSR and midday temperatures by approximately 30 W m 2 and 2.1 degC for low cloud cover cases.",
"author_names": [
"Xianyou Yang",
"Chuanfeng Zhao",
"Lijing Zhou",
"Zhangqing Li",
"Maureen Cribb",
"Su Yang"
],
"corpus_id": 44111898,
"doc_id": "44111898",
"n_citations": 42,
"n_key_citations": 0,
"score": 0,
"title": "Wintertime cooling and a potential connection with transported aerosols in Hong Kong during recent decades",
"venue": "",
"year": 2018
},
{
"abstract": "The target of this paper is to develop an enhanced flow thermo structural (FTS) model with high computational accuracy, to perform the integrated analysis of film cooling nozzle guide vane (NGV) An efficient turbulence model and weak spring approach are utilized in the enhanced FTS model. In respect of the power balance principle of aeroengine rotor shaft and temperature test of a typical combustor, the mean temperature inlet and five normalization temperature curves were confirmed, respectively. The temperature sensitive paint (TSP) technology was used to verify the numerical simulation. From this study, we find that the predicted temperature caters for the TSP test well, between which the maximum error is less than 6% and the maximum thermal stress is 758 MPa around the hole edges and the location of stress concentration keeps the consistency with that of the cracks. The maximum thermal stress increases by 10% with the increasing inlet temperature and reduces by about 16% with the shifting of flame peak from the outer to inner hub. The prediction provides general information on the initiation of cracks on a vane segment. The developed enhanced FTS model is validated to be workable and precise in the integrated analysis of film cooling NGV. The efforts of this study provide an integrated analysis approach of film cooling NGV and are promising to provide guidance for the integrated design of film cooling components besides NGV.",
"author_names": [
"Pengtao Guan",
"Yan-ting Ai",
"Chengwei Fei"
],
"corpus_id": 199655173,
"doc_id": "199655173",
"n_citations": 5,
"n_key_citations": 0,
"score": 0,
"title": "An Enhanced Flow Thermo Structural Modeling and Validation for the Integrated Analysis of a Film Cooling Nozzle Guide Vane",
"venue": "Energies",
"year": 2019
},
{
"abstract": "West Africa Shea butter is a solid fat at room temperature, with a melting point of 34 38oC. The hardness of Shea butter has limited its application as body cream/lotion due to difficult rubbing ability. The aim of this study is to apply tempering techniques in view of producing a softer butter without altering its chemical composition (fatty acids and unsaponifiables) Tempering temperature, tempering time, cooling rate and stirring rate were varied to determine their effect on the slip melting point, consistency and viscosity of the Shea butter. Results indicated that tempering temperature of 25 30oC with stirring and fast cooling for 6 hours and above produced a softer butter with lower melting point compared to control. KEYWORDS: Shea butter, Tempering, Rheological property, Hardness",
"author_names": [
"Nurah Tijani Oseni",
"Julius U Iyasele",
"O E Uwadia"
],
"corpus_id": 212876095,
"doc_id": "212876095",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Effect of Tempering, Cooling and Stirring on the Rheological Properties of Shea butter",
"venue": "",
"year": 2019
},
{
"abstract": "The hot spot factor <italic>H</italic> is a crucial component of the thermal diagram in IEC 60076 2 to derive the hot spot temperature from the test data. In this paper, <italic>H</italic> is expressed as the sum of two separable components, one relating to convection in the fluid domain and the other relating to conduction in the solid domain. Dimensional analyses on fluid flow and heat transfer show that both components are functions of dimensionless winding geometry, loss distribution, Re and Pr. The relationship between <italic>H</italic> and Re and Pr for a fixed winding geometry with uniform loss distribution is obtained by conducting computational fluid dynamics (CFD) parametric sweeps and multilayer least square based correlation. The correlation obtained is verified by the consistency between <italic> H</italic> from new CFD simulations and those from the correlation. For nonuniform loss distribution, when the hotspot is at the location of the highest power loss, <italic>H</italic> is in a linear relationship with <italic>Q</italic> and this linear relationship is coupled with a nonlinear relationship between <italic>H</italic> and Re and Pr.",
"author_names": [
"Xiang Zhang",
"Zhongdong Wang",
"Qiang Liu"
],
"corpus_id": 4773848,
"doc_id": "4773848",
"n_citations": 18,
"n_key_citations": 0,
"score": 0,
"title": "Interpretation of Hot Spot Factor for Transformers in OD Cooling Modes",
"venue": "IEEE Transactions on Power Delivery",
"year": 2018
},
{
"abstract": "Three kinds of magnetorheological(MR) grease based on different cooling profile was prepared with a magnetic particle and lithium soap weight fraction of 30% and 7%,respectively.The physicochemical property,microstructure and rheological properties of them were investigated.The results show that the property and microstructure of MR grease can be altered to a large extent by the cooling process.When the cooling velocity was faster,the storage modulus and the consistency of the MR grease will become lower,and the separation oil with pressure is worse.The static magnetism of MR grease is almost independent of the cooling profile,but the MR effect of MR grease is dramatically affect by the different cooling velocity,the MR grease prepared by room temperature water bath has the best MR effect than others.The difference in modulus of three MR greases can be attributed to the microstructure variance causing by the different cooling profile.",
"author_names": [
"Hu Zhi-d"
],
"corpus_id": 138136482,
"doc_id": "138136482",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "The effect of cooling profile on the microstructure and rheology of mineral oil based magnetorheological grease",
"venue": "",
"year": 2014
},
{
"abstract": "Geothermal heating and cooling systems are sustainable methods of temperature control utilizing renewable energy. These systems leverage the subsurface temperatures of the Earth by transferring heat between a fluid and its surroundings underground. This transfer of energy provides heating during colder months, and cooling during the hotter times of the year due to the consistency of the temperature below the Earth's surface year round. Implementing a Geothermal heating and cooling system can save a significant amount of money annually in the form of decreased heating and cooling costs for both residential and industrial applications. Geothermal systems are versatile in the ways that they can be used, for not only residential buildings, but also large commercial buildings. The Massachusetts General Hospital (MGH) located in Boston, MA, is known as one of the top hospitals in the country and therefore utilize a significant amount of energy for heating and cooling. This provides an excellent opportunity for a Geothermal heat pump to be implemented at the MGH. This paper explains how installing a Geothermal vertical closed loop system at the Gray Building of the MGH can significantly reduce costs on heating and cooling. Currently, 37% of the total yearly energy consumption by the MGH is used for heating and cooling of the facility. By implementing the plan outlined in this paper, the MGH facility would see up to 60% savings in heating and cooling costs annually, resulting in a savings of $1,218,626 per year.",
"author_names": [
"Zoe Zyvith",
"Mark Thomas Trevena",
"Ryan Lamantia",
"Lana Sharp",
"Andrew K Yong",
"Sasan Haghani"
],
"corpus_id": 199498963,
"doc_id": "199498963",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Apr 6 Geothermal Heating Cooling in Massachusetts General Hospital",
"venue": "",
"year": 2018
}
] |
bidirectional non isolated resonant dc-dc converter | [
{
"abstract": "This paper proposes a current fed non isolated soft switching bidirectional dc/dc converter for interfacing energy storage to dc microgrid. The proposed converter employs a current fed half bridge boost converter at front end followed by an LCL resonant circuit to aid in soft switching of semiconductor devices. A voltage doubler at output is selected to enhance the gain by 2x. The LCL resonant circuit also adds a suitable voltage gain. Therefore, the topology offers overall high voltage gain without transformer or large number of multiplier circuits. For buck operation, high side voltage is first divided by half with capacitor divider to gain higher stepdown ratio. Converter operates at high switching frequency to realize merits of reduced magnetics and filters. Zero voltage turn on is achieved for all switches and zero current turn on and turn off is achieved for all diodes for both buck/boost operation. Voltage across switches and diode is clamped naturally without any external snubber circuit. Detailed analysis and design have been proposed. A proof of concept experimental prototype rated at 350 W has been designed, developed, and tested in the laboratory to demonstrate the performance and validate the claims of the converter for wide load variation.",
"author_names": [
"Akshay Kumar Rathore",
"Devendra Patil",
"Dipti Srinivasan"
],
"corpus_id": 14993421,
"doc_id": "14993421",
"n_citations": 53,
"n_key_citations": 4,
"score": 0,
"title": "Non isolated Bidirectional Soft Switching Current Fed LCL Resonant DC/DC Converter to Interface Energy Storage in DC Microgrid",
"venue": "IEEE Transactions on Industry Applications",
"year": 2016
},
{
"abstract": "This paper proposes a non isolated soft switching bidirectional dc/dc converter for interfacing energy storage in DC microgrid. The proposed converter employs a half bridge boost converter at input port followed by a LCL resonant circuit to assist in soft switching of switches and diodes, and finally a voltage doubler circuit at output port to enhance the voltage gain by 2x. The LCL resonant circuit may also add a suitable voltage gain to the converter. Therefore, overall high voltage gain of the converter is obtained without transformer or large number of multiplier circuit. For buck operation, the high side voltage is first divided by half with capacitive divider to gain higher step down ratio. Converter is operated at high frequency to obtain low output voltage ripple and reduced magnetics and filters. Zero voltage turn on is achieved for all switches and zero current turnon and turn off is achieved for all diodes for both buck/boost operation. Voltage stress across switches and diode is clamped naturally without external snubber circuit. An experimental prototype rated at 350 W has been designed, built and tested in the laboratory to verify the analysis, design and demonstrate the performance of proposed converter.",
"author_names": [
"Devendra Patil",
"Akshay Kumar Rathore",
"Dipti Srinivasan"
],
"corpus_id": 112633003,
"doc_id": "112633003",
"n_citations": 26,
"n_key_citations": 0,
"score": 0,
"title": "A non isolated bidirectional soft switching current fed LCL resonant dc/dc converter to interface energy storage in DC microgrid",
"venue": "2015 IEEE Applied Power Electronics Conference and Exposition (APEC)",
"year": 2015
},
{
"abstract": "Isolated converters are desirable in the DC DC power conversion applications where isolation and/or a large voltage step up gain is needed. Traditional isolated DC DC converters either utilize many switches to achieve high efficiency or use few switches which result in low efficiency. This paper proposes a current fed non isolated soft switching bidirectional dc/dc converter for interfacing energy storage to dc microgrid. The proposed converter employs a current fed half bridge boost converter at front end followed by an LCL resonant circuit to aid in soft switching of semiconduc tor devices. The proposed isolated DC DC converter has the advantages of not only using the least number of switches, but also achieving zero current switching (ZCS) and zero voltage switching (ZVS) when the switch is turned on and off, respectively. The LCL resonant circuit also adds a suitable voltage gain. Simulational results are provided to validate the theoretical analysis and simulation. The proposed converter has achieved the maximum efficiency of 93.5% which is higher than the corresponding hard switching converter with a similar topology.",
"author_names": [
"T R Jyothsna",
"A Ravi Kumar",
"D Prasada Rao"
],
"corpus_id": 215996249,
"doc_id": "215996249",
"n_citations": 0,
"n_key_citations": 0,
"score": 1,
"title": "A New Solar PV MPPT based Non Isolated Bidirectional Current Fed Resonant DC DC Converter",
"venue": "",
"year": 2017
},
{
"abstract": "ABSTRACT This paper presents a dual inductor based current fed bidirectional non isolated DC DC converter for energy storage applications. The main idea of this converter is to achieve a higher voltage conversion ratio by obtaining the operation of zero current switching. The proposed soft switching bidirectional DC DC converter reduces the turn off switching losses with the aid of auxiliary network, where, the auxiliary network comprised with the resonant inductor and the resonant capacitor. This converter operates under two different operating modes such as a boost (discharge) and buck (charge) modes. In both the modes of converter operations, the IGBTs are operating under zero current turn off in order to minimize the switch turn off losses and to improve the efficiency of the converter. The principle of the operations and its theoretical analysis are validated by the experimental results using a 300W (50 V/250 V) converter system.",
"author_names": [
"V V Subrahmanya Kumar Bhajana",
"Pavel Drabek",
"Rajesh Thumma"
],
"corpus_id": 115687215,
"doc_id": "115687215",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Analysis and design of a high gain non isolated zero current switching bidirectional DC DC converter for electric vehicles",
"venue": "",
"year": 2019
},
{
"abstract": "This paper deals with a new high voltage gain zero current switching bidirectional DC DC converter for electric vehicles. The hard switched non isolated bidirectional converter integrated with auxiliary active switches and resonant elements were designed to attain the zero current switching turn off operation in the main IGBTs. This converter has dual characteristics as battery charge (buck) mode and discharge (boost) mode. By individual mode, all the active switches operate under zero current switching turn off operation for the minimized turn off losses. The proposed converter has high voltage gain with reduced turn off losses when operating in discharge mode and has low output voltage conversion in charge mode. The topology described with the aid of theoretical waveforms were tested using a laboratory prototype 70/300 V, 800 W system functioned under 50 kHz operating frequency.",
"author_names": [
"Rajesh Thumma",
"V V Subrahmanya Kumar Bhajana",
"Pavel Drabek",
"Martin Jara"
],
"corpus_id": 116308092,
"doc_id": "116308092",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "A New High Voltage Gain Non Isolated Zero Current Switching Bidirectional DC DC Converter",
"venue": "",
"year": 2018
},
{
"abstract": "This paper details efficiency optimized operation and design of a bi directional and isolated five level Dual Active Bridge (5LDAB) converter for an application that requires ultra wide voltage and power ranges. The rated power of the considered converter is 7.5kW, the specified input voltage range is 150V Vdc1 800V and the output voltage is constant, Vdc2 700V. In order to achieve high efficiency levels in a wide operating range, a modulation scheme is proposed to minimize the transformer rms current. Results of transformer rms currents and of efficiencies are presented for the 5LDAB and compared with the results obtained for an efficiency optimized conventional Dual Active Bridge (DAB) converter. Compared with the DAB topology, the 5LDAB converter can achieve an overall reduction of transformer rms currents and of conduction losses in the higher voltage regime of the operating range.",
"author_names": [
"Pedro A M Bezerra",
"Florian Krismer",
"Ralph M Burkart",
"Johann Walter Kolar"
],
"corpus_id": 35033795,
"doc_id": "35033795",
"n_citations": 29,
"n_key_citations": 1,
"score": 0,
"title": "Bidirectional isolated non resonant DAB DC DC converter for ultra wide input voltage range applications",
"venue": "2014 International Power Electronics and Application Conference and Exposition",
"year": 2014
},
{
"abstract": "Abstract This paper proposes a non isolated high step up multi input DC DC converter for utilizing in hybrid applications. This converter provides the interfaces between input power sources, energy storage devices and load. The resonant auxiliary circuit used in the proposed converter provides soft switching condition for the main switches as well as charging the storage device which has caused considerable improvement in the converter efficiency. This converter uses only three switches to control the power absorbed from a unidirectional input, the power absorbed or stored in the bidirectional port and also the power transmitted to load while provides soft switching condition of all semiconductor devices. Thus, the number of switches applied is same as conventional hard switched converters for this purpose. This converter shares only one inductor between all inputs, so the volume is reduced. Various converter operating modes are discussed and design considerations are presented. Finally, to validate the theoretical analysis, a prototype of the proposed converter is implemented for a 400 V output voltage at 200 W.",
"author_names": [
"Rasoul Faraji",
"Ehsan Adib",
"Hosein Farzanehfard"
],
"corpus_id": 115369330,
"doc_id": "115369330",
"n_citations": 22,
"n_key_citations": 3,
"score": 0,
"title": "Soft switched non isolated high step up multi port DC DC converter for hybrid energy system with minimum number of switches",
"venue": "International Journal of Electrical Power Energy Systems",
"year": 2019
},
{
"abstract": "The output of solar PV and battery is in the form of DC. Feeding of load from these sources requires conversion from dc to ac or dc to dc. DC microgrids popular for this feature in distributed generation on distribution systems. Energy storage in microgrid ensures electrical saving during no load or off load microgrid. To ensure power flow between DC microgrid and energy storage devices bidirectional dc dc converter is required. The minimization of losses in dc dc converter is a big task to improve efficiency. This paper proposes a non isolated bi directional DC/DC converter for interfacing DC voltage with energy storage in DC microgrid. The proposed converter is combination of current fed half bridge boost converter and LCL resonant circuit for soft switching. Boost operation gained by 8 times with the help of front end boost converter, LCL resonant tank and doubler. For buck operation, high side voltage is divided by half with capacitor divider and front end converter further clamp the voltage. In this paper, the detailed analysis and design is proposed for bidirectional dc dc converter is done. Soft switching is also implemented by ZVS turn ON of switches and ZCS turn ON/OFF to diode. The performance analysis of proposed converter is done bidirectional power flow with soft switching in MATLAB/Simulink environment.",
"author_names": [
"Pavan Singh Tomar",
"Ashok Sharma",
"Kanak Hada"
],
"corpus_id": 5038857,
"doc_id": "5038857",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Energy storage in DC microgrid system using non isolated bidirectional soft switching DC/DC converter",
"venue": "2017 6th International Conference on Computer Applications In Electrical Engineering Recent Advances (CERA)",
"year": 2017
},
{
"abstract": "A new soft switched, 5 switch string structured bidirectional DC/DC converter with an isolated CLLC resonant circuit is presented in this paper for energy storage applications. In the proposed circuit, only one switch and two diodes are added to the conventional 4 switch string structured converter enabling the converter to operate in rectifying mode with lower output voltage ripples and higher efficiency. A CLLC resonant circuit is designed to allow stepping up or stepping down the voltage to desired value with the same operating frequency in both boost and buck modes with variable frequency control. All the switches and diodes are able to achieve soft switching to enhance the circuit efficiency. Simulation results are given on a 1kW, 100VDC/300VDC system to highlight the merits of the proposed converter. Preliminary experimental results are also provided on a laboratory scale 100/300VDC prototype to further support the features of this work.",
"author_names": [
"Reza Emamalipour",
"John Chi Wo Lam"
],
"corpus_id": 169035811,
"doc_id": "169035811",
"n_citations": 3,
"n_key_citations": 1,
"score": 0,
"title": "A new non multi level structured, H bridgeless DC/DC bidirectional converter with low voltage stress and complete soft switching operation",
"venue": "2019 IEEE Applied Power Electronics Conference and Exposition (APEC)",
"year": 2019
},
{
"abstract": "This paper proposes a switched capacitor based non isolated zero voltage switching (ZVS) bi directional dc dc converter. By implementing a switched capacitor loop, it can provide high step up and step down gain. The converter is operated in continuous current mode (CCM) mode where all the power transistors are soft switched by means of dedicated auxiliary resonant networks. Each network consists of a low rated power switch (with a body diode) a resonant capacitor and a resonant inductor. The resonant capacitors are further optimized for zero voltage switching (ZVS) turn off of the power switches. The ZVS turn on and turn off conditions are achieved for all power switches in the boost and buck mode of operations. In addition, the voltage and current stresses on the power switches are significantly reduced, thus enhancing the converter operation at high power applications.",
"author_names": [
"Ratil Hasnat Ashique",
"Zainal Salam",
"M J Aziz"
],
"corpus_id": 19894360,
"doc_id": "19894360",
"n_citations": 7,
"n_key_citations": 0,
"score": 0,
"title": "A high gain soft switching non isolated bidirectional DC DC converter",
"venue": "2016 IEEE International Conference on Power and Energy (PECon)",
"year": 2016
}
] |
GaN metal-oxide-semiconductor high-electron-mobility- transistor with atomic layer deposited Al2O3 as gate dielectric | [
{
"abstract": "We report on a GaN metal oxide semiconductor high electron mobility transistor (MOS HEMT) using atomic layer deposited (ALD) Al2O3 as the gate dielectric. Compared to a conventional GaN high electron mobility transistor (HEMT) of similar design, the MOS HEMT exhibits several orders of magnitude lower gate leakage and several times higher breakdown voltage and channel current. This implies that the ALD Al2O3/AlGaN interface is of high quality and the ALD Al2O3/AlGaN/GaN MOS HEMT is of high potential for high power rf applications. In addition, the high quality ALD Al2O3 gate dielectric allows the effective two dimensional (2D) electron mobility at the AlGaN/GaN heterojunction to be measured under a high transverse field. The resulting effective 2D electron mobility is much higher than that typical of Si, GaAs or InGaAs metal oxide semiconductor field effect transistors (MOSFETs)",
"author_names": [
"Peide D Ye",
"B Y Yang",
"Kwok K Ng",
"Jeff Bude",
"Glen David Wilk",
"S Halder",
"James C M Hwang"
],
"corpus_id": 117731725,
"doc_id": "117731725",
"n_citations": 404,
"n_key_citations": 9,
"score": 1,
"title": "GaN metal oxide semiconductor high electron mobility transistor with atomic layer deposited Al2O3 as gate dielectric",
"venue": "",
"year": 2005
},
{
"abstract": "We investigate the characteristics of AlGaN/GaN metal insulator semiconductor high electron mobility transistors (MIS HEMTs) with a NbAlO/Al2O3 lamination dielectric deposited by atomic layer deposition (ALD) as the gate insulator. A large gate voltage swing (GVS) of 3.96 V and a high breakdown voltage of 150 V for the MIS HEMT were obtained. We present the gate leakage current mechanisms and analyze the reason for the reduction of the leakage current. Compared with traditional HEMTs, the maximum drain current is improved to 960 mA/mm, indicating that NbAlO layers could reduce the surface related depletion of the channel layer and increase the sheet carrier concentration. In addition, the maximum oscillation frequency of 38.8 GHz shows that the NbAlO high k dielectric can be considered as a potential gate oxide comparable with other dielectric insulators.",
"author_names": [
"Zhi-wei Bi",
"Yue Hao",
"Qian Feng",
"Zhi-Yuan Gao",
"Jincheng Zhang",
"Wei Mao",
"Kai Zhang",
"Xiao-hua Ma",
"Hong-xia Liu",
"Lin'an Yang",
"Nan Mei",
"Yong-Ming Chang"
],
"corpus_id": 55467710,
"doc_id": "55467710",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "AlGaN/GaN Metal Insulator Semiconductor High Electron Mobility Transistor Using a NbAlO/Al2O3 Laminated Dielectric by Atomic Layer Deposition",
"venue": "",
"year": 2012
},
{
"abstract": "The oxide/semiconductor interface state density (Dit) in Al2O3/AlGaN/GaN metal oxide semiconductor high electron mobility transistor (MOS HEMT) structures with gate oxides grown by atomic layer deposition at low deposition temperature is analyzed in this work. MOS HEMT structures with Al2O3 gate oxide were deposited at 100 and 300 degC using trimethylaluminum precursor and H2O and O3 oxidation agents. The structures were found to show negative net charge at oxide/barrier interface with density (Nint) of 1013 cm 2, which was attributed to the reduction of barrier surface donor density (NDS) Dit was determined using capacitance transient techniques, and the results were assessed by the simulations of the capacitance voltage characteristics affected by interface traps. The results indicate a lower interface quality of the sample with Al2O3 grown using O3 agent compared to those with H2O, even though the former provided lowest gate leakage among the analyzed structures. Moreover, to uncover the NDS nature, Dit.",
"author_names": [
"Milan Tapajna",
"Lukas Valik",
"Filip Gucmann",
"Dagmar Gregusova",
"Karol Frohlich",
"S Hascik",
"Edmund Dobrocka",
"Lajos Toth",
"Bela Pecz",
"Jan Kuzmik"
],
"corpus_id": 59469368,
"doc_id": "59469368",
"n_citations": 11,
"n_key_citations": 0,
"score": 0,
"title": "Low temperature atomic layer deposition grown Al2O3 gate dielectric for GaN/AlGaN/GaN MOS HEMTs: Impact of deposition conditions on interface state density",
"venue": "",
"year": 2017
},
{
"abstract": "We report on a GaN metal oxide semiconductor high electron mobility transistor (MOS HEMT) using atomic layer deposited (ALD) Al2O3 as the gate dielectric. Through further decreasing the thickness of the gate oxide to 3.5 nm and optimizing the device fabrication process, a device with maximum transconductance of 150 mS/mm was produced. The drain current of this 0.8 mm gate length MOS HEMT could reach 800 mA/mm at +3.0 V gate bias. Compared to a conventional AlGaN/GaN HEMT of similar design, better interface property, lower leakage current, and smaller capacitance voltage (C V) hysteresis were obtained, and the superiority of this MOS HEMT device structure with ALD Al2O3 gate dielectric was exhibited.",
"author_names": [
"Yuanzheng Yue",
"Yue Hao",
"Qian Feng",
"Jincheng Zhang",
"Xiao-hua Ma",
"JinYu Ni"
],
"corpus_id": 114137754,
"doc_id": "114137754",
"n_citations": 20,
"n_key_citations": 1,
"score": 0,
"title": "Study of GaN MOS HEMT using ultrathin Al2O3 dielectric grown by atomic layer deposition",
"venue": "",
"year": 2009
},
{
"abstract": "We report on an AlGaN/GaN metal oxide semiconductor high electron mobility transistor (MOS HEMT) using thermal oxidation of electron beam deposited aluminum as the gate dielectric. This novel dielectric deposition process is simple, and less expensive than electron cyclotron resonance (ECR) plasma oxidation of Al or atomic layer deposited (ALD) Al2O3. The X ray Photoelectron Spectroscopy (XPS) Ols spectrum showed that the Al2O3 with a bandgap of 7.8 eV was obtained in this specimen. The resulted MOS HEMT exhibits several orders of magnitude lower gate leakage, larger drain saturation current and larger gate voltage swing compared to a conventional AlGaN/GaN high electron mobility transistor (HEMT) of similar design. The MOS HEMT is therefore a viable alternative to regular HEMTs for high power, high frequency and high temperature applications.",
"author_names": [
"Hongwei Chen",
"Jinyan Wang",
"Chuan Xu",
"Min Yu",
"Yang Fu",
"Zhihua Dong",
"Fujun Xu",
"Yilong Hao",
"Cheng P Wen"
],
"corpus_id": 32210086,
"doc_id": "32210086",
"n_citations": 8,
"n_key_citations": 0,
"score": 0,
"title": "Enhanced device performance of AlGaN/GaN HEMTs using thermal oxidation of electron beam deposited aluminum for gate oxide",
"venue": "2008 9th International Conference on Solid State and Integrated Circuit Technology",
"year": 2008
},
{
"abstract": "We report a GaN metal oxide semiconductor high electron mobility transistor (MOS HEMT) with atomic layer deposited (ALD) Al2O3 gate dielectric. Based on the previous work [Appl. Phys. Lett. 86 (2005) 063501] of Ye et al. by decreasing the thickness of the gate oxide to 3.5 nm and optimizing the device fabrication process, the device with maximum transconductance of 150 mS/mm is produced and discussed in comparison with the result of 100 mS/mm of Ye et al. The corresponding drain current density in the 0.8 mm gate length MOS HEMT is 800 mA/mm at the gate bias of 3.0 V. The gate leakage is two orders of magnitude lower than that of the conventional AlGaN/GaN HEMT. The excellent characteristics of this novel MOS HEMT device structure with ALD Al2O3 gate dielectric are presented.",
"author_names": [
"Yue Yuan-Zheng",
"Hao Yue",
"Feng Qian",
"Zhang Jincheng",
"Ma Xiaohua",
"Ni Jin-Yu"
],
"corpus_id": 121211218,
"doc_id": "121211218",
"n_citations": 25,
"n_key_citations": 0,
"score": 0,
"title": "GaN MOS HEMT Using Ultra Thin Al 2 O 3 Dielectric Grown by Atomic Layer Deposition",
"venue": "",
"year": 2007
},
{
"abstract": "Highly scaled AlN/GaN metal oxide semiconductor heterojunction field effect transistors (MOS HFETs) with Al2O3 gate dielectrics of varying thicknesses deposited by atomic layer deposition (ALD) were fabricated, and their perfor mance was compared with Schottky barrier HFETs (SB HFETs) MOS HFETs with an ultrathin 2 nm thick Al2O3 dielectric and a gate length of 40 nm had direct current (dc) and radio frequency (RF) performances similar to the SB HFETs, with a high extrinsic transconductance of 415 mS/mm, fT of 134 GHz, and fmax of 261 GHz. In contrast, the dc and RF performances of a MOS HFET with a 4 nm thick Al2O3 dielectric were degraded by short channel effects. The 2 nm thick Al2O3 gate insulator reduced the forward bias gate current by more than two orders of magnitude. The data suggest the promise of ultrathin ALD Al2O3 gate dielectrics for next generation high speed GaN HFETs. Index Terms AlN, Al2O3, atomic layer deposition (ALD) GaN, gate dielectric, heterojunction field effect transistor (HFET) high electron mobility transistor (HEMT) metal organic semi conductor heterojunction field effect transistor (MOS HFET)",
"author_names": [
"Andrea Corrion",
"Keisuke Shinohara",
"D Regan",
"Ivan Milosavljevic",
"Paul Hashimoto",
"P J Willadsen",
"Adele E Schmitz",
"Sung Jin Kim",
"C M Butler",
"D F Brown",
"Shawn D Burnham",
"Miroslav Micovic"
],
"corpus_id": 98074151,
"doc_id": "98074151",
"n_citations": 9,
"n_key_citations": 0,
"score": 0,
"title": "High Speed AlN/GaN MOS HFETs With Scaled ALD {Al}_{2}{O}_{3} Gate Insulators",
"venue": "",
"year": 2011
},
{
"abstract": "We report on a GaN metal oxide semiconductor high electron mobility transistor (MOS HEMT) using atomic layer deposited (ALD) Al2O3 as the gate dielectric.Through decreasing the thickness of the gate oxide to 3.5nm,a device with maximum transconductance of 130mS/mm is produced.The drain current of this 1mm gate length MOS HEMT can reach 720mA/mm at +3.0V gate bias.The unity current gain cutoff frequency and maximum frequency of oscillation are obtained as 10.1 and 30.8GHz,respectively.",
"author_names": [
"Hao Yue",
"Yue Yuan-Zheng",
"Feng Qian",
"Zhang Jincheng",
"Ma Xiaohua",
"Ni Jin-Yu"
],
"corpus_id": 182871652,
"doc_id": "182871652",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "GaN MOS HEMT Using Ultrathin Al2O3 Dielectric with fmax of 30.8GHz",
"venue": "",
"year": 2007
},
{
"abstract": "The effects of Al2O3 gate insulator grown by atomic layer deposition (ALD) system on the two dimensional electron gas (2DEG) transport characteristics in AlGaN/GaN metal insulator semiconductor high electron mobility transistor (MISHEMT) were investigated. The shape of the electron lateral distribution in the quantum well at AlGaN/GaN interface was found to be slightly influenced by the Al2O3 thin layer. The drift mobility (md) of the electrons in ALD Al2O3/AlGaN/GaN MISHEMT is increased due to the surface passivation effects of the included dielectric layer. The higher dynamic channel current of the MISHEMT indicates that the electron saturation velocity (vsat) is also increased. These results show the improvement of the transport characteristics of 2DEG in Al2O3/AlGaN/GaN MISHEMT by the excellent properties of the Al2O3 grown by ALD.",
"author_names": [
"Zhen Liu",
"Geok Ing Ng",
"S Arulkumaran",
"Y K T Maung",
"Khoon Leng Teo",
"S C Foo",
"Vicknesh Sahmuganathan"
],
"corpus_id": 122446863,
"doc_id": "122446863",
"n_citations": 96,
"n_key_citations": 2,
"score": 0,
"title": "Improved two dimensional electron gas transport characteristics in AlGaN/GaN metal insulator semiconductor high electron mobility transistor with atomic layer deposited Al2O3 as gate insulator",
"venue": "",
"year": 2009
},
{
"abstract": "The current research investigates the potential advantages of replacing Al2O3 with (Ta2O5)0.12(Al2O3)0.88 as a higher dielectric constant (k) gate dielectric for GaN based metal oxide semiconductor high electron mobility transistors (MOS HEMTs) The electrical characteristics of GaN capped AlGaN/GaN MOS HEMT devices with (Ta2O5)0.12(Al2O3)0.88 as the gate dielectric are compared to devices with Al2O3 gate dielectric and devices without any gate dielectric (Schottky HEMTs) Compared to the Al2O3 MOS HEMT, the (Ta2O5)0.12(Al2O3)0.88 MOS HEMT achieves a larger capacitance and a smaller absolute threshold voltage, together with a higher two dimensional electron gas carrier concentration. This results in a superior improvement of the output characteristics with respect to the Schottky HEMT, with higher maximum and saturation drain current values observed from DC current voltage measurements. Gate transfer measurements also show a higher transconductance for the (Ta2O5)0.12(Al2O3)0.88 MOS HEMT. Furthermore, from OFF state measurements, the (Ta2O5)0.12(Al2O3)0.88 MOS HEMT shows a larger reduction of the gate leakage current in comparison to the Al2O3 MOS HEMT. These results demonstrate that the increase in k of (Ta2O5)0.12(Al2O3)0.88 compared with Al2O3 leads to enhanced device performance when the ternary phase is used as a gate dielectric in the GaN based MOS HEMT.",
"author_names": [
"T Partida-Manzanera",
"Z H Zaidi",
"J W Roberts",
"Surani Bin Dolmanan",
"K B Lee",
"P A Houston",
"P R Chalker",
"Sudhiranjan Tripathy",
"Richard Potter"
],
"corpus_id": 199136003,
"doc_id": "199136003",
"n_citations": 6,
"n_key_citations": 0,
"score": 0,
"title": "Comparison of atomic layer deposited Al2O3 and (Ta2O5)0.12(Al2O3)0.88 gate dielectrics on the characteristics of GaN capped AlGaN/GaN metal oxide semiconductor high electron mobility transistors",
"venue": "Journal of Applied Physics",
"year": 2019
}
] |
SnSe degenerate semiconductor | [
{
"abstract": "We have studied the electronic structure of SnSe and Na doped SnSe by means of angle resolved photoemission spectroscopy. The valence band top reaches the Fermi level by the Na doping, indicating that Na doped SnSe can be viewed as a degenerate semiconductor. However, in the Na doped system, the chemical potential shift with temperature is unexpectedly large and is apparently inconsistent with the degenerate semiconductor picture. The large chemical potential shift and anomalous spectral shape are key ingredients for an understanding of the novel metallic state with the large thermoelectric performance in Na doped SnSe.",
"author_names": [
"Makoto Maeda",
"K Yamamoto",
"Takashi Mizokawa",
"Naurang Lal Saini",
"Masashi Arita",
"Hirofumi Namatame",
"Masaki Taniguchi",
"Gangjian Tan",
"Li-dong Zhao",
"Mercouri G Kanatzidis"
],
"corpus_id": 125721838,
"doc_id": "125721838",
"n_citations": 7,
"n_key_citations": 0,
"score": 0,
"title": "Unusually large chemical potential shift in a degenerate semiconductor: Angle resolved photoemission study of SnSe and Na doped SnSe",
"venue": "",
"year": 2018
},
{
"abstract": "IV VI semiconductor SnSe has been known as the material with record high thermoelectric performance. The multiple close to degenerate (or \"convergent\" valence bands in the electronic band structure has been one of the key factors contributing to the high power factor and thus figure of merit in the SnSe single crystal. To date, there have been primarily theoretical calculations of this particular electronic band structure. In this paper, however, using angle resolved photoemission spectroscopy, we perform a systematic investigation of the electronic structure of SnSe. We directly observe three predicted hole bands with small energy differences between their band tops and relatively small in plane effective masses, in good agreement with the ab initio calculations and critical for the enhancement of the Seebeck coefficient while keeping high electrical conductivity. Our results reveal the complete band structure of SnSe and help to provide a deeper understanding of the electronic origin of the excellent thermoelectric performances in SnSe.",
"author_names": [
"Chunwu Wang",
"Y Y Xia",
"Zhen Tian",
"J Jiang",
"B H Li",
"Shengtao Cui",
"H F Yang",
"A J Liang",
"X Y Zhan",
"G H Hong",
"Shiyang Liu",
"Changyong Chen",
"M X Wang",
"L X Yang",
"Zhi Liu",
"Qixi Mi",
"G Li",
"Jiang Xue",
"Zeheng Liu",
"Yu Chen"
],
"corpus_id": 119449233,
"doc_id": "119449233",
"n_citations": 18,
"n_key_citations": 0,
"score": 0,
"title": "Photoemission study of the electronic structure of valence band convergent SnSe",
"venue": "",
"year": 2017
},
{
"abstract": "The approximate maximum figure of merit as calculated by Joffe, zJ (4k2q)(ml) erNv, may be rewritten as zJ (2keq)2(sl)exp(qak) where a and s are the Seebeck coefficient and electrical conductivity of any non degenerate and extrinsic sample of the semiconductor investigated; l is the lattice thermal conductivity. The second equation makes it possible to evaluate zJ easily and without knowledge of the values of the scattering parameter r and the effective density of states Nv. In an Appendix it is shown that the rigorously calculated maximum of the dimensionless figure of merit zT is mainly a function of zJT. Room temperature values of zJT are given for p type mixed crystals of SnS and SnSe. From 0*28 for SnS zJT rapidly decreases to about 0*14 for SnS0*6Se0*4 and then slowly increases to 0*15 for SnSe.",
"author_names": [
"J D Wasscher",
"Walter A Albers",
"C Haas"
],
"corpus_id": 95879438,
"doc_id": "95879438",
"n_citations": 42,
"n_key_citations": 0,
"score": 0,
"title": "Simple evaluation of the maximum thermoelectric figure of merit, with application to mixed crystals SnS1 xSex",
"venue": "",
"year": 1963
},
{
"abstract": "Abstract There had been tremendous growth in the field of Integrated circuits (ICs) in the past fifty years. Scaling laws mandated both lateral and vertical dimensions to be reduced and a steady increase in doping densities. Most of the modern semiconductor devices have invariably heavily doped regions where Fermi Dirac Integrals are required. Several attempts have been devoted to developing analytical approximations for Fermi Dirac Integrals since numerical computations of Fermi Dirac Integrals are difficult to use in semiconductor devices, although there are several highly accurate tabulated functions available. Most of these analytical expressions are not sufficiently suitable to be employed in semiconductor device applications due to their poor accuracy, the requirement of complicated calculations, and difficulties in differentiating and integrating. A new approximation has been developed for the Fermi Dirac integrals of the order 1/2 by using Prony's method and discussed in this paper. The approximation is accurate enough (Mean Absolute Error (MAE) 0.38% and easy enough to be used in semiconductor device equations. The new approximation of Fermi Dirac Integrals is applied to a more generalized Einstein Relation which is an important relation in semiconductor devices.",
"author_names": [
"Ahmed Alqurashi",
"Chettypalayam R Selvakumar"
],
"corpus_id": 126380720,
"doc_id": "126380720",
"n_citations": 7,
"n_key_citations": 1,
"score": 0,
"title": "A new approximation of Fermi Dirac integrals of order 1/2 for degenerate semiconductor devices",
"venue": "",
"year": 2018
},
{
"abstract": "Abstract The phonon growth characteristic in a degenerate semiconductor has been calculated under the condition of low temperature. If the lattice temperature is high, the energy of the intravalley acoustic phonon is negligibly small compared to the average thermal energy of the electrons. Hence one can traditionally assume the electron phonon collisions to be elastic and approximate the Bose Einstein (B.E. distribution for the phonons by the simple equipartition law. However, in the present analysis at the low lattice temperatures, the interaction of the non equilibrium electrons with the acoustic phonons becomes inelastic and the simple equipartition law for the phonon distribution is not valid. Hence the analysis is made taking into account the inelastic collisions and the complete form of the B.E. distribution. The high field distribution function of the carriers given by Fermi Dirac (F.D. function at the field dependent carrier temperature, has been approximated by a well tested model that apparently overcomes the intrinsic problem of correct evaluation of the integrals involving the product and powers of the Fermi function. Hence the results thus obtained are more reliable compared to the rough estimation that one may obtain from using the exact F.D. function, but taking recourse to some over simplified approximations.",
"author_names": [
"A N Basu",
"Bimalendu Das",
"Tapas Ranjan Middya",
"D P Bhattacharya"
],
"corpus_id": 126275216,
"doc_id": "126275216",
"n_citations": 3,
"n_key_citations": 1,
"score": 0,
"title": "A realistic analysis of the phonon growth characteristics in a degenerate semiconductor using a simplified model of Fermi Dirac distribution",
"venue": "",
"year": 2017
},
{
"abstract": "Two dimensional (2D) crystals have emerged as a class of materials with tunable carrier density1. Carrier doping to 2D semiconductors can be used to modulate many body interactions2 and to explore novel composite particles. The Holstein polaron is a small composite particle of an electron that carries a cloud of self induced lattice deformation (or phonons)3 5, which has been proposed to play a key role in high temperature superconductivity6 and carrier mobility in devices7. Here we report the discovery of Holstein polarons in a surface doped layered semiconductor, MoS2, in which a puzzling 2D superconducting dome with the critical temperature of 12 K was found recently8 11. Using a high resolution band mapping of charge carriers, we found strong band renormalizations collectively identified as a hitherto unobserved spectral function of Holstein polarons12 18. The short range nature of electron phonon (e ph) coupling in MoS2 can be explained by its valley degeneracy, which enables strong intervalley coupling mediated by acoustic phonons. The coupling strength is found to increase gradually along the superconducting dome up to the intermediate regime, which suggests a bipolaronic pairing in the 2D superconductivity.A high resolution ARPES study on electron doped MoS2 reveals strong band renormalization effects near the conduction band minima, which are interpreted in terms of Holstein type electron phonon interactions.",
"author_names": [
"Mingu Kang",
"S W Jung",
"Woo Jong Shin",
"Yeongsup Sohn",
"Sae Hee Ryu",
"Timur K Kim",
"Moritz Hoesch",
"Keun Su Kim"
],
"corpus_id": 44153106,
"doc_id": "44153106",
"n_citations": 48,
"n_key_citations": 0,
"score": 1,
"title": "Holstein polaron in a valley degenerate two dimensional semiconductor",
"venue": "Nature Materials",
"year": 2018
},
{
"abstract": "We present an optoelectronic switch for functional plasmonic circuits based on active control of Surface Plasmon Polaritons (SPPs) at degenerate PN+ junction interfaces. Self consistent multi physics simulations of the electromagnetic, thermal and IV characteristics of the device have been performed. The lattice matched Indium Gallium Arsenide (In0.53Ga0.47As) is identified as a better semiconductor material compared to Si for the practical implementation of the proposed optoelectronic switch providing higher optical confinement, reduced size and faster operation. The optimal device is shown to operate at signal modulation surpassing 100 dB, responsivity in excess of 600 dB*V 1 and switching rates up to 50 GHz, thus potentially providing a new pathway toward bridging the gap between electronic and photonic devices.",
"author_names": [
"Raj K Vinnakota",
"Dentcho A Genov"
],
"corpus_id": 23932991,
"doc_id": "23932991",
"n_citations": 6,
"n_key_citations": 0,
"score": 0,
"title": "Active Control of Charge Density Waves at Degenerate Semiconductor Interfaces",
"venue": "Scientific Reports",
"year": 2017
},
{
"abstract": "We report on high performance transparent Al:ZnO (AZO) thin film heaters on flexible polymer (polyethylene terephthalate) and glass substrates which demonstrate low sheet resistivity. AZO thin films were grown by radio frequency magnetron sputtering at low Ts (below 200 degC) on flexible, transparent polyethylene terephthalate substrates that show stable and reproducible results by applying low <10 V) voltages. This study also examined identical AZO thin films on glass substrates that showed highly reproducible heating effects due to the Joule heating effect. The potential applications are foldable and wearable electronics, pain/injury therapy smart windows, automobile window defrosters, and low cost power electronics.",
"author_names": [
"Monee K Roul",
"Brandon Obasogie",
"Gilbert Kogo",
"Jonathan Skuza",
"Rajeh Mundle",
"Aswini K Pradhan"
],
"corpus_id": 126205329,
"doc_id": "126205329",
"n_citations": 10,
"n_key_citations": 1,
"score": 0,
"title": "Transparent and flexible heaters based on Al:ZnO degenerate semiconductor",
"venue": "",
"year": 2017
},
{
"abstract": "Abstract As rechargeable lithium ion batteries (LIBs) develop unprecedentedly faster than ever before, it needs urgently to search for a new and careful design of anode materials, which can boost the battery performance substantially, particularly the rate capability, in order to realize fast charge and discharge in the practical applications of high energy devices. On that account, heterostructures with the excellent interface effects and the formation of built in potential at the hetero interface have emerged as a promising solution to address the above issue. In this paper, we prepared SnSe/SnO2@Gr heterostructure composite, in which the SnO2 nanospheres are homogeneously dispersed and wrapped into the Graphene matrix, interconnecting to form a three dimensional (3D) hierarchical architecture, followed by the selenium treatment to obtain SnSe/SnO2 heterostructure. This distinctive nanoarchitecture can enhance charge transfer and lithium ions diffusion, as well as improving specific conductivity and charge/discharge capability of SnSe/SnO2@Gr heterostructure. When used as anode material for lithium ion batteries, SnSe/SnO2@Gr shows a higher rate capability than SnO2@Gr and SnO2. Moreover, after 200 cycles, the storage capacity of SnSe/SnO2@Gr is still up to 810 mAh/g and almost remains unchanged, suggesting a high cyclability. It is noted that the enhanced electrochemical performance of SnSe/SnO2@Gr can be attributed to the built in electric field of heterojunction, which is proved by the DFT calculation and CI NEB methods, illustrating that the existence of the built in electric field adds an additional electromotive force (phE) to boost the electron mobility of the active material. Noteworthy, the results show that the large difference of the work function of the two semiconductor materials could lead to the high additional potential (phE) which surly be the beneficial for improving the performance of the battery.",
"author_names": [
"Kai-Hong Chen",
"Xiujuan Wang",
"Gang Wang",
"Beibei Wang",
"Xiaojie Liu",
"Jintao Bai",
"Hui Wang"
],
"corpus_id": 102882914,
"doc_id": "102882914",
"n_citations": 45,
"n_key_citations": 0,
"score": 0,
"title": "A new generation of high performance anode materials with semiconductor heterojunction structure of SnSe/SnO2@Gr in lithium ion batteries",
"venue": "",
"year": 2018
},
{
"abstract": "SnSe, a group IV VI monochalcogenide with layered crystal structure similar to black phosphorus, has recently attracted extensive interests due to its excellent thermoelectric properties and potential device applications. Experimental electronic structure of both the valence and conduction bands is critical for understanding the effects of hole versus electron doping on the thermoelectric properties, and to further reveal possible change of the band gap upon doping. Here, we report the multivalley valence bands with a large effective mass on semiconducting SnSe crystals and reveal single valley conduction bands through electron doping to provide a complete picture of the thermoelectric physics. Moreover, by electron doping through potassium deposition, the band gap of SnSe can be widely tuned from 1.2 eV to 0.4 eV, providing new opportunities for tunable electronic and optoelectronic devices.",
"author_names": [
"Kenan Zhang",
"Ke Deng",
"Jiaheng Li",
"Haoxiong Zhang",
"Wei Yao",
"Jonathan D Denlinger",
"Yang Wu",
"Wenhui Duan",
"Shuyun Zhou"
],
"corpus_id": 119251793,
"doc_id": "119251793",
"n_citations": 11,
"n_key_citations": 0,
"score": 0,
"title": "Widely tunable band gap in a multivalley semiconductor SnSe by potassium doping",
"venue": "",
"year": 2018
}
] |
Decision Tress Algorithms | [
{
"abstract": "The use of Decision Trees to analyze data is discussed as an approach to solving problems of Yield excursions in semiconductor manufacturing. The relation to equipment commonality is discussed along with some of the pitfalls of incautious use of general probability estimates. The paper introduces a Mixing Diagram to help visualize commonality issues, it introduces work around methods for resolving ambiguities in the commonality, it reviews Decision Trees algorithms, and it ends with a discussion of current limitations of the method along with recommendations for future research.",
"author_names": [
"Peter Waksman"
],
"corpus_id": 109035295,
"doc_id": "109035295",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Isolating causes of yield excursions with decision tress and commonality",
"venue": "SPIE Advanced Lithography",
"year": 2002
},
{
"abstract": "Work safety control and analysis of accidents during the construction performance are some of the most important issues of the construction management. The paper focuses on the post accident absence as an element of the occupational safety management. The occurrence of the post accident absence of workers can be then treated as an indicator of building performance safety. The ability to estimate its length can also facilitate works planning and scheduling in case of the accident. The paper attempts to answer the question whether it is possible and how to use decision trees and their ensembles to predict the severity of the post accident absence and which classification algorithm is the most promising to solve the prediction problem. The paper clarifies the model of the prediction problem, introduces 5 different decision tress and different aggregation algorithms in order to build the model. Thanks to the use of aggregation methods it is possible to build classifiers that predict precisely and do not require any initial data treatment, which simplifies the prediction process significantly. To identify the most promising classifier or classifier ensemble the prediction accuracy measures of selected classification algorithms were analyzed. The data to build the model was gathered on national (Polish) construction sites and was taken from literature. Models obtained within simulations can be used to build advisory or safety management systems allowing to detect threats while construction works are being planned or carried out.",
"author_names": [
"Anna Krawczynska-Piechna"
],
"corpus_id": 222465749,
"doc_id": "222465749",
"n_citations": 0,
"n_key_citations": 0,
"score": 1,
"title": "Predicting the Length of a Post Accident Absence in Construction with Decision Trees and Their Ensembles",
"venue": "",
"year": 2020
},
{
"abstract": "We study the problem of finding a universal (image agnostic) perturbation to fool machine learning (ML) classifiers (e.g. neural nets, decision tress) in the hard label black box setting. Recent work in adversarial ML in the white box setting (model parameters are known) has shown that many state of the art image classifiers are vulnerable to universal adversarial perturbations: a fixed human imperceptible perturbation that, when added to any image, causes it to be misclassified with high probability Kurakin et al. [2016] Szegedy et al. [2013] Chen et al. [2017a] Carlini and Wagner [2017] This paper considers a more practical and challenging problem of finding such universal perturbations in an obscure (or black box) setting. More specifically, we use zeroth order optimization algorithms to find such a universal adversarial perturbation when no model information is revealed except that the attacker can make queries to probe the classifier. We further relax the assumption that the output of a query is continuous valued confidence scores for all the classes and consider the case where the output is a hard label decision. Surprisingly, we found that even in these extremely obscure regimes, state of the art ML classifiers can be fooled with a very high probability just by adding a single human imperceptible image perturbation to any natural image. The surprising existence of universal perturbations in a hard label black box setting raises serious security concerns with the existence of a universal noise vector that adversaries can possibly exploit to break a classifier on most natural images.",
"author_names": [
"Thomas A Hogan",
"Bhavya Kailkhura"
],
"corpus_id": 53293944,
"doc_id": "53293944",
"n_citations": 6,
"n_key_citations": 0,
"score": 0,
"title": "Universal Decision Based Black Box Perturbations: Breaking Security Through Obscurity Defenses",
"venue": "ArXiv",
"year": 2018
},
{
"abstract": "Current state of the art decision tree algorithms, such as Classification and Regression Trees (CART) build the decision tree using a recursive approach based on a greedy heuristic. We study the benefits of an optimal decision tree approach, which creates the entire decision tree at once using Mixed Integer Optimization (MIO) While such problems are known to be hard to solve for large instances, we leverage modern solver techniques that are able to obtain near optimal solutions in a reasonable amount of time. The methodology is able to handle both single feature splits, as in CART, and also hyperplane splits that use multiple features. We test optimal regression trees on a host of synthetic datasets and optimal classification tress on a novel application concerning the usage of CT imagining to diagnose head injuries in children. Our results demonstrate that optimal trees lead to a significantly greater accuracy than CART.",
"author_names": [
"Dimitris Bertsimas",
"Jack Dunn",
"Aris Paschalidis"
],
"corpus_id": 20101495,
"doc_id": "20101495",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Regression and classification using optimal decision trees",
"venue": "2017 IEEE MIT Undergraduate Research Technology Conference (URTC)",
"year": 2017
},
{
"abstract": "Cardiotocography is one of the most widely used technique for recording changes in fetal heart rate (FHR) and uterine contractions. Assessing cardiotocography is crucial in that it leads to iden tifying fetuses which suffer from lack of oxygen, i.e. hypoxia. This situation is defined as fetal dis tress and requires fetal intervention in order to prevent fetus death or other neurological disease caused by hypoxia. In this study a computer based approach for analyzing cardiotocogram in cluding diagnostic features for discriminating a pathologic fetus. In order to achieve this aim adaptive boosting ensemble of decision trees and various other machine learning algorithms are employed.",
"author_names": [
"Esra Mahsereci Karabulut",
"Turgay Ibrikci"
],
"corpus_id": 27891737,
"doc_id": "27891737",
"n_citations": 36,
"n_key_citations": 4,
"score": 0,
"title": "Analysis of Cardiotocogram Data for Fetal Distress Determination by Decision Tree Based Adaptive Boosting Approach",
"venue": "",
"year": 2014
},
{
"abstract": "Classification is an important data mining task which facilitates list of decision rules that helps us to predict class of an unseen instance. Various traditional techniques like Decision tress, Neural Networks, SVMs have been used in past for rule mining. Nature Inspired Algorithms (NIAs) are class of algorithms that mimic natural processes and are capable of mining comprehensible and accurate rules. It is interesting to investigate Nature Inspired Algorithms (NIAs) exclusively GA and ACO, in context of rule mining. Classification model usually represents obvious information in form of decision rules and an unseen instance is liable to be misclassified if the model created using any of the above techniques do not account for exceptions present in the dataset. Instances having low support count and deviating from obvious behavior are termed as exceptions and they are less likely to be discovered using the usual rule discovery measures that account for generality of the discovered knowledge. In this paper we have investigated use of NIAs in rule mining and exception mining and we have also suggested possible modification in existing cAntMinerpb algorithm for mining exceptions.",
"author_names": [
"Amarnath Pathak",
"Jyoti Vashistha"
],
"corpus_id": 42852410,
"doc_id": "42852410",
"n_citations": 5,
"n_key_citations": 0,
"score": 0,
"title": "Classification Rule and Exception Mining Using Nature Inspired Algorithms",
"venue": "",
"year": 2015
},
{
"abstract": "Decision tree learning is among the most popular machine learning techniques used for ecological modelling. Decision trees can be used to predict the value of one or several target (dependent) variables. They are hierarchical structures, where each internal node contains a test on an attribute, each branch corresponding to an outcome of the test, and each leaf node giving a prediction for the value of the class variable. Depending on whether we are dealing with a classification (discrete target) or a regression problem (continuous target) the decision tree is called a classification or a regression tree, respectively. The common way to induce decision trees is the so called Top Down Induction of Decision Tress (TDIDT) In this chapter, we introduce different types of decision trees, present basic algorithms to learn them, and give an overview of their applications in ecological modelling. The applications include modelling population dynamics and habitat suitability for different organisms (e.g. soil fauna, red deer, brown bears, bark beetles) in different ecosystems (e.g. aquatic, arable and forest ecosystems) exposed to different environmental pressures (e.g. agriculture, forestry, pollution, global warming)",
"author_names": [
"Marko Debeljak",
"Saso Dzeroski"
],
"corpus_id": 61566582,
"doc_id": "61566582",
"n_citations": 29,
"n_key_citations": 1,
"score": 0,
"title": "Decision Trees in Ecological Modelling",
"venue": "",
"year": 2011
},
{
"abstract": "To find out the evidence of crime related evidence and association rules among massive data, the classic decision tree algorithms such as ID3 for classification analysis have appeared in related prototype systems. So how to make it more suitable for computer forensics in variable environments becomes a hot issue. When selecting classification attributes, ID3 relies on computation of information entropy. Then the attributes owning more value are selected as classification nodes of the decision tress. Such classification is unrealistic under many cases. During the process of ID3 algorithm there are too many logarithms, so it is complicated to handle with the dataset which has various classification attributes. Therefore, contraposing the special demand for computer crime forensics, ID3 algorithm is improved and a novel classification attribute selection method based on Maclaurin Priority Value First method is proposed. It adopts the foot changing formula and infinitesimal substitution to simplify the logarithms in ID3. For the errors generated in this process, an apposite constant is introduced to be multiplied by the simplified formulas for compensation. The idea of Priority Value First is introduced to solve the problems of value deviation. The performance of improved method is strictly proved in theory. Finally, the experiments verify that our scheme has advantage in computation time and classification accuracy, compared to ID3 and two existing algorithms",
"author_names": [
"Y Wang",
"Xinguang Peng",
"Jing Bian"
],
"corpus_id": 6235709,
"doc_id": "6235709",
"n_citations": 7,
"n_key_citations": 0,
"score": 0,
"title": "Computer Crime Forensics Based on Improved Decision Tree Algorithm",
"venue": "J. Networks",
"year": 2014
},
{
"abstract": "Decision tress have long been popular in classification as they use simple and easy to understand tests at each node. Most variants of decision trees test a single attribute at a node, leading to axis parallel trees, where the test results in a hyperplane which is parallel to one of the dimensions in the attribute space. These trees can be rather large and inaccurate in cases where the concept to be learned is best approximated by oblique hyperplanes. In such cases, it may be more appropriate to use an oblique decision tree, where the decision at each node is a linear combination of the attributes. Oblique decision trees have not gained wide popularity in part due to the complexity of constructing good oblique splits and the tendency of existing splitting algorithms to get stuck in local minima. Several alternatives have been proposed to handle these problems including randomization in conjunction wiht deterministic hill climbing and the use of simulated annealing. In this paper, we use evolutionary algorithms (EAs) to determine the split. EAs are well suited for this problem because of their global search properties, their tolerance to noisy fitness evaluations, and their scalability to large dimensional search spaces. We demonstrate our technique on a synthetic data set, and then we apply it to a practical problem from astronomy, namely, the classification of galaxies with a bent double morphology. In addition, we describe our experiences with several split evaluation criteria. Our results suggest that, in some cases, the evolutionary approach is faster and more accurate than existing oblique decision tree algorithms. However, for our astronomical data, the accuracy is not significantly different than the axis parallel trees.",
"author_names": [
"Erick Cantu-Paz",
"Chandrika Kamath"
],
"corpus_id": 18853977,
"doc_id": "18853977",
"n_citations": 11,
"n_key_citations": 2,
"score": 0,
"title": "Combining evolutionary algorithms with oblique decision trees to detect bent double galaxies",
"venue": "SPIE Optics Photonics",
"year": 2000
},
{
"abstract": "We address the problem of computing various types of expressive tests for decision tress and regression trees. Using expressive tests is promising, because it may improve the prediction accuracy of trees. The drawback is that computing an optimal test could be costly. We present a unified framework to approach this problem, and we revisit the design of efficient algorithms for computing important special cases. We also prove that it is intractable to compute an optimal conjunction or disjunction.",
"author_names": [
"Shinichi Morishita"
],
"corpus_id": 30288071,
"doc_id": "30288071",
"n_citations": 894,
"n_key_citations": 150,
"score": 0,
"title": "On Classification and Regression",
"venue": "Discovery Science",
"year": 1998
}
] |
Synthesis of rutile titanium | [
{
"abstract": "The synthesis, structure, and electrical performances of titanium dioxide (TiO 2 and also doped TiO 2 thin films, a capacitor dielectric for dynamic random access memory (DRAM) and a resistance switching material in resistance switching RAM (ReRAM) are reviewed. The three dimensionality of these structures and the extremely small feature sizes 2 based layers to exhibit high degree of conformality. Atomic layer deposition is, therefore, the method of choice in respect of film growth for these applications. The unique arrangement of the TiO 6 octahedra in the rutile structure, which results in the value for dielectric constant of the dielectric layer, e r >100) makes the material especially attractive as the capacitor dielectric layer in DRAM. Removing some of the oxygen ions from the rutile structure and arranging the resulting oxygen vacancies on a specific crystallographic plane results in the so called Magneli phase materials, which show distinctive conducting semiconductor or metallic characteristics. External electrical stimuli can cause the repeated formation and rupture of conducting channels that consist of these Magneli phase materials in the insulating TiO 2 matrix, and this aspect makes the material a very feasible choice for applications in ReRAM. This article reviews the material properties, fabrication process, integration issues, and prospect of TiO 2 films for these applications.",
"author_names": [
"Seong Keun Kim",
"Kyung Min Kim",
"Doo Seok Jeong",
"Woojin Jeon",
"Kyung Jean Yoon",
"Cheol Seong Hwang"
],
"corpus_id": 110627335,
"doc_id": "110627335",
"n_citations": 58,
"n_key_citations": 2,
"score": 0,
"title": "Titanium dioxide thin films for next generation memory devices",
"venue": "",
"year": 2013
},
{
"abstract": "Recent referential studies on the preparation of titanium tetrachloride and titania nanoparticles were briefly reviewed. Three important processes, namely, the pretreatment of ore, the chlorination of rutile ore and the transformation of titanium tetrachloride were analyzed using thermodynamic and particle dynamic theories. The optimal reaction conditions (temperature, etc. for the three processes were obtained. Effects of additives or contaminates in the chlorination and oxidation processes on producing titania were analyzed. Strategies to control titania nanoparticle size were found.",
"author_names": [
"Hong Ruo",
"M Teshneh Lab"
],
"corpus_id": 102317876,
"doc_id": "102317876",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Numerical investigation for the synthesis of titania nanoparticles from Ore to Oxide",
"venue": "",
"year": 2005
},
{
"abstract": "Titanium dioxide (TiO2) nanoparticles (NPs) have a wide range of applications in industry and medicine. TiO2 NPs have unique optical, electronic, photocatalytic and antimicrobial properties [1] which can be exploited towards novel drug delivery systems and also for water purification [2] dye sensitized solar cells, photonics and food preservation [3] Three main phases of TiO2 are anatase, brookite and rutile [4] Synthesis of TiO2 NPs with controlled particle size can be reached using energy consuming, sophisticated, conventional chemical and physical synthesis methods. However, this approach has intrinsic drawbacks due to high cost and risk to the environment. Comparatively, eco friendly, costeffective and non toxic NP synthesis techniques using microorganisms and plant sources are in demand [5] This green synthesis has the ability to produce higher yields of NPs under mild laboratory conditions facilitating largescale production. Microbial TiO2 NP synthesis has been reported using several microorganisms including Bacillus cereus [6] Fusarium oxysporum [7] B. subtilis [8, 9] Aspergillus species [10, 11] B. mycoides [12] and Lactobacilli [13] Since these are renewable sources, it is environmentally less harmful and generates less amount of toxic waste. TiO2 NP biosynthesis is thought to arise as a result of the reduction of the metal salts to nanosized metal particles mediated by the enzymatic and chemical interactions. Jha et al. [14] suggested that the negative electro kinetic potential of microbes can attract cations triggering the series of events leading to biosynthesis of NPs. The presence of reducing agents such as glucose reduces the redox potential thereby enhancing NP formation. TiO2 NPs have good antimicrobial potential, where they deactivate cellular enzymes and DNA and also form pores in bacterial membranes leading to fluid loss and cell Received: July 9, 2018 Revised: August 14, 2018 Accepted: August 20, 2018",
"author_names": [
"Mmk Peiris",
"Tdcp Guansekera",
"P M Jayaweera",
"S S N Fernando"
],
"corpus_id": 201629173,
"doc_id": "201629173",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "jmbReview TiO 2 Nanoparticles from Baker s Yeast A Potent Antimicrobial",
"venue": "",
"year": 2018
},
{
"abstract": "In this paper, synthesis method of acrylated urethane based on polyethylene glycol and toluene di isocyanate as a mixture of two isomers (2,4 and 2,6) has been investigated by application of IR spectra and GPC technique In this method, reaction schemes were controlled by surveying the NCOand OH absorption peak elimination and NHabsorption peak formation in IR spectra during the reaction. The mixture of the two isomers leads acrylated urethane resins to contain three oligomers (II, 111 and IV) in the final product. The study of physical and mechanical properties of y cured resin showed that hardness and impact resistance vary with increase in dose meanwhile these quantities indicate a little variation after 60 kGy This could be due to increasing of cross link density. Also, addition of reactive monomers to the resin showed that increase in double bonds density in the resin system at a given dose, caused an increase in hardness and a decrease in impact resistance By addition of a rutile type titanium dioxide as pigment, the hardness of film increases, but the variation of hardness dose curve in both unpigmented and pigmented film is the same.",
"author_names": [
"A Shojaee",
"Faramarz Afshar Taromi",
"F Khouylou"
],
"corpus_id": 101580105,
"doc_id": "101580105",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "SYNTHESIS AND PROPERTIES OF G(CO 60) CURED ACRYLATED URETHANE COATING",
"venue": "",
"year": 2000
},
{
"abstract": "Titanium, which has been reported to be less dense than steel but stronger than it, is a light, strong, silvery and corrosion resistance metal which exist as the ninth most abundant element in the earth's crust, and can be found in nature in the form of various oxides, of which the most common is titanium dioxide (TiO2) [1 2] Titanium dioxide, which is also referred to as TiO2 or titania is a thermally stable, noninflammable and poorly soluble white solid inorganic substance which can be regarded as a global product due to its wide range of applications. It was first introduced as a commercial product in 1923, and has been used for many years in a vast range of consumers and industrial goods including paints, catalyst systems, medical devices, aerospace and turbines, ceramics, adhesives, sunscreens, ointment, toothpaste, coatings, paper and paper board, coated fabrics and textiles, plastics and rubber, printing inks, cosmetics and pharmaceuticals, food colorants, water treatment agents, floor coverings, roofing materials and in automotive products [2] TiO2 is a vital research material due to its biocompatibility, physical optical and electrical properties as well as the stability of its chemical structure, and can exist in the crystalline forms of brookite, rutile and anatase. The common forms are anatase and rutile which has density and band gap of 3.9 g/ml, 3.2eV (corresponding to a UV wavelength absorption of 385nm) and 4.26 g/ml, 3.0 eV (with excitation wavelengths that extend into the visible light range of 410 nm) respectively. However, anatase which is considered as the most preferred and photochemical active phase of TiO2 is the most stable form by 8 12 KJ mol and can be converted to rutile by heating to a temperature of 700 oC, while brookite which is the third crystalline form of TiO2 is uncommon and unstable [3 6] Due to the impressive and advantageous properties of TiO2 nanoparticles (NPs) it has receive a wide attention in many fields such as tackling of energy and environmental challenges, improvement in health care, biomedical devices and drug delivery systems [7] In this review, the physical, chemical and biological synthetic methods for the preparation of TiO2 NPs have been explained. The modifications, applications and challenges of TiO2 NPs were also discussed respectively. ABSTRACT",
"author_names": [],
"corpus_id": 219780958,
"doc_id": "219780958",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Synthesis, Modification, Applications and Challenges of Titanium Dioxide Nanoparticles",
"venue": "",
"year": 2019
},
{
"abstract": "In solid phase processing, microwaves are beginning to be applied for sintering and synthesis of ceramics1)~7) heating and sintering of metal powders8)~12) synthesis of glasses13),14) and so on. Especially, in high temperature region such as ceramic synthesis process, rapid synthesis, sintering, and energy saving process is required, and are being developed utilizing the feature of microwave processing such as internal heating, volumetric heating etc. which is independent of the heat conduction law15),16) In the synthesis of materials that do not absorb microwaves, we can utilize a heat source material called a susceptor around the container17) and get the benefit of microwave rapid heating. In addition, using a single mode cavity, which can confining the electromagnetic field in the cavity, we can heat materials with higher efficiency than the multi mode microwave heating furnace such as microwave oven. Single mode cavity can separate the position of maximum intensity of electric field and magnetic field, and it is also used for research on the principle of microwave processing focusing on the effects of microwave electromagnetic field18),19) By devising the sample setting and microwave apparatus as described above, it is possible to synthesize almost all functional materials that can be synthesized in an electric furnace within a short period of synthesis time5) On the other hand, there are few cases of synthesis of transition metal oxide nano particles, which are difficult to produce in an electric furnace. The most problem to synthesize nanoparticles is sintering and coarsening of the sample at high temperature, which needs for solid state processing. However, by taking advantage of the microwave process such as rapid heating and internal heating, it is possible to overcome this problem. In the review, we show that reduced titanium oxide (Ti4O7) nanoparticles and aluminum nitride (AlN) nanoparticles are synthesized at low temperature and short time by rapid carbothermal reduction process using microwaves. The key strength of this method is retaining the morphology of oxide nanoparticles as a pristine material. Rutile (TiO2) and alumina (Al2O3) nanoparticles as pristine materials are being applied to cosmetics, electronic components, and so on in Japan, and they are produced industrially (several hundred tons) respectively. Rutile and alumina nanoparticles are also possible to control these particle size according to the application, which is very advantageous for use as a pristine material. On the other hand, these oxides 88 Journal of the Japan Petroleum Institute, 61, (2) 88 97 (2018)",
"author_names": [
"Jun Fukushima",
"Hirotsugu Takizawa"
],
"corpus_id": 103556139,
"doc_id": "103556139",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Microwave Synthesis of Ti4O7 or AlN Nanoparticles by Rapid Carbothermal Reduction Process",
"venue": "",
"year": 2018
},
{
"abstract": "As the adoption of components fabricated via titanium powder metallurgy (PM) techniques becomes more prevalent, and projected to increase at a substantial rate over the next decade, especially in the field of additive manufacturing (AM) there is a necessity to increase titanium powder production capacity from the current annual level of ca. 6000 tonnes per annum. At present a well documented barrier restricting this widespread implementation, is the inherently high cost of the feedstock, an issue which to date has been neglected to some degree, at the expense of developing the individual powder metallurgy routes. The scope of this overview therefore is to provide an insight of both established and novel methods of titanium powder production, as potential opportunities to satisfy this growing demand. Particular emphasis will focus on Metalysis, a company founded to commercialize an innovative electrochemical approach for the synthesis of metals and alloys from their respective oxides, where the ability to generate titanium eloquently demonstrates the extent of its capabilities.The patented Metalysis technology, exploiting the FFC(r) Cambridge process, lends itself to producing alloys and intermetallics, where Ti 6Al 4V provides a prime example of this. Furthermore, as electrolysis occurs solely in the solid state, issues pertaining to segregation due to dissimilar densities and melting points are avoided. It is possible to tailor both the average particle diameter and size distribution of the product targeted powder metallurgy (PM) applications, based upon appropriate selection of the feed. The attraction of this strategy is that the steps associated with conventional metal powder synthesis are circumvented, resulting in a significant cost reduction. Moreover it has recently been revealed that titanium can be produced directly from naturally occurring ore (beach sand) and synthetic rutile, with the ensuing product presenting itself as an inexpensive and abundant feedstock for additive manufacturing (AM) This represents a paradigm shift in the availability of consumables for the 3D printing market.",
"author_names": [
"Ian M Mellor",
"G R Doughty"
],
"corpus_id": 73597638,
"doc_id": "73597638",
"n_citations": 7,
"n_key_citations": 0,
"score": 0,
"title": "Novel and Emerging Routes for Titanium Powder Production An Overview",
"venue": "",
"year": 2016
},
{
"abstract": "Abstract Black titanium dioxide (TiO2) has been one of the most researched photocatalyst in recent years. Its ability to overcome the limitations of pristine TiO2 through enhanced visible light absorption and reduced recombination of photogenerated charge carriers have gathered the attention of the scientific community since its discovery in 2011. Numerous synthesis routes have been developed for black TiO2 owing to its superior activity as compared to pristine white TiO2 in various applications. Extensive studies have enabled understanding its superior activities and most studies reveal that defect species like Ti3+ and oxygen vacancies are responsible for the enhanced photoactivity. However, closer look reveals that mere presence of defects does not necessarily lead to improved performance of black samples. Studies show that the same defect species can have negative impact on its performance. In fact, different factors like defect concentration, defect location, valence band and conduction band levels, synthesis route, synthesis conditions, anatase/rutile ratio etc. play a key role in the working mechanisms of black TiO2 samples. In some cases, black TiO2 samples have actually shown reduced photoactivity as compared to the pristine ones. Such results have led to some conflicting ideas related to role of defects in overall photoactivity, photoactivity under visible spectrum, existence/co existence of Ti3+ species and oxygen vacancies and their location, connection between band gap values and photocatalytic activity etc. The intricacies of black TiO2 are yet to be thoroughly understood as evidently seen in the differing properties reported in many studies. This review summarizes the significant properties of black TiO2 and identifies some of the conflicting points observed in literature where further light needs to be shed for better understanding.",
"author_names": [
"Thangarasu Rajaraman",
"Sachin P Parikh",
"Vimal G Gandhi"
],
"corpus_id": 212755812,
"doc_id": "212755812",
"n_citations": 40,
"n_key_citations": 0,
"score": 1,
"title": "Black TiO2: A review of its properties and conflicting trends",
"venue": "",
"year": 2020
},
{
"abstract": "Titanium dioxide is an important metal oxide semiconductor (MOSs) used in many electronic applications, the most famous of which are gas sensor applications. This review discusses the techniques used for preparing the TiO2 thin films and the effect of the crystalline phases in which this compound forms, on the gas sensing properties. There are three phases to crystallize titanium dioxides, brookite, anatase, and rutile phase. Amongst these varied phases of crystal, the greatest steady main phase is rutile. The phase of anatase and brookite are usually more stable than the rutile phase as the surface energy of them is less than that of the rutile. Therefore, the applications of sensing by anatase TiO2 and rutile TiO2 were fully studied. TiO2 characterizations were established on surface reactions using oxidizing or reducing gases, which; therefore, influences the conductivity of the film. Titanium dioxide gas sensors have healthier steadiness and sensitivity at high temperature compared with that of the other metal oxides. Surveys on titanium dioxide thin film applied in gas sensor devices used in a varied range of applications such as sensor devices, dye sensitized solar cells, and catalysis. The gas sensor is a function of the crystal structure, particle size, morphology, and the method of synthesis. In this work, characteristic of the titanium dioxide films investigated using various techniques, as reported by many researchers. The aim of this study was to review previous studies through which the best properties can obtained to manufacture TiO2 gas sensor thin films with high sensitivity.",
"author_names": [
"Jamal M Rzaij",
"Amina M Abass"
],
"corpus_id": 216381392,
"doc_id": "216381392",
"n_citations": 11,
"n_key_citations": 0,
"score": 1,
"title": "Review on: TiO2 Thin Film as a Metal Oxide Gas Sensor",
"venue": "",
"year": 2020
},
{
"abstract": "Advancement of the nanotechnology variation in the synthesis method plays an important role for technological revolution. The different branches of science is depends on the crystal structure of the molecules. The variation in chemical and physical structure of the nanoparticles using hydrothermal technology was discussed. Different types of nanomaterials were also discussed using this methodology. Keywords; Hydrothermal method, supercritical temperature, zirchonium oxide, titanium oxide Introduction The nucleation and the growth of the nanoparticles plays an important for various applications. The size, shape and the crystal structure effects the nucleation of the nanoparticles.Due to the wide range applications and the large surface to volume ratio the role of nanoparticles are also important for the industrial purpose as well as household. Most of the nanoparticles are better than bulk materials due to their confine size, surface area, porosity and the better mechanical properties. The nano sized particles also shows tremendously catalytic application in organic synthesis. These particles are better than bulk particles because nanoparticles are easily dispersed without any agglomeration[1] Nanoparticles are widely used in different areas such as electro chemical sensors, gas sensors, solar cells, photovoltaic cells, and photocatalysis. Now a days the medical field are also dependent on nanoparticles for drug delivery, hypothermia, bio sensing, nano medicine and many more. The various methods are adopted for the synthesis of nanoparticles suchas laser ablation, sono chemical, chemical vapour deposition, sol gel and hydrothermal.Out of them hydrothermal method is the best method to synthesize the nanoparticles.In this method only water used as a solvent and only heat is required,no calcination are required. Other benefits of this method is the proper orientation of crystals and their growth at a high temperature and pressure is required. Generally for the hydrothermal reaction the temperature is below 300degC and the pressure is less than 25 MPa is required. Because the critical temperature of the water is 374degC and the pressure is 22 MPa. The hydrothermal reaction depends on the various factors such as solubility, solvent antisolvent interaction and the dielectric constant. When the inorganic salt dissolved in the water the dielectric constant of the water reduced eight times under supercritical situtations and the rate of the reaction enhanced two to three folds. The phenomena behind this theory is electrostatic theory. On the basis of this phenomena the growth and the nucleation of the nanoparticles are effected and also alters the crystal structure of the nanoparticles[2] The requirement of the industry is the fine crystal structure, easily transformation, and the phase equilibrium of the reaction. In hydrothermal reaction if we altered the temperature and pressure conditions the morphology (c) 2019 JETIR January 2019, Volume 6, Issue 1 www.jetir.org (ISSN 2349 5162) JETIRDY06111 Journal of Emerging Technologies and Innovative Research (JETIR) www.jetir.org 701 and the structure of the nanoparticles was changed[3] In the present article we reported the various nanoparticles are synthesized by hydrothermal method and their role in different applications. The morphology of these nanoparticles are also reported. Various nanoparticles formed by hydrothermal method Magenese oxide nanoparticles The different state of MnO2 nanoparticles are used in supercapacitor, lithium ion, and solar cell applications. The different morphology of the MnO2 nanoparticles are depends on the precursor used during the reaction[4] The surfactant free different shape of MnO2 nanoparticles was synthesized by Chen et al. in the presence of water and isopropanol. When the reaction was carried out in the presence of isopropanol at its refux point the needle shaped MnO2 nanoparticles was obtained while when this reaction was carried out in the presence of ammonium persuphate which act as an oxidizing agent in the reaction the single crystal nanowires of MnO2 was achieved[5] Simiarly When this reaction was carried out in the presence of NaOH solution at 170degC for 12 hr in the Teflon coated seal tube the bundles of MnO2 nanoparticles was obtained. This process is reported by Ma et al. [6] Zirchonium oxide ZrO2 nanoparticles are widely used in optical, mechanical and electrical applications. The bans gap of the ZrO2 nanoparticles is wide band gap that's by it used in photocatalytic applications. This nanoparticles are synthesized by different methods such as sol gel, laser ablation, sonochemical and green method. But the hydrothermal method is the most advanced method to synthesize the various morphological nanoparticles of zirchonium oxide. Because in this method the ultrafine nanoparticles was obtained due to low agglomeration, homogenous distribution, control morphology and size distribution. L.Kumari et al. have synthesized ZrO2 nanoparticles using the zirchonim nitrate precursor less than 200 degC for 24 hr in Teflon coated seal tube. During the variation of the reaction time the morphology of the nanoparticles are also varied from hexagon to rod like nanoparticles [7] Titanium oxide nanoparticles TiO2 nanoparticles used in various areas such as photocatalysis, sensors, medicine and many more. The specific crystal structure is required for each type of application. Because titanium oxide nanoparticles possess two types of crystal structure such as anatase and rutile. For the catalysis applications high temperature is required and for that anatase crystal structure is required for the titanium oxide nanoparticles while for high permetivity and resistence the rutile form of the titanium oxide nanoparticles are required. The varsity of the titanium oxide nanoparticles in different applications, many methods are required for the synthesis, but for the specific crystal structure and the morphology hydrothermal and microwave method is the best method to synthesizethis nanoparticles. Focher et al. have been synthesized titanium oxide nanoparticles (c) 2019 JETIR January 2019, Volume 6, Issue 1 www.jetir.org (ISSN 2349 5162) JETIRDY06111 Journal of Emerging Technologies and Innovative Research (JETIR) www.jetir.org 702 using TiOCl2 precursor for 30 min to 1 hr under hydrothermal conditions. The obatained nanoparticles are hexagonal shape[8]",
"author_names": [
"Deepak Kumar"
],
"corpus_id": 219634734,
"doc_id": "219634734",
"n_citations": 0,
"n_key_citations": 0,
"score": 1,
"title": "Hydrothermal Methods Of Nanoparticles: An Overview",
"venue": "",
"year": 2020
}
] |
Conducting polymer as transparent electric glue | [
{
"abstract": "Flexible electronic devices, including organic electronic devices and devices fabricated using nanomaterials or biomaterials, have numerous applications, and progress in the field has been rapid. They have several merits, including high mechanical flexibility and low fabrication cost. The conventional way to fabricate these flexible devices is through a bottom up process, but it is not the most efficient way. Roll to roll coating is the most efficient fabrication method, which greatly lowers fabrication cost. However, an efficient roll to roll fabrication process has not yet been demonstrated for these materials. The challenge lies in laminating two films electrically and mechanically. It requires conductive glue to adhere the films, and a lamination process free of solvent. This cannot be achieved using conventional glues. Some methods have been demonstrated for laminating two organic films, but these methods are either only suitable for special materials or are impractical. Here, we report three simple approaches for developing solvent free electric glue using a conducting polymer. This electric glue exhibits a conductivity of 10 S cm, and could effectively laminate various materials electrically and mechanically. The organic electronic devices fabricated through a lamination process using this electric glue exhibit high performance. Many applications have been discovered for conducting polymers, but electric glue from conducting polymers has not been developed so far. The potential for developing electric glue using conducting polymers is high, since most types of glue are made of polymers. Besides application in a roll toroll fabrication process, electric glue using conducting polymers may replace conventional toxic lead solders in flexible electric circuits. It will have better compatibility with organic devices and the plastic substrates. Poly(3,4 ethylenedioxythiophene):poly(styrene sulfonate) (PEDOT:PSS) has emerged as the most important conducting polymer because of its availability in aqueous solution, high transparency in the visible range, and excellent thermal stability. It has been extensively used in organic electronic devices, particularly in optoelectronic devices. Recently, it has been discovered that the conductivity of a PEDOT:PSS film could be enhanced by about two orders of magnitude by adding an organic compound with multiple polar groups into the PEDOT:PSS aqueous solution or by treating the PEDOT:PSS film with an organic compound having multiple polar groups. When the organic compound with multiple polar groups is solid at room temperature, it needs to be heated at a temperature higher than its melting point for conductivity enhancement. We have discovered a new application for high conductivity PEDOT:PSS films when an appropriate organic compound is added into its aqueous solution or when the film is treated with an appropriate compound, such as D sorbitol. The highconductivity PEDOT:PSS film can adhere various films together, so that the PEDOT:PSS film can serve as an electric glue with high transparency. This adhesive function was not observed with an untreated PEDOT:PSS film. When epoxy, which is a widely used glue, was blended into PEDOT:PSS, the PEDOT:PSS film either lost its conductivity or did not act as a glue. Three methods to prepare such an adhesive PEDOT:PSS film with high conductivity are presented in the Experimental section. The PEDOT:PSS films modified by the three approaches all have the same function, but the method of thermally depositing D sorbitol on the PEDOT:PSS film is the most controllable. It is represented as PEDOT:PSS(D sorbitol) and is the main discussion topic of this paper. The PEDOT:PSS(D sorbitol) film is able to laminate two substrates well, and the lamination process is free of solvent. One substrate can be a flexible substrate, such as plastic or plastic coated with indium tin oxide (plastic/ITO) and the other substrate can be flexible or rigid, such as plastic, plastic/ ITO, glass, or glass/ITO. The PEDOT:PSS(D sorbitol) can be formed on either of the two laminated substrates. The plastic substrates used in our experiments were poly(ethylene terephthalate) (PET) Moreover, lamination can also take place between a plastic or glass substrate (with or without ITO coating) coated with a PEDOT:PSS(D sorbitol) film and a film of a polymeric semiconductor, such as poly(2 methoxy 5 (2'ethyl hexyloxy) p phenylene vinylene) (MEH PPV) or poly(3 hexylthiophene) (P3HT) Figure 1a c shows the laminated structures. The laminated structure of Figure 1c has been fabricated by laminating PET/ITO/PEDOT:PSS(D sorbitol) with PET/Al/MEH PPV with D sorbitol in contact with MEH PPV. The fabrication process is presented in Scheme 1 and details are given in the Experimental section. This structure is described by PET/ITO/PEDOT:PSS(D sorbitol) MEH PPV/Al/PET. (The double slash is used to indicate the lamination of two parts. The laminated structures presented in Figure 1a and b have been fabricated through a similar process, but a PET/ITO substrate and glass substrate were used in place of the PET/Al/MEH PPV in Figure 1a and b, respectively. C O M M U N IC A IO N S",
"author_names": [
"Jianyong Ouyang",
"Yang Yang"
],
"corpus_id": 53525354,
"doc_id": "53525354",
"n_citations": 110,
"n_key_citations": 1,
"score": 1,
"title": "Conducting Polymer as Transparent Electric Glue",
"venue": "",
"year": 2006
},
{
"abstract": "(Xu Yan sumatohuonyataburetsuto,Xi Dai gemuJi nadoDian Zi Ji Qi noPu Ji niBan i,Dian Ci Bo norisu kugaGao matsuteiru. Dian Ci Bo hapesumekayaJing Mi Dian Zi Ji Qi toGan She shiteWu Zuo Dong woYin kiQi kosutoto moni,Fa ganXing yaGuo Min Zheng nadonoJian Kang Bei Hai gaShi Jie Bao Jian Ji Guan (WHO)karaZhi Zhai sareteiru. Xian Zai ,Dian Ci shirudoCai Liao toshitearuminiumuyanitsukeru,Yin ,Tong nadonoJin Shu gaYong irareteiru. shikashi, Jin Shu haYi Ban niZhong kuteYing i,Gao Jia ,Bu Tou Ming toitsutaKe Ti gaaru. sokode,Qing Liang katsuhurekishiburude An Jia naDao Dian Xing Gao Fen Zi gaZhu Mu sareteiru. Ben Yan Jiu deha,pori(4 suchirensuruhonSuan )wodopushi tapori(3,4 echirenziokishichiohuen)(PEDOT:PSS)Shui Fen San Ye woporihutsuHua biniriden (PVDF)huirumuShang nibakotoshi,Nong Liu Suan niJin Zi surukotode SAhuirumuwoZuo Zhi shita. SAhu irumunoZu Cheng yaDian Qi Guang Xue Te Xing woMing rakanisurutotomoni,hurekishiburuTou Ming Dian Chang shirudohenoYing Yong nitsuiteJian Tao shita. (Shi Yan PEDOT:PSSShui Fen San Ye wo PVDFhuirumuShang nibakotoshi,pristinehuirumuwoZuo Zhi shita. mata,pristinehuirumuwoNong Liu Suan ni 5Fen Jin Zi Hou ,Chun Shui deXi Jing shi,Kong Qi Zhong 120degCde 5Fen Gan Zao surukoto niyoriLiu Suan Chu Li (SA)huirumuwoZuo Zhi shita. Dian Chang shirudoTe Xing ha,shirudoXiao Guo Ping Jia Zhuang Zhi (TR17301A,Advantest)woYong i,adobante sutoFa niyoriPing Jia shita. (Jie Guo toKao Cha Mo Hou (d)gaYi naru pristinehu irumuwoLiu Suan Chu Li shitaJi noshitoDi Kang (Rs)to Dian Qi Chuan Dao Du (s)Bian Hua woTu 1niShi su. SAhuiru munoMo Hou (d),shitoDi Kang (Rs),Dian Qi Chuan Dao Du (s),Quan Guang Xian Tou Guo Lu (TT),heizuhasorezore 60~208 nm,112~24 O/,1,450~2,300 S/cm, 73~95%,2.9%Yi Xia deatsuta. mata,1 MHz~1 GHz noZhou Bo Shu Ling Yu niokeruDian Chang shirudoTe Xing woTu 2niShi su. SAhuirumunoDian Chang shirudoXiao Guo (SE)ha 40 dBtoGao ku,PEDOT:PSSnoDian Qi Guang Xue Te Xing gahurekishiburuTou Ming Dian Chang shirudoTe Xing niZhong Yao naYi Ge woGuo tashiteirukotogaMing raka ninatsuta. 1x100 1x101 1x102 1x103 1x104",
"author_names": [
"Asuka Noda",
"Hisaki Kobayashi",
"Hidenori Okuzaki"
],
"corpus_id": 139298009,
"doc_id": "139298009",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Flexible and Transparent Electric Shielding with a Conducting Polymer",
"venue": "",
"year": 2017
},
{
"abstract": "Energy supplies that depend on fossil fuels evoke significant concern about the future depletion of those resources and the emission of carbon dioxide and sulfidizing gas, which are believed to cause environmental problems including climate change and acid precipitation (Solomon et al. 2007) Solar cells, which convert sunlight directly to electric power, are one of the most promising devices for a clean and enduring energy source. The standard energy weighted power density of sunlight, which is defined as air mass 1.5, is 1kW/m2 under clear and sunny weather conditions (Myers et al. 2000) The maximum available amount of sunlight is usually lower than the value described above due to the weather and the total hours of sunlight in the region. Thus, the first important aim for developing a solar cell is to derive the highest possible photovoltaic conversion efficiency from the utilized materials and structure. When a solar cell with a single bandgap, Eg, is exposed to the solar spectrum, a photon with less energy than Eg does not contribute to the cell output. Therefore, a multilayer structure comprising a variety of bandgaps is effective for the collection of photons in a wide range of the solar spectrum. The current (2010) best research cell efficiencies of typical solar cells are as follows (Green, 2010) crystalline Si (25.0% multicrystalline Si (20.4% crystalline GaAs (26.4% CuInGaSe (19.4% CdTe (16.7% amorphous Si (10.1% dye sensitized polymers (10.4% and organic polymers (5.15% In addition to these, there have been a number of studies focused on developing \"third generation photovoltaics\" with ultra high conversion efficiencies at a low cost (Green, 2001) More recently, after the discovery of the wide band gap range of 0.65 3.4 eV in InxGa1 x N, this material is considered to be one of the most promising candidates for third generation photovoltaic cells.",
"author_names": [
"Nobuyuki Matsuki",
"Yoshitaka Nakano",
"Yoshihiro Irokawa",
"Mickael Lozac'h",
"Masatomo Sumiya"
],
"corpus_id": 977492,
"doc_id": "977492",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "14 Transparent Conducting Polymer Nitride Semiconductor Heterojunction Solar Cells",
"venue": "",
"year": 2017
},
{
"abstract": "Traditional elastomers are mostly dielectrics; existing conductive elastomers are conductive composites with electric conductors. Herein, we introduce a series of ionic conducting elastomers (ICE) by salt in polymer strategy. The ICEs possess good stretchability, transparency and ionic conductivity. Moreover, the ICEs exhibit very high stability in air, under high temperature and voltage, with excellent adhesion properties and no corrosive effects to metal electrodes. Touch sensors are fabricated using these ICEs impedance spectra and impedance complex plane are tested and analyzed to clarify different stimulus of the touch sensors. These ICEs provide possibilities for flexible electronics and soft machines.Conductive elastomers are often made of composite materials and realization of high transparency and high elasticity at the same time is therefore hard to achieve. Here the authors use a salt in polymer strategy to fabricate ionic conducting elastomers (ICE) which show good elasticity and transparency and simultaneously high conductivity.",
"author_names": [
"Leitai Shi",
"Tianxiang Zhu",
"Guoxin Gao",
"Xinyu Zhang",
"Wei Wei",
"Wenfeng Liu",
"Shujiang Ding"
],
"corpus_id": 49585107,
"doc_id": "49585107",
"n_citations": 72,
"n_key_citations": 0,
"score": 0,
"title": "Highly stretchable and transparent ionic conducting elastomers",
"venue": "Nature Communications",
"year": 2018
},
{
"abstract": "An efficient cathode material with high transparency (93% based on conducting polymer poly(3,4 ethylenedioxythiophene):poly(styrenesulfonate) (PEDOT:PSS) and single wall carbon nanotubes (SWCNTs) has been developed for the fabrication of highly transparent and flexible field electron emitters (FEE) This kind of material showed superior field emission (FE) performance with very high current density (10 3A/cm2) at very low electric field. The FE performance of the hybrid materials was dramatically improved compared to either SWCNTs and PEDOT:PSS. Thus the hybrid structures of conducting polymer and SWCNTs might be a good choice for use as a cathode material to enhance the FE performance and for potential application in future portable displays. (c) 2013 WILEY VCH Verlag GmbH Co. KGaA, Weinheim)",
"author_names": [
"Debasis Ghosh",
"Pradip Ghosh",
"Golap Kalita",
"Takuto Noda",
"Chisato Takahashi",
"Masaki Tanemura"
],
"corpus_id": 94774583,
"doc_id": "94774583",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Conducting polymer based hybrid structure as transparent and flexible field electron emitter",
"venue": "",
"year": 2013
},
{
"abstract": "Due to various useful properties of polyethers, the prime objective of present paper is to develop an efficient supercapacitor based on high conducting polymer electrolyte and carbon based materials. To develop such films Polyvinylidene fluoride co hexafluoropropylene (PVDF HFP) polymer doped with ionic liquid (IL) 1 ethyl 3 methylimidazolium thiocyanate has been prepared using solution cast technique. The detail studies of polymer electrolyte with optimized value of IL: PVDF HFP i.e. (80:20) we have reported elsewhere. For electrodes, cobalt enriched porous carbon material has been synthesized and characterized in detail. A sandwiched type electric double layer supercapacitor (EDLC) has been fabricated using maximum conducting polymer IL film which shows ultra high capacitance of 294 F/g at room environmental condition. This work successfully demonstrates the suitability of polymer electrolyte in developing highly efficient energy devices.",
"author_names": [
"Abhimanyu Kumar Singh",
"Pawan Singh Dhapola",
"Shruti Singh",
"Pramod K Singh",
"Asep Muhamad Samsudin",
"Nanda Gopal Sahoo",
"Hee Woo Rhee"
],
"corpus_id": 226342184,
"doc_id": "226342184",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Highly conducting polymer electrolyte ionic liquid and porous carbon material for sandwich electric double layer capacitor",
"venue": "",
"year": 2020
},
{
"abstract": "Flexible transparent thin films are strongly required for electronic devices, display or electric equipment. To obtain both transparency and flexibility, electroless deposition on the polymer nanofiber was carried out. As the result, we successfully obtained uniformly metalized nanofiber with 300nm in diameter by all wet process without using any vacuum process.",
"author_names": [
"Seimei Shiratori",
"Issei Takenaka",
"Kyu Hong Kyung",
"Roberto Bernasconi",
"T Andrea",
"Luca Magagnin"
],
"corpus_id": 138758658,
"doc_id": "138758658",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "\"Nano Necklace\" Formed by Electroless Deposition of Metal on Polymer Nanofiber for Flexible Transparent Conducting Films",
"venue": "",
"year": 2015
},
{
"abstract": "A highly flexible, transparent conducting oxide and Pt free photo rechargeable electric energy storage system is demonstrated by integrating a dye sensitized solar cell and a supercapacitor face to face on double sided uniformly aligned TiO2 nanotube arrays. The energy harvesting part consists of TiO2 nanotubes as the photoanode and CuS networks as the counter electrode, yielding a PCE of 7.73% Herein, CuS networks exhibited remarkable mechanical flexibility, superior transparency and excellent electronic conductivity, which not only served as conducting films but also as catalysts for dye sensitized solar cells. The flexible all solid state supercapacitors are composed of polyaniline polymerized on TiO2 nanotubes and carbon cloth, which act as the negative and positive electrodes, respectively. The self powered photo rechargeable device can be charged to 0.64 V in ~30 s under standard AM 1.5 (100 mW cm 2) illumination conditions. In particular, the photo charge and discharge performance remained almost stable under bending tests, which is crucial for applications in wearable and portable electronics.",
"author_names": [
"Fayin Zhang",
"Weifeng Li",
"Zijie Xu",
"Meidan Ye",
"Wenxi Guo",
"Hongyao Xu",
"Xiangyang Liu"
],
"corpus_id": 103909227,
"doc_id": "103909227",
"n_citations": 13,
"n_key_citations": 0,
"score": 0,
"title": "Transparent conducting oxide and Pt free flexible photo rechargeable electric energy storage systems",
"venue": "",
"year": 2017
},
{
"abstract": "As displays become larger and solar cells become cheaper, there is an increasing need for low cost transparent electrodes. Intensive effort has been made to replace ITO (Indium Tin Oxide) based transparent electrode with cheap and flexible ones. Among those, silver nanowires have got limelight because of its great conductivity and flexibility. Even though the electric property of the Ag nanowire based transparent electrode surpassed ITO, the optical property needs to be improved (lower transmittance, higher haze) Here, we reported transparent electrode based on Ag nanowires and conducting polymer to improve optical properties. The Ag nanowires are coated onto PET films and the resulting transparent electrode film shows 200 ohm/ resistance and 90% optical transmittance.",
"author_names": [
"Dongpyo Seo",
"Sang-Ho Kim"
],
"corpus_id": 136801752,
"doc_id": "136801752",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Highly Conductive Flexible Transparent Electrode Using Silver Nanowires Conducting Polymer",
"venue": "",
"year": 2012
},
{
"abstract": "Energy supplies that depend on fossil fuels evoke significant concern about the future depletion of those resources and the emission of carbon dioxide and sulfidizing gas, which are believed to cause environmental problems including climate change and acid precipitation (Solomon et al. 2007) Solar cells, which convert sunlight directly to electric power, are one of the most promising devices for a clean and enduring energy source. The standard energy weighted power density of sunlight, which is defined as air mass 1.5, is 1kW/m2 under clear and sunny weather conditions (Myers et al. 2000) The maximum available amount of sunlight is usually lower than the value described above due to the weather and the total hours of sunlight in the region. Thus, the first important aim for developing a solar cell is to derive the highest possible photovoltaic conversion efficiency from the utilized materials and structure. When a solar cell with a single bandgap, Eg, is exposed to the solar spectrum, a photon with less energy than Eg does not contribute to the cell output. Therefore, a multilayer structure comprising a variety of bandgaps is effective for the collection of photons in a wide range of the solar spectrum. The current (2010) best research cell efficiencies of typical solar cells are as follows (Green, 2010) crystalline Si (25.0% multicrystalline Si (20.4% crystalline GaAs (26.4% CuInGaSe (19.4% CdTe (16.7% amorphous Si (10.1% dye sensitized polymers (10.4% and organic polymers (5.15% In addition to these, there have been a number of studies focused on developing \"third generation photovoltaics\" with ultra high conversion efficiencies at a low cost (Green, 2001) More recently, after the discovery of the wide band gap range of 0.65 3.4 eV in InxGa1 x N, this material is considered to be one of the most promising candidates for third generation photovoltaic cells.",
"author_names": [
"Nobuyuki Matsuki",
"Yoshitaka Nakano",
"Yoshihiro Irokawa",
"Mickael Lozac'h",
"Masatomo Sumiya"
],
"corpus_id": 136845669,
"doc_id": "136845669",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Transparent Conducting Polymer/Nitride Semiconductor Heterojunction Solar Cells",
"venue": "",
"year": 2011
}
] |
Piezoelectric materials for acoustic wave applications | [
{
"abstract": "Piezoelectric materials for acoustic wave applications have changed markedly over the past 20 years in terms of both the types of materials available and the quality of individual samples. The total family of acoustic wave materials now includes piezoelectric glass ceramics having crystallographic and polar orientation and crystals having symmetry classes mm2, 32, 3m, 4mm, 6mm, and 4/spl macr/3m. The symmetry classes 6mm and 4/spl macr/3m also occur frequently in piezoelectric semiconductor materials that are now available in both bulk and thin film configurations. In this paper, we bring together and extract the various reported values of the material constants mainly of interest for surface acoustic wave (SAW) device applications. We identify for the user community those sets of constants from which SAW design calculations can reliably be made, and discuss the constants and their reliability for langasite, lithium niobate/lithium tantalate, and dilithium tetraborate. The relevant material constants include: mass density /spl rho/ elastic stiffness c/sub ij/ piezoelectric stress e/sub ij/ dielectric permittivity /spl epsiv//sub ii/ and the thermal expansion coefficients /spl alpha//sub ii/ Except for the semiconductor materials, only data published after 1978 are included, since the reference literature (Landolt Bornstein) amply covers those years prior to 1978.<ETX>",
"author_names": [
"John G Gualtieri",
"John A Kosinski",
"Arthur D Ballato"
],
"corpus_id": 5853388,
"doc_id": "5853388",
"n_citations": 232,
"n_key_citations": 3,
"score": 1,
"title": "Piezoelectric materials for acoustic wave applications",
"venue": "IEEE Transactions on Ultrasonics, Ferroelectrics and Frequency Control",
"year": 1994
},
{
"abstract": "In this paper, we analyze acoustic wave propagation in anisotropic fluids and solids. By formulating the acoustic system as an evolution equation over a Hilbert space, we obtain global in time solu.",
"author_names": [
"E Stachura"
],
"corpus_id": 219457280,
"doc_id": "219457280",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Acoustic wave propagation in anisotropic media with applications to piezoelectric materials",
"venue": "",
"year": 2020
},
{
"abstract": "This paper presents the scalability of piezoelectricity in silicon doped hafnium oxide (Si: HfO2) Different thicknesses from 10 nm to 50 nm were deposited via atomic layer deposition (ALD) The piezoelectric coefficient d33,f was measured trough double beam laser interferometry (DBLI) and values between 20 pm/V and 10 pm/V were obtained. During the cycling procedure to form the ferroelectric state, a decreasing piezoelectric coefficient d33,fcan be observed depending on the total number of cycles. Thus a specific piezoelectric condition can be set appropriating for the desired application.",
"author_names": [
"Sven Kirbach",
"Kati Kuhnel",
"Wenke Weinreich"
],
"corpus_id": 208038110,
"doc_id": "208038110",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Scalable Piezoelectric Effect in Silicon Doped Hafnium Oxide for Acoustic Wave Applications",
"venue": "2019 Thirteenth International Congress on Artificial Materials for Novel Wave Phenomena (Metamaterials)",
"year": 2019
},
{
"abstract": "Abstract Surface acoustic waves (SAW) or Rayleigh waves, bound to the surfaces of piezoelectric materials are becoming rapidly significant in electronic device applications, particularly as VHF UHF frequency filters and versatile time domain processors. The majority of available devices utilize either signle crystalline quartz or lithium niobate as the piezoelectric medium. This paper describes briefly the growth and critical evaluation of SAW impedance and propagation properties for certain new single crystal piezoelectric materials. Crystals discussed are Czochralski grown bismuth germanium oxide, films of zinc oxide and aluminum nitride both epitaxially grown on single crystal sapphire, and flux grown beryllium oxide. It is concluded that bismuth germanium oxide may prove an important cost effective alternative to both quartz and lithium niobate, and that either zinc oxide or aluminum nitride is destined to prove invaluable in the realization of monolithic circuits such as programmable tapped delay lines, in which SAW will be interfaced with metal oxide semiconductor (large scale integrated) technology, and active SAW elements employing functional integration.",
"author_names": [
"J H Collins",
"P J Hagon",
"George R Pulliam"
],
"corpus_id": 123154882,
"doc_id": "123154882",
"n_citations": 28,
"n_key_citations": 0,
"score": 0,
"title": "Evaluation of new single crystal piezoelectric materials for surface acoustic wave applications",
"venue": "",
"year": 1970
},
{
"abstract": "In this review paper, nine different types of high temperature piezoelectric crystals and their sensor applications are overviewed. The important materials' properties of these piezoelectric crystals including dielectric constant, elastic coefficients, piezoelectric coefficients, electromechanical coupling coefficients, and mechanical quality factor are discussed in detail. The determination methods of these physical properties are also presented. Moreover, the growth methods, structures, and properties of these piezoelectric crystals are summarized and compared. Of particular interest are langasite and oxyborate crystals, which exhibit no phase transitions prior to their melting points ~1500 degC and possess high electrical resistivity, piezoelectric coefficients, and mechanical quality factor at ultrahigh temperature ~1000 degC) Finally, some research results on surface acoustic wave (SAW) and bulk acoustic wave (BAW) sensors developed using this high temperature piezoelectric crystals are discussed.",
"author_names": [
"Hongfei Zu",
"Huiyan Wu",
"Qing-Ming Wang"
],
"corpus_id": 32464233,
"doc_id": "32464233",
"n_citations": 30,
"n_key_citations": 1,
"score": 0,
"title": "High Temperature Piezoelectric Crystals for Acoustic Wave Sensor Applications",
"venue": "IEEE Transactions on Ultrasonics, Ferroelectrics, and Frequency Control",
"year": 2016
},
{
"abstract": "",
"author_names": [
"J H Collins",
"P J Hagon",
"George R Pulliam"
],
"corpus_id": 121350370,
"doc_id": "121350370",
"n_citations": 1,
"n_key_citations": 1,
"score": 0,
"title": "Evaluation of New Single Crystal Piezoelectric Materials for Surface Acoustic Wave Applications",
"venue": "",
"year": 1970
},
{
"abstract": "This paper is aimed at making it clear why we device engineers are keen to have high quality and highly piezoelectric materials for sophisticated radio frequency (RF) surface and bulk acoustic wave (SAW/BAW) devices to be used in future communication systems. First, RF SAW/BAW resonators and their filter application are introduced, and it is shown how the resonator properties directly reflect to achievable filter performances. Discussions are also provided on how the acoustic and piezoelectric properties of base materials influence the total device performances. An emphasis is given to the fact that use of high quality and highly piezoelectric materials is essential for the applications. It is also demonstrated how high performance devices are achievable by the use of high coupling materials such as a single crystalline LiNbO3 substrate with specific crystallographic orientation with extremely large electromechanical coupling. Further discussions are given on new applications of extremely wideband SAW/BAW resonators employing ferroelectric materials with extremely large electromechanical coupling.",
"author_names": [
"Ken-ya Hashimoto"
],
"corpus_id": 110950997,
"doc_id": "110950997",
"n_citations": 5,
"n_key_citations": 0,
"score": 0,
"title": "Demands of highly piezoelectric materials for radio frequency acoustic wave devices",
"venue": "",
"year": 2011
},
{
"abstract": "Gallium nitride (GaN) is a compound semiconductor which has advantages to generate new functionalities and applications due to its piezoelectric, pyroelectric, and piezo resistive properties. Recently, surface acoustic wave (SAW) based acoustic tweezers were developed as an efficient and versatile tool to manipulate nano and microparticles aiming for patterning, separating, and mixing biological and chemical components. Conventional piezoelectric materials to fabricate SAW devices such as lithium niobate suffer from its low thermal conductivity and incapability of fabricating multiphysical and integrated devices. This article piloted the development of a GaN based acoustic tweezer (GaNAT) and its application in manipulating microparticles and biological cells. For the first time, the GaN SAW device was integrated with a microfluidic channel to form an acoustofluidic chip for biological applications. The GaNAT demonstrated its ability to work on high power (up to 10 W) with minimal cooling requirement while maintaining the device temperature below 32degC. Acoustofluidic modeling was successfully applied to numerically study and predict acoustic pressure field and particle trajectories within the GaNAT, which agree well with the experimental results on patterning polystyrene microspheres and two types of biological cells including fibroblast and renal tumor cells. The GaNAT allowed both cell types to maintain high viabilities of 84.5% and 92.1% respectively.",
"author_names": [
"Chao Sun",
"Fangda Wu",
"David J Wallis",
"Ming Hong Shen",
"Fan Yuan",
"Jian Yang",
"Jianzhong Wu",
"Zhihua Xie",
"Dongfang Liang",
"Hanlin Wang",
"Rowan Tickle",
"Roman Mikhaylov",
"Aled Clayton",
"You Zhou",
"Zhen-Lin Wu",
"Yongqing Fu",
"Wenpeng Xun",
"Xin-rong Yang"
],
"corpus_id": 220836422,
"doc_id": "220836422",
"n_citations": 3,
"n_key_citations": 1,
"score": 0,
"title": "Gallium Nitride: A Versatile Compound Semiconductor as Novel Piezoelectric Film for Acoustic Tweezer in Manipulation of Cancer Cells",
"venue": "IEEE Transactions on Electron Devices",
"year": 2020
},
{
"abstract": "",
"author_names": [
"G Shapiro",
"Kamal El-Assal",
"Michael Sayer",
"C K Jen"
],
"corpus_id": 137103586,
"doc_id": "137103586",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Piezoelectric Ceramic Materials For Surface Acoustic Wave Applications",
"venue": "Sixth IEEE International Symposium on Applications of Ferroelectrics",
"year": 1986
},
{
"abstract": "Surface acoustic wave (SAW) sensors offer overwhelming advantages over other competitive sensing technologies due to its small size, cost effectiveness, fast response time, passive and wireless capabilities. Development of SAW sensors allows investigation of their potential not only for measuring less time dependent parameters, such as pressure and temperature, but also dynamic parameters like mechanical strains. The concept behind this work is to develop a passive flexible SAW sensor with optimized materials selection that can be used in harsh environments to measure mechanical strains occurring in aerospace applications. A flat 0 3 composite thin substrate is fabricated using a hot press, an interdigital transducer (IDT) finger deposition is made through additive manufacturing. The sensor substrate comprises polyvinylidene fluoride as a polymer matrix, lead zirconate titanate powders as well as carbon nanotubes as nanoparticle fillers, exhibiting favorable flexibility and piezoelectric properties. The electromechanical property is enhanced using a non contact corona poling technique with high electric field. IDT fingers are printed using direct printing additive manufacturing technique of conductive paste. Design parameters of SAW IDTs are optimized using a second order transmission matrix approach. Rayleigh waves, generated on the fabricated substrate by an RF excitation signal, travel through the substrate and can provide useful information for desired parameters. In this work the sensing mechanism is based on the radio frequency scattering parameters response of the device. Results show a correlation between the amplitude and phase frequency response of the scattering parameters, and the mechanical strain. Experimental study on SAW substrate fabrication and analysis of sensed results with phase shift in wave speed due to strains are discussed.",
"author_names": [
"Rishikesh Srinivasaraghavan Govindarajan",
"Eduardo A Rojas-Nastrucci",
"Daewon Kim"
],
"corpus_id": 218981829,
"doc_id": "218981829",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Strain sensing using flexible surface acoustic wave sensor",
"venue": "Smart Structures and Materials Nondestructive Evaluation and Health Monitoring",
"year": 2020
}
] |
Extraordinary mobility in semiconducting carbon nanotubes, | [
{
"abstract": "Semiconducting carbon nanotube transistors with channel lengths exceeding 300 microns have been fabricated. In these long transistors, carrier transport is diffusive and the channel resistance dominates the transport. Transport characteristics are used to extract the field effect mobility (79 000 cm2/Vs) and estimate the intrinsic mobility >100 000 cm2/Vs) at room temperature. These values exceed those for all known semiconductors, which bodes well for application of nanotubes in high speed transistors, single and few electron memories, and chemical/biochemical sensors.",
"author_names": [
"T Durkop",
"S Getty",
"Enrique Cobas",
"Michael S Fuhrer"
],
"corpus_id": 45010238,
"doc_id": "45010238",
"n_citations": 1241,
"n_key_citations": 20,
"score": 1,
"title": "Extraordinary Mobility in Semiconducting Carbon Nanotubes",
"venue": "",
"year": 2004
},
{
"abstract": "Summary In the past decade, single walled carbon nanotubes (SWNTs) have aroused great interest for electronic applications due to their extraordinary charge carrier mobility, mechanical flexibility, and solution processability. However, one of the key issues preventing the wide application of SWNTs in electronics is the need to separate semiconducting SWNTs from metallic SWNTs. Sorting semiconducting SWNTs using conjugated polymers is becoming a very promising SWNT sorting method due to its high selectivity, high yield and simplicity of execution. In this review, we summarized the parameters that can be used to tune the selectivity and sorting yield of semiconducting SWNTs, including polymer structure, solvent, polymer to SWNT ratio, sonication temperature and polymer molecular weight. We also reviewed the electronic applications enabled by these polymer sorted semiconducting SWNTs inks such as transistors, logic gates, photodetectors, solar cells and 3D electronics.",
"author_names": [
"Huiliang Wang",
"Zhenan Bao"
],
"corpus_id": 138561115,
"doc_id": "138561115",
"n_citations": 75,
"n_key_citations": 1,
"score": 0,
"title": "Conjugated polymer sorting of semiconducting carbon nanotubes and their electronic applications",
"venue": "",
"year": 2015
},
{
"abstract": "Single walled carbon nanotubes (SWCNTs) are a class of 1D nanomaterials that exhibit extraordinary electrical and optical properties. However, many of their fundamental studies and practical applications are stymied by sample polydispersity. SWCNTs are synthesized in bulk with broad structural (chirality) and geometrical (length and diameter) distributions; problematically, all known post synthetic sorting methods rely on ultrasonication, which cuts SWCNTs into short segments (typically <1 um) It is demonstrated that ultralong >10 um) SWCNTs can be efficiently separated from shorter ones through a solution phase \"self sorting\" It is shown that thin film transistors fabricated from long semiconducting SWCNTs exhibit a carrier mobility as high as 90 cm2 V 1 s 1 which is 10 times higher than those which use shorter counterparts and well exceeds other known materials such as organic semiconducting polymers <1 cm2 V 1 s 1 amorphous silicon (1 cm2 V 1 s 1 and nanocrystalline silicon (50 cm2 V 1 s 1 Mechanistic studies suggest that this self sorting is driven by the length dependent solution phase behavior of rigid rods. This length sorting technique shows a path to attain long sought ultralong, electronically pure carbon nanotube materials through scalable solution processing.",
"author_names": [
"Peng Wang",
"Benjamin Kerr Barnes",
"Xiao-jiang Wu",
"Haoran Qu",
"Chiyu Zhang",
"Yang Shi",
"Robert J Headrick",
"Matteo Pasquali",
"YuHuang Wang"
],
"corpus_id": 195189535,
"doc_id": "195189535",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Self Sorting of 10 um Long Single Walled Carbon Nanotubes in Aqueous Solution.",
"venue": "Advanced materials",
"year": 2019
},
{
"abstract": "Benefiting from their extraordinary physical properties, methylammonium lead halide perovskites (PVKs) have attracted significant attention in optoelectronics. However, the PVK based devices suffer from low carrier mobility and high operation voltage. Here, we utilize sorted semiconducting single walled carbon nanotubes (95% s SWCNT) to enhance the performance of thin film transistors (TFTs) based on the mixed cation perovskite (MA1 xFAx)Pb(I1 xBrx)3, enabling mixed dimensional solution processed electronics with high mobility (32.25 cm2/Vs) and low voltage ~3 V) operation. The resulting mixed dimensional PVK/SWCNT TFTs possess ON/OFF ratios on the order of 107, enabling the fabrication of high gain inverters.",
"author_names": [
"Chun Ma",
"Sarah Clark",
"Zhixiong Liu",
"Liangliang Liang",
"Yuliar Firdaus",
"Ran Tao",
"Ali Han",
"Xiaogang Liu",
"Lain-Jong Li",
"Thomas D Anthopoulos",
"Mark C Hersam",
"Tom Wu"
],
"corpus_id": 211832544,
"doc_id": "211832544",
"n_citations": 14,
"n_key_citations": 0,
"score": 0,
"title": "Solution Processed Mixed Dimensional Hybrid Perovskite/Carbon Nanotube Electronics.",
"venue": "ACS nano",
"year": 2020
},
{
"abstract": "Due to extraordinary electrical properties, preseparated, high purity semiconducting carbon nanotubes hold great potential for thin film transistors (TFTs) and integrated circuit applications. One of the main challenges it still faces is the fabrication of air stable n type nanotube TFTs with industry compatible techniques. Here in this paper, we report a novel and highly reliable method of converting the as made p type TFTs using preseparated semiconducting nanotubes into air stable n type transistors by adding a high k oxide passivation layer using atomic layer deposition (ALD) The n type devices exhibit symmetric electrical performance compared with the p type devices in terms of on current, on/off ratio, and device mobility. Various factors affecting the conversion process, including ALD temperature, metal contact material, and channel length, have also been systematically studied by a series of designed experiments. A complementary metal oxide semiconductor (CMOS) inverter with rail to rail output, symmetric input/output behavior, and large noise margin has been further demonstrated. The excellent performance gives us the feasibility of cascading multiple stages of logic blocks and larger scale integration. Our approach can serve as the critical foundation for future nanotube based thin film macroelectronics.",
"author_names": [
"Jialu Zhang",
"Chuan Wang",
"Yuelong Fu",
"Yuchi Che",
"Chongwu Zhou"
],
"corpus_id": 207735989,
"doc_id": "207735989",
"n_citations": 126,
"n_key_citations": 1,
"score": 0,
"title": "Air stable conversion of separated carbon nanotube thin film transistors from p type to n type using atomic layer deposition of high k oxide and its application in CMOS logic circuits.",
"venue": "ACS nano",
"year": 2011
},
{
"abstract": "Enhanced carrier carrier interactions in hybrid nanostructures exhibit exceptional electronic and optoelectronic properties. Carbon nanotubes demonstrate excellent switching behavior with high on/off ratio and high mobility but do not show photoresponse in the visible range, whereas quantum dots (QDs) shows excellent optical response in various optical ranges which can be tuned with diameter. Here, a simple and effective way to develop hybrid phototransistors with extraordinary optoelectronic properties is presented by decorating semiconducting QDs on the surface of a single walled carbon nanotube (SWCNT) This hybrid structure demonstrates clear negative photoresponse and optical switching behavior, which could be further tuned by applying external gate bias in the future. A clear type conversion of SWCNT transistor from p type to n type caused by a charge transfer from attached QDs to CNT is demonstrated. Moreover, this hybrid structure also demonstrates an enhancement in 'optical Stark effect' without applying any external electric field. Charged SWCNT surface plays a key role behind the enhancement of optical Stark effect in QDs. The carrier dynamics of the QD and CNT heterostructures system highlights the potential application opportunity of the quantum dot systems, which can be adaptable to the current technologies.",
"author_names": [
"Chandan Biswas",
"Hyun Jeong",
"Mun Seok Jeong",
"Woo Jong Yu",
"Didier Pribat",
"Young Hee Lee"
],
"corpus_id": 137149819,
"doc_id": "137149819",
"n_citations": 15,
"n_key_citations": 0,
"score": 0,
"title": "Quantum Dot Carbon Nanotube Hybrid Phototransistor with an Enhanced Optical Stark Effect",
"venue": "",
"year": 2013
},
{
"abstract": "Flexible transistors with semiconducting carbon nanotubes offer better mobility and stability than current organic material transistors for applications in flexible display and sensor devices. With carbon nanotubes as the semiconducting material, the transistors offer a higher performance due to the extraordinary electrical properties of singlewalled carbon nanotubes (SWNTs) However, conventional metal shadow masks can only create flexible device transistors with a channel length of 50 mm or greater. Parylene C shadow masks can be used instead of metal masks because of their flexibility, adaptability for patterning, and their ability to fabricate transistors with much smaller channel lengths. Previously it has been shown that Parylene C masks allow for a fine resolution with a smallest feature size of 4 mm. We fabricated masks with features as small as 2 mm wide, and additionally used our masks to create short channel transistors. We successfully constructed short channel top contact SWNT network inflexible and flexible transistors on silicon (Si) and polyamide substrates with a mobility of 0.1 to 2 cm2/V s and on/off ratios on the magnitude of 102 to 103.",
"author_names": [
"Kelsey E Hirotsu"
],
"corpus_id": 14018507,
"doc_id": "14018507",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Fabricating Parylene C Shadow Masks for Applications in Short Channel Top Contact Carbon Nanotube Flexible Transistors",
"venue": "",
"year": 2012
},
{
"abstract": "Conjugated polymers attracted much attention in the past few decades due to their wide applications in various optoelectronic devices and circuits. The charge transport process in conjugated polymers mainly occurs in the intrachain and interchain parts, where the interchain charge transport is generally slower than intrachain transport and may slow down the whole charge transport properties. Aiming at this issue, herein we employ semiconducting single walled carbon nanotubes (s SWNTs) as efficient charge transporting jointing channels between conjugated polymer chains for improving the charge transport performance. Taking the typical conjugated polymer, ploy N alkyl diketopyrrolopyrrole dithienylthieno[3,2 b]thiophene (PDPP TT) as an example, polymer thin film transistors (PTFTs) based on the optimized blended films of PDPP TT/s SWNTs exhibit an obviously increasing device performance compared with the devices based on pure PDPP TT films, with the hole and electron mobility increased from 2.32 to 12.32 cm2 V 1 s 1 and from 2.02 to 5.77 cm2 V 1 s 1, respectively. This result suggests the importance of forming continuous conducting channels in conjugated polymer thin films, which can also be extended to other polymeric electronic and optoelectronic devices to promote their potential applications in large area, low cost and high performance polymeric electronic devices and circuits.Zhai Yao Zai Guo Qu De Ji Shi Nian Zhong Gong E Ju He Wu Yin Qi Zai Guang Dian Qi Jian Zhong De Yan Fan Ying Yong Shou Dao Yan Fan Guan Zhu Gong E Ju He Wu Zhong De Dian He Chuan Shu Guo Cheng Zhu Yao You Lian Nei He Lian Jian Liang Bu Fen Zu Cheng Qi Zhong Lian Jian Dian He Chuan Shu Tong Chang Di Yu Lian Nei Chuan Shu Bing Qie Ke Neng Jiang Di Zheng Ge Dian He Shu Yun Xing Zhi Zhen Dui Gai Wen Ti Ben Wen Ti Chu Liao Jiang Ban Dao Ti Dan Bi Tan Na Mi Guan (s SWNTs)Zuo Wei Gong E Ju He Wu Lian Jian De You Xiao Dian He Chuan Shu Tong Dao Yi Gai Shan Dian He Chuan Shu Xing Neng De Gai Nian Shi Yong Dian Xing De Gong E Ju He Wu PDPP TTZuo Wei Shi Li Jiang You Hua De PDPP TT/s SWNTsYu Chun PDPP TTBo Mo De Qi Jian Xiang Bi Qi Jian Xing Neng Ming Xian Ti Gao Kong Xue Qian Yi Lu Cong 2.32Zeng Jia Dao 12.32 cm2 V 1 s 1, Er Dian Zi Qian Yi Lu Cong 2.02Zeng Jia Dao 5.77 cm2 V 1 s 1. Gai Jie Guo Biao Ming Zai Gong E Ju He Wu Bo Mo Zhong Xing Cheng Lian Xu Dao Dian Tong Dao De Zhong Yao Xing Ci Gai Nian Ye Ke Yi Kuo Zhan Dao Qi Ta Ju He Wu Qi Jian Zhong Yi Tui Jin Qi Zai Da Mian Ji Di Cheng Ben Gao Xing Neng Ju He Wu Dian Zi Qi Jian He Dian Lu Zhong De Ying Yong",
"author_names": [
"Zhe Zheng",
"Zhenjie Ni",
"Xiaotao Zhang",
"Yonggang Zhen",
"Huanli Dong",
"Jin Zhang",
"Wenping Hu"
],
"corpus_id": 139235438,
"doc_id": "139235438",
"n_citations": 6,
"n_key_citations": 0,
"score": 0,
"title": "Carbon nanotubes assisting interchain charge transport in semiconducting polymer thin films towards much improved charge carrier mobility",
"venue": "Science China Materials",
"year": 2019
},
{
"abstract": "We present new data and a compact mobility model for semiconducting single wall carbon nanotubes, with only two adjustable parameters, the elastic and inelastic collision mean free paths at 300 K. The mobility increases with diameter, decreases with temperature, and has a more complex dependence on charge density. The model and data suggest that the room temperature mobility does not exceed 10 000 cm2/Vmiddots at high carrier density (n 0.5 nm 1) for typical single wall nanotube diameters, due to the strong scattering effect of the second subband.",
"author_names": [
"Yangzhou Zhao",
"Albert D Liao",
"Eric Pop"
],
"corpus_id": 39355726,
"doc_id": "39355726",
"n_citations": 63,
"n_key_citations": 2,
"score": 0,
"title": "Multiband Mobility in Semiconducting Carbon Nanotubes",
"venue": "IEEE Electron Device Letters",
"year": 2009
},
{
"abstract": "Carbon nanotube field effect transistors operate over a wide range of electron or hole density, controlled by the gate voltage. Here we calculate the mobility in semiconducting nanotubes as a function of carrier density and electric field, for different tube diameters and temperatures. The low field mobility is a nonmonotonic function of carrier density and varies by as much as a factor of 4 at room temperature. At low density, with increasing field the drift velocity reaches a maximum and then exhibits negative differential mobility, due to the non parabolicity of the band structure. At a critical density, rho(c) approximately 0.35 0.5 electrons/nm, the drift velocity saturates at around one third of the Fermi velocity. Above rho(c) the velocity increases with field strength with no apparent saturation.",
"author_names": [
"Vasili Perebeinos",
"Jerry Tersoff",
"Phaedon Avouris"
],
"corpus_id": 11682340,
"doc_id": "11682340",
"n_citations": 48,
"n_key_citations": 2,
"score": 0,
"title": "Mobility in semiconducting carbon nanotubes at finite carrier density.",
"venue": "Nano letters",
"year": 2006
}
] |
Two-dimensional semiconductors for transistors | [
{
"abstract": "In the quest for higher performance, the dimensions of field effect transistors (FETs) continue to decrease. However, the reduction in size of FETs comprising 3D semiconductors is limited by the rate at which heat, generated from static power, is dissipated. The increase in static power and the leakage of current between the source and drain electrodes that causes this increase, are referred to as short channel effects. In FETs with channels made from 2D semiconductors, leakage current is almost eliminated because all electrons are confined in atomically thin channels and, hence, are uniformly influenced by the gate voltage. In this Review, we provide a mathematical framework to evaluate the performance of FETs and describe the challenges for improving the performances of short channel FETs in relation to the properties of 2D materials, including graphene, transition metal dichalcogenides, phosphorene and silicene. We also describe tunnelling FETs that possess extremely low power switching behaviour and explain how they can be realized using heterostructures of 2D semiconductors. Field effect transistors (FETs) with semiconducting channels made from 2D materials are known to have fewer problems with short channel effects than devices comprising 3D semiconductors. In this Review, a mathematical framework to evaluate the performance of FETs is outlined with a focus on the properties of 2D materials, such as graphene, transition metal dichalcogenides, phosphorene and silicene.",
"author_names": [
"Manish Chhowalla",
"Debdeep Jena",
"Hua Zhang"
],
"corpus_id": 10265339,
"doc_id": "10265339",
"n_citations": 559,
"n_key_citations": 6,
"score": 1,
"title": "Two dimensional semiconductors for transistors",
"venue": "",
"year": 2016
},
{
"abstract": "As the dimensions of the semiconducting channels in field effect transistors decrease, the contact resistance of the metal semiconductor interface at the source and drain electrodes increases, dominating the performance of devices1 3. Two dimensional (2D) transition metal dichalcogenides such as molybdenum disulfide (MoS2) have been demonstrated to be excellent semiconductors for ultrathin field effect transistors4,5. However, unusually high contact resistance has been observed across the interface between the metal and the 2D transition metal dichalcogenide3,5 9. Recent studies have shown that van der Waals contacts formed by transferred graphene10,11 and metals12 on few layered transition metal dichalcogenides produce good contact properties. However, van der Waals contacts between a three dimensional metal and a monolayer 2D transition metal dichalcogenide have yet to be demonstrated. Here we report the realization of ultraclean van der Waals contacts between 10 nanometre thick indium metal capped with 100 nanometre thick gold electrodes and monolayer MoS2. Using scanning transmission electron microscopy imaging, we show that the indium and gold layers form a solid solution after annealing at 200 degrees Celsius and that the interface between the gold capped indium and the MoS2 is atomically sharp with no detectable chemical interaction between the metal and the 2D transition metal dichalcogenide, suggesting van der Waals type bonding between the gold capped indium and monolayer MoS2. The contact resistance of the indium/gold electrodes is 3,000 300 ohm micrometres for monolayer MoS2 and 800 200 ohm micrometres for few layered MoS2. These values are among the lowest observed for three dimensional metal electrodes evaporated onto MoS2, enabling high performance field effect transistors with a mobility of 167 20 square centimetres per volt per second. We also demonstrate a low contact resistance of 220 50 ohm micrometres on ultrathin niobium disulfide (NbS2) and near ideal band offsets, indicative of defect free interfaces, in tungsten disulfide (WS2) and tungsten diselenide (WSe2) contacted with indium alloy. Our work provides a simple method of making ultraclean van der Waals contacts using standard laboratory technology on monolayer 2D semiconductors.Ultraclean van der Waals bonds between gold capped indium and a monolayer of the two dimensional transition metal dichalcogenide molybdenum disulfide show desirably low contact resistance at the interface, enabling high performance field effect transistors.",
"author_names": [
"Yan Alexander Wang",
"Jong Chan Kim",
"Ryan J Wu",
"Jenny Martinez",
"Xiuju Song",
"Jieun Yang",
"Fang Zhao",
"Andre Mkhoyan",
"Hu Young Jeong",
"Manish Chhowalla"
],
"corpus_id": 85527929,
"doc_id": "85527929",
"n_citations": 190,
"n_key_citations": 0,
"score": 0,
"title": "Van der Waals contacts between three dimensional metals and two dimensional semiconductors",
"venue": "Nature",
"year": 2019
},
{
"abstract": "Quinoidal oligothiophenes (QOT) as classical n type semiconductors, have been well known for a long time but with non optimal semiconducting properties. We report here the design and selective synthesis of new two dimensional (2D) p expanded quinoidal terthiophenes, 2DQTTs, with proximal (2DQTT i) and distal (2DQTT o) regiochemistry for high performance n channel organic thin film transistors (n OTFTs) featuring high electron mobility, solution processability, and ambient stability. The elegant combination of thieno[3,4 b]thiophene [TT, donor (D) and 5 alkyl 4H thieno[3,4 c]pyrrole 4,6(5H) dione [TPD, acceptor (A) units with relatively large p surface endows these 2DQTTs with distinctive 2D structural characteristics and flat configuration stabilized by weak intramolecular S O/S weak interactions. Furthermore, the A D A D A electronic structure maintains an adequately low LUMO energy level. These 2DQTTs are shown to exhibit outstanding semiconducting properties with electron mobilities of up to 3.0 cm(2) V( 1) s( 1) and on/off ratios of up to 10(6) (2DQTT o) in ambient and solution processed OTFTs. Investigations on thin film morphology reveal that the microstructure of 2DQTTs is highly dependent on the orientation of the fused thiophene subunits, leading to differences in electron mobilities of 1 order of magnitude. X ray diffraction studies in particular reveal increased crystallinity, crystalline coherence, and orientational order in 2DQTT o compared to 2DQTT i, which accounts for the superior electron transport property of 2DQTT o.",
"author_names": [
"Cheng Zhang",
"Yaping Zang",
"Eliot Gann",
"Christopher R McNeill",
"Xiaozhang Zhu",
"Chong-an Di",
"Daoben Zhu"
],
"corpus_id": 207116026,
"doc_id": "207116026",
"n_citations": 108,
"n_key_citations": 0,
"score": 0,
"title": "Two dimensional p expanded quinoidal terthiophenes terminated with dicyanomethylenes as n type semiconductors for high performance organic thin film transistors.",
"venue": "Journal of the American Chemical Society",
"year": 2014
},
{
"abstract": "Atomically thin transition metal dichalcogenides (TMDs) are of interest for next generation electronics and optoelectronics. Here, we demonstrate device ready synthetic tungsten diselenide (WSe2) via metal organic chemical vapor deposition and provide key insights into the phenomena that control the properties of large area, epitaxial TMDs. When epitaxy is achieved, the sapphire surface reconstructs, leading to strong 2D/3D (i.e. TMD/substrate) interactions that impact carrier transport. Furthermore, we demonstrate that substrate step edges are a major source of carrier doping and scattering. Even with 2D/3D coupling, transistors utilizing transfer free epitaxial WSe2/sapphire exhibit ambipolar behavior with excellent on/off ratios ~107) high current density (1 10 mA*mm 1) and good field effect transistor mobility ~30 cm2*V 1*s 1) at room temperature. This work establishes that realization of electronic grade epitaxial TMDs must consider the impact of the TMD precursors, substrate, and the 2D/3D interface as leading factors in electronic performance.",
"author_names": [
"Yu-Chuan Lin",
"B Jariwala",
"Brian M Bersch",
"Ke Xu",
"Yifan Nie",
"Baoming Wang",
"Sarah M Eichfeld",
"Xiaotian Zhang",
"Tanushree H Choudhury",
"Yi Pan",
"Rafik Addou",
"Christopher M Smyth",
"Jun Li",
"Kehao Zhang",
"M Aman Haque",
"Stefan Folsch",
"Randall M Feenstra",
"Robert M Wallace",
"Kyeongjae Cho",
"Susan K Fullerton-Shirey",
"Joan M Redwing",
"Joshua A Robinson"
],
"corpus_id": 3658613,
"doc_id": "3658613",
"n_citations": 102,
"n_key_citations": 2,
"score": 0,
"title": "Realizing Large Scale, Electronic Grade Two Dimensional Semiconductors.",
"venue": "ACS nano",
"year": 2018
},
{
"abstract": "Atomically thin two dimensional semiconductors feature silicon like band gaps and native high k metal oxides. The success of silicon as a dominant semiconductor technology has been enabled by its moderate band gap (1.1 eV) permitting low voltage operation at reduced leakage current, and the existence of SiO2 as a high quality \"native\" insulator. In contrast, other mainstream semiconductors lack stable oxides and must rely on deposited insulators, presenting numerous compatibility challenges. We demonstrate that layered two dimensional (2D) semiconductors HfSe2 and ZrSe2 have band gaps of 0.9 to 1.2 eV (bulk to monolayer) and technologically desirable \"high k\" native dielectrics HfO2 and ZrO2, respectively. We use spectroscopic and computational studies to elucidate their electronic band structure and then fabricate air stable transistors down to three layer thickness with careful processing and dielectric encapsulation. Electronic measurements reveal promising performance (on/off ratio 106; on current, ~30 mA/mm) with native oxides reducing the effects of interfacial traps. These are the first 2D materials to demonstrate technologically relevant properties of silicon, in addition to unique compatibility with high k dielectrics, and scaling benefits from their atomically thin nature.",
"author_names": [
"Michal J Mleczko",
"Chaofan Zhang",
"Hye Ryoung Lee",
"Hsueh-Hui Kuo",
"Blanka Magyari-Kope",
"Robert G Moore",
"Zhi-Xun Shen",
"Ian R Fisher",
"Yoshio Nishi",
"Eric Pop"
],
"corpus_id": 1061372,
"doc_id": "1061372",
"n_citations": 98,
"n_key_citations": 0,
"score": 0,
"title": "HfSe2 and ZrSe2: Two dimensional semiconductors with native high k oxides",
"venue": "Science Advances",
"year": 2017
},
{
"abstract": "Two dimensional semiconductors could be used to fabricate ultimately scaled field effect transistors and more than Moore nanoelectronic devices. However, these targets cannot be reached without appropriate gate insulators that are scalable to the nanometre range. Typically used oxides such as SiO2, Al2O3 and HfO2 are, however, amorphous when scaled, and 2D hexagonal boron nitride exhibits excessive gate leakage currents. Here, we show that epitaxial calcium fluoride (CaF2) which can form a quasi van der Waals interface with 2D semiconductors, can serve as an ultrathin gate insulator for 2D devices. We fabricate scalable bilayer MoS2 field effect transistors with a crystalline CaF2 insulator of ~2 nm thickness, which corresponds to an equivalent oxide thickness of less than 1 nm. Our devices exhibit low leakage currents and competitive device performance characteristics, including subthreshold swings down to 90 mV dec 1, on/off current ratios up to 107 and a small hysteresis.High performance MoS2 transistors can be created using 2 nm thick CaF2 as a gate insulator, which forms a quasi van der Waals interface with the 2D semiconductor.",
"author_names": [
"Yu Yu Illarionov",
"Alexander G Banshchikov",
"Dmitry K Polyushkin",
"Stefan Wachter",
"Theresia Knobloch",
"Mischa Thesberg",
"Lukas Mennel",
"Matthias Paur",
"Michael Stoger-Pollach",
"Andreas Steiger-Thirsfeld",
"Mikhail I Vexler",
"Michael Waltl",
"Nikolai S Sokolov",
"T Mueller",
"Tibor Grasser"
],
"corpus_id": 189929639,
"doc_id": "189929639",
"n_citations": 48,
"n_key_citations": 0,
"score": 0,
"title": "Ultrathin calcium fluoride insulators for two dimensional field effect transistors",
"venue": "Nature Electronics",
"year": 2019
},
{
"abstract": "Two dimensional semiconductors have a number of valuable properties that could be used to create novel electronic devices. However, creating 2D devices with good contacts and stable performance has proved challenging. Here we show that transferred via contacts, made from metal embedded in insulating hexagonal boron nitride and dry transferred onto 2D semiconductors, can be used to create high quality 2D transistors. The approach prevents damage induced by direct metallization and allows full glovebox processing, providing a clean, stable and damage free platform for 2D device fabrication. Using the approach, we create field effect transistors (FETs) from bilayer p type tungsten diselenide (WSe2) that exhibit high hole mobility and low contact resistance. The fabricated devices also exhibit high current and stability for over two months of measurements. Furthermore, the low contact resistance and clean channel allow us to create a nearly ideal top gated p FET with a subthreshold swing of 64 mV per decade at 290 K.Bilayer WSe2 field effect transistors with near ideal device characteristics can be created using transferred via contacts made from metal embedded hexagonal boron nitride.",
"author_names": [
"Younghun Jung",
"Min Sup Choi",
"Ankur Nipane",
"Abhinandan Borah",
"Bumho Kim",
"Amirali Zangiabadi",
"Takashi Taniguchi",
"Kenji Watanabe",
"Won Jong Yoo",
"James C Hone",
"James T Teherani"
],
"corpus_id": 182892564,
"doc_id": "182892564",
"n_citations": 49,
"n_key_citations": 0,
"score": 0,
"title": "Transferred via contacts as a platform for ideal two dimensional transistors",
"venue": "Nature Electronics",
"year": 2019
},
{
"abstract": "Two dimensional (2D) layered materials hold great promise for various future electronic and optoelectronic devices that traditional semiconductors cannot afford. 2D pnictogen, group VA atomic sheet (including phosphorene, arsenene, antimonene, and bismuthene) is believed to be a competitive candidate for next generation logic devices. This is due to their intriguing physical and chemical properties, such as tunable midrange bandgap and controllable stability. Since the first black phosphorus field effect transistor (FET) demo in 2014, there has been abundant exciting research advancement on the fundamental properties, preparation methods, and related electronic applications of 2D pnictogen. Herein, we review the recent progress in both material and device aspects of 2D pnictogen FETs. This includes a brief survey on the crystal structure, electronic properties and synthesis, or growth experiments. With more device orientation, this review emphasizes experimental fabrication, performance enhancing approaches, and configuration engineering of 2D pnictogen FETs. At the end, this review outlines current challenges and prospects for 2D pnictogen FETs as a potential platform for novel nanoelectronics.",
"author_names": [
"Wenhan Zhou",
"Jiayi Chen",
"Pengxiang Bai",
"Shiying Guo",
"Shengli Zhang",
"Xiufeng Song",
"Lijuan Tao",
"Haibo Zeng"
],
"corpus_id": 208162944,
"doc_id": "208162944",
"n_citations": 17,
"n_key_citations": 0,
"score": 0,
"title": "Two Dimensional Pnictogen for Field Effect Transistors",
"venue": "Research",
"year": 2019
},
{
"abstract": "Layered two dimensional semiconductors have attracted tremendous attention owing to their demonstrated excellent transistor switching characteristics with a large ratio of on state to off state current, Ion/Ioff. However, the depletion mode nature of the transistors sets a limit on the thickness of the layered semiconductor films primarily determined by a given Ion/Ioff as an acceptable specification. Identifying the optimum thickness range is of significance for material synthesis and device fabrication. Here, we systematically investigate the thickness dependent switching behavior of transistors with a wide thickness range of multilayer MoS2 films. A difference in Ion/Ioff by several orders of magnitude is observed when the film thickness, t, approaches a critical depletion width. The decrease in Ion/Ioff is exponential for t between 20 nm and 100 nm, by a factor of 10 for each additional 10 nm. For t larger than 100 nm, Ion/Ioff approaches unity. Simulation using technical computer aided tools established for silicon technology faithfully reproduces the experimentally determined scaling behavior of Ion/Ioff with t. This excellent agreement confirms that multilayer MoS2 films can be approximated as a homogeneous semiconductor with high surface conductivity that tends to deteriorate Ion/Ioff. Our findings are helpful in guiding material synthesis and designing advanced field effect transistors based on the layered semiconductors.",
"author_names": [
"Youwei Zhang",
"Hui Li",
"Haomin Wang",
"H Xie",
"Ran Liu",
"Shi-Li Zhang",
"Zhi-Jun Qiu"
],
"corpus_id": 1261049,
"doc_id": "1261049",
"n_citations": 32,
"n_key_citations": 1,
"score": 0,
"title": "Thickness Considerations of Two Dimensional Layered Semiconductors for Transistor Applications",
"venue": "Scientific reports",
"year": 2016
},
{
"abstract": "Two dimensional semiconductors (2DSCs) have attracted considerable attention as atomically thin channel materials for field effect transistors. Each layer in 2DSCs consists of a single or few atom thick, covalently bonded lattice, in which all carriers are confined in their atomically thin channel with superior gate controllability and greatly suppressed OFF state current, in contrast to typical bulk semiconductors plagued by short channel effects and heat generation from static power. Additionally, 2DSCs are free of surface dangling bonds that plague traditional semiconductors, and hence exhibit excellent electronic properties at the limit of single atom thickness. Therefore, 2DSCs can offer significant potential for the ultimate transistor scaling to single atomic body thickness. Earlier studies of graphene transistors have been limited by the zero bandgap and low ON OFF ratio of graphene, and transition metal dichalcogenide (TMDC) devices are typically plagued by insufficient carrier mobility. To this end, considerable efforts have been devoted towards searching for new 2DSCs with optimum electronic properties. Within a relatively short period of time, a large number of 2DSCs have been demonstrated to exhibit unprecedented characteristics or unique functionalities. Here we review the recent efforts and progress in exploring novel 2DSCs beyond graphene and TMDCs for ultra thin body transistors, discussing the merits, limits and prospects of each material.",
"author_names": [
"Yuan Liu",
"Xidong Duan",
"Yu Huang",
"Xiangfeng Duan"
],
"corpus_id": 51921760,
"doc_id": "51921760",
"n_citations": 135,
"n_key_citations": 0,
"score": 0,
"title": "Two dimensional transistors beyond graphene and TMDCs.",
"venue": "Chemical Society reviews",
"year": 2018
}
] |
Modern Semiconductor Devices for Integrated Circuits sol | [
{
"abstract": "Most modern integrated circuits and semiconductor devices experience strong current loads. This leads to the creation of areas of local heating and high temperature gradients. High currents and thermal expansion promote the formation of point and line defects both in the bulk and on the surface of the semiconductor. Moreover, the long term current effects can result in breakaway and motion of line defects. This situation is accompanied by an acoustic emission (AE) the monitoring of which allows in situ investigation of the mechanical properties of materials and the study of the physical nature of durability and plasticity. Therefore, the purpose of this work is the construction and approbation of a firmware complex for the acoustic diagnostic of line defects in semiconductors.",
"author_names": [
"A M Orlov",
"A A Scvortsov",
"A A Solov'ev",
"M P Astashin"
],
"corpus_id": 110765939,
"doc_id": "110765939",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Firmware complex for the acoustic diagnostic of line defects in semiconductors",
"venue": "Proceedings of the 7th International Scientific and Practical Conference of Students, Post graduates and Young Scientists. Modern Techniques and Technology. MTT'2001 (Cat. No.01EX412)",
"year": 2001
},
{
"abstract": "3. Electrons and holes are the major characters in the play and carry opposite charge. Their mass however is altered from the mass of an electron in vacuum. The altered mass is called e ective mass, mn and mp 4. The band model is the tool required for quantitative analysis of semiconductors. From this model one can get the energy gap, E K diagrams allowing the determination of e ective masses, analysis of the energy levels with in the gap and the conduction/valence bands etc",
"author_names": [
"Chenming Calvin Hu"
],
"corpus_id": 107567934,
"doc_id": "107567934",
"n_citations": 399,
"n_key_citations": 32,
"score": 1,
"title": "Modern Semiconductor Devices for Integrated Circuits",
"venue": "",
"year": 2009
},
{
"abstract": "Recently, p type metal oxide semiconductors have attracted considerable interests for the applications in optoelectronic devices and low power complementary metal oxide semiconductor circuits. In this report, ternary p type CuAl<sub>x</sub>O<sub>y</sub> semiconductor thin films were fabricated by sol gel method and integrated as channel layers in thin film transistors (TFTs) The electrical performances of CuAl<sub>x</sub>O<sub>y</sub> TFTs, together with the characteristics of CuAl<sub>x</sub>O<sub>y</sub> thin films (e.g. crystalline phases, chemical compositions, surface morphology, and optical transmittances) were systematically studied at various annealing temperatures <inline formula> <tex math notation=\"LaTeX\"{T}_{a} /tex math>/inline formula> The phase pure CuAlO<sub>2</sub> thin films were obtained at <inline formula> <tex math notation=\"LaTeX\"{T}_{a} /tex math>/inline formula> above 800deg C in N<sub>2</sub> atmosphere. CuAlO<sub>2</sub> TFTs annealed at 900 deg C based on high k Al<sub>2</sub>O<sub>3</sub> exhibited optimized electrical performance, including a hole mobility of 1.36 cm<sub>2</sub>/Vs and on/off current ratio of <inline formula> <tex math notation=\"LaTeX\"\\sim 1 \\times 10^\\textsf {5} /tex math>/inline formula> This paper not only demonstrates the successful fabrication of high quality p type CuAlO<sub>2</sub> semiconductor thin film and electronic devices by sol gel process but also provides guidelines for related ternary p type oxide semiconductor material and device performance improvements.",
"author_names": [
"Chunfeng Wang",
"Haotian Zhu",
"You Meng",
"Shengbin Nie",
"Yuna Zhao",
"Byoung Chul Shin",
"Elvira Fortunato",
"Rodrigo Martins",
"Fukai Shan",
"Guoxia Liu"
],
"corpus_id": 67874689,
"doc_id": "67874689",
"n_citations": 9,
"n_key_citations": 0,
"score": 0,
"title": "Sol Gel Processed p Type CuAlO2 Semiconductor Thin Films and the Integration in Transistors",
"venue": "IEEE Transactions on Electron Devices",
"year": 2019
},
{
"abstract": "Thermo mechanical stress accumulation in the power electronic integrated circuit (PIC) devices influences their lifetime and reliability. In order to determine both the temperature and the stress accumulation, numerical simulation is a very important tool in the design of PIC devices for quantifying and enhancing their lifetime and reliability. An open source solution integrated in Salome Meca and Code_Aster based on the finite element method (FEM) is used in this paper to analyze the thermo mechanical behavior on a simple metal semiconductor structure, which is typically found in a PIC. The computational time and accuracy of the simulations results is improved by finding the optimal mesh configuration. A convergence study is computed for five different cases by progressively reducing the size of the discretization elements (hence, increasing the number of mesh elements) in the area of interest. The results for an optimal relative error and computational time are compared with the results obtained using the commercial software Comsol. The simulated mechanical stress is compared with similar results reported in literature.",
"author_names": [
"Adrian Bojita",
"Alexandru M Avram",
"Marius Purcar",
"Calin Munteanu",
"Vasile Topa"
],
"corpus_id": 34983059,
"doc_id": "34983059",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Thermo mechanical simulation of the metal semiconductor structures of power integrated circuits",
"venue": "2017 International Conference on Modern Power Systems (MPS)",
"year": 2017
},
{
"abstract": "The silicon Integrated circuits (ICs) continues to have an unprecedented impact on improving almost every aspect of modern society including communications, military, security, healthcare, energy saving, industrial automation, transport, and entertainment. Over the last four decades, the relentless pursuit of IC device miniaturization for manufacturing high performance and high density IC chips and system on a chip (SoC) led to the creation of Internet and social media. The semiconductor components are used in smart cars, smart homes, smart cities, smart health, smart energy, smart security, smart appliances, and so on. The Internet enables connecting any and every smart devices or \"things\" creating \"Internet of Things\" (IoT) or Internet of everything (IoE) And, the IoT connected smart devices constitute a smart environment and integrated ecosystem that can be accessed via personal computers, tablets, and smartphones from anywhere without human interaction. However, the performance of nanoscale MOSFETs in the design and manufacturing of \"smart\" electronic products necessary to create smart networks or \"smart things\" to enable smart environments and integrated ecosystems is inadequate due to the fundamental physical limitations such as short channel effects (SCEs) Shrinking conventional bulk MOSFET device dimensions in the decananometer regime degrades device performance including degradation in the subthreshold swing and decrease in device turn on voltage. As a result, the scaled MOSFETs cannot be turned off easily by lowering the gate voltage leading to excessive leakage current. Due to SCEs, the device characteristics become increasingly sensitive to process variation that imposes a serious challenge for continued scaling of bulk MOSFETs for the nanometer technology nodes. In addition, at gate length below 20 nm, the sub surface leakage paths are weakly controlled by the gate irrespective of gate oxide thickness and their potential barriers can be easily lowered by drain bias through the enhanced electric field coupling to the drain. Thus, to surmount the continuous scaling challenges of conventional bulk MOSFET devices new device technologies such as FinFET has emerged as the real alternative to MOSFETs. This tutorial provides the basic features and operating principles of FinFETs required for the understanding of design and manufacturing of advanced ICs at the nanometer nodes for smart electronic products. In addition, this tutorial also discusses the emerging undoped or lightly doped channel MOSFETs with performance comparable to FinFETs for design and manufacturing of smart IC products.",
"author_names": [
"Samar K Saha"
],
"corpus_id": 52935872,
"doc_id": "52935872",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "TUTORIAL 01: Advanced Silicon Devices for VLSI Circuits and Systems at Nanometer Nodes",
"venue": "2018 IEEE International Conference on Semiconductor Electronics (ICSE)",
"year": 2018
},
{
"abstract": "Materials and processes to create monolithic 111 V+CMOS circuits have been developed iteratively with inputs from potential market application needs and semiconductor industry infrastructure. The GaN LED and III V HEMT platforms are earliest to develop and driven by currently anticipated market needs. The developed process flow involves conventional 200mm CMOS front end processing in a CMOS foundry, merging the CMOS wafer with a III V/Si wafer, processing III V devices in a silicon fabrication environment, and final interconnection via returning the wafer to a CMOS foundry for back end interconnection. III V+CMOS silicon ICs are designed in a Cadence environment using foundry PDKs modified with insertion of the integrated III V device models. The overall method described above is invariant for the different III V's that are integrated into silicon ICs. Circuits have been designed using GaN LED+CMOS, GaN HEMT+CMOS, InGaAs HEMT+CMOS, and InGaP LED+CMOS platforms.",
"author_names": [
"Eugene A Fitzgerald"
],
"corpus_id": 54443283,
"doc_id": "54443283",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Materials, Processes, and Markets for Monolithic III V Devices in Silicon Integrated Circuits",
"venue": "2018 IEEE BiCMOS and Compound Semiconductor Integrated Circuits and Technology Symposium (BCICTS)",
"year": 2018
},
{
"abstract": "The semiconductor industry continues to produce ever smaller devices that are ever more complex in shape and contain ever more types of materials. The ultimate sizes and functionality of these new devices will be affected by fundamental and engineering limits such as heat dissipation, carrier mobility and fault tolerance thresholds. At present, it is unclear which are the best measurement methods needed to evaluate the nanometre scale features of such devices and how the fundamental limits will affect the required metrology. Here, we review state of the art dimensional metrology methods for integrated circuits, considering the advantages, limitations and potential improvements of the various approaches. We describe how integrated circuit device design and industry requirements will affect lithography options and consequently metrology requirements. We also discuss potentially powerful emerging technologies and highlight measurement problems that at present have no obvious solution.This Review Article examines state of the art metrology methods for integrated circuits and highlights how new integrated circuit device design and industry requirements affect lithography options and consequently metrology requirements.",
"author_names": [
"Ndubuisi G Orji",
"M Badaroglu",
"Bryan M Barnes",
"Carlos Beitia",
"Benjamin D Bunday",
"Umberto Celano",
"R Joseph Kline",
"Mark O Neisser",
"Yaw S Obeng",
"A E Vladar"
],
"corpus_id": 115751979,
"doc_id": "115751979",
"n_citations": 112,
"n_key_citations": 2,
"score": 0,
"title": "Metrology for the next generation of semiconductor devices",
"venue": "Nature electronics",
"year": 2018
},
{
"abstract": "Silicon avalanche light emitting devices (Si Av LEDs) offer various possibilities for realizing micro and even nano optical biosensors directly on chip. The light emitting devices (LEDs) operate in the wavelength range of about 450 850nm, and their optical power emitted is of the order of a few hundreds of nW/um2. These LEDs could be fabricated in micro and nano dimensions by using modern semiconductor fabrication processing technologies through the mainstream of silicon material. Through a series of experiments, the dispersion phenomena in the Si Av LED are observed. Also, its light emission point was proved to locate at about one micron just below the silicon silicon oxide interface. Subsequently, a micro fluidic channel sensor was designed by using the dispersion characteristics owned by the Si Av LED. The analytes flowing through a micro fluidic channel could be studied by their specific transmittance and absorption spectra. Moreover, simulations verify that a novel designed waveguide based sensor could be fabricated on chip between the Si optical source and the Si P I N detector.",
"author_names": [
"Kaikai Xu",
"Yanxu Chen",
"T A Okhai",
"Lukas W Snyman"
],
"corpus_id": 203077868,
"doc_id": "203077868",
"n_citations": 95,
"n_key_citations": 0,
"score": 0,
"title": "Micro optical sensors based on avalanching silicon light emitting devices monolithically integrated on chips",
"venue": "Optical Materials Express",
"year": 2019
},
{
"abstract": "Metal semiconductor field effect transistors (MESFETs) have been fabricated using a 45 nm silicon on insulator CMOS technology available from Global Foundries. MESFETs with gate lengths of 200 nm show good manufacturability with well controlled run to run variations. The DC and RF performance of devices with different drain access lengths are compared to illustrate the design trades between high breakdown voltage and high frequency operation. Two applications of the MESFETs for RF integrated circuit design are presented. The first demonstrates integrated n channel MOSFET MESFET cascode amplifiers that combine the enhanced voltage operation of the MESFET with the high frequency capability of the scaled MOSFET. The resulting small signal amplifiers demonstrate cut off frequencies of 50 GHz when operated with supply voltages of 6 V, significantly higher than the nominal 1 V breakdown voltage of the CMOS transistors. The second application demonstrates a watt level MESFET power amplifier integrated with a CMOS current steering digital to analog converter (DAC) The MESFET serves as the RF output device, while the 4 bit CMOS DAC enables average power tracking. Both examples demonstrate the design flexibility enabled by the CMOS compatible MESFETs.",
"author_names": [
"Payam Mehr",
"Soroush Moallemi",
"Xiong Zhang",
"William Lepkowski",
"Jennifer Kitchen",
"Trevor J Thornton"
],
"corpus_id": 59525961,
"doc_id": "59525961",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "CMOS Compatible MESFETs for High Power RF Integrated Circuits",
"venue": "IEEE Transactions on Semiconductor Manufacturing",
"year": 2019
},
{
"abstract": "Silicon carbide (SiC) is an emerging wide bandgap semiconductor having superior physical properties such as high critical electric field and high saturated drift velocity. Discrete high voltage, low loss SiC power devices such as 600 1700 V Schottky barrier diodes and FETs (MOSFETs and JFETs) have currently been developed, and small scale production has started. SiC is also attractive for advanced integrated circuits operating in high temperature and radiation hard circumstances. This paper reviews the present status, future prospects, and technological challenges of SiC devices and circuits.",
"author_names": [
"Tsunenobu Kimoto"
],
"corpus_id": 16977260,
"doc_id": "16977260",
"n_citations": 5,
"n_key_citations": 0,
"score": 0,
"title": "Progress and future challenges of silicon carbide devices for integrated circuits",
"venue": "Proceedings of the IEEE 2014 Custom Integrated Circuits Conference",
"year": 2014
}
] |
Phase-Controlled Apertures Using Heterodyne Optical Phase-Locked Loops | [
{
"abstract": "In this letter, we demonstrate the use of an electronic feedback scheme using a voltage controlled oscillator (VCO) to control the optical phase of individual semiconductor lasers (SCLs) phase locked to a common reference laser using heterodyne optical phase locked loops (OPLLs) The outputs of two external cavity SCLs phase locked to a common reference laser are coherently combined, and the variation in the relative optical path lengths of the combining beams is corrected by dynamically changing the phase of the offset radio frequency signal fed into one of the OPLLs by means of a VCO. A stable power combination efficiency of 94% is achieved. This inherently different method of phase control, i.e. electronic rather than the use of electrooptic crystals, is deemed essential for new applications involving coherent optoelectronics.",
"author_names": [
"Naresh Satyan",
"Wei Liang",
"Firooz Aflatouni",
"Amnon Yariv",
"Anthony S Kewitsch",
"George Rakuljic",
"Hossein Hashemi"
],
"corpus_id": 98554,
"doc_id": "98554",
"n_citations": 14,
"n_key_citations": 0,
"score": 1,
"title": "Phase Controlled Apertures Using Heterodyne Optical Phase Locked Loops",
"venue": "IEEE Photonics Technology Letters",
"year": 2008
},
{
"abstract": "We design and experimentally demonstrate two chip scale and agile heterodyne optical phase locked loops (OPLLs) based on two types of InP based photonic integrated coherent receiver circuits. The system performance of the first generation OPLL was improved in terms of offset locking range, and power consumption with the use of a power efficient and compact photonic integrated circuit (PIC) The second generation PIC consists of a 60 nm widely tunable Y branch laser as a local oscillator with a 2 x 2 multimode interference (MMI) coupler and a pair of balanced photodetectors. This PIC consumes only 184 mW power in full operation, which is a factor of 3 less compared to the first generation PIC. In addition, the sensitivity of these OPLLs was experimentally measured to be as low as 20 mw. A possible solution to increase the sensitivity of these OPLLs is also suggested.",
"author_names": [
"Arda Simsek",
"Shamsul Arafin",
"Seong-Kyun Kim",
"Gordon Morrison",
"Leif A Johansson",
"Milan L Mashanovitch",
"Larry A Coldren",
"Mark J W Rodwell"
],
"corpus_id": 3410933,
"doc_id": "3410933",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Evolution of Chip Scale Heterodyne Optical Phase Locked Loops Toward Watt Level Power Consumption",
"venue": "Journal of Lightwave Technology",
"year": 2018
},
{
"abstract": "We demonstrate a homologous heterodyne optical phase locked loop for free spectral range measurement of a fiber ring resonator. In this loop, the frequency noise within the 10 Hz bandwidth is reduced by more than 40 dB from 147.350 to 0.014 Hz, and the power spectral density of the frequency noise reaches 7.69x10 8 Hz2/Hz at 10 Hz. Finally, the relative measurement accuracy of 1.39x10 9 is achieved by this loop and the free spectral range coefficient of thermal expansion is measured as 174.1+ 0.2 Hz/degC with a cavity finesse of 26.65. This work provides a method to measure free spectral range by tracking the resonance modes of the resonator and reducing frequency noise, especially for two signals with frequency offset.",
"author_names": [
"Chunqi Zhang",
"Lishuang Feng",
"Hongchen Jiao",
"Ning Liu",
"Yonggui Zhang",
"Xiao Wang"
],
"corpus_id": 199129231,
"doc_id": "199129231",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Free spectral range measurement using homologous heterodyne optical phase locked loop based on acousto optic modulation.",
"venue": "Applied optics",
"year": 2019
},
{
"abstract": "We design and experimentally demonstrate a highly efficient coherence transfer based on composite optical phaselocked loop comprising multiple feedback servo loops. The heterodyne offset locking is achieved by conducting an acousto optic frequency shifter in combination with the current tuning and the temperature controlling of the semiconductor laser. The adaptation of the composite optical phase locked loop enables the tight coherence transfer from a frequency comb to a semiconductor laser in a fully dynamic manner.",
"author_names": [
"Weilin Xie",
"Yi Dong",
"Fabien Bretenaker",
"Hongxiao Shi",
"Qian Zhou",
"Zongyang Xia",
"Jie Qin",
"Lin Zhang",
"Xi Lin",
"Weisheng Hu"
],
"corpus_id": 125552958,
"doc_id": "125552958",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Efficient dynamic coherence transfer relying on offset locking using optical phase locked loop",
"venue": "International Conference on Optical Instruments and Technology",
"year": 2018
},
{
"abstract": "2.1 Phase locked loop principle Phase locked loop (PLL) is an automatic feedback control electronic device. It contains three basic components as shown in Fig. 2.1. A. A phase detector (PD) B. A loop filter (low pass filter) C. A voltage controlled oscillator (VCO) Fig. 2.1 is the simplest form of a phase locked loop. But, in practice a more elaborate arrangement consisting of heterodyne loops, band pass limiters and acquisition aids can be used, yet the behavior of the complicated arrangement of practical phase locked loops can be explained using the same model. The phase sensitive detector is a multiplicative device, the purpose of which is to produce an output voltage as a function of the instantaneous phase difference between the reference input and the output of the VCO. The frequency of VCO can be modulated in accordance with an input voltage. The PLL operates in the following way.",
"author_names": [],
"corpus_id": 221731431,
"doc_id": "221731431",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "A Brief Review on Remote Carrier Signal Generation and Optical Reception using Optical Phase Locked Loop and Related Phenomena",
"venue": "",
"year": 2016
},
{
"abstract": "Optical phase locked loops (OPLL's) are needed for synchronous homodyne receivers [l] [4] We constructed a stable optical phase locked loop with miniature diode pumped Nd:YAG ring lasers [5] Using our loop, we demonstrated a 140 Mb/s PSK synchronous homodyne experiment with the sensitivity of 25 photons/bit1. To the best of our knowledge this is the highest sensitivity demonstrated with any optical communication system to date2. In addition, we demonstrated a 560 Mb/s PSK synchronous heterodyne experiment with the sensitivity of 124 photons/bit. We investigated, for the first time, the impact of the finite intermediate frequency (IF) on heterodyne system performance and show that an IF of at least twice the bit rate is needed for a neglibly small penalty.",
"author_names": [
"Leonid G Kazovsky",
"Daphne Atlas"
],
"corpus_id": 111061322,
"doc_id": "111061322",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "PSK Synchronous Heterodyne and Homodyne Experiments Using Optical Phase Locked Loops",
"venue": "",
"year": 1990
},
{
"abstract": "We demonstrate a coherent phase transfer via a 224 km cascaded fiber link comprising two 112 km links stabilized by two phase locking loops, respectively. The optical signal is regenerated employing heterodyne optical phase locking (HOPL) after the first 112 km transfer. With a gain of more than 50 dB, the HOPL is capable of tracking the frequency of the incoming carrier with a fluctuation of 0.48 mHz and preserving the instability of the incoming laser to 6x10 20 at 1000 s. The phase noise cancellation of each span is investigated, and the out loop transfer instability of the 224 km link reaches 7.7x10 19 at 10,000 s. The relation between the transfer instability of each span and that of the whole link is also deduced in the paper, in agreement with experimental results of the 224 km link.",
"author_names": [
"Xuemei Deng",
"Jie Liu",
"Qi Zang",
"Dongdong Jiao",
"Jing Gao",
"Xiang Zhang",
"Dan Wang",
"Ruifang Dong",
"Tao Liu"
],
"corpus_id": 53764675,
"doc_id": "53764675",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Coherent phase transfer via fiber using heterodyne optical phase locking as optical amplification.",
"venue": "Applied optics",
"year": 2018
},
{
"abstract": "The performance of an Allan variance measuring system was drastically improved by employing time interval analysis incorporating a beat frequency method. It was used to evaluate the performance of a heterodyne optical phase locked loop with a very low optical frequency tracking error of 0.4 mHz at the integration time of 70 s. Advantages of the system are precise measurement for highly stable frequency sources with good reproducibility and simple structure",
"author_names": [
"C H Shin",
"Motoichi Ohtsu"
],
"corpus_id": 109210332,
"doc_id": "109210332",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Improved Allan variance real time processing system to measure frequency tracking error of heterodyne optical phase locked loops",
"venue": "",
"year": 1990
},
{
"abstract": "Optical frequency synthesizers have widespread applications in optical communication, sensing, and ranging systems. These synthesizers typically consist of an electro optical phase locked loop (EOPLL) that phase locks a widely tunable laser (TL) to the teeth of a highly stable optical frequency comb. In this paper, a partially integrated optical frequency synthesizer is reported, where a stable and low noise frequency comb is used as the optical reference. In the proposed architecture, frequency synthesis is done in two phases. In a coarse tuning phase, a widely tunable distributed Bragg reflector laser is continuously tuned by adjusting its mirrors and phase section currents. While the laser is being tuned, an indexing system detects and counts the number of passing comb teeth. Coarse tuning is concluded when the TL reaches the target comb tooth. Following the coarse tuning phase, a heterodyne integrated EOPLL phase locks the TL to the selected comb tooth. Under the phase lock condition, fine frequency tuning is performed by adjusting the frequency of an RF local oscillator. The proposed synthesizer has the capability of synthesizing optical frequencies over a 5 THz range with a coarse tuning speed of 0.5 THz/s and coarse and fine tuning resolutions of 20 MHz and less than 1 Hz, respectively.",
"author_names": [
"Farshid Ashtiani",
"Pouria Sanjari",
"Mohamad Hossein Idjadi",
"Firooz Aflatouni"
],
"corpus_id": 56176158,
"doc_id": "56176158",
"n_citations": 5,
"n_key_citations": 0,
"score": 0,
"title": "High Resolution Optical Frequency Synthesis Using an Integrated Electro Optical Phase Locked Loop",
"venue": "IEEE Transactions on Microwave Theory and Techniques",
"year": 2018
},
{
"abstract": "",
"author_names": [
"Yasuhiro Okamura",
"Masafumi Koga",
"Atsushi Takada"
],
"corpus_id": 113115661,
"doc_id": "113115661",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "First demonstration of phase sensitive gain stabilization by using heterodyne Costas optical phase locked loop",
"venue": "",
"year": 2016
}
] |
Metal grating solar energy | [
{
"abstract": "This paper discusses the metal oxide semiconductor (MOS) solar cells for energy harvesting from indoor light emitting diode (LED) illumination using Al/SiO2/Si p structures. Wafers of the Si p (100) with a resistivity of $10\\Omega .cm were used. The gate dielectric was grown by rapid thermal processing (RTP) with thicknesses of 1.65, 1.73, 2.10 and 2.23 nm. The main parameters studied were extracted using electrical characterization through IxV curves of the MOS solar cells with total areas of 3.24 \\text{c}\\mathrm {m}{2} At first, it was observed an increase of the dark current density from 0.49 to $4.4\\mu \\text{A}\\text{c}\\mathrm {m}{2} for the thickness varying from 1.65 to 2.23 nm. It is worthy of note the increase of the generated power from 8.1 to $46.7\\mu \\text{W}\\text{c}\\mathrm {m}{2} with the rise of the thickness in the range of 1.65 to 2.23 nm for a constant incident power of 5 mW/\\text{c}\\mathrm {m}{2} In this case, the lower the thickness, the higher the tunneling current through the gate dielectrics, which causes the decrease of the depletion region length and this decrease, in turn, makes the generation current density lower in the depletion region. Also, the reduction of the short circuit current (JsC) due to the increase of the widths (W) and spacings (S) of the fishbone grating geometry was well correlated with the decrease of the perimeter (Pe) and the rise of the aspect ratio W/S.",
"author_names": [
"Marcos Norio Watanabe",
"William Chiappim",
"Veronica Christiano",
"S G Santos Filho"
],
"corpus_id": 208880836,
"doc_id": "208880836",
"n_citations": 0,
"n_key_citations": 0,
"score": 1,
"title": "MOS solar cells for indoor LED energy harvesting: influence of the grating geometry and the thickness of the gate dielectrics",
"venue": "2019 34th Symposium on Microelectronics Technology and Devices (SBMicro)",
"year": 2019
},
{
"abstract": "Polymer solar cells hold the promise for a cost effective, lightweight solar energy conversion platform, which can benefi t from simple solution processing of the active layer. 1 3 At present, bulk hetero junction polymer solar cells show power conversion effi ciency (PCE) close to or over than 8% 4 10 However, the quantum effi ciency of polymer solar cells is mainly limited due to the comparatively low carrier mobility and charge recombination. 1 3 A thinner active layer can lower the probability of charge recombination and increase the carrier drift velocity by having higher electric fi eld, thus enhancing the internal quantum effi ciency, while a minimum fi lm thickness is always required to ensure suffi cient photon absorption. 11 12 Therefore, how to increase the light absorption of a polymer fi lm at a limited thickness of fi lm still remains as a challenge. The incorporation of plasmonic structures with photovoltaic devices has been shown to increase solar cell photo current and may lead to new opportunities for inexpensive, and high effi ciency solar cell designs. 13 20 Recently, metallic nanostructures have been introduced into thin inorganic semiconductor solar cells (e.g. Si and GaAs solar cells) for highly effi cient light harvesting by strong light scattering behavior and concentrated near fi eld through the localized and surface plasmonic effects of different metallic nanostructures. 12 17 More recently, metallic nanostructures have been used to enhance the performance of bulk heterojunction polymer solar cells, such as introducing the localized plasmonic nanostructure of metallic nanoparticles (NPs) in carrier transport layer, 18 22 incorporate into active layer of bulk junctions, 23 25 both carrier transport layer and active layer 26 and most of them are concentrated on wide band gap polymer, such as poly 3(hexylthiophene) (P3HT) Importantly, low bandgap polymer can cover a broad absorption range, it is therefore attractive if we can enhance the PCE of low bandgap polymer solar cell by plasmonic structure. In this study, the low bandgap polymer benzodithiophene polymers (PTB7) 6 is used to demonstrate the surface plasmonic effects of large area metallic",
"author_names": [
"Jingbi You",
"Xuanhua Li",
"Fengxian Xie",
"Wei E I Sha",
"J Kwong",
"Gang Li",
"Wallace C H Choy",
"Yang Yang"
],
"corpus_id": 98680495,
"doc_id": "98680495",
"n_citations": 163,
"n_key_citations": 4,
"score": 0,
"title": "Surface Plasmon and Scattering Enhanced Low Bandgap Polymer Solar Cell by a Metal Grating Back Electrode",
"venue": "",
"year": 2012
},
{
"abstract": "We present analytical and numerical investigations revealing a new recipe of ultra broadband metamaterial absorber, comprising a periodic 1D grating of a multilayered, alternating metal dielectric, tapered structure. We report over 99% absorption using molybdenum (metal) germanium (dielectric) layers at normal incidence in the visible and nearinfrared regime of the solar radiation spectrum. The broadband absorption is attributed to multiple slow wave modes getting absorbed at different layers. Additionally, our simulation model shows angle insensitivity up to 60 degrees. Absorbance spectra, obtained from effective medium theory, agree well with our simulation results. Potential applications can be solar energy harvesting and stealth technologies.",
"author_names": [
"Ashish K Chowdhary",
"Debabrata Sikdar"
],
"corpus_id": 211680517,
"doc_id": "211680517",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Ultra broadband Wide Angle Metallo Dielectric Metamaterial Absorber for Solar Energy Harvesting",
"venue": "2019 Workshop on Recent Advances in Photonics (WRAP)",
"year": 2019
},
{
"abstract": "In this paper, a solar thermal absorber based on a dielectric filled two dimensional nickel grating is designed and numerically investigated for wide angle and polarization independent broadband absorption. The absorption of the proposed two dimensional meta surface absorber reaches nearly 100% in the whole visible region (400 800 nm) The physical mechanisms responsible for the high absorption including the impedance matching with the free space, the cavity resonances and the surface plasmonic resonances have been elucidated in detail. The strong resonances effectively trap the incident light in the nano cavities and then dissipate it by the ohmic losses of the metal, giving rise to the high absorption of the proposed absorber. The meta surface absorber may find applications in solar cells, photovoltaics, thermos photovoltaics, thermal emitters, plasmonic sensors, and solar energy harvesting.",
"author_names": [
"Qiuqun Liang",
"Huigao Duan",
"Xupeng Zhu",
"Xuandong Chen",
"Xiong-ping Xia"
],
"corpus_id": 198462877,
"doc_id": "198462877",
"n_citations": 9,
"n_key_citations": 0,
"score": 0,
"title": "Solar thermal absorber based on dielectric filled two dimensional nickel grating",
"venue": "Optical Materials Express",
"year": 2019
},
{
"abstract": "An effective scheme is proposed and investigated to improve the performance of thin film solar cells using a two dimensional (2 D) plasmonic grating structure at the rear electrode or back metal contact. The proposed light trapping scheme replaces the conventional flat rear electrode by a corrugated electrode with a square mesh of periodic grooves forming a 2 D plasmonic grating. The grating surface diffracts the light and increases the path for reflected light. Further, the energy of surface plasmons excited inside the grooves gets coupled to the absorber layer. An efficient light trapping scheme and surface plasmons resonance conjointly increase the absorption in the absorber layer of solar cell, which results as enhanced efficiency. Maximum contribution of light path enhancement and surface plasmons is achieved by optimizing the periodicity, width, and depth of the grooves. The proposed design is compared with the conventional simple solar cell as well as with the solar cell having the rear electrode with 1 D plasmonic grating structure to demonstrate the effectiveness of the scheme. Finally, the optimized design is proposed which exhibits 92% absorption, 43% enhanced light path and more than 200% increase in the short circuit current as well as conversion efficiency.",
"author_names": [
"Abhishek Pahuja",
"Manoj Singh Parihar",
"Dinesh Kumar V"
],
"corpus_id": 195775641,
"doc_id": "195775641",
"n_citations": 5,
"n_key_citations": 0,
"score": 0,
"title": "Performance Enhancement of Thin Film Solar Cell Using Two Dimensional Plasmonic Grating in Rear Electrode",
"venue": "IEEE Transactions on Nanotechnology",
"year": 2019
},
{
"abstract": "Spectrally selective solar absorbers are widely employed in solar thermal energy systems. This work theoretically investigates thermal radiative properties of metamaterials consisting of 1 D and 2 D grating Mie metamaterials (tungsten nanoparticles embedded in alumina) on top of multilayered refractory materials (tungsten silicon nitride tungsten) as a promising selective solar absorber. The proposed metamaterial shows high absorptance from the ultraviolet to near infrared lights, while exhibiting low emittance in the mid infrared regime owing to Mie resonances, surface plasmon polaritons, and metal dielectric metal resonance. The optical properties of designed metamaterial solar absorbers are angular independence of up to 75deg and polarization insensitive. The total absorptance of 1 D and 2 D grating Mie metamaterials are 90.59% and 94.11% respectively, while the total emittance are 2.89% and 3.2% respectively. The photon to heat conversion efficiency is theoretically investigated under various operational temperatures and concentration factors. Thermal performance of grating Mie metamaterials is greatly enhanced within a one day cycle, and the stagnation temperature under different concentration factors manifests the potential feasibility in mid and high temperature solar thermal engineering.",
"author_names": [
"Yanpei Tian",
"Xiaojie Liu",
"Fangqi Chen",
"Yi Zheng"
],
"corpus_id": 210230074,
"doc_id": "210230074",
"n_citations": 5,
"n_key_citations": 0,
"score": 0,
"title": "Perfect grating Mie metamaterial based spectrally selective solar absorbers",
"venue": "OSA Continuum",
"year": 2019
},
{
"abstract": "Abstract In this letter, we present an ultra broadband and wide angle perfect absorber based on composite Ge Ni grating. Near perfect absorption above 90% is achieved in a wide frequency range from 150 nm to 4200 nm, which covers almost the full spectrum of solar radiation. The absorption keeps robust in a wide range of incident angle from 0o to 60o. The upper triangle Ge grating works as an antireflection coating. The lower Ni grating works as a reflector and an effective energy trapper. The guided modes inside Ge grating are excited due to reflection of the lower Ni grating surface. In longer wavelength band, gap surface plasmons (GSPs) in the Ni grating are excited and couple with the guided modes inside the Ge grating. The coupled modes extend the perfect absorption band to the near infrared region (150 nm 4200 nm) This design has potential application in photovoltaic devices and thermal emitters.",
"author_names": [
"Xu Tao Li",
"Zongpeng Wang",
"Yumin Hou"
],
"corpus_id": 125173128,
"doc_id": "125173128",
"n_citations": 6,
"n_key_citations": 0,
"score": 0,
"title": "Ultra broadband and wide angle perfect absorber based on composite metal semiconductor grating",
"venue": "",
"year": 2018
},
{
"abstract": "We numerically and analytically report an ultra broadband near perfect absorber based on one dimensional metal dielectric metal grating at visible light for TM polarization. A unit cell of this design is composed of metal dielectric metal grating, where the bottom metallic layer and the upper metallic coating are separated from each other by the intermediate dielectric grating. The absorber exhibits an average absorption of over 90% in the range 400 700nm. Moreover, they remain very high over a wide range of incident angle up to 45deg.The electromagnetic field distributions are investigated, which reveals that this broadband absorption behavior is ascribed to the combination of surface plasmon resonance and cavity resonance. Furthermore, impedance calculations were carried out to explain the absorption behavior. The ultra broadband near perfect angle robust absorber can be a good candidate for many fascinating applications, including solar energy harvesting as well as producing artificial colors on a large scale substrate.",
"author_names": [
"Minghui Luo",
"Yun Zhou",
"Linsen Chen",
"Yan Ye",
"Su Shen",
"Shangliang Wu"
],
"corpus_id": 138091999,
"doc_id": "138091999",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Ultra broadband near perfect absorption of visible light based on one dimensional metal dielectric metal grating for TM polarization",
"venue": "Other Conferences",
"year": 2016
},
{
"abstract": "The low cost and efficient perovskite solar cells (PSCs) have shown considerable improvement in power conversion efficiency in the last few years. PSCs consist of perovskite material as photoactive layer with the advantages of having high diffusion length, high absorption coefficient, broad absorption region, high efficiency and low cost. In the present paper, Methylammonium Lead Iodide (CH3NH3PbI3) is synthesized and deposited by using drop casting method. The Perovskite material has been characterized with X Ray Powder Diffraction, Ultraviolet Visible Spectroscopy, Current Voltage Characteristics, and Steady State Photocarrier Grating Technique to estimate structural properties, optical band gap, activation energy, photosensitivity and diffusion length of minority carriers (Ldiff) respectively, which are significant factors to have an efficient solar cell. In future, we are going to use this material as an active layer of Perovskite solar cell.",
"author_names": [
"Sameeksha Sharma",
"Nazilla Soleimanioun",
"Mamta Rani",
"S K Tripathi"
],
"corpus_id": 145854589,
"doc_id": "145854589",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Study of CH3NH3PbI3 as an active layer of organo metal halide perovskite solar cells",
"venue": "",
"year": 2019
},
{
"abstract": "We report on the fabrication, characterization and simulation of diffraction gratings for back contact reflectors in III V solar cells. The gratings are designed for thin film solar cells incorporating absorbers with bandgap slightly lower than GaAs, such as InAs/GaAs quantum dot or GaInNAs solar cells. Metal/polymer back reflectors with a blazed grating or a pyramid grating were fabricated by nanoimprint lithography. The gratings are compared in terms of diffraction ability, which is the feature responsible for increasing the absorption. The pyramid grating showed higher diffraction of light compared to the blazed grating. The diffraction efficiency measurements were in agreement with the numerical simulations. The model validation enables tailoring the properties of the reflectors for other type of solar cells by adjusting the optimal dimensions of the gratings for different wavelengths.",
"author_names": [
"Timo Aho",
"Mircea D Guina",
"Farid Elsehrawy",
"Federica Cappelluti",
"Marianna Raappana",
"Antti Tukiainen",
"A B M Khairul Alam",
"Ismo Vartiainen",
"Markku Kuittinen",
"Tapio Niemi"
],
"corpus_id": 54439627,
"doc_id": "54439627",
"n_citations": 0,
"n_key_citations": 0,
"score": 1,
"title": "Metal/Polymer Back Reflectors with Diffraction Gratings for Light Trapping in III V Solar Cells",
"venue": "2018 IEEE 7th World Conference on Photovoltaic Energy Conversion (WCPEC) (A Joint Conference of 45th IEEE PVSC, 28th PVSEC 34th EU PVSEC)",
"year": 2018
}
] |
Surface studies of carbon films from pyrolyzed photoresist | [
{
"abstract": "Abstract Positive and negative photoresists, which are commonly used in the semiconductor industry, were deposited on silicon wafers by spin coating and then pyrolyzed at temperatures of 600 1100degC in an inert environment to produce thin carbon films. Raman spectroscopy, X ray photoelectron spectroscopy (XPS) and scanning probe microscopy involving current sensing atomic force microscopy (CS AFM) were utilized to characterize the properties of the carbon films. Raman spectroscopy showed two broad bands at approximately 1360 cm 1 and 1600 cm 1 which deconvoluted to four Gaussian bands. The origin of these bands is discussed. CS AFM showed that the surface conductance increased with increased pyrolysis temperature, and the results are consistent with measurements by a four point probe method. The XPS spectra revealed the presence of oxygen functional groups (CO and CO) on the carbon surface. The relative fraction of oxygen, O/C ratio, decreased as the pyrolysis temperature increased, in agreement with published results. The full width at half maximum of the C 1s peak obtained by XPS also decreased with increasing pyrolysis temperature.",
"author_names": [
"Robert Kostecki",
"Bernhard Schnyder",
"Dario Alliata",
"X Song",
"Kimio Kinoshita",
"Rudiger Kotz"
],
"corpus_id": 96593147,
"doc_id": "96593147",
"n_citations": 140,
"n_key_citations": 4,
"score": 1,
"title": "Surface studies of carbon films from pyrolyzed photoresist",
"venue": "",
"year": 2001
},
{
"abstract": "Carbon film electrodes were prepared by pyrolysis of photoresists on silicon wafers at temperatures ranging from 600 to 1,100 C. The physical properties of the carbon films were characterized by scanning and transmission electron microscopies, thermal gravimetric analysis, and four point probe electrical resistivity measurements. The electrochemical properties of the carbon films were investigated by cyclic voltammetry to observe the kinetics of the Fe(CN){sub 6}{sup 4{minus}/Fe(CN){sub 6}{sup 3{minus} redox couple. The carbon film electrodes prepared at temperatures {ge} 700 C showed electrochemical behavior similar to that of glassy carbon. Better electrocatalytic behavior was obtained with carbon films prepared at the higher pyrolysis temperatures, which is attributed to different film compositions at different pyrolysis temperatures. The electrochemical properties of the carbon film electrodes are very stable, exhibiting reproducible behavior even after storing at room temperature in air for 3 months.",
"author_names": [
"Jae Chul Kim",
"Xiangyun Song",
"Kimio Kinoshita",
"Marc J Madou",
"Ralph E White"
],
"corpus_id": 95266959,
"doc_id": "95266959",
"n_citations": 119,
"n_key_citations": 3,
"score": 0,
"title": "Electrochemical Studies of Carbon Films from Pyrolyzed Photoresist",
"venue": "",
"year": 1998
},
{
"abstract": "We report the structural characterization and electric heating performance of carbon thin films (CTFs) which were prepared from negative type SU 8 photoresist by deep UV exposure and following carbonization. The prepared CTFs were found to have pseudo graphitic carbon structures containing partially graphite domains in the amorphous carbon matrix. The CTFs showed a very smooth surface morphology with a roughness of 0.42 nm. The 107 nm thick CTFs exhibited an excellent electric heating performance by attaining a high maximal temperature of 207 degC and a rapid heating rate of 13.2 degC/s at an applied voltage of 30 V. Therefore, the CTFs prepared in this study can be applied as electrode materials for high performance electric heaters.",
"author_names": [
"Byoung-Min Lee",
"H G Nam",
"Hyeong Choi",
"Sung-Kwon Hong",
"Young Gyu Jeong",
"Jae-Hak Choi"
],
"corpus_id": 214231124,
"doc_id": "214231124",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Electric heating performance of carbon thin films prepared from SU 8 photoresist by deep UV exposure and carbonization",
"venue": "Carbon Letters",
"year": 2020
},
{
"abstract": "The carbon fiber microelectrode has been used for decades as a neurotransmitter sensor. Recently, new strategies have been developed for making carbon electrodes, including using carbon nanomaterials or pyrolyzing photoresist etched by nanolithography or 3D printing. This review summarizes how chemical and 3D surface structures of new carbon electrodes are optimized for neurotransmitter detection. There are effects of the chemical structure that are advantageous and nanomaterials are used ranging from carbon nanotube (CNT) to graphene to nanodiamond. Functionalization of these materials promotes surface oxide groups that adsorb dopamine and dopants introduce defect sites good for electron transfer. Polymer coatings such as poly(3,4 ethylenedioxythiophene) (PEDOT) or Nafion also enhance the selectivity, particularly for dopamine over ascorbic acid. Changing the 3D surface structure of an electrode increases current by adding more surface area. If the surface structure has roughness or pores on the micron scale, the electrode also acts as a thin layer cell, momentarily trapping the analyte for redox cycling. Vertically aligned CNTs as well as lithographically made or 3D printed pillar arrays act as thin layer cells, producing more reversible cyclic voltammograms. A better understanding of how chemical and surface structure affects electrochemistry enables rational design of electrodes. New carbon electrodes are being tested in vivo and strategies to reduce biofouling are being developed. Future studies should test the robustness for long term implantation, explore electrochemical properties of neurotransmitters beyond dopamine, and combine optimized chemical and physical structures for real time monitoring of neurotransmitters.",
"author_names": [
"Qun Cao",
"Pumidech Puthongkham",
"B Jill Venton"
],
"corpus_id": 73419747,
"doc_id": "73419747",
"n_citations": 25,
"n_key_citations": 1,
"score": 0,
"title": "Review: New insights into optimizing chemical and 3D surface structures of carbon electrodes for neurotransmitter detection",
"venue": "Analytical methods advancing methods and applications",
"year": 2019
},
{
"abstract": "The work presented herein describes a fundamental investigations of carbon as electrode material by using the pyrolysis of photoresist to create an optically transparent material. The development of these carbon based optically transparent electrodes (C OTEs) enables investigations of molecular interactions within the electrical double layer, processes that are central to a wide range of important phenomena, including the impact of changes in the surface charge density on adsorption. The electrochemical importance of carbon cannot be understated, having relevance to separations and detection by providing a wide potential window and low background current in addition to being low cost and light weight. The interactions that govern the processes at the carbon electrode surface has been studied extensively. A variety of publications from the laboratories of McCreery and Kinoshita provide in depth summaries about carbon and its many applications in electrochemistry. These studies reveal that defects, impurities, oxidation, and a variety of functional groups create adsorption sites on carbon surfaces with different characteristics. The interest in C OTEs was sparked by the desire to study and understand the behavior of individual molecules at electrified interfaces. It draws on the earlier development of Electrochemically Modulated Liquid Chromatography (EMLC) which uses carbon as the stationary phase. more EMLC takes advantage of changing the applied potential to the carbon electrode to influence the retention behavior of analytes. However, perspectives gained from, for example, chromatographic measurements reflect the integrated response of a large ensemble of potentially diverse interactions between the adsorbates and the carbon electrode. Considering the chemically and physically heterogeneous surface of electrode materials such as glassy carbon, the integrated response provides little insight into the interactions at a single molecule level. To investigate individual processes, they have developed C OTEs in order to couple electrochemistry with single molecule spectroscopy (SMS) Like EMLC, the novel merger of SMS with electrochemistry is a prime example of how a hybrid method can open new and intriguing avenues that are of both fundamental and technological importance. They show that by taking the benefits of total internal reflection fluorescence microscopy (TIRFM) and incorporating carbon as electrode material observations central to the interactions between single DNA molecules and an electrified carbon surface can be delineated. Using TIRFM while applying a positive potential to the electrode, individual molecules can be observed as they reversibly and irreversibly adsorb to the carbon surface. The positive potential attracts the negatively charged DNA molecules to the electrode surface. Dye labels on the DNA within the evanescent wave are excited and their fluorescence is captured by an intensified charge coupled device (ICCD) camera. Results are therefore presented regarding the interactions of {lambda} DNA, 48,502 base pairs (48.5 kbp) HPV 16, 7.9 kbp, and 1 kbp fraction of pBR322 DNA. In addition to the influence of molecular size on adsorption, the fabrication, characterization, and more conventional spectroelectrochemical applications of these novel C OTEs are presented. less",
"author_names": [
"S K Donner"
],
"corpus_id": 93694729,
"doc_id": "93694729",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Development of carbon based optically transparent electrodes from pyrolyzed photoresist for the investigation of phenomena at electrified carbon solution interfaces",
"venue": "",
"year": 2007
},
{
"abstract": "The carbon fiber microelectrode has been used for decades as a neurotransmitter sensor. Recently, new strategies have been developed for making carbon electrodes, including using carbon nanomaterials or pyrolyzing a photoresist etched by nanolithography or 3D printing. This review summarizes how chemical and 3D surface structures of new carbon electrodes are optimized for neurotransmitter detection. There are effects of the chemical structure that are advantageous, and nanomaterials are used ranging from carbon nanotubes (CNTs) to graphene to nanodiamond. Functionalization of these materials promotes surface oxide groups that adsorb dopamine, and dopants introduce defect sites good for electron transfer. Polymer coatings such as poly(3,4 ethylenedioxythiophene) (PEDOT) or Nafion also enhance the selectivity, particularly for dopamine over ascorbic acid. Changing the 3D surface structure of an electrode increases the current by adding more surface area. If the surface structure has roughness or pores on the micron scale, the electrode also acts as a thin layer cell, momentarily trapping the analyte for redox cycling. Vertically aligned CNTs as well as lithographically made or 3D printed pillar arrays act as thin layer cells, producing more reversible cyclic voltammograms. A better understanding of how the chemical and surface structure affects the electrochemistry enables rational design of electrodes. New carbon electrodes are being tested in vivo and strategies to reduce biofouling are being developed. Future studies should test the robustness for long term implantation, explore electrochemical properties of neurotransmitters beyond dopamine, and combine optimized chemical and physical structures for real time monitoring of neurotransmitters.",
"author_names": [
"Qun Cao",
"Pumidech Puthongkham",
"B Jill Venton"
],
"corpus_id": 160002556,
"doc_id": "160002556",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "ghts into optimizing chemical and 3 D surface structures of carbon electrodes for neurotransmitter detection",
"venue": "",
"year": 2019
},
{
"abstract": "We report here a novel and simple buckling based multiscale patterning of negative photoresist films which were subsequently pyrolyzed to yield complex micro patterned carbon surfaces. Unlike other polymers, the use of a photoresist layer allows the overall pattern definition by photolithography on which the geometry and length scale of the buckling instability are superimposed. The photoresist film swells anisotropically during developing and buckles after subsequent drying due to the difference in the shrinkage of the hard cross linked layer on top of a softer native pre polymer. We studied the conditions for the formation of a wide variety of complex, fractal buckling patterns as well as directionally aligned zigzag patterns over a large area. For example, the buckling diminished for the films below a critical thickness and after a prolonged UV exposure, both of which eliminate the softer under layer. These patterned carbon substrates are also shown to be biocompatible for the cellular adhesion and viability by using L929 mouse fibroblast cells, thus indicating their potential use in bio MEMS platforms with a conductive substrate. The buckled carbon patterns were found to be a better choice of a substrate for cell growth and viability as compared to flat and simply periodic patterned carbon surfaces.",
"author_names": [
"Manish M Kulkarni",
"Chandra Shekhar Sharma",
"Ashutosh Sharma",
"Sushma Kalmodia",
"Bikramjit Basu"
],
"corpus_id": 54201300,
"doc_id": "54201300",
"n_citations": 10,
"n_key_citations": 0,
"score": 0,
"title": "Multiscale micro patterned polymeric and carbon substrates derived from buckled photoresist films: fabrication and cytocompatibility",
"venue": "Journal of Materials Science",
"year": 2012
},
{
"abstract": "Hydrogen terminated silicon surfaces play an important role in the integrated circuit (IC) industry. Ultra pure water is extensively used for the cleaning and surface preparation of silicon surfaces. This work studies the effects of ultra pure water on hydrogen passivated silicon surfaces in a short time frame of 120 minutes using fourier transform infrared spectroscopy attenuated total reflection techniques. Varying conditions of ultra pure water are used. This includes dissolved oxygen poor media after nitrogen bubbling and equilibration under nitrogen atmosphere, as well as metal contaminated solutions. Both microscopically rough and ideal monohydride terminated surfaces are examined. Hydrogen terminated silicon is also used as the sensing electrode for a potentiometric sensor for ultra trace amounts of metal contaminants. Previous studies show the use of this potentiometric electrode sensor in hydrofluoric acid solution. This work is able to shows sensor function in ultra pure water media without the need for further addition of hydrofluoric acid. This is considered a boon for the sensor due to the hazardous nature of hydrofluoric acid. Thin carbon films can be formed by spin coating photoresist onto silicon substrates and pyrolyzing at 1000 degrees C under reducing conditions. This work also shows that the electroless deposition of palladium and platinum may be accomplished in hydrofluoric acid solutions to attain palladium and platinum nanoparticles on a this film carbon surface for use as an electrode. Catalysis of these substrates is studied using hydrogen evolution in acidic media, cyclic voltammetry, and catalysis of formaldehyde. X ray diffractometry (XRD) is used to ensure that there is little strain on palladium and platinum particles. Iridium is thought to be a prime candidate for investigation as a new generation copper diffusion barrier for the IC industry. Copper electrodeposition on iridium is studied to address the potential of iridium as a copper diffusion barrier. Copper electrodeposition is studied using a current transient technique to obtain insight into the nucleation and growth mechanism. Copper on iridum was annealed up to 600 degrees C. X ray photoelectron spectroscopy and XRD confirm that electrodeposited copper exists in a metallic state. XRD shows that copper exists in the characteristic face centered cubic (111) form. XRD also confirms the stability of the copper iridium interface with no new peaks after annealing, which is indicative that no interaction occurs. Scanning electron microscopy, and Scotch (r) Tape peel tests confirm the uniformity and strength of copper on iridium even after annealing to 600 degrees C.",
"author_names": [
"Raymond Chan"
],
"corpus_id": 94571588,
"doc_id": "94571588",
"n_citations": 2,
"n_key_citations": 1,
"score": 0,
"title": "Interfacial Electrochemistry and Surface Characterization: Hydrogen Terminated Silicon, Electrolessly Deposited Palladium Platinum on Pyrolyzed Photoresist Films and Electrodeposited Copper on Iridium",
"venue": "",
"year": 2003
},
{
"abstract": "Carbon electrodes coupled with electrochemical detection have been used extensively for the investigation of biogenic amines. Herein we report the fabrication and characterization of carbonaceous electrodes prepared from pyrolyzed parylene C (PPC) films. High aspect ratio carbonaceous microelectrodes have been prepared by masking PPC coated pipets with an insulating parylene C film. PPC thin film electrodes were characterized electrochemically, spectroscopically, and with electron microscopy. The procedures described here offer a route to fabrication of thin film carbon electrodes that can be patterned and produced in parallel. These electrodes are similar to carbon electrodes derived from pyrolyzed photoresist films but do not require spin coating or lithography and can readily coat three dimensional surfaces.",
"author_names": [
"Kirstin C Morton",
"Celeste A Morris",
"Maksymilian A Derylo",
"Rahul G Thakar",
"Lane A Baker"
],
"corpus_id": 26532921,
"doc_id": "26532921",
"n_citations": 30,
"n_key_citations": 0,
"score": 0,
"title": "Carbon electrode fabrication from pyrolyzed parylene C.",
"venue": "Analytical chemistry",
"year": 2011
},
{
"abstract": "In this study, the investigation of surface treatment of chemically inert graphitic carbon microelectrodes (derived from pyrolyzed photoresist polymer) for improving their attachment chemistry with DNA molecular wires and ropes as part of a bionanoelectronics platform is reported. Polymer microelectrodes were fabricated on a silicon wafer using standard negative lithography procedures with negative tone photoresist. These microelectrode structures were then pyrolyzed and converted to a form of conductive carbon that is referred to as PP (pyrolyzed polymer) carbon throughout this paper. Functionalization of the resulting pyrolyzed structures was done using nitric, sulfuric, 4 amino benzoic acids (4 ABA) and oxygen plasma etching and the surface modifications confirmed with Fourier transform infrared spectroscopy (FTIR) Raman spectroscopy, and electron dispersion x ray spectroscopy (EDS) Post surface treatment analysis of microelectrodes with FTIR and Raman spectroscopy showed signature peaks characteristics of carboxyl functional groups while EDS showed an increase in oxygen content in the surface treatment procedures (except 4 ABA) indicating an increase in carboxyl functional group. These functional groups form the basis for peptide bond with aminated oligonucleotides that in turn could be used as molecular wires and interconnects in a bionanoelectronics platform. Post pyrolysis analysis using EDS showed relatively higher oxygen concentrations at the edges and location of defects compared to other locations on these microelectrodes. In addition, electrochemical impedance measurements showed metal like behavior of PP carbon with high conductivity |Z| <1 KO) and no detectable detrimental effect of oxygen plasma surface treatment on electrical characteristic. In general, characterization results taken together indicated that oxygen plasma surface treatment produced more reliable, less damaging, and consistently repeatable generation of carboxyl functional groups than diazonium salt and strong acid treatments.",
"author_names": [
"Mieko Hirabayashi",
"Beejal Mehta",
"Nasim Winchester Vahidi",
"Ajit Khosla",
"Sam Kassegne"
],
"corpus_id": 95661306,
"doc_id": "95661306",
"n_citations": 31,
"n_key_citations": 1,
"score": 0,
"title": "Functionalization and characterization of pyrolyzed polymer based carbon microstructures for bionanoelectronics platforms",
"venue": "",
"year": 2013
}
] |
development of quantum dot/quantum well | [
{
"abstract": "The device characteristics of semiconductor lasers have been improved with progress in active layer structures. Carrier confinement dimension plays an important role especially in temperature sensitivity as well as slope efficiency. Three dimensional carrier confinement to nano scale semiconductor crystal, known as \"quantum dots (QDs)\" had been predicted to show ultimately superior device performances. Self assembly formed InAs QDs grown on GaAs had been intensively promoted in order to achieve QD lasers with superior device performances. Now high density, high optical quality QDs have been realized through improved molecular beam epitaxy growths and QD lasers with better temperature characteristics are in the stage of mass production for a data com market. Fabry Perot type, as well as distributed feedback type QD lasers show quite improved laser characteristics. Also, the unique device characteristics of QD lasers opened new application fields such as the use for resource searching by utilizing high temperature operation such as lasing at higher than 200 degC. For silicon photonics, QD lasers are used as an optical source for athermal operation. In this paper, the evolution of QDs, as well as improved device performances for novel application fields are discussed.",
"author_names": [
"Kenichi Nishi",
"Keizo Takemasa",
"Mitsuru Sugawara",
"Yasuhiko Arakawa"
],
"corpus_id": 31429921,
"doc_id": "31429921",
"n_citations": 41,
"n_key_citations": 1,
"score": 0,
"title": "Development of Quantum Dot Lasers for Data Com and Silicon Photonics Applications",
"venue": "IEEE Journal of Selected Topics in Quantum Electronics",
"year": 2017
},
{
"abstract": "The electronic and thermal properties of bulk materials are altered when they are incorporated into quantum wells. Two dimensional quantum wells have been synthesized by alternating layers of B/sub 4/C and B/sub 9/C in one system and alternating layers of Si and Si/sub 0.8/G/sub 0.2/ in another system. Such nanostructures are being investigated as candidate thermoelectric materials for high figures of merit (Z) The predicted enhancement is attributed to the confined motion of charge carriers and phonons in the two dimensions and separating them from the ion scattering centers. Molecular beam epitaxy (MBE) and sputtering techniques have been used to prepare these multilayer films. Films have been deposited on single crystal silicon substrates. The /spl alpha/ and /spl rho/ properties of these films have been determined over a broad range of temperatures from 4.2 K to 1200 K and were previously reported. The /spl alpha//sup 2//spl rho/ values for these P type B C and N type SiGe films were more than a factor of 10 to 30 times higher than bulk P type B C and N type SiGe. Several one and two couple devices were fabricated with P type B/sub 4/C/B/sub 9/C QW films and N type bulk Bi/sub 2/Te/sub 3/ One of these couples produced 0.182 milliwatt at a /spl Delta/T of a 50/spl deg/C. This device produced ten times more power than the bulk Bi/sub 2/Te/sub 3/ commercial material of the same dimensions and /spl Delta/T. Hi Z is also producing thicker B/sub 4/C/B/sub 9/C films >10 /spl mu/m) on thinner Si substrates <1 /spl mu/m) to minimize thermal bypass heat losses. Successful scale up of these films for the P leg is expected to yield a 1 cm square device that will produce /spl sim/5 Watts at a /spl Delta/T of 200/spl deg/C. With a minimum assumption for thermal losses the device efficiency should approach 20%",
"author_names": [
"S Ghamaty",
"Norbert B Elsner"
],
"corpus_id": 31673810,
"doc_id": "31673810",
"n_citations": 13,
"n_key_citations": 2,
"score": 0,
"title": "Development of quantum well thermoelectric device",
"venue": "Eighteenth International Conference on Thermoelectrics. Proceedings, ICT'99 (Cat. No.99TH8407)",
"year": 1999
},
{
"abstract": "Standard GaAs/AlGaAs QWIPs are now well established for LWIR detection. The main advantage of this technology is the duality with the technology of commercial GaAs devices. The second advantage widely claimed for QWIPs is the so called band gap engineering, allowing the custom design of the quantum structure to fulfill the requirements of specific applications such as multispectral detection. QWIPS are close to being optimized. The understanding of detection mechanisms has led to high performance QWIPs working at high temperature (above 77 K) However, as with all quantum detectors, the operating temperature of QWIPs is limited by the thermal current. A new skimmed architecture accommodating this offset has already been demonstrated. The optimization of a skimmed structure requires the modeling procedures and the process, to be adapted. We present the current status of QWIPs in France, including the latest performances achieved with both standard and skimmed architectures. We illustrate the development of our QWIPs by recent results on FPAs.",
"author_names": [
"Eric Costard",
"Philippe Bois",
"Xavier Marcadet",
"Eric Herniou",
"Philippe M Tribolet",
"Michel Vuillermet"
],
"corpus_id": 120337897,
"doc_id": "120337897",
"n_citations": 8,
"n_key_citations": 0,
"score": 0,
"title": "Development of quantum well infrared photodetectors in France",
"venue": "SPIE Optics Photonics",
"year": 2000
},
{
"abstract": "Quantum well solar cells, as a promising approach for next generation photovoltaic technology, have received great attention in the last few years. Recent developments in materials growth and device structures of quantum wells have opened up new avenues for the incorporation of quantum well structures in next generation III/V multi junction solar cells. In this paper, the advantages and challenges of growing quantum wells in the unintentionally doped (i) region of p i n solar cells are reviewed. We focus on the recent progress in 1.1 1.3 eV strain balanced InGaAs/GaAsP, 1.6 1.8 eV strain balanced and lattice matched InGaAsP/InGaP, and >2.1 eV strained InGaN/GaN quantum well solar cells, including optimization of the quantum well growth conditions and improving the solar cell structure. For each material system, the challenges associated with materials growth and device performance such as critical layer thickness constraints, strain balance, bandgap tunability, and carrier transport limitations, are discussed. The performance of each quantum well solar cell is compared with bulk absorber operating in the same bandgap range, with the advantages of each being highlighted. The effect of the unintentional background doping on carrier collection (by drift) is presented through modeling and recent experimental results. The recent strategies to enhance the electric field distribution across the quantum well region are reviewed. The potential of incorporating quantum well structures in next generation multi junction devices is also discussed.",
"author_names": [
"Islam Sayed",
"Salah M Bedair"
],
"corpus_id": 67874610,
"doc_id": "67874610",
"n_citations": 16,
"n_key_citations": 0,
"score": 1,
"title": "Quantum Well Solar Cells: Principles, Recent Progress, and Potential",
"venue": "IEEE Journal of Photovoltaics",
"year": 2019
},
{
"abstract": "The use of Al<inf>z</inf>In<inf>1 z</inf>As metamorphic buffer layers to facilitate the growth of lattice mismatched InN<inf>y</inf>(As<inf>1 x</inf>Sb<inf>x</inf><inf>1 y</inf> quantum wells on GaAs or InAs substrates has recently been demonstrated to constitute an attractive approach to developing light emitting devices at application rich mid infrared wavelengths. However, little information is available regarding the fundamental properties of this newly established platform. We present a theoretical investigation and optimisation of the properties and performance of InN<inf>y</inf>(As<inf>1 x</inf>Sb<inf>x</inf><inf>1 y</inf>/Al<inf>z</inf>In<inf>1 z</inf>As structures designed to emit at 3.3 and 4.2 mm. We quantify the design space available to these structures in terms of the ability to engineer and optimise the optoelectronic properties, and quantify the potential of metamorphic InN<inf>y</inf>(As<inf>1 x</inf>Sb<inf>x</inf><inf>1 y</inf> structures for the development of mid infrared light emitters, providing guidelines for the design of optimised light emitting diodes.",
"author_names": [
"Reza Arkani",
"Christopher A Broderick",
"Eoin P O'Reilly"
],
"corpus_id": 56178050,
"doc_id": "56178050",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Design of 3.3 and 4.2 mm mid infrared metamorphic quantum well light emitting diodes",
"venue": "2018 International Conference on Numerical Simulation of Optoelectronic Devices (NUSOD)",
"year": 2018
},
{
"abstract": "Radiative recombination is studied in CdHgTe/HgTe QWs with bandgap in the 40 140 meV range using four band Kane model. Calculated radiative lifetimes agree well with the photoconductivity kinetics measurements. We show that the side maxima in the valence band hinder the radiative recombination at high carrier concentrations and discuss how to overcome this effect for the development of long wavelength lasers.",
"author_names": [
"V Ya Aleshkin",
"Alexander A Dubinov",
"Vladimir V Rumyantsev",
"Mikhail A Fadeev",
"O L Domnina",
"Nikolai N Mikhailov",
"Sergey A Dvoretsky",
"Frederic Teppe",
"Vladimir I Gavrilenko",
"S V Morozov"
],
"corpus_id": 53873587,
"doc_id": "53873587",
"n_citations": 8,
"n_key_citations": 0,
"score": 0,
"title": "Radiative recombination in narrow gap HgTe/CdHgTe quantum well heterostructures for laser applications.",
"venue": "Journal of physics. Condensed matter an Institute of Physics journal",
"year": 2018
},
{
"abstract": "Transition state force fields (TSFF) treated the TS structure as an artificial minimum on the potential energy surface in the past decades. The necessary parameters were developed either manually or by the Quantum to molecular mechanics method (Q2MM) In contrast with these approaches, here we propose to model the TS structures as genuine saddle points at the molecular mechanics level. Different methods were tested on small model systems of general chemical reactions such as protonation, nucleophilic attack, and substitution, and the new procedure led to more accurate models than the Q2MM type parametrization. To demonstrate the practicality of our approach, transferrable parameters have been developed for Mo catalyzed olefin metathesis using quantum mechanical properties as reference data. Based on the proposed strategy, any force field can be extended with true transition state force field (TTSFF) parameters, and they can be readily applied in several molecular mechanics programs as well.",
"author_names": [
"Adam Madarasz",
"Denes Berta",
"Robert S Paton"
],
"corpus_id": 32345816,
"doc_id": "32345816",
"n_citations": 17,
"n_key_citations": 0,
"score": 0,
"title": "Development of a True Transition State Force Field from Quantum Mechanical Calculations.",
"venue": "Journal of chemical theory and computation",
"year": 2016
},
{
"abstract": "Abstract In vitro expanded adipose derived stromal cells (ASCs) are a useful resource for tissue regeneration. Translation of small scale autologous cell production into a large scale, allogeneic production process for clinical applications necessitates well chosen raw materials and cell culture platform. We compare the use of clinical grade human platelet lysate (hPL) and fetal bovine serum (FBS) as growth supplements for ASC expansion in the automated, closed hollow fibre quantum cell expansion system (bioreactor) Stromal vascular fractions were isolated from human subcutaneous abdominal fat. In average, 95 x 106 cells were suspended in 10% FBS or 5% hPL medium, and loaded into a bioreactor coated with cryoprecipitate. ASCs (P0) were harvested, and 30 x 106 ASCs were reloaded for continued expansion (P1) Feeding rate and time of harvest was guided by metabolic monitoring. Viability, sterility, purity, differentiation capacity, and genomic stability of ASCs P1 were determined. Cultivation of SVF in hPL medium for in average nine days, yielded 546 x 106 ASCs compared to 111 x 106 ASCs, after 17 days in FBS medium. ASCs P1 yields were in average 605 x 106 ASCs (PD [population doublings] 4.65) after six days in hPL medium, compared to 119 x 106 ASCs (PD: 2.45) in FBS medium, after 21 days. ASCs fulfilled ISCT criteria and demonstrated genomic stability and sterility. The use of hPL as a growth supplement for ASCs expansion in the quantum cell expansion system provides an efficient expansion process compared to the use of FBS, while maintaining cell quality appropriate for clinical use. The described process is an obvious choice for manufacturing of large scale allogeneic ASC products.",
"author_names": [
"Mandana Haack-Sorensen",
"Morten Juhl",
"Bjarke Follin",
"Rebekka Harary Sondergaard",
"Maria Kirchhoff",
"Jens Kastrup",
"Annette Ekblond"
],
"corpus_id": 4901185,
"doc_id": "4901185",
"n_citations": 25,
"n_key_citations": 1,
"score": 0,
"title": "Development of large scale manufacturing of adipose derived stromal cells for clinical applications using bioreactors and human platelet lysate",
"venue": "Scandinavian journal of clinical and laboratory investigation",
"year": 2018
},
{
"abstract": "As was recently shown by the authors, quantum probability theory can be used for the modelling of the process of decision making (e.g. probabilistic risk analysis) for macroscopic geophysical structures such as hydrocarbon reservoirs. This approach can be considered as a geophysical realization of Hilbert's programme on axiomatization of statistical models in physics (the famous sixth Hilbert problem) In this conceptual paper, we continue development of this approach to decision making under uncertainty which is generated by complexity, variability, heterogeneity, anisotropy, as well as the restrictions to accessibility of subsurface structures. The belief state of a geological expert about the potential of exploring a hydrocarbon reservoir is continuously updated by outputs of measurements, and selection of mathematical models and scales of numerical simulation. These outputs can be treated as signals from the information environment E. The dynamics of the belief state can be modelled with the aid of the theory of open quantum systems: a quantum state (representing uncertainty in beliefs) is dynamically modified through coupling with E; stabilization to a steady state determines a decision strategy. In this paper, the process of decision making about hydrocarbon reservoirs (e.g. 'explore or not? 'open new well or not? 'contaminated by water or not? 'double or triple porosity medium? is modelled by using the Gorini Kossakowski Sudarshan Lindblad equation. In our model, this equation describes the evolution of experts' predictions about a geophysical structure. We proceed with the information approach to quantum theory and the subjective interpretation of quantum probabilities (due to quantum Bayesianism) This article is part of the theme issue 'Hilbert's sixth problem'",
"author_names": [
"Miguel Angel Lozada Aguilar",
"Andrei Yu Khrennikov",
"Klaudia Oleschko"
],
"corpus_id": 206160559,
"doc_id": "206160559",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "From axiomatics of quantum probability to modelling geological uncertainty and management of intelligent hydrocarbon reservoirs with the theory of open quantum systems",
"venue": "Philosophical Transactions of the Royal Society A: Mathematical, Physical and Engineering Sciences",
"year": 2018
},
{
"abstract": "A fluorescence sensing material based on quantum dots with excellent optical properties and molecularly imprinted polymer (QDs@MIP) with specific recognition has been developed. First the surface of CdSe/ZnS QDs was modified with ionic liquids (ILs) by electrostatic interaction. The fluorescence sensing material was constructed from anchoring the MIP layer on IL modified CdSe/ZnS QDs by copolymerization, which had been developed for the detection of carbaryl in rice and Chinese cabbage. The MIP fluorescence was more strongly quenched by carbaryl than the non imprinted polymer (NIP) fluorescence, which indicated that the QDs@MIP could selectively recognize the corresponding carbaryl. Furthermore, the developed QDs@MIP method was validated by HPLC and ELISA respectively, and the results of these methods were well correlated (R(2) 0.98) The fluorescence sensing material had obvious advantages, such as being easily prepared and having specific recognition and photostability. The developed method was simple and effective for the detection of carbaryl. And, it could also provide the technical support for the rapid detection in food safety fields.",
"author_names": [
"Can Zhang",
"Hanyu Cui",
"Jian-Rong Cai",
"Yuqing Duan",
"Yuan Liu"
],
"corpus_id": 24004470,
"doc_id": "24004470",
"n_citations": 52,
"n_key_citations": 0,
"score": 0,
"title": "Development of Fluorescence Sensing Material Based on CdSe/ZnS Quantum Dots and Molecularly Imprinted Polymer for the Detection of Carbaryl in Rice and Chinese Cabbage.",
"venue": "Journal of agricultural and food chemistry",
"year": 2015
}
] |
Tunnel field-effect transistors as energy-efficient electronic switches | [
{
"abstract": "Power dissipation is a fundamental problem for nanoelectronic circuits. Scaling the supply voltage reduces the energy needed for switching, but the field effect transistors (FETs) in today's integrated circuits require at least 60 mV of gate voltage to increase the current by one order of magnitude at room temperature. Tunnel FETs avoid this limit by using quantum mechanical band to band tunnelling, rather than thermal injection, to inject charge carriers into the device channel. Tunnel FETs based on ultrathin semiconducting films or nanowires could achieve a 100 fold power reduction over complementary metal oxide semiconductor (CMOS) transistors, so integrating tunnel FETs with CMOS technology could improve low power integrated circuits.",
"author_names": [
"Adrian M Ionescu",
"Heike E Riel"
],
"corpus_id": 4322368,
"doc_id": "4322368",
"n_citations": 1935,
"n_key_citations": 64,
"score": 1,
"title": "Tunnel field effect transistors as energy efficient electronic switches",
"venue": "Nature",
"year": 2011
},
{
"abstract": "In a continuous search for the energy efficient electronic switches, a great attention is focused on tunnel field effect transistors (TFETs) demonstrating an abrupt dependence of the source drain current on the gate voltage. Among all TFETs, those based on one dimensional (1D) semiconductors exhibit the steepest current switching due to the singular density of states near the band edges, though the current in 1D structures is pretty low. In this paper, we propose a TFET based on 2D graphene bilayer which demonstrates a record steep subthreshold slope enabled by van Hove singularities in the density of states near the edges of conduction and valence bands. Our simulations show the accessibility of 3.5 x 104 ON/OFF current ratio with 150 mV gate voltage swing, and a maximum subthreshold slope of (20 mV/dec) 1 just above the threshold. The high ON state current of 0.8 mA/mm is enabled by a narrow ~0.3 eV) extrinsic band gap, while the smallness of the leakage current is due to an all electrical doping of the source and drain contacts which suppresses the band tailing and trap assisted tunneling.",
"author_names": [
"Georgy Alymov",
"Vladimir Vyurkov",
"Victor Ryzhii",
"Dmitry Svintsov"
],
"corpus_id": 14925135,
"doc_id": "14925135",
"n_citations": 14,
"n_key_citations": 0,
"score": 0,
"title": "Abrupt current switching in graphene bilayer tunnel transistors enabled by van Hove singularities",
"venue": "Scientific reports",
"year": 2016
},
{
"abstract": "Summary form only given. In this talk, I will review some of the recent development of tunnel field effect transistors (TFETs) at Notre Dame [1 8] Tunnel FETs are promising replacements of Si MOSFETs beyond 2020 due to their promise to achieve I<sub>on</sub>/I<sub>off</sub> 10<sup>3</sup> with I<sub>on</sub> 100 uA/um at low supply voltages (up to 0.5 V) To date we have demonstrated I<sub>on</sub>/I<sub>off</sub> 10<sup>6</sup> I<sub>on</sub> 180 uA/um, separately, based on III V heterostructures. Challenges ahead include electrostatic control, defect assisted tunneling and interface state density and parasitics. More recently, we have started to investigate 2D crystal based TFETs for their promises to realize ultrascaled electronic switches.",
"author_names": [
"Huili Grace Xing",
"Guangle Zhou",
"Mingda Li",
"Yiqing Lu",
"Rui Li",
"Mark A Wistey",
"Patrick J Fay",
"Debdeep Jena",
"Alan C Seabaugh"
],
"corpus_id": 645390,
"doc_id": "645390",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Tunnel FETs with tunneling normal to the gate",
"venue": "2013 Third Berkeley Symposium on Energy Efficient Electronic Systems (E3S)",
"year": 2013
},
{
"abstract": "Cooler electrons for transistors The operating power of field effect transistors is constrained in part by the minimum change in voltage needed to change the current output. This subthreshold swing (SS) limit is caused by hotter electrons from a thermal electron source leaking over the potential of the gate electrode. Qiu et al. show that graphene can act as a Dirac source that creates a narrower distribution of electron energies. When coupled to a carbon nanotube channel, the decrease in SS would allow the supply voltage to be decreased from 0.7 to 0.5 volts. Science, this issue p. 387 A graphene source of cold electrons lowers the subthreshold swing and supply voltage in field effect transistors. An efficient way to reduce the power consumption of electronic devices is to lower the supply voltage, but this voltage is restricted by the thermionic limit of subthreshold swing (SS) 60 millivolts per decade, in field effect transistors (FETs) We show that a graphene Dirac source (DS) with a much narrower electron density distribution around the Fermi level than that of conventional FETs can lower SS. A DS FET with a carbon nanotube channel provided an average SS of 40 millivolts per decade over four decades of current at room temperature and high device current I60 of up to 40 microamperes per micrometer at 60 millivolts per decade. When compared with state of the art silicon 14 nanometer node FETs, a similar on state current Ion is realized but at a much lower supply voltage of 0.5 volts (versus 0.7 volts for silicon) and a much steeper SS below 35 millivolts per decade in the off state.",
"author_names": [
"Chenguang Qiu",
"Fei Liu",
"Lin Xu",
"Bing Deng",
"Mengmeng Xiao",
"Jia Si",
"Li Lin",
"Zhiyong Zhang",
"Jian Wang",
"Hong Guo",
"Hailin Peng",
"Lian-Mao Peng"
],
"corpus_id": 49208788,
"doc_id": "49208788",
"n_citations": 96,
"n_key_citations": 0,
"score": 0,
"title": "Dirac source field effect transistors as energy efficient, high performance electronic switches",
"venue": "Science",
"year": 2018
},
{
"abstract": "Conventional field effect transistors (FETs) have long been considered a fundamental electronic component for a diverse range of devices. However, nanoelectronic circuits based on FETs are not energy efficient because they require a large supply voltage for switching applications. To reduce the supply voltage in standard FETs, which is hampered by the 60 mV/decade limit established by the subthreshold swing (SS) a new class of FETs have been designed, tunnel FETs (TFETs) A TFET utilizes charge carrier transportation in device channels using quantum mechanical based band to band tunneling despite of conventional thermal injection. The TFETs fabricated with thin semiconducting film or nanowires can attain a 100 fold power drop compared to complementary metal oxide semiconductor (CMOS) transistors. As a result, the use of TFETs and CMOS technology together could ameliorate integrated circuits for low power devices. The discovery of two dimensional (2D) materials with a diverse range of electronic properties has also opened new gateways for condensed matter physics, nanotechnology, and material science, thus potentially improving TFET based devices in terms of device design and performance. In this review, state of art TFET devices exhibiting different semiconducting channels and geometries are comprehensively reviewed followed by a brief discussion of the challenges that remain for the development of high performance devices. Lastly, future prospects are presented for the improvement of device design and the working efficiency of TFETs.",
"author_names": [
"Ghazanfar Nazir",
"Adeela Rehman",
"Soo-Jin Park"
],
"corpus_id": 221619378,
"doc_id": "221619378",
"n_citations": 13,
"n_key_citations": 0,
"score": 0,
"title": "Energy efficient tunneling field effect transistors for low power device applications: challenges and opportunities.",
"venue": "ACS applied materials interfaces",
"year": 2020
},
{
"abstract": "Ballistic electron conduction offers a new possible approach to the development of low power high speed logic circuits [1 3] Ultra high mobility of graphene allows achieving the ballistic or near ballistic transport regime at room temperature (RT) in devices with relatively long channels [4 5] In this presentation, we show that heterostructure field effect transistors (HFETs) with graphene channel encapsulated between two layers of hexagonal boron nitride (h BN) combine extremely high electron mobility ~36,000 cm2/Vs) with a strongly reduced 1/f noise level (f is the frequency) Low frequency 1/f noise hampers the operation of numerous devices and can be a major impediment to development of practical low power low voltage applications of graphene and other 2D van der Waals materials [6 8] The low noise level is beneficial for the low voltage electronic applications. The prototype h BN graphene h BN HFETs were fabricated using the mechanically exfoliated h BN and graphene flakes on Si/SiO2 wafers. The viscoelastic materials adhered to glass slides were used as transparent stamps for the layer transfer. The stamps were spin coated with poly propylene carbonate (PPC) A micromanipulator was used for careful positioning of h BN flakes on the stamp over graphene flakes. Following this procedure, the h BN layer was added to the stack resulting in a fully encapsulated graphene monolayer. The stack was then released onto target Si/SiO2 substrate by heating the stage to an appropriate temperature. The resulting heterostructure was etched to expose the edges of graphene and create one dimensional Cr/Au (10/100 nm) electrical contacts. Figure 1 shows the schematics and an optical microscopy image of a representative device. Figure 2 (a) shows the current voltage (I V) characteristics of the representative HFET. Both the effective and field effect mobility extractions gave consistent results showing the mobility of ~36,000 cm2/Vs at the carrier concentration of 7x1011 cm2. Figure 2 (b) presents the normalized 1/f noise spectral density of the graphene encapsulated device. The channel area normalized noise spectral density in BN graphene BN HFET is factor of x5 x10 smaller than that in typical reference graphene FETs without the channel encapsulation. The observed strong noise reduction can be explained by screening of the traps in SiO2 by the BN barrier. Other possible physical mechanisms and prospects of further noise suppression will be discussed at the presentation.",
"author_names": [
"Maxim A Stolyarov",
"Sergey Rumyantsev",
"Michael S Shur",
"Alexander A Balandin"
],
"corpus_id": 6317714,
"doc_id": "6317714",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Low noise near ballistic BN graphene BN heterostructure field effect transistors for energy efficient electronic applications",
"venue": "2015 Fourth Berkeley Symposium on Energy Efficient Electronic Systems (E3S)",
"year": 2015
},
{
"abstract": "Energy efficiency is considered to be the most critical design parameter for ubiquitous and mobile computing systems. With consumers expecting improved functionality and performance from these systems without compromising on battery life, there is urgent need to explore emerging technologies that can overcome the limitations of CMOS and deliver greater energy efficiency. The potential of one such prospective metal oxide semiconductor field effect transistor replacement device, the tunnel FET (TFET) is evaluated in this study. Novel circuit designs are presented to overcome unique design challenges posed by TFETs. Further, the impact of TFETs at different levels of design abstraction is characterised by evaluating a novel sparse prefix tree adder and a field programmable gate array. A considerable improvement in delay and significant reduction in energy is observed because of the combined impact of circuit and technology co exploration.",
"author_names": [
"Ravindhiran Mukundrajan",
"Matthew Cotter",
"Sungmin Bae",
"Vinay Saripalli",
"Mary Jane Irwin",
"Suman Datta",
"Narayanan Vijaykrishnan"
],
"corpus_id": 29498585,
"doc_id": "29498585",
"n_citations": 8,
"n_key_citations": 0,
"score": 0,
"title": "Design of energy efficient circuits and systems using tunnel field effect transistors",
"venue": "IET Circuits Devices Syst.",
"year": 2013
},
{
"abstract": "The technology, a tunnel field effect transistor (TFET) features two advantages over conventional TFET devices. First, the tunnel junction is steeper with high doping concentration, leading to a thinner tunnel barrier, and thus higher tunnelling currents. This was achieved by a new process that provides both silicidation and dopant segregation directly in the source region. Second, the tunnelling area with tunnelling parallel to the electric field of the gate is enlarged by means of selective and self adjusting silicidation. This further increases the tunnelling currents.",
"author_names": [],
"corpus_id": 218485011,
"doc_id": "218485011",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "PRODUCTION OF A TUNNEL FIELD EFFECT TRANSISTOR (TFET) FOR ENERGY EFFICIENT NANOELECTRONICS",
"venue": "",
"year": 2020
},
{
"abstract": "Two dimensional (2D) topological insulators (TIs) are 2D semiconductors/insulators that distinguish themselves from 'conventional' 2D semiconductors/insulators (like monolayer hBN or MoS2) by the presence of electronic states on their edges. These TI edge states traverse the bandgap and exhibit spin momentum locking. The spin momentum locking prohibits intra edge scattering giving rise to efficient electronic transport. Electronic transport will proceed efficiently along the TI edge states as long as the Fermi level is positioned in the bandgap of the 2D TI. If the Fermi level in the 2D TI is close to or lies in the bulk conduction or valence band, scattering is no longer prohibited and can dramatically reduce the conductivity of the 2D TI. Gating a 2D TI enables the modulation of the Fermi level and subsequently the current, realizing a 2D TI field effect transistor (FET) [1]",
"author_names": [
"William G Vandenberghe"
],
"corpus_id": 39084052,
"doc_id": "39084052",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Two dimensional topological insulator transistors as energy efficient switches robust against material and device imperfections",
"venue": "2017 Fifth Berkeley Symposium on Energy Efficient Electronic Systems Steep Transistors Workshop (E3S)",
"year": 2017
},
{
"abstract": "A great obstacle for ultralow power MOSFETs functioning at very low voltages is their physical limit of the inverse subthreshold swing of 60 mV/dec at 300K. Quantum mechanical tunneling of carriers from the source into the channel in Tunnel FETs overcomes in principle this hurdle. However, the fabrication of powerful complementary Tunnel FETs with high Ion/Ioff ratios and steep slopes is still a great challenge. Nevertheless, Tunnel FETs are considered as the most promising concept as steep slope devices. In this contribution an overview will be given mainly on Si based Tunnel FETs. Results of planar and nanowire TFETs with Si, strained Si and Silicon Germanium will be shown. Particular emphasis will be placed on the device performance improvement by formation of the steep tunnel junction and the improved device electrostatics defined by the gate stack and the geometry of the device. We have developed a novel process to produce complementary TFETs and first TFET inverters. Strained Si nanowire TFETs show on currents well above 10 ?A/?m at VDS= 0.5 V and n TFETs reach a minimum slope of 30 mV/dec. Inverter voltage transfer curves and their time response will be presented. The TFET inverters show sharp transitions and high gain even at very small voltages, VDD 0.2V, indicating their potential for energy efficient electronics.",
"author_names": [
"Siegfried Mantl"
],
"corpus_id": 108891671,
"doc_id": "108891671",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Keynote: Si based tunnel field effect transistors for energy efficient electronics",
"venue": "",
"year": 2014
}
] |
Wireless Energy harvest and storage | [
{
"abstract": "The lifetime of a power supply in a sensor node of a wireless sensor network is the decisive factor in the longevity of the system. Traditional Li ion batteries cannot fulfill the demands of sensor networks that require a long operational duration. Thus, we require a solution that produces its own electricity from its surrounding and stores it for future utility. Moreover, as the sensor node architecture is developed on complimentary metal oxide semiconductor technology (CMOS) the manufacture of the power supply must be compatible with it. In this thesis, we shall describe the components of an on chip lifetime power supply that can harvest the vibrational mechanical energy through piezoelectric microcantilevers and store it in a reduced graphene oxide (rGO) based microsupercapacitor, and that is fabricated through CMOS compatible techniques. Our piezoelectric microcantilevers confirm the feasibility of fabricating micro electro mechanical systems (MEMS) size two degree of freedom systems which can solve the major issue of small bandwidth of piezoelectric micro energy harvesters. These devices use a cut out trapezoidal cantilever beam to enhance the stress on the cantilever's free end while reducing the gap remarkably between its first two eigenfrequencies in 400 500 Hz and 1 2 kHz range. The energy from the M shaped harvesters will be stored in rGO based microsupercapacitors. These microsupercapacitors are manufactured through a fully CMOS compatible, reproducible, and reliable micromachining processes. Furthermore, we have also demonstrated an improvement in their electrochemical performance and yield of fabrication through surface roughening from iron nanoparticles. We have also examined the possibility of integrating these devices into a power management unit to fully realize a lifetime power supply for wireless sensor networks.",
"author_names": [
"Agin Vyas"
],
"corpus_id": 209068013,
"doc_id": "209068013",
"n_citations": 0,
"n_key_citations": 0,
"score": 1,
"title": "Towards an on chip power supply: Integration of micro energy harvesting and storage techniques for wireless sensor networks",
"venue": "",
"year": 2019
},
{
"abstract": "This paper investigates secure transmission in a wireless powered cooperative communication network (WPCCN) over Nakagami $m$ fading channels, where multiple intermediate energy harvesting (EH) nodes with finite energy storage are deployed to assist the secure transmission between source and destination in the presence of an eavesdropper. Specifically, the intermediate EH nodes first harvest and accumulate energy from the source's signal and then forward the confidential signal or emit jamming signal to interfere the eavesdropper according to actual requirements. In order to exploit the intermediate EH nodes for secure improvement, considering both energy storage status and channel gains, three relay and jammer selection schemes at the intermediate nodes are proposed, namely: energy threshold based best relay and random jammer (ETBR) energy threshold based random relay and best jammer (ETRB) and energy threshold based best relay and best jammer (ETBB) For understanding the impact of critical parameters on the secrecy performance, we first capture the evolution of the EH nodes' storages using finite state Markov chain (FSMC) and then derive the analytical expressions for hybrid outage probability (HOP) and secrecy throughput (ST) Furthermore, numerical results demonstrate that our proposed selection schemes outperform the existing schemes (bR rJ, rR bJ, bR bJ) due to the consideration of energy accumulation and storage status. In addition, there is a suitable value of the energy threshold for each proposed scheme to achieve the optimal secrecy performance.",
"author_names": [
"Hao Yin",
"Weiwei Yang",
"Tao Zhang",
"Yue-hong Shen",
"Hongbo Zhu"
],
"corpus_id": 210887843,
"doc_id": "210887843",
"n_citations": 5,
"n_key_citations": 1,
"score": 0,
"title": "Secure Wireless Powered Cooperative Communication Networks With Finite Energy Storage",
"venue": "IEEE Transactions on Vehicular Technology",
"year": 2020
},
{
"abstract": "The operational efficiency of remote environmental wireless sensor networks (EWSNs) has improved tremendously with the advent of Internet of Things (IoT) technologies over the past few years. EWSNs require elaborate device composition and advanced control to attain long term operation with minimal maintenance. This article is focused on power supplies that provide energy to run the wireless sensor nodes in environmental applications. In this context, EWSNs have two distinct features that set them apart from monitoring systems in other application domains. They are often deployed in remote areas, preventing the use of mains power and precluding regular visits to exchange batteries. At the same time, their surroundings usually provide opportunities to harvest ambient energy and use it to (partially) power the sensor nodes. This review provides a comprehensive account of energy harvesting sources, energy storage devices, and corresponding topologies of energy harvesting systems, focusing on studies published within the last 10 years. Current trends and future directions in these areas are also covered.",
"author_names": [
"Michal Prauzek",
"Jaromir Konecny",
"Monika Borova",
"Karolina Janosova",
"Jakub Hlavica",
"Petr Musilek"
],
"corpus_id": 51871361,
"doc_id": "51871361",
"n_citations": 83,
"n_key_citations": 1,
"score": 0,
"title": "Energy Harvesting Sources, Storage Devices and System Topologies for Environmental Wireless Sensor Networks: A Review",
"venue": "Sensors",
"year": 2018
},
{
"abstract": "In this paper, decode to forward cooperative system is considered with wireless energy harvesting relays. Relays are spatially randomly located and have the finite storage battery. Relays can harvest the energy from the RF signals, broadcasted by the destination. OSRL scheme is employed to improve the system performance. For characterising the performance of proposed scenario, exact closed form analytical expressions for the outage probability are derived and also derive the expression for the system throughput. Finally simulation results are presented to show that the system performance is increased by increasing the energy harvesting time.AC DC conversion efficiency has also shows effect on the system performance. Keywords Perfect CSI, outage probability, Nakagami m fading.",
"author_names": [
"K Pavani -",
"V Annapurna -"
],
"corpus_id": 201822519,
"doc_id": "201822519",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Performance Analysis of Cooperative Communication with Wireless Energy Harvesting Relays Over Nakagami M Fading Channels",
"venue": "International Journal of Research in Advent Technology",
"year": 2019
},
{
"abstract": "UAV aided Internet of Things (IoT) systems enable IoT devices to relay up to date information to base stations with UAVs, which extends the IoT network coverage and improves data transmission efficiency. To achieve a perpetual UAV data delivery system, simultaneous wireless data and power transfer (SWIPT) is employed for energy constrained UAVs to harvest energy from wireless chargers to support data sensing and transmission from IoT devices (e.g. sensors) deployed at different locations. In this paper, the design objective is to pursue the optimal energy charging policy for each UAV considering the system states of location, the queue length and energy storage. We formulate and solve a Markov decision process for the UAV data delivery to optimally take the actions of energy charging, and data delivery to base stations. The performance evaluation shows that the proposed MDP scheme outperforms baseline schemes in terms of lower expected overall cost and high energy efficiency.",
"author_names": [
"Huajian Jin",
"Jiangming Jin",
"Yang Zhang"
],
"corpus_id": 208123422,
"doc_id": "208123422",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Beacon in the Air: Optimizing Data Delivery for Wireless Energy Powered UAVs",
"venue": "MLICOM",
"year": 2019
},
{
"abstract": "A Helmholtz resonator can harvest the weak acoustic energy, but the traditional management circuits hardly efficiently obtain the weak energy due to the diode drop of the rectifier. A high efficiency management circuit for the weak energy is developed, and the conjugate impedance matching of the piezoelectric transducer and the energy storage of the inductance are realized. The proposed circuit has 59% and 94% higher charging voltages than traditional double synchronized switch harvesting and series synchronized switching harvesting with inductor circuits. The Helmholtz resonator with the proposed circuit can drive wireless sensors at a weak acoustic pressure of 0.2 Pa and can be used in other weak energy harvesters.",
"author_names": [
"Ping Li",
"Yumei Wen"
],
"corpus_id": 58566749,
"doc_id": "58566749",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Note: Weak acoustic energy harvesting and high efficiency storage energy management circuit for wireless sensor.",
"venue": "The Review of scientific instruments",
"year": 2018
},
{
"abstract": "The distributed spatial modulation (DSM) protocol, which allows relays to forward the source's data while simultaneously allowing the relays to transmit their own data, has been proposed by Narayanan et al. In this paper, we introduce two new protocols for enabling the DSM, consisting of single antenna network nodes, with simultaneous wireless information and power transfer capability: power splitting based DSM (PS DSM) and energy recycling based DSM (ER DSM) More specifically, the PS DSM relies on power splitters at the relay nodes to harvest energy transmitted from the source. On the other hand, the ER DSM, by exploiting the inactive cooperating relays in DSM based protocols, recycles part of the transmitted energy in the network, without relying on power splitters or time switches at the relays to harvest energy. This leads to an increase in the average harvested energy at the relays with reduced hardware complexity. Both the PS DSM and the ER DSM also retain all the original features of DSM. Due to its particular operating principle and specific advantages, we select the ER DSM as the candidate for further mathematical analysis. More specifically, by considering a multi state battery model, we propose an analytical framework based on a Markov chain formulation for modeling the charging/discharging behavior of the batteries at the relay nodes in the ER DSM. Furthermore, based on the derived Markov chain model, we introduce a mathematical framework for computing the error probability of the ER DSM, by explicitly taking into account, the effect of finite sized batteries. The frameworks are substantiated with the aid of Monte Carlo simulations for various system setups.",
"author_names": [
"Sandeep Narayanan",
"Mohammad R Shikh-Bahaei",
"Jiancao Hou",
"Mark F Flanagan"
],
"corpus_id": 52963317,
"doc_id": "52963317",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Wireless Powered Distributed Spatial Modulation With Energy Recycling and Finite Energy Storage",
"venue": "IEEE Transactions on Wireless Communications",
"year": 2018
},
{
"abstract": "Recent advances in energy harvesting (EH) technology have motivated the adoption of rechargeable mobile devices for communications. In this paper, we consider a point to point (P2P) wireless communication system in which an EH transmitter with a non ideal rechargeable battery is required to send a given fixed number of bits to the receiver before they expire according to a preset delay constraint. Due to the possible energy loss in the storage process, the harvest use and store (HUS) architecture is adopted. We characterize the properties of the optimal solutions, for additive white Gaussian channels (AWGNs) and then block fading channels, that maximize the energy efficiency (i.e. battery residual) subject to a given rate requirement. Interestingly, it is shown that the optimal solution has a water filling interpretation with double thresholds and that both thresholds are mono tonic. Based on this, we investigate the optimal double threshold based allocation policy and devise an algorithm to achieve the solution. Numerical results are provided to validate the theoretical analysis and to compare the optimal solutions with existing schemes.",
"author_names": [
"Fangchao Yuan",
"Shi Jin",
"Kai-Kit Wong",
"Keith Q T Zhang",
"Hongbo Zhu"
],
"corpus_id": 15429009,
"doc_id": "15429009",
"n_citations": 8,
"n_key_citations": 1,
"score": 0,
"title": "Optimal harvest use store design for delay constrained energy harvesting wireless communications",
"venue": "Journal of Communications and Networks",
"year": 2016
},
{
"abstract": "Energy harvesting (EH) technology has emerged as a promising energy supplier to unattended wireless systems. In the commonly used harvest store use (HSU) scheme, harvested energy is always stored in a battery before its subsequent use. The existence of storage loss in practical battery systems, however, unavoidably reduces the energy efficiency. In this paper, we therefore propose the use of a more efficient harvest use store (HUS) architecture for point to point data transmission, where the harvested energy is prioritized for use in data transmission while its balance/debt is stored in or extracted from the storage device. We derive the optimal energy polices, under the criterion of throughput maximization, for the HUS architecture on static and block fading channels, and investigate the properties of the resulting power allocation pattern. The optimization is done in the Lagrangian framework, uncovering the special structure of the optimal power pattern and obtaining a closed form solution conditioned on the knowledge of the block locations for zero battery level. A dynamic programming (DP) based algorithm is developed for locating such blocks in the optimal power patterns. Numerical results are presented to demonstrate the properties of the proposed HUS architecture and its superior performance over the existing schemes.",
"author_names": [
"Fangchao Yuan",
"Keith Q T Zhang",
"Shi Jin",
"Hongbo Zhu"
],
"corpus_id": 206825907,
"doc_id": "206825907",
"n_citations": 56,
"n_key_citations": 6,
"score": 0,
"title": "Optimal Harvest Use Store Strategy for Energy Harvesting Wireless Systems",
"venue": "IEEE Transactions on Wireless Communications",
"year": 2015
},
{
"abstract": "In this paper, a dual hop decode to forward cooperative system is considered where multiple relays are with finite energy storage and can harvest energy from the destination. In our analysis, the relays are spatially randomly located with invoking stochastic geometry. In an effort to improve spectral efficiency, an optimal source relay link scheme is employed. Assuming Nakagami $m$ fading, two different scenarios are considered: 1) the single antenna source with perfect channel state information (CSI) and 2) the multiple antenna source with transmit antenna selection and imperfect CSI. In both scenarios, the destination node is equipped with a single transmit antenna to forward power via frequency radio signal to the relay candidates. For improving the system performance, multiple antennas at the destination are considered to process the multiple copies of the received signal from the best relay. For characterizing the performance of the proposed scenarios, exact closed form analytical expressions for the outage probability are derived. To obtain further insights, we carry out diversity gain analysis by adopting asymptotic relative diversity. We also derive the exact closed form analytical expression for the system throughput. Finally, simulation results are presented to corroborate the proposed analysis and to show that: 1) the system performance is improved by enlarging the area of the circle and the density of the relays and 2) the energy storage size has impacts on the performance of considered networks, which determines the maximal transmit power at relays.",
"author_names": [
"Jia Ye",
"Hongjiang Lei",
"Yuanwei Liu",
"Gaofeng Pan",
"Daniel Benevides da Costa",
"Qiang Ni",
"Zhiguo Ding"
],
"corpus_id": 10947232,
"doc_id": "10947232",
"n_citations": 28,
"n_key_citations": 1,
"score": 0,
"title": "Cooperative Communications With Wireless Energy Harvesting Over Nakagami $m$ Fading Channels",
"venue": "IEEE Transactions on Communications",
"year": 2017
}
] |
Semiconductor Physical Electronics | [
{
"abstract": "The purpose of this book is to provide the reader with a self contained treatment of fundamen tal solid state and semiconductor device physics. The material presented in the text is based upon the lecture notes of a one year graduate course sequence taught by this author for many years in the .Department of Electrical Engineering of the University of Florida. It is intended as an introductory textbook for graduate students in electrical engineering. However, many students from other disciplines and backgrounds such as chemical engineering, materials science, and physics have also taken this course sequence, and will be interested in the material presented herein. This book may also serve as a general reference for device engineers in the semiconductor industry. The present volume covers a wide variety of topics on basic solid state physics and physical principles of various semiconductor devices. The main subjects covered include crystal structures, lattice dynamics, semiconductor statistics, energy band theory, excess carrier phenomena and recombination mechanisms, carrier transport and scattering mechanisms, optical properties, photoelectric effects, metal semiconductor devices, the p n junction diode, bipolar junction transistor, MOS devices, photonic devices, quantum effect devices, and high speed III V semiconductor devices. The text presents a unified and balanced treatment of the physics of semiconductor materials and devices. It is intended to provide physicists and mat erials scientists with more device backgrounds, and device engineers with a broader knowledge of fundamental solid state physics.\"",
"author_names": [
"Sheng San Li"
],
"corpus_id": 137436047,
"doc_id": "137436047",
"n_citations": 447,
"n_key_citations": 14,
"score": 1,
"title": "Semiconductor Physical Electronics",
"venue": "",
"year": 1993
},
{
"abstract": "",
"author_names": [
"Slobodan Mijalkovic"
],
"corpus_id": 108886045,
"doc_id": "108886045",
"n_citations": 98,
"n_key_citations": 5,
"score": 0,
"title": "Semiconductor physical electronics",
"venue": "",
"year": 1997
},
{
"abstract": "Today, the silicon carbide (SiC) semiconductor is becoming the front runner in advanced power electronic devices. This material has been considered to be useful for abrasive powder, refractory bricks as well as ceramic varistors. Big changes have occurred owing to the author's inspirational idea in 1968 to \"make transistors from unusual material\" The current paper starts by describing the history of SiC research involving fundamental studies by the author's group: unique epitaxial crystal growth techniques, the physical characterization of grown layers and processes for device fabrication. Trials for fabricating SiC power devices and their characteristics conducted until 2004 are precisely described. Recent progress in SiC crystal growth and peripheral techniques for SiC power devices are introduced. Finally, the present progress concerning SiC power devices is introduced together with the implementation of those devices in society.",
"author_names": [
"Hiroyuki Matsunami"
],
"corpus_id": 221121784,
"doc_id": "221121784",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "Fundamental research on semiconductor SiC and its applications to power electronics",
"venue": "Proceedings of the Japan Academy. Series B, Physical and biological sciences",
"year": 2020
},
{
"abstract": "Modern electronics is based on semiconductor nanostructures in practically all main parts: from microprocessor circuits and memory elements to high frequency and light emitting devices, sensors and photovoltaic cells. Metal Oxide Semiconductor Field Effect Transistor (MOSFET) with ultimately low gate length in the order of tens of nanometers and less is nowadays one of the basic elements of microprocessors and modern electron memory chips. Principally new physical peculiarities of semiconductor nanostructures are related to quantum effects like tunneling of charge carriers, controlled changing of energy band structure, quantization of energy spectrum of a charge carrier and a pronounced spin related phenomena. Superposition of quantum states and formation of entangled states of photons offers new opportunities for the realization of quantum bits, development of nanoscale systems for quantum cryptography and quantum computing. Advanced growth techniques such as molecular beam epitaxy and chemical vapour epitaxy, atomic layer deposition as well as optical, electron and probe nanolithography for nanostructure fabrication have been widely used. Nanostructure characterization is performed using nanometer resolution tools including high resolution, reflection and scanning electron microscopy as well as scanning tunneling and atomic force microscopy. Quantum properties of semiconductor nanostructures have been evaluated from precise electrical and optical measurements. Modern concepts of various semiconductor devices in electronics and photonics including single photon emitters, memory elements, photodetectors and highly sensitive biosensors are developed very intensively. The perspectives of nanostructured materials for the creation of a new generation of universal memory and neuromorphic computing elements are under lively discussion. This paper is devoted to a brief description of current achievements in the investigation and modeling of single electron and single photon phenomena in semiconductor nanostructures, as well as in the fabrication of a new generation of elements for micro nano, optoelectronics and quantum devices.",
"author_names": [
"A L Aseev",
"Alexander V Latyshev",
"A V Dvurechenskii"
],
"corpus_id": 221797079,
"doc_id": "221797079",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Semiconductor Nanostructures for Modern Electronics",
"venue": "",
"year": 2020
},
{
"abstract": "Future universal quantum computers solving problems of practical relevance are expected to require at least $10^6$ qubits, which is a massive scale up from the present numbers of less than 50 qubits operated together. Out of the different types of qubits, solid state qubits are considered to be viable candidates for this scale up, but interfacing to and controlling such a large number of qubits is a complex challenge that has not been solved yet. One possibility to address this challenge is to use qubit control circuits located close to the qubits at cryogenic temperatures. In this work we evaluate the feasibility of this idea, taking as a reference the physical requirements of a two electron spin qubit and the specifications of a standard 65 nm complementary metal oxide semiconductor (CMOS) process. Using principles and flows from electrical systems engineering we provide realistic estimates of the footprint and of the power consumption of a complete control circuit architecture. Our results show that with further research it is possible to provide scalable electrical control in the vicinity of the qubit, with our concept.",
"author_names": [
"Lotte Geck",
"Andre Kruth",
"Hendrik Bluhm",
"Stefan van Waasen",
"Stefan Heinen"
],
"corpus_id": 75136090,
"doc_id": "75136090",
"n_citations": 7,
"n_key_citations": 0,
"score": 0,
"title": "Control Electronics For Semiconductor Spin Qubits",
"venue": "ArXiv",
"year": 2019
},
{
"abstract": "Gallium oxide (Ga2O3) is a new semiconductor material which has the advantage of ultrawide bandgap, high breakdown electric field, and large Baliga's figure of merit (BFOM) so it is a promising candidate for the next generation high power devices including Schottky barrier diode (SBD) In this paper, the basic physical properties of Ga2O3 semiconductor have been analyzed. And the recent investigations on the Ga2O3 based SBD have been reviewed. Meanwhile, various methods for improving the performances including breakdown voltage and on resistance have been summarized and compared. Finally, the prospect of Ga2O3 based SBD for power electronics application has been analyzed.",
"author_names": [
"Huiwen Xue",
"Qiming He",
"Guangzhong Jian",
"Shibing Long",
"Tao Pang",
"Ming Liu"
],
"corpus_id": 52305205,
"doc_id": "52305205",
"n_citations": 58,
"n_key_citations": 1,
"score": 0,
"title": "An Overview of the Ultrawide Bandgap Ga2O3 Semiconductor Based Schottky Barrier Diode for Power Electronics Application",
"venue": "Nanoscale Research Letters",
"year": 2018
},
{
"abstract": "The response of the electronic devices subjected to the Electromagnetic Pulse (EMP) effect is a complex problem. The damage to the electronic device due to the EMP is one of the main failure mechanisms in the modern semiconductor electronic systems. The study of the induced physical effects on the semiconductor electronics in this particular aspect of damage is applicable not only to EMP problems but is also applicable when the high transient voltages appear in the circuits whether the pulse origin is EMP, or a transient transform within the system itself, which we will also address briefly.",
"author_names": [
"V V Shurenkov",
"Russian Federation"
],
"corpus_id": 53315745,
"doc_id": "53315745",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "The Induced Physical Effects on the Semiconductor Electronics under Electromagnetic Pulse",
"venue": "",
"year": 2015
},
{
"abstract": "Semiconductor nanoparticles is an important class of nanostructured materials that have a plethora of interesting physical, chemical, mechanical, optical, and electronic, properties. This chapter provides an overview of research pertaining to various nanostructured materials in general and core shell nanoparticles (CSNs) in particular. Different classification criteria of nanostructured materials based on their sources, dimensions, structural configurations, and nature of core/shell materials have been discussed. Semiconducting core shell nanoparticles are segregated into different types based on the energy levels of the core and shell materials. Optical and electronic properties of CSNs along with synthesis techniques are discussed in details. Moreover, semiconductor core shell nanostructure materials highlight the key developments and current status in fields, such as electronic, health, agricultural, food processing, sustainable energy, and environmental catalysis. This chapter covers an introduction to the field of semiconductor and core shell nanostructures and provides comprehensive understanding to various aspects of these materials in details.",
"author_names": [
"Manoj Kumar Nayak",
"Jaswant Singh",
"Baljit Singh",
"Shilpa Soni",
"Vidhu Pandey",
"Sachin Tyagi"
],
"corpus_id": 136450084,
"doc_id": "136450084",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Introduction to semiconductor nanomaterial and its optical and electronics properties",
"venue": "",
"year": 2017
},
{
"abstract": "Superconductivity is a rare physical state in which matter is able to conduct electricity maintain a flow of electrons without any resistance. It can only be found in certain materials, and even then it can only be achieved under controlled conditions of low temperatures and high pressures. New research from a team including Carnegie's Elissaios Stavrou, Xiao Jia Chen, and Alexander Goncharov hones in on the structural changes underlying superconductivity in iron arsenide compounds those containing iron and arsenic. [26]",
"author_names": [
"George Rajna"
],
"corpus_id": 56438069,
"doc_id": "56438069",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Atom Thin Semiconductor for Electronics",
"venue": "",
"year": 2017
},
{
"abstract": "In recent years, growing attention has been paid to the threat posed by high power microwave electromagnetic interference, which can couple into semiconductor electronic devices intentionally from microwave sources or unintentionally due to the proximity to general environmental HF signals. The microwave interference is often considered to have a pulse width ranging from several to several hundreds of nanoseconds. This paper examines physical mechanism of malfunction and destruction of electronic devices by high power microwaves electromagnetic pulse",
"author_names": [
"V V Shurenkov",
"V S Pershenkov"
],
"corpus_id": 55426114,
"doc_id": "55426114",
"n_citations": 10,
"n_key_citations": 0,
"score": 0,
"title": "ELECTROMAGNETIC PULSE EFFECTS AND DAMAGE MECHANISM ON THE SEMICONDUCTOR ELECTRONICS",
"venue": "",
"year": 2016
}
] |
cancer imaging agents | [
{
"abstract": "Approximately 1.7 million new cases of cancer will be diagnosed this year in the United States leading to 600 000 deaths. Patient survival rates are highly correlated with the stage of cancer diagnosis, with localized and regional remission rates that are much higher than for metastatic cancer. The current standard of care for many solid tumors includes imaging and biopsy with histological assessment. In many cases, after tomographical imaging modalities have identified abnormal morphology consistent with cancer, surgery is performed to remove the primary tumor and evaluate the surrounding lymph nodes. Accurate identification of tumor margins and staging are critical for selecting optimal treatments to minimize recurrence. Visible, fluorescent, and radiolabeled small molecules have been used as contrast agents to improve detection during real time intraoperative imaging. Unfortunately, current dyes lack the tissue specificity, stability, and signal penetration needed for optimal performance. Quantum dots (QDs) represent an exciting class of fluorescent probes for optical imaging with tunable optical properties, high stability, and the ability to target tumors or lymph nodes based on surface functionalization. Here, state of the art biocompatible QDs are compared with current Food and Drug Administration approved fluorophores used in cancer imaging and a perspective on the pathway to clinical translation is provided.",
"author_names": [
"Kevin J McHugh",
"Lihong Jing",
"Adam M Behrens",
"Surangi N Jayawardena",
"Wen Tang",
"Mingyuan Gao",
"Robert S Langer",
"Ana Jaklenec"
],
"corpus_id": 3458678,
"doc_id": "3458678",
"n_citations": 113,
"n_key_citations": 0,
"score": 0,
"title": "Biocompatible Semiconductor Quantum Dots as Cancer Imaging Agents.",
"venue": "Advanced materials",
"year": 2018
},
{
"abstract": "The development of molecular therapies for cancer treatment has created a need to image biochemical and molecular processes to appropriately select tumors that express the drug target, thereby predicting a positive response to therapy. Biomarker driven molecular imaging is complementary to pathologic analysis and offers a more direct measure of drug efficacy and treatment response, potentially providing early insight into therapeutic futility and allowing response adapted treatment strategies. Imaging also allows a unique means of assessing the heterogeneity of both intra and intertumoral targets as well as a mixed response to therapy; this information is important in the setting of metastatic disease. Here we review the development of novel molecular imaging probes and combinations of probes to guide therapy for two new targets and associated therapeutic agents: cyclin dependent kinase inhibitors and poly(adenosine diphosphate ribose) polymerase inhibitors.",
"author_names": [
"Elizabeth S McDonald",
"David A Mankoff",
"Robert Henry Mach"
],
"corpus_id": 8354153,
"doc_id": "8354153",
"n_citations": 8,
"n_key_citations": 0,
"score": 0,
"title": "Novel Strategies for Breast Cancer Imaging: New Imaging Agents to Guide Treatment",
"venue": "The Journal of Nuclear Medicine",
"year": 2016
},
{
"abstract": "Molecular imaging is an emerging strategy for in vivo visualization of cancer over time based on biological mechanisms of disease activity. Optical imaging methods offer a number of advantages for real time cancer detection, particularly in the epithelium of hollow organs and ducts, by using a broad spectral range of light that spans from visible to near infrared. Targeted ligands are being developed for improved molecular specificity. These platforms include small molecule, peptide, affibody, activatable probes, lectin, and antibody. Fluorescence labeling is used to provide high image contrast. This emerging methodology is clinically useful for early cancer detection by identifying and localizing suspicious lesions that may not otherwise be seen and serves as a guide for tissue biopsy and surgical resection. Visualizing molecular expression patterns may also be useful to determine the best choice of therapy and to monitor efficacy. A number of these imaging agents are overcoming key challenges for clinical translation and are being validated in vivo for a wide range of human cancers.",
"author_names": [
"Bishnu P Joshi",
"Thomas D Wang"
],
"corpus_id": 52279266,
"doc_id": "52279266",
"n_citations": 39,
"n_key_citations": 0,
"score": 0,
"title": "Targeted Optical Imaging Agents in Cancer: Focus on Clinical Applications",
"venue": "Contrast media molecular imaging",
"year": 2018
},
{
"abstract": "Cancer, as one of the most life threatening diseases, shows a high fatality rate around the world. When improving the therapeutic efficacy of conventional cancer treatments, researchers also conduct extensive studies into alternative therapeutic approaches, which are safe, valid, and economical. Phototherapies, including photodynamic therapy (PDT) and photothermal therapy (PTT) are tumor ablative and function reserving oncologic interventions, showing strong potential in clinical cancer treatment. During phototherapies, the non toxic phototherapeutic agents can be activated upon light irradiation to induce cell death without causing much damage to normal tissues. Besides, with the rapid development of nanotechnology in the past decades, phototheranostic nanomedicine also has attracted tremendous interests aiming to continuously refine their performance. Herein, we reviewed the recent progress of phototheranostic nanomedicine for improved cancer therapy. After a brief introduction of the therapeutic principles and related phototherapeutic agents for PDT and PTT, the existing works on developing of phototheranostic nanomedicine by mainly focusing on their categories and applications, particularly on phototherapy synergized cancer immunotherapy, are comprehensively reviewed. More importantly, a brief conclusion and future challenges of phototheranostic nanomedicine from our point of view are delivered in the last part of this article.",
"author_names": [
"Di Gao",
"Xiaoqing Guo",
"Xingcai Zhang",
"Shuojia Chen",
"Ting-Lung Chen",
"Gang Huang",
"Yanzheng Gao",
"Zhongmin Tian",
"Zhe Yang"
],
"corpus_id": 209247990,
"doc_id": "209247990",
"n_citations": 74,
"n_key_citations": 0,
"score": 0,
"title": "Multifunctional phototheranostic nanomedicine for cancer imaging and treatment",
"venue": "Materials today. Bio",
"year": 2020
},
{
"abstract": "Abstract Selective receptor targeting peptide based agents have attracted considerable attention in molecular imaging of tumor cells that overexpress corresponding peptide receptors due to their unique properties such as rapid clearance from circulation as well as high affinities and specificities for their targets. The rapid growth of chemistry modification techniques has enabled the design and development of various peptide based imaging agents with enhanced metabolic stability, favorable pharmacokinetics, improved binding affinity and selectivity, better imaging ability as well as biosafety. Among them, many radiolabeled peptides have already been translated into the clinic with impressive diagnostic accuracy and sensitivity. This review summarizes the current status in the development of peptide based imaging agents with an emphasis on the consideration of probe design including the identification of suitable peptides, the chemical modification of probes and the criteria for clinical translation. Specific examples in clinical trials have been provided as well with respect to their diagnostic capability compared with other FDA approved imaging agents. Graphical abstract Figure. No Caption available.",
"author_names": [
"Xiaolian Sun",
"Yesen Li",
"Ting Liu",
"Zijing Li",
"Xianzhong Zhang",
"Xiaoyuan Chen"
],
"corpus_id": 4589650,
"doc_id": "4589650",
"n_citations": 113,
"n_key_citations": 2,
"score": 0,
"title": "Peptide based imaging agents for cancer detection",
"venue": "Advanced drug delivery reviews",
"year": 2017
},
{
"abstract": "BACKGROUND Breast cancer accounts for nearly one in three cancers, and it is the most common cancer diagnosed among women. The death rate of breast cancer is estimated to be 14% Hence, accurate diagnosis in early stage and effective treatment in any stage are critical for the survival of breast cancer. Mammogram has been the most common technique administered to detect breast cancer. However, the radiation dose from mammogram is harmful to patients. Fortunately, magnetic resonance imaging (MRI) can diagnose breast cancer without any radiation dose, and enhanced MRI can make earlier and differential diagnosis. Therefore, as contrast materials, superparamagnetic iron oxide based nanoprobes (SPIONs) have generated a great deal of attention. OBJECTIVE This review covers recent advances in SPIONs as multifunctional theranostic agents. METHODS Besides synthesis and surface modification of SPIONs, passive and active targeted imaging is also discussed. Moreover, a serial of potential therapy for breast cancer is further described, such as photodynamic therapy, photothermal therapy, chemotherapy and magnetic hyperthermia therapy. CONCLUSION Preparation and surface modification of SPIONs is critical for imaging diagnosis of breast cancer and further potential treatment.",
"author_names": [
"Jianjun Zheng",
"Wenzhi Ren",
"Tianxiang Chen",
"Yinhua Jin",
"Aijing Li",
"Kun Yan",
"Yijiao Wu",
"Aiguo Wu"
],
"corpus_id": 5777790,
"doc_id": "5777790",
"n_citations": 13,
"n_key_citations": 0,
"score": 0,
"title": "Recent Advances in Superparamagnetic Iron Oxide Based Nanoprobes as Multifunctional Theranostic Agents for Breast Cancer Imaging and Therapy.",
"venue": "Current medicinal chemistry",
"year": 2018
},
{
"abstract": "Bone metastases result from the invasion of primary tumors to bone. Current treatment modalities include local treatments such as surgery and radiotherapy, while systemic treatments include chemotherapy and (palliative) treatment of skeletal metastases. Nevertheless, once bone metastases have been established they remain incurable leading to morbidity and mortality. Bisphosphonates are a well established class of drugs, which are increasingly applied in the treatment of bone cancers owing to their effective inhibition of tumor cells and suppression of bone metastases. The increased understanding of the mechanism of action of bisphosphonates on bone and tumor cells has prompted the development of novel bisphosphonate functionalized imaging and therapeutic agents. This review provides an update on the preclinical efficacy of bisphosphonate functionalized fluorophore, anti tumor agents and nanocarriers for the treatment of bone metastases. After an overview of the general characteristics of bisphosphonates and their mechanisms of action, an outline is provided on the various conjugation strategies that have become available to functionalize imaging agents, anti tumor agents and nanocarriers with bisphosphonates. Finally, the efficacy of these bisphosphonate modified agents and carriers in preclinical studies is evaluated by reviewing their potential to target tumors and inhibit tumor growth in clinically relevant animal models for the treatment of bone cancer.",
"author_names": [
"Robin A Nadar",
"Nicola Margiotta",
"Michele Iafisco",
"Jeroen J J P van den Beucken",
"Otto C Boerman",
"Sander G C Leeuwenburgh"
],
"corpus_id": 45511880,
"doc_id": "45511880",
"n_citations": 24,
"n_key_citations": 0,
"score": 0,
"title": "Bisphosphonate Functionalized Imaging Agents, Anti Tumor Agents and Nanocarriers for Treatment of Bone Cancer",
"venue": "Advanced healthcare materials",
"year": 2017
},
{
"abstract": "Cancer cells reprogram their gene expression to promote growth, survival, proliferation, and invasiveness. The unique expression of certain uptake transporters in cancers and their innate function to concentrate small molecular substrates in cells make them ideal targets for selective delivering imaging and therapeutic agents into cancer cells. In this review, we focus on several solute carrier (SLC) transporters known to be involved in transporting clinically used radiopharmaceutical agents into cancer cells, including the sodium/iodine symporter (NIS) norepinephrine transporter (NET) glucose transporter 1 (GLUT1) and monocarboxylate transporters (MCTs) The molecular and functional characteristics of these transporters are reviewed with special emphasis on their specific expressions in cancers and interaction with imaging or theranostic agents [e.g. I 123, I 131, 123I iobenguane (mIBG) 18F fluorodeoxyglucose (18F FDG) and 13C pyruvate] Current clinical applications and research areas of these transporters in cancer diagnosis and treatment are discussed. Finally, we offer our views on emerging opportunities and challenges in targeting transporters for cancer imaging and treatment. By analyzing the few clinically successful examples, we hope much interest can be garnered in cancer research towards uptake transporters and their potential applications in cancer diagnosis and treatment.",
"author_names": [
"Yuchen Zhang",
"Joanne Wang"
],
"corpus_id": 210945103,
"doc_id": "210945103",
"n_citations": 20,
"n_key_citations": 1,
"score": 1,
"title": "Targeting uptake transporters for cancer imaging and treatment",
"venue": "Acta pharmaceutica Sinica. B",
"year": 2020
},
{
"abstract": "Imaging plays an increasing role in prostate cancer diagnosis and staging. Accurate staging of prostate cancer is required for optimal treatment planning. In detecting extraprostatic cancer and sites of early recurrence, traditional imaging methods (computed tomography, magnetic resonance imaging, radionuclide bone scan) have suboptimal performance. This leaves a gap between known disease recurrence as indicated by rising prostate specific antigen and the ability to localize the recurrence on imaging. Novel positron emission tomography (PET) agents including radiolabeled choline, fluciclovine 18 F FACBC) and agents targeting prostate specific membrane antigen are being developed and tested to increase diagnostic performance of non invasive prostate cancer localization. When combined with CT or MRI, these tracers offer a combination of functional information and anatomic localization that is superior to conventional imaging methods. These PET radiotracers have varying mechanisms and excretion patterns affecting their pharmacokinetics and diagnostic performance, which will be reviewed in this article.",
"author_names": [
"Stephanie M Walker",
"Ilhan Lim",
"Liza Lindenberg",
"Esther Mena",
"Peter L Choyke",
"Baris Turkbey"
],
"corpus_id": 211074284,
"doc_id": "211074284",
"n_citations": 9,
"n_key_citations": 0,
"score": 0,
"title": "Positron emission tomography (PET) radiotracers for prostate cancer imaging",
"venue": "Abdominal Radiology",
"year": 2020
},
{
"abstract": "Gas filled particles (microbubbles) can be prepared and stabilized for intravascular use as contrast agents in ultrasound imaging. Microbubbles are used in clinics as blood pool contrast materials for the past two decades. Shell of these bubbles is made of biocompatible and biodegradable lipids, proteins, and/or polymers. Gas core is air, or, lately, a perfluorinated gas, poorly soluble in water and blood. Making them useful for molecular targeting and molecular imaging in oncology is accomplished by decorating the shell of these particles with targeting ligands, that will selectively bind to the specific markers of tumor vasculature. In this review we discuss the formulation strategy for microbubble preparation, the logic of bubble shell selection, coupling tools that are used for the attachment of targeting ligands, and examples of the application of gas filled bubbles for molecular imaging in oncology.",
"author_names": [
"Alexander L Klibanov"
],
"corpus_id": 220255185,
"doc_id": "220255185",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Ultrasound Molecular Imaging of Cancer: Design and Formulation Strategies of Targeted Contrast Agents.",
"venue": "Recent results in cancer research. Fortschritte der Krebsforschung. Progres dans les recherches sur le cancer",
"year": 2020
}
] |
energy gap InGaN | [
{
"abstract": "Since heat generation in electronic devices induces the degradation of performance, the understanding of their thermal properties is required. InGaN and AlInN alloys are possibly the key materials for optical devices in green gap and high power transistors. Characteristic properties of local strain, fluctuation of energy gap, carrier dynamics, and so forth due to spatial nonuniformity of alloy composition are now under investigation, while nonuniform thermal conduction remains unclear. In this report we show nonuniform heat or phonon conductance in the nonuniform field of InGaN alloy composition. An In0.16Ga0.84N (110nm) film grown on GaN grown on a sapphire substrate was characterized by micro Raman spectroscopy with controlling spot positions of two color lasers: 325nm for electronic excitation and phonon generation and 532nm for Raman probe. Thermal properties were analyzed by two dimensional mapping of Raman signal by 532 nm line and its energy shift by introducing the 325nm line. It is found that the phonon transport mainly takes place along the region with low GaN mole fraction or low phonon energy, while some of phonons are transported through narrow regions with higher GaN mole fraction. This pump and probe Raman method gives us phonon transport properties in semiconductors.",
"author_names": [
"Shungo Okamoto",
"Naomichi Saito",
"Bei Ma",
"Kensuke Oki",
"Ken Morita",
"Kazuhiro Ohkawa",
"Yoshihiro Ishitani"
],
"corpus_id": 201811874,
"doc_id": "201811874",
"n_citations": 0,
"n_key_citations": 0,
"score": 1,
"title": "Local phonon analysis in InGaN film by mapping of Raman peak energy",
"venue": "2019 Compound Semiconductor Week (CSW)",
"year": 2019
},
{
"abstract": "We have used high resolution transmission electron microscopy (HRTEM) aberration corrected quantitative scanning transmission electron microscopy (Q STEM) atom probe tomography (APT) and X ray diffraction (XRD) to study the atomic structure of (0001) polar and (11 20) non polar InGaN quantum wells (QWs) This paper provides an overview of the results. Polar (0001) InGaN in QWs is a random alloy, with In replacing Ga randomly. The InGaN QWs have atomic height interface steps, resulting in QW width fluctuations. The electrons are localised at the top QW interface by the built in electric field and the well width fluctuations, with a localisation energy of typically 20meV. The holes are localised near the bottom QW interface, by indium fluctuations in the random alloy, with a localisation energy of typically 60meV. On the other hand, the non polar (11 20) InGaN QWs contain nanometre scale indium rich clusters which we suggest localise the carriers and produce longer wavelength (lower energy) emission than from random alloy non polar InGaN QWs of the same average composition. The reason for the indium rich clusters in non polar (11 20) InGaN QWs is not yet clear, but may be connected to the lower QW growth temperature for the (11 20) InGaN QWs compared to the (0001) polar InGaN QWs.",
"author_names": [
"Colin J Humphreys",
"James T Griffiths",
"Fengzai Tang",
"Fabrice Oehler",
"Scott D Findlay",
"Changlin Zheng",
"Joanne Etheridge",
"Tomas L Martin",
"Paul A J Bagot",
"Michael P Moody",
"Danny Sutherland",
"Phil Dawson",
"Stefan Schulz",
"S Zhang",
"W Fu",
"Tongtong Zhu",
"Menno J Kappers",
"Rachel A Oliver"
],
"corpus_id": 22698328,
"doc_id": "22698328",
"n_citations": 20,
"n_key_citations": 0,
"score": 0,
"title": "The atomic structure of polar and non polar InGaN quantum wells and the green gap problem.",
"venue": "Ultramicroscopy",
"year": 2017
},
{
"abstract": "Abstract The activation energy for Mg acceptor in In x Ga 1 x N alloys is investigated. It is found that there are three factors to influence the activation energy for Mg acceptor. One is the stronger dependence of the VBM of In x Ga 1 x N depending on In content than that of the Mg acceptor energy level. The other is the concentration of Mg acceptors. Another is the extending of the valence band tail states into the band gap. In addition, a model based on modifying the effective mass model is developed. It is found that the model can describe the activation energy for Mg acceptor in the Ga rich In x Ga 1 x N alloys well after considering the influence of the valence band tail states.",
"author_names": [
"Chuanzhen Zhao",
"Tong Wei",
"Li-Ying Chen",
"Sha-Sha Wang",
"Jun Wang"
],
"corpus_id": 126195546,
"doc_id": "126195546",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "The activation energy for Mg acceptor in the Ga rich InGaN alloys",
"venue": "",
"year": 2017
},
{
"abstract": "One of the key requirements to achieve solar conversion efficiencies greater than 50% is a photovoltaic device with a band gap of 2.4 eV or greater. lnxGa1 xN is one of a few alloys that can meet this key requirement. InGaN with indium compositions varying from 0 to 40% is grown by both metal organic, chemical vapor deposition (MOCVD) and molecular beam epitaxy (MBE) and studied for suitability in photovoltaic applications. Structural characterization is done using X ray diffraction, while optical properties are measured using photoluminescence and absorption transmission measurements. These material properties are used to design various configurations of solar cells in PC1D. Solar cells are grown and fabricated using methods derived from the III N LED and photodetector technologies. The fabricated solar cells have open circuit voltages around 2.4 V and internal quantum efficiencies as high as 60% Major loss mechanisms in these devices are identified and methods to further improve efficiencies are discussed",
"author_names": [
"Omkar K Jani",
"Christiana B Honsberg",
"Yong Zhi Huang",
"J -O Song",
"Ian T Ferguson",
"Gon Namkoong",
"Elaissa Trybus",
"Alan Doolittle",
"Sarah R Kurtz"
],
"corpus_id": 11454329,
"doc_id": "11454329",
"n_citations": 22,
"n_key_citations": 0,
"score": 0,
"title": "Design, Growth, Fabrication and Characterization of High Band Gap InGaN/GaN Solar Cells",
"venue": "2006 IEEE 4th World Conference on Photovoltaic Energy Conference",
"year": 2006
},
{
"abstract": "Injection current dependences of electroluminescence transition energy in blue InGaN/GaN multiple quantum wells light emitting diodes (LEDs) with different quantum barrier thicknesses under pulsed current conditions have been analyzed taking into account the related effects including deformation caused by lattice strain, quantum confined Stark effects due to polarization field partly screened by carriers, band gap renormalization, Stokes like shift due to compositional fluctuations which are supposed to be random alloy fluctuations in the sub nanometer scale, band filling effect (Burstein Moss shift) and quantum levels in finite triangular wells. The bandgap renormalization and band filling effect occurring at high concentrations oppose one another, however, the renormalization effect dominates in the concentration range studied, since the band filling effect arising from the filling in the tail states in the valence band of quantum wells is much smaller than the case in the bulk materials. In order to c.",
"author_names": [
"Feng Zhang",
"Masao Ikeda",
"Kun Zhou",
"Zongshun Liu",
"Jianping Liu",
"Shu-ming Zhang",
"Hui Yang"
],
"corpus_id": 116890110,
"doc_id": "116890110",
"n_citations": 15,
"n_key_citations": 0,
"score": 0,
"title": "Injection current dependences of electroluminescence transition energy in InGaN/GaN multiple quantum wells light emitting diodes under pulsed current conditions",
"venue": "",
"year": 2015
},
{
"abstract": "In this study, non radiative recombination center in InGaN films with varying III/N ratio grown by rf molecular beam epitaxy was investigated directly by piezoelectric photothermal spectroscopy (PPTS) To clarify the influence of the III/N supply ratio during the InGaN layer growth, the nitrogen flow rate was changed from 1.0 to 2.0 sccm. Since metallic indium droplets and phase separation were observed for the samples grown by low nitrogen flow rate, it was found that these have been grown under a group III rich condition. With increasing nitrogen flow rate, the indium content in InGaN films increased. The estimated non radiative recombination edges determined from PPTS method (PPT edge) red shifted with increasing the nitrogen flow rate. The energy difference between estimated band edge and the PPT edges increased with increasing flow rate under group III rich condition, and became saturated under the N rich condition. It is considered that the existence of the phase separation is related to this behavior. (c) 2008 WILEY VCH Verlag GmbH Co. KGaA, Weinheim)",
"author_names": [
"Hironori Komaki",
"T Shimohara",
"Kentaro Sakai",
"Ryuji Katayama",
"Kentaro Onabe",
"Atsuhiko Fukuyama",
"Tetsuo Ikari"
],
"corpus_id": 94890602,
"doc_id": "94890602",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Band gap energy fluctuations in InGaN films grown by RF MBE with changing nitrogen supply rate investigated by a piezoelectric photothermal spectroscopy",
"venue": "",
"year": 2008
},
{
"abstract": "We have carried out a theoretical study for calculating the electronic and optical properties of \\text{In}}_{x} \\text{Ga}}_{1 x} \\text{N}\\text{GaN} $InxGa1 xN/GaN(001) superlattices with short periodicity, while In composition is altered from 0 to 100 These appealing systems have been simulated using ab initio method in the framework of full potential linearized augmented plane wave scheme. In this respect, a modified Becke Johnson for the exchange and correlation potential term is included for describing adequately the energy gap of these promising low dimensional materials. Exclusively, we computed the density of states, imaginary part of dielectric function, refractive index and absorption coefficient. However, it is viable to control the optical properties of these superlattices which may be useful for optoelectronic devices application.",
"author_names": [
"Amel Laref",
"A Altujar",
"Shi-jun Luo"
],
"corpus_id": 95562720,
"doc_id": "95562720",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Theoretical study of band gap engineering and optical absorption in InGaN/GaN superlattices with short periodicity",
"venue": "",
"year": 2014
},
{
"abstract": "Optoelectronic systems based on elements of the nitride family, mainly, those based on GaN/InGaN alloys offer huge potentialities in solar cell applications, as example in concentrated photovoltaic (CPV) for the realization of solar photovoltaic cells (SPC) mainly thanks to the large tunability of the band gap related to the ternary In Ga N concentrations in the layers. This paper investigates temperature effects on the electronic and electrical parameters, and thus efficiency of GaN/InGaN SPCs with respect to the N face configuration. In an attempt to determine the energy bands all along with the cell's photovoltaic parameters, a numerical model is proposed. The model considers the indium composition and the host lattice temperature. Moreover, the developed model highlights the polarization effects on the performances of nitride SPCs.",
"author_names": [
"Rabeb Belghouthi",
"Michel Aillerie",
"Amani Rached",
"H Mejri"
],
"corpus_id": 139918212,
"doc_id": "139918212",
"n_citations": 16,
"n_key_citations": 0,
"score": 0,
"title": "Effect of temperature on electronic and electrical behavior of InGaN double hetero junction p i n solar cells",
"venue": "Journal of Materials Science: Materials in Electronics",
"year": 2019
},
{
"abstract": "Abstract. We demonstrate an effective approach to enhancing the performance of III nitride based photoelectrode by utilization of hot electrons generated from localized surface plasmons (LSPs) The device designed as a TiO2 Au InGaN sandwich structure is fabricated by cladding Au nanodroplets with a thin TiO2 layer to provide a large interfacial layer, which is beneficial for the hot electron collection efficiency by electron reflection via an additional energy barrier. The applied bias photo to current efficiency is enhanced by up to four times due to the contribution of hot electrons generated from the absorbed light with wavelengths >470 nm, corresponding to photon energies below the band gap of InGaN (2.67 eV) recognized from incident photon to current efficiency spectrum. The LSPs of our photoelectrodes also exhibit a suppressed size dependence on the metal nanodroplets, feasible for mass production.",
"author_names": [
"Yaonan Hou"
],
"corpus_id": 132520717,
"doc_id": "132520717",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "Engineering hot electrons of localized surface plasmon on InGaN photoanode for solar powered water splitting",
"venue": "Journal of Photonics for Energy",
"year": 2019
},
{
"abstract": "Due to their technological application, a precise prediction of the optical properties of III nitride alloys is significantly attractive. Numerical simulation based on first principles calculations is applied to study the structural characteristics and band energy properties of the zincblende Ga1 xInxN. The purpose of this study is to explore theoretically the electronic and optical characteristics of the parent InN and GaN compounds and their ternary alloys by utilizing KKR CPA approach. We show that density functional theory is a very powerful tool for: 1 studying the local doping effects, 2 defects effect, 3 size and disorder effect on optical and electronic properties of these materials, 4 predicting properties of new materials.",
"author_names": [
"Elmehdi Salmani",
"Adil Marjaoui",
"Omar Mounkachi",
"Monaam Ben Ali",
"Hassan El Moussaoui",
"Hamid Ez-Zahraouy",
"Mohamed Hamedoun",
"Mohammed Benaissa",
"Abdelilah Benyoussef"
],
"corpus_id": 24997814,
"doc_id": "24997814",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Band gap engineering of (InGaN) for photovoltaic application",
"venue": "2014 International Renewable and Sustainable Energy Conference (IRSEC)",
"year": 2014
}
] |
Power and efficiency enhancement of 3G multicarrier amplifiers using digital signal processing with experimental validation | [
{
"abstract": "This paper proposes a digital signal processing based approach suitable for the performance optimization of third generation (3G) amplifiers in terms of spectrum and power. A peak to average power ratio (PAPR) reduction method, which is coding and modulation independent, based on peak clipping and digital filtering techniques, is proposed. Moreover, the multibranch memory polynomial pre distorter identified with an optimized recursive least square technique was efficiently implemented in a digital signal processor. The cascade of the proposed PAPR reduction technique with the memory pre distorter results in a substantial enhancement of the power amplifier (PA) output linear power and efficiency, while still meeting the 3G partnership project standard requirements. An experimental validation carried out on a 90 W laterally diffused metal oxide semiconductor PA, which was fed with a wide band code division multiple access signal, led to a 4 dB rise in output mean linear power accompanied with 60% increase in its power added efficiency.",
"author_names": [
"Mohamed Helaoui",
"Slim Boumaiza",
"Adel Ghazel",
"Fadhel M Ghannouchi"
],
"corpus_id": 18658323,
"doc_id": "18658323",
"n_citations": 61,
"n_key_citations": 2,
"score": 1,
"title": "Power and efficiency enhancement of 3G multicarrier amplifiers using digital signal processing with experimental validation",
"venue": "IEEE Transactions on Microwave Theory and Techniques",
"year": 2006
},
{
"abstract": "In this article, we propose a novel simultaneous wireless information and power transfer (SWIPT) scheme for the Internet of Things (IoT) Different from the conventional power splitting (PS) and time switching (TS) schemes, the proposed scheme sends the wireless power via the unmodulated high power continuous wave (CW) and transmits information by using a small modulated signal in order to reduce the interference and to enhance the power amplifier efficiency. We design a receiver circuit for processing such SWIPT signals, which is designed with the aim of minimizing the circuit complexity and power consumption for information decoding. This goal is achieved by first rectifying the received signal and then splitting the power and information signals. We analyze the proposed receiver circuit and derive the closed form expression for the energy harvesting efficiency and the frequency response of the communication signal. We have implemented the proposed receiver circuit and built the real time testbed for experimenting with simultaneous transmission of information and power. By experiments, we have verified the correctness of the receiver circuit analysis and shown the validity of the proposed SWIPT scheme.",
"author_names": [
"Kae Won Choi",
"Sa Il Hwang",
"Arif Abdul Aziz",
"Hyeon Ho Jang",
"Ji Su Kim",
"Dong Soo Kang",
"Dong In Kim"
],
"corpus_id": 214173516,
"doc_id": "214173516",
"n_citations": 21,
"n_key_citations": 2,
"score": 0,
"title": "Simultaneous Wireless Information and Power Transfer (SWIPT) for Internet of Things: Novel Receiver Design and Experimental Validation",
"venue": "IEEE Internet of Things Journal",
"year": 2020
},
{
"abstract": "Due to the increasing demands for large capacity and high performance wireless transmitters, multi band transmitter architectures play an important role in modern communications. Thus, advances in the design techniques of radio frequency (RF) power amplifiers (PAs) have promoted the use of a single multi band PA and RF components in order to concurrently process multiple input signals located in different frequency bands. In this paper, digital signal processing (DSP) techniques for linearity and efficiency enhancement of multi band envelope tracking (ET) transmitters are discussed. A two dimensional digital predistortion (2D DPD) is used for linearization of the dual band PA around each band separately, relaxing the high speed requirements needed by wideband DPD. Power efficiency enhancement of the dual band PA is accomplished by adopting bandwidth reduced envelope shaping functions that depend on the original envelopes of the two concurrent signals driving the PA, which provides a good compromise between efficiency and linearity. The proposed architecture is experimentally validated where linearization and efficiency enhancement results are presented.",
"author_names": [
"Fadhel M Ghannouchi",
"Andrew K C Kwan",
"Mayada F Younes",
"Wenhua Chen"
],
"corpus_id": 21619505,
"doc_id": "21619505",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "DSP techniques for linearity and efficiency enhancement of multi band envelope tracking transmitters",
"venue": "2014 Asia Pacific Microwave Conference",
"year": 2014
},
{
"abstract": "This paper presents a new power amplifier architecture incorporating a multi level envelope delta sigma modulation (EDSM) encoder together with a dynamic bias control method to improve the overall efficiency. The proposed transmitter was developed based on a 3 level encoding process for quantizing the non constant envelope signal. Therefore, the power amplifier is always operated under only a two state output power level (maximum and 6dB back off levels) achieving high efficiency. To maintain this high efficiency at a 6dB back off output level, a lower DC supply voltage is supplied from a dual supply network (DSN) assisted on a digital process block. Using the proposed efficiency enhancement method, we have designed and implemented a 2.6GHz high efficiency Class J power amplifier (PA) using a commercial 10W PEP GaN device and a simple dual supply network. The experimental results show that, if the 0.51dB power loss of the band pass filter is de embedded, the proposed power amplifier delivers a drain efficiency (DE) of 59.8% and power added efficiency (PAE) of 55.6% with a gain of 11.1dB at an average output power of 34.5 dBm, for a 10 MHz 3G LTE signal with a 8.5 dB peak to average power ratio (PAPR)",
"author_names": [
"Joonyhyung Kim",
"Sung Jun Lee",
"Jae Ho Jung",
"Chul Soon Park"
],
"corpus_id": 36651102,
"doc_id": "36651102",
"n_citations": 24,
"n_key_citations": 2,
"score": 0,
"title": "60% high efficiency 3G LTE power amplifier with three level delta sigma modulation assisted by dual supply injection",
"venue": "2011 IEEE MTT S International Microwave Symposium",
"year": 2011
},
{
"abstract": "We present a prototype RF transmitter with an integrated multilevel class D power amplifier (PA) implemented in 28 nm CMOS. The transmitter utilizes tri phasing modulation, which combines three constant envelope phase modulated signals with coarse amplitude modulation in the PA. This new architecture achieves the back off efficiency of multilevel outphasing, without linearity degrading discontinuities in the RF output waveform. Because all signal processing is performed in the time domain up to the PA, the entire system is implemented with digital circuits and structures, thus also enabling the use of synthesis and place and route CAD tools for the RF front end. The effectiveness of the digital tri phasing concept is supported by extensive measurement results. Improved wideband performance is validated through the transmission of orthogonal frequency division multiplexing (OFDM) bandwidths up to 100 MHz. Enhanced reconfigurability is demonstrated with non contiguous carrier aggregation and digital carrier generation between 1.5 and 1.9 GHz without a frequency synthesizer. For a 20 MHz 256 QAM OFDM signal at 3.5% error vector magnitude (EVM) the transmitter achieves 22.6 dBm output power and 14.6% PA efficiency. Thanks to the high linearity enabled by tri phasing, no digital predistortion is needed for the PA.",
"author_names": [
"Jerry Lemberg",
"Mikko Martelius",
"Enrico Roverato",
"Yury Antonov",
"Tero Nieminen",
"Kari Stadius",
"Lauri Anttila",
"Mikko Valkama",
"Marko Kosunen",
"Jussi Ryynanen"
],
"corpus_id": 169035700,
"doc_id": "169035700",
"n_citations": 7,
"n_key_citations": 2,
"score": 0,
"title": "A 1.5 1.9 GHz All Digital Tri Phasing Transmitter With an Integrated Multilevel Class D Power Amplifier Achieving 100 MHz RF Bandwidth",
"venue": "IEEE Journal of Solid State Circuits",
"year": 2019
},
{
"abstract": "This communication presents a new technique for the digital predistortion of power amplifiers (PAs) based on sparse behavioral models. The subspace pursuit algorithm formulation is adapted to work in the nonlinear series framework. Experiments driven on a test bench based on a GaN PA driven by a 15 MHz filter bank multicarrier (FBM C) signal were conducted in order to validate the algorithm. Experimental results in a digital predistortion scenario and the comparison with the orthogonal matching pursuit highlight the enhancement of this pruning method.",
"author_names": [
"Juan A Becerra",
"Daniel Herrera",
"Maria J Madero-Ayora",
"Carlos Crespo-Cadenas"
],
"corpus_id": 53951778,
"doc_id": "53951778",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "Sparse Model Selection of Digital Predistorters Using Subspace Pursuit",
"venue": "2018 13th European Microwave Integrated Circuits Conference (EuMIC)",
"year": 2018
},
{
"abstract": "This paper investigates the effects of crest factor reduction and digital predistortion on the performances of communication and broadcasting systems. The overdrive of digitally predistorted power amplifiers is proposed for power efficient linear amplification of amplitude modulated signals having high peak to average power ratio. This is achieved by adding, at the input of the digital predistortion system, a clipping function to allow for an overdrive of the linearized power amplifier while meeting spectrum emission mask linearity requirements. The proposed technique is experimentally validated using a GaN Doherty power amplifier driven by long term evolution (LTE) signals. Measurement results show that the overdriven power amplifier can deliver up to 2.5 dB extra output power, up to 80% enhancement in the power utilization factor, and up to 20% relative increase in the power efficiency while being compliant with the linearity requirements of the LTE standard.",
"author_names": [
"Oualid Hammi"
],
"corpus_id": 33135248,
"doc_id": "33135248",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Efficient Linear Amplification Using Digitally Predistorted Overdriven Power Amplifiers",
"venue": "IEEE Transactions on Broadcasting",
"year": 2015
},
{
"abstract": "This paper presents the synergistic combination of an efficient power amplifier design with a novel approach for the digital predistortion that, in addition to reducing spectral regrowth, is optimized to deal with the in band distortion. The proposed linearization is based on a pre processing filter followed by a memoryless nonlinear block, and is easily inserted in an OFDM system. The experimental validation has been accomplished with 3GDPP WCDMA and LTE signals in a class J amplifier based on a 15 W GaN device. Measurements show improvements over 10 dB in ACPR and one point reduction of EVM compared to other widely used approaches.",
"author_names": [
"Maria Jose Madero-Ayora",
"Michel Allegue-Martinez",
"J Angel Garcia",
"Javier Reina-Tosina",
"Carlos Crespo-Cadenas"
],
"corpus_id": 18641506,
"doc_id": "18641506",
"n_citations": 6,
"n_key_citations": 0,
"score": 0,
"title": "Linearization and EVM enhancement of an efficient class J amplifier for 3G and 4G mobile communication signals",
"venue": "2012 Workshop on Integrated Nonlinear Microwave and Millimetre wave Circuits",
"year": 2012
},
{
"abstract": "In this paper, a signal amplitude estimator for inter band carrier aggregated signals is proposed to assist with the effective application of dual band crest factor reduction (CFR) and digital predistortion (DPD) for enhanced dual band power amplifier (PA) performance. As proof of concept validation, the dual band CFR was applied to reduce the peak to average power ratio of a carrier aggregated signal formed by a 10 MHz LTE signal, centered at 750 MHz, and a 15 MHz LTE signal, centered at 900 MHz, by 2.5 dB while maintaining an error vector magnitude of better than 4% The resultant signal was then pre processed by a dual band Volterra DPD and used to linearize a 20 W broadband Doherty PA. The joint application of dual band CFR and DPD succeeded in enhancing the performance of the PA by allowing a linear average output power of about 4 W, and drain efficiency of about 48% with an adjacent channel leakage ratio of about 50 dBc.",
"author_names": [
"Bilel Fehri",
"Slim Boumaiza"
],
"corpus_id": 41632264,
"doc_id": "41632264",
"n_citations": 7,
"n_key_citations": 0,
"score": 0,
"title": "Joint dual band crest factor reduction and digital predistortion of power amplifiers driven by inter band carrier aggregated signals",
"venue": "2014 IEEE MTT S International Microwave Symposium (IMS2014)",
"year": 2014
},
{
"abstract": "In this paper, the novel architecture of a dual input and digitally driven Doherty amplifier is proposed with the aim of improving the performance of gallium nitride (GaN) Doherty transmitters. In this work, the power efficiency is enhanced by using digital adaptive phase alignment to compensate for performance degradation due to bias and power dependant phase misalignment between the carrier and peaking branches. For experimental validation, the proposed dual input digital Doherty power amplifier (PA) was implemented using a 10 W GaN transistor. Measurement results demonstrate that the dual input Doherty prototype exhibited a power added efficiency (PAE) higher than 50% over an 8 dB output power back off (OPBO) range. In comparison with the conventional fully analog Doherty PA, this represents a 10% improvement in PAE over the same OPBO range. Using a one carrier Worldwide Interoperability for Microwave Access signal with a 7 dB peak to average power ratio, the dual input Doherty PA, with digital adaptive phase alignment applied at the input of its peaking path, achieved a PAE of 57% at an average output power of 37.8 dBm, along with a 22 dBc adjacent channel power ratio (ACPR) This corresponds to an improvement of 7% in PAE and 1 dB in average output power for the same ACPR level in comparison with a conventional fully analog Doherty PA.",
"author_names": [
"Ramzi Darraji",
"Fadhel M Ghannouchi",
"Oualid Hammi"
],
"corpus_id": 13919582,
"doc_id": "13919582",
"n_citations": 104,
"n_key_citations": 7,
"score": 0,
"title": "A Dual Input Digitally Driven Doherty Amplifier Architecture for Performance Enhancement of Doherty Transmitters",
"venue": "IEEE Transactions on Microwave Theory and Techniques",
"year": 2011
}
] |
millimeter reflection coefficient urban | [
{
"abstract": "The spectrum crunch currently experienced by mobile cellular carriers makes the underutilized millimeter wave frequency spectrum a sensible choice for next generation cellular communications, particularly when considering the recent advances in low cost sub terahertz/millimeter wave complementary metal oxide semiconductor circuitry. To date, however, little is known on how to design or deploy practical millimeter wave cellular systems. In this paper, measurements for outdoor cellular channels at 38 GHz were made in an urban environment with a broadband (800 MHz RF passband bandwidth) sliding correlator channel sounder. Extensive angle of arrival, path loss, and multipath time delay spread measurements were conducted for steerable beam antennas of differing gains and beamwidths for a wide variety of transmitter and receiver locations. Coverage outages and the likelihood of outage with steerable antennas were also measured to determine how random receiver locations with differing antenna gains and link budgets could perform in future cellular systems. This paper provides measurements and models that may be used to design future fifth generation millimeter wave cellular networks and gives insight into antenna beam steering algorithms for these systems.",
"author_names": [
"Theodore Ted S Rappaport",
"Felix Gutierrez",
"Eshar Ben-Dor",
"James Murdock",
"Yijun Qiao",
"Jonathan I Tamir"
],
"corpus_id": 2836735,
"doc_id": "2836735",
"n_citations": 711,
"n_key_citations": 34,
"score": 0,
"title": "Broadband Millimeter Wave Propagation Measurements and Models Using Adaptive Beam Antennas for Outdoor Urban Cellular Communications",
"venue": "IEEE Transactions on Antennas and Propagation",
"year": 2013
},
{
"abstract": "Measurements on point to point transmission at street level were performed in downtown Denver, CO, with RF probes that operated at 9.6, 28.8, and 57.6 GHz. All probes were mounted on self contained vehicles, permitting a variety of path scenarios. Information on performance of these channels for propagation in an urban environment on both line of sight and non line of sight paths is presented. For non line of sight (non LOS) paths obstructed by buildings of several common materials, results that showed signal attenuations in excess of 100 dB. When the LOS followed a path directly through clear glass walls, the attenuation was small at all probe frequencies. However, when the glass wall had a metalized coating to reduce ultraviolet and infrared radiation, the attenuation increased by 25 to 50 dB for each metallized layer. In most cases no signals could be detected through steel reinforced concrete or brick buildings.",
"author_names": [
"Edmond J Violette",
"Richard H Espeland",
"Robert O DeBolt",
"Felix K Schwering"
],
"corpus_id": 121296451,
"doc_id": "121296451",
"n_citations": 113,
"n_key_citations": 7,
"score": 0,
"title": "Millimeter wave propagation at street level in an urban environment",
"venue": "",
"year": 1988
},
{
"abstract": "Almost all mobile communication systems today use spectrum in the range of 300 MHz 3 GHz. In this article, we reason why the wireless community should start looking at the 3 300 GHz spectrum for mobile broadband applications. We discuss propagation and device technology challenges associated with this band as well as its unique advantages for mobile communication. We introduce a millimeter wave mobile broadband (MMB) system as a candidate next generation mobile communication system. We demonstrate the feasibility for MMB to achieve gigabit per second data rates at a distance up to 1 km in an urban mobile environment. A few key concepts in MMB network architecture such as the MMB base station grid, MMB interBS backhaul link, and a hybrid MMB 4G system are described. We also discuss beamforming techniques and the frame structure of the MMB air interface.",
"author_names": [
"Zhouyue Pi",
"Farooq Khan"
],
"corpus_id": 9453129,
"doc_id": "9453129",
"n_citations": 1946,
"n_key_citations": 107,
"score": 0,
"title": "An introduction to millimeter wave mobile broadband systems",
"venue": "IEEE Communications Magazine",
"year": 2011
},
{
"abstract": "Millimeter wave (mmW) frequencies between 30 and 300 GHz are a new frontier for cellular communication that offers the promise of orders of magnitude greater bandwidths combined with further gains via beamforming and spatial multiplexing from multielement antenna arrays. This paper surveys measurements and capacity studies to assess this technology with a focus on small cell deployments in urban environments. The conclusions are extremely encouraging; measurements in New York City at 28 and 73 GHz demonstrate that, even in an urban canyon environment, significant non line of sight (NLOS) outdoor, street level coverage is possible up to approximately 200 m from a potential low power microcell or picocell base station. In addition, based on statistical channel models from these measurements, it is shown that mmW systems can offer more than an order of magnitude increase in capacity over current state of the art 4G cellular networks at current cell densities. Cellular systems, however, will need to be significantly redesigned to fully achieve these gains. Specifically, the requirement of highly directional and adaptive transmissions, directional isolation between links, and significant possibilities of outage have strong implications on multiple access, channel structure, synchronization, and receiver design. To address these challenges, the paper discusses how various technologies including adaptive beamforming, multihop relaying, heterogeneous network architectures, and carrier aggregation can be leveraged in the mmW context.",
"author_names": [
"Sundeep Rangan",
"Theodore Ted S Rappaport",
"Elza Erkip"
],
"corpus_id": 3173599,
"doc_id": "3173599",
"n_citations": 1768,
"n_key_citations": 102,
"score": 0,
"title": "Millimeter Wave Cellular Wireless Networks: Potentials and Challenges",
"venue": "Proceedings of the IEEE",
"year": 2014
},
{
"abstract": "With the severe spectrum shortage in conventional cellular bands, millimeter wave (mmW) frequencies between 30 and 300 GHz have been attracting growing attention as a possible candidate for next generation micro and picocellular wireless networks. The mmW bands offer orders of magnitude greater spectrum than current cellular allocations and enable very high dimensional antenna arrays for further gains via beamforming and spatial multiplexing. This paper uses recent real world measurements at 28 and 73 GHz in New York, NY, USA, to derive detailed spatial statistical models of the channels and uses these models to provide a realistic assessment of mmW micro and picocellular networks in a dense urban deployment. Statistical models are derived for key channel parameters, including the path loss, number of spatial clusters, angular dispersion, and outage. It is found that, even in highly non line of sight environments, strong signals can be detected 100 200 m from potential cell sites, potentially with multiple clusters to support spatial multiplexing. Moreover, a system simulation based on the models predicts that mmW systems can offer an order of magnitude increase in capacity over current state of the art 4G cellular networks with no increase in cell density from current urban deployments.",
"author_names": [
"Mustafa Riza Akdeniz",
"Yuanpeng Liu",
"Shu Sun",
"Sundeep Rangan",
"Theodore Ted S Rappaport",
"Elza Erkip"
],
"corpus_id": 5072681,
"doc_id": "5072681",
"n_citations": 1549,
"n_key_citations": 223,
"score": 0,
"title": "Millimeter Wave Channel Modeling and Cellular Capacity Evaluation",
"venue": "IEEE Journal on Selected Areas in Communications",
"year": 2014
},
{
"abstract": "Since millimeter wave (mm wave) communications systems deployed in urban environments will be an important component of next generation cellular communications, it is important to understand the propagation channels those systems will be working in. This paper provides an overview of the state of the art in urban mm wave channel modeling, and outlines directions for future research. Propagation at mm wave differs in several critical aspects from those at microwave frequencies: much higher free space pathloss, a smaller number of multipath components, the inefficiency of diffraction as a propagation process, the importance of body shadowing, and possibly more diffuse scattering all impacts the channel characteristics. The paper will also review the new challenges for channel sounding in this frequency band, in particular for the pathloss, and finally review stochastic and semi deterministic modeling approaches suitable for these channels. It is clear that while some important work has been done, a lot of topics remain open for future work.",
"author_names": [
"Andreas F Molisch",
"Aki Karttunen",
"Rui Wang",
"Celalettin Umit Bas",
"Sooyoung Hur",
"Jeongho Park",
"Jianzhong Zhang"
],
"corpus_id": 20400191,
"doc_id": "20400191",
"n_citations": 39,
"n_key_citations": 1,
"score": 1,
"title": "Millimeter wave channels in urban environments",
"venue": "2016 10th European Conference on Antennas and Propagation (EuCAP)",
"year": 2016
},
{
"abstract": "Millimeter wave imaging techniques and systems have been developed at the Pacific Northwest National Laboratory (PNNL) Richland, WA, for the detection of concealed weapons and contraband at airports and other secure locations. These techniques were derived from microwave holography techniques that utilize phase and amplitude information recorded over a two dimensional aperture to reconstruct a focused image of the target. Millimeter wave imaging is well suited for the detection of concealed weapons or other contraband carried on personnel since millimeter waves are nonionizing, readily penetrate common clothing material, and are reflected from the human body and any concealed items. In this paper, a wide bandwidth three dimensional holographic microwave imaging technique is described. Practical weapon detection systems for airport or other high throughput applications require high speed scanning on the order of 3 to 10 s. To achieve this goal, a prototype imaging system utilizing a 27 33 GHz linear sequentially switched array and a high speed linear scanner has been developed and tested. This system is described in detail along with numerous imaging results.",
"author_names": [
"David M Sheen",
"Douglas L McMakin",
"Thomas E Hall"
],
"corpus_id": 110983808,
"doc_id": "110983808",
"n_citations": 1101,
"n_key_citations": 94,
"score": 0,
"title": "Three dimensional millimeter wave imaging for concealed weapon detection",
"venue": "",
"year": 2001
},
{
"abstract": "Recently, there has been considerable interest in new tiered network cellular architectures, which would likely use many more cell sites than found today. Two major challenges will be i) providing backhaul to all of these cells and ii) finding efficient techniques to leverage higher frequency bands for mobile access and backhaul. This paper proposes the use of outdoor millimeter wave communications for backhaul networking between cells and mobile access within a cell. To overcome the outdoor impairments found in millimeter wave propagation, this paper studies beamforming using large arrays. However, such systems will require narrow beams, increasing sensitivity to movement caused by pole sway and other environmental concerns. To overcome this, we propose an efficient beam alignment technique using adaptive subspace sampling and hierarchical beam codebooks. A wind sway analysis is presented to establish a notion of beam coherence time. This highlights a previously unexplored tradeoff between array size and wind induced movement. Generally, it is not possible to use larger arrays without risking a corresponding performance loss from wind induced beam misalignment. The performance of the proposed alignment technique is analyzed and compared with other search and alignment methods. The results show significant performance improvement with reduced search time.",
"author_names": [
"Sooyoung Hur",
"Taejoon Kim",
"David James Love",
"James V Krogmeier",
"Timothy A Thomas",
"Amitava Ghosh"
],
"corpus_id": 2909607,
"doc_id": "2909607",
"n_citations": 822,
"n_key_citations": 56,
"score": 0,
"title": "Millimeter Wave Beamforming for Wireless Backhaul and Access in Small Cell Networks",
"venue": "IEEE Transactions on Communications",
"year": 2013
},
{
"abstract": "The global bandwidth shortage facing wireless carriers has motivated the exploration of the underutilized millimeter wave (mm wave) frequency spectrum for future broadband cellular communication networks. There is, however, little knowledge about cellular mm wave propagation in densely populated indoor and outdoor environments. Obtaining this information is vital for the design and operation of future fifth generation cellular networks that use the mm wave spectrum. In this paper, we present the motivation for new mm wave cellular systems, methodology, and hardware for measurements and offer a variety of measurement results that show 28 and 38 GHz frequencies can be used when employing steerable directional antennas at base stations and mobile devices.",
"author_names": [
"Theodore Ted S Rappaport",
"Shu Sun",
"Rimma Mayzus",
"Hang Zhao",
"Yaniv Azar",
"Kevin Wang",
"George N Wong",
"Jocelyn K Schulz",
"Mathew Samimi",
"Felix Gutierrez"
],
"corpus_id": 52993221,
"doc_id": "52993221",
"n_citations": 5074,
"n_key_citations": 273,
"score": 0,
"title": "Millimeter Wave Mobile Communications for 5G Cellular: It Will Work!",
"venue": "IEEE Access",
"year": 2013
},
{
"abstract": "Millimeter wave (mmWave) holds promise as a carrier frequency for fifth generation cellular networks. Because mmWave signals are sensitive to blockage, prior models for cellular networks operated in the ultra high frequency (UHF) band do not apply to analyze mmWave cellular networks directly. Leveraging concepts from stochastic geometry, this paper proposes a general framework to evaluate the coverage and rate performance in mmWave cellular networks. Using a distance dependent line of site (LOS) probability function, the locations of the LOS and non LOS base stations are modeled as two independent non homogeneous Poisson point processes, to which different path loss laws are applied. Based on the proposed framework, expressions for the signal to noise and interference ratio (SINR) and rate coverage probability are derived. The mmWave coverage and rate performance are examined as a function of the antenna geometry and base station density. The case of dense networks is further analyzed by applying a simplified system model, in which the LOS region of a user is approximated as a fixed LOS ball. The results show that dense mmWave networks can achieve comparable coverage and much higher data rates than conventional UHF cellular systems, despite the presence of blockages. The results suggest that the cell size to achieve the optimal SINR scales with the average size of the area that is LOS to a user.",
"author_names": [
"Tianyang Bai",
"Robert W Heath"
],
"corpus_id": 14273034,
"doc_id": "14273034",
"n_citations": 973,
"n_key_citations": 172,
"score": 0,
"title": "Coverage and Rate Analysis for Millimeter Wave Cellular Networks",
"venue": "IEEE Transactions on Wireless Communications",
"year": 2015
}
] |
H5 topology inverter | [
{
"abstract": "A generalized circuit topology of multilevel voltage source inverters which is based on a direct extension of the three level inverter to higher level is proposed. The circuit topologies up to five level are presented. The proposed multilevel inverter can realize any multilevel pulsewidth modulation (PWM) scheme which leads to harmonic reduction and provides full utilization of semiconductor devices like GTOs, especially in the high power range where high voltage can be applied. The capacitor voltage balancing problem is discussed and a circuit remedy for such a problem is given.<ETX>",
"author_names": [
"N S Choi",
"Je-Hyung Cho",
"Gyu-Hyeong Cho"
],
"corpus_id": 54948189,
"doc_id": "54948189",
"n_citations": 447,
"n_key_citations": 8,
"score": 0,
"title": "A general circuit topology of multilevel inverter",
"venue": "PESC '91 Record 22nd Annual IEEE Power Electronics Specialists Conference",
"year": 1991
},
{
"abstract": "There is a strong trend in the photovoltaic inverter technology to use transformerless topologies in order to acquire higher efficiencies combining with very low ground leakage current. In this paper, a new topology, based on the H bridge with a new ac bypass circuit consisting of a diode rectifier and a switch with clamping to the dc midpoint, is proposed. The topology is simulated and experimentally validated, and a comparison with other existing topologies is performed. High conversion efficiency and low leakage current are demonstrated.",
"author_names": [
"Tamas Kerekes",
"Remus Teodorescu",
"Pedro Rodriguez",
"Gerardo Vazquez",
"Emiliano Aldabas"
],
"corpus_id": 245778,
"doc_id": "245778",
"n_citations": 682,
"n_key_citations": 25,
"score": 0,
"title": "A New High Efficiency Single Phase Transformerless PV Inverter Topology",
"venue": "IEEE Transactions on Industrial Electronics",
"year": 2011
},
{
"abstract": "This paper presents an overview of single phase inverters developed for small distributed power generators. The functions of inverters in distributed power generation (DG) systems include dc ac conversion, output power quality assurance, various protection mechanisms, and system controls. Unique requirements for small distributed power generation systems include low cost, high efficiency and tolerance for an extremely wide range of input voltage variations. These requirements have driven the inverter development toward simpler topologies and structures, lower component counts, and tighter modular design. Both single stage and multiple stage inverters have been developed for power conversion in DG systems. Single stage inverters offer simple structure and low cost, but suffer from a limited range of input voltage variations and are often characterized by compromised system performance. On the other hand, multiple stage inverters accept a wide range of input voltage variations, but suffer from high cost, complicated structure and low efficiency. Various circuit topologies are presented, compared, and evaluated against the requirements of power decoupling and dual grounding, the capabilities for grid connected or/and stand alone operations, and specific DG applications in this paper, along with the identification of recent development trends of single phase inverters for distributed power generators.",
"author_names": [
"",
"Josep Bordonau",
"T Shimizu"
],
"corpus_id": 12053603,
"doc_id": "12053603",
"n_citations": 899,
"n_key_citations": 20,
"score": 0,
"title": "Topologies of single phase inverters for small distributed power generators: an overview",
"venue": "IEEE Transactions on Power Electronics",
"year": 2004
},
{
"abstract": "Transformerless photovoltaic (PV) grid connected inverters have become more and more popular in distributed PV power generation systems due to the advantages on high efficiency, low cost, and small size. However, common mode (CM) current in the transformerless PV inverters can result in serious electromagnetic interference and insecurity, which will further reduce the reliability of the PV inverter systems. In this paper, an improved H5 topology, namely H5 D topology, is proposed, in which a clamping diode is added on the basis of H5 topology to eliminate the CM voltage fluctuation in H5 topology. Further, the PSIM simulation results of the H5 D topology and H5 topology are given and compared, especially, the performance of H5 D topology for CM current suppression is presented and analyzed concretely. Finally, the experimental prototypes of the H5 D topology and H5 topology are built and tested, and the experimental results validate the advantages of the H5 D topology. The proposed H5 D topology provides a new practical topology for distributed PV grid connected power generation systems.",
"author_names": [
"Hong Li",
"Yangbin Zeng",
"Bo Zhang",
"Trillion Q Zheng",
"Ruixiang Hao",
"Zhichang Yang"
],
"corpus_id": 56596693,
"doc_id": "56596693",
"n_citations": 45,
"n_key_citations": 4,
"score": 1,
"title": "An Improved H5 Topology With Low Common Mode Current for Transformerless PV Grid Connected Inverter",
"venue": "IEEE Transactions on Power Electronics",
"year": 2019
},
{
"abstract": "This paper analyzes and compares three transformerless photovoltaic inverter topologies for three phase grid connection with the main focus on the safety issues that result from the lack of galvanic isolation. A common mode model, valid at frequencies lower than 50 kHz, is adopted to study the leakage current paths. The model is validated by both simulation and experimental results. These will be used to compare the selected topologies, and to explain the influence of system unbalance and the neutral conductor inductance on the leakage current. It will be demonstrated that the later has a crucial influence. Finally, a comparison of the selected topologies is carried out, based on the adopted modulation, connection of the neutral and its inductance, effects of unbalance conditions, component ratings, output voltage levels, and filter size.",
"author_names": [
"Tamas Kerekes",
"Remus Teodorescu",
"Christian Klumpner",
"Mark Sumner",
"Dan Floricau",
"Pedro Rodriguez"
],
"corpus_id": 16902340,
"doc_id": "16902340",
"n_citations": 430,
"n_key_citations": 19,
"score": 0,
"title": "Evaluation of Three Phase Transformerless Photovoltaic Inverter Topologies",
"venue": "IEEE Transactions on Power Electronics",
"year": 2009
},
{
"abstract": "The three dimensional structure of an HNF 3/fork head DNA recognition motif complexed with DNA has been determined by X ray crystallography at 2.5 A resolution. This a/b protein binds B DNA as a monomer, through interactions with the DNA backbone and through both direct and water mediated major and minor groove base contacts, inducing a 13deg bend. The transcription factor fold is very similar to the structure of histone H5. In its amino terminal half, three a helices adopt a compact structure that presents the third helix to the major groove. The remainder of the protein includes a twisted, antiparallel b structure and random coil that interacts with the minor groove.",
"author_names": [
"Kirk L Clark",
"Elaine D Halay",
"Eseng Lai",
"Stephen K Burley"
],
"corpus_id": 4363526,
"doc_id": "4363526",
"n_citations": 1204,
"n_key_citations": 77,
"score": 0,
"title": "Co crystal structure of the HNF 3/fork head DNA recognition motif resembles histone H5",
"venue": "Nature",
"year": 1993
},
{
"abstract": "Preface 1. General Topology 2. Diferentiable Manifolds 3. 1= Fundamental Group 4. Homology Theory 5. Cohomology 6. Products and Duality 7. Homotopy Theory Appendices A E Bibliography Index of Symbols Index",
"author_names": [
"Glen E Bredon"
],
"corpus_id": 203432300,
"doc_id": "203432300",
"n_citations": 854,
"n_key_citations": 66,
"score": 0,
"title": "Topology and geometry",
"venue": "",
"year": 1993
},
{
"abstract": "Abstract In order to improve the efficiency and reduce the cost of a photovoltaic system, the use of transformerless photovoltaic inverters is an alternative of increasing interest. However, this topology needs to be studied in detail, as it presents some problems related to the galvanic connection between the grid and the photovoltaic generator (e.g. efficiency degradation and safety problems) In this paper, a review of grid connected single phase photovoltaic inverters based on transformerless topologies has been carried out. On the one hand, some alternatives based on classical topologies have been presented. On the other hand, alternatives based on multi level inverter topologies have been studied, showing up that no leakage current is generated in comparison to classical topologies.",
"author_names": [
"Ivan Patrao",
"Emilio Figueres",
"Fran Gonzalez-Espin",
"Gabriel Garcera"
],
"corpus_id": 56281025,
"doc_id": "56281025",
"n_citations": 158,
"n_key_citations": 2,
"score": 0,
"title": "Transformerless topologies for grid connected single phase photovoltaic inverters",
"venue": "",
"year": 2011
},
{
"abstract": "A new neutral point clamped pulsewidth modulation (PWM) inverter composed of main switching devices which operate as switches for PWM and auxiliary switching devices to clamp the output terminal potential to the neutral point potential has been developed. This inverter output contains less harmonic content as compared with that of a conventional type. Two inverters are compared analytically and experimentally. In addition, a new PWM technique suitable for an ac drive system is applied to this inverter. The neutral point clamped PWM inverter adopting the new PWM technique shows an excellent drive system efficiency, including motor efficiency, and is appropriate for a wide range variable speed drive system.",
"author_names": [
"Akira Nabae",
"Isao Takahashi",
"Hirofumi Akagi"
],
"corpus_id": 14277129,
"doc_id": "14277129",
"n_citations": 4731,
"n_key_citations": 171,
"score": 0,
"title": "A New Neutral Point Clamped PWM Inverter",
"venue": "IEEE Transactions on Industry Applications",
"year": 1981
},
{
"abstract": "Transformerless photovoltaic systems are one of the best options to connect a photovoltaic system to the electrical grid given their high efficiency, low cost, small size and low weight regarding their counterparts based on a transformer. In this paper a multilevel single phase inverter is aimed to link a photovoltaic generator with the electrical grid with reduced common mode current. A sinusoidal based modulation strategy is also presented which focus not only in the reduction of the common mode current but also in the reduction of the switching and conduction losses. Five voltage levels are obtained at the inverter output, therefore, a good behavior is achieved regarding harmonic distortion. Numerical results show that the proposed inverter and modulation strategy give a reduced common mode current and low harmonic distortion which is suitable for transformerless photovoltaic systems.",
"author_names": [
"Gerardo Vazquez",
"Panfilo R Martinez",
"Jose M Sosa",
"Gerardo Escobar",
"Mario A Juarez",
"Andres A Valdez"
],
"corpus_id": 29592225,
"doc_id": "29592225",
"n_citations": 19,
"n_key_citations": 3,
"score": 0,
"title": "H5 HERIC based transformerless multilevel inverter for single phase grid connected PV systems",
"venue": "IECON 2015 41st Annual Conference of the IEEE Industrial Electronics Society",
"year": 2015
}
] |
oat milk alternative | [
{
"abstract": "The aim of the present study was to develop a rapid method for electronic nose for online quality control of oat milk. Analysis by electronic nose and bacteriological measurements were performed to analyze spoilage kinetics of oat milk samples stored at room temperature and refrigerated conditions for up to 15 days. Principal component analysis (PCA) Discriminant Factorial Analysis (DFA) and Soft Independent Modelling by Class Analogy (SIMCA) classification techniques were used to differentiate the samples of oat milk at different days. The total plate count (bacteriological method) was selected as the reference method to consistently train the electronic nose system. The e nose was able to differentiate between the oat milk samples of varying microbial load. The results obtained by the bacteria total viable counts showed that the shelf life of oat milk stored at room temperature and refrigerated conditions were 20hrs and 13 days, respectively. The models built classified oat milk samples based on the total microbial population into \"unspoiled\" and \"spoiled\" Keywords Electronic nose, bacteriological, shelf life, classification.",
"author_names": [
"Aastha Deswal",
"Navneet Singh Deora",
"H N Mishra"
],
"corpus_id": 34859911,
"doc_id": "34859911",
"n_citations": 3,
"n_key_citations": 0,
"score": 1,
"title": "Electronic Nose Based on Metal Oxide Semiconductor Sensors as an Alternative Technique for the Spoilage Classification of Oat Milk",
"venue": "",
"year": 2014
},
{
"abstract": "Abstract Iodine deficiency is present in certain groups of the UK population, notably in pregnant women; this is of concern as iodine is required for fetal brain development. UK milk is rich in iodine and is the principal dietary iodine source. UK sales of milk alternative drinks are increasing but data are lacking on their iodine content. As consumers may replace iodine rich milk with milk alternative drinks, we aimed to measure the iodine concentration of those available in the UK. Using inductively coupled plasma MS, we determined the iodine concentration of seven types of milk alternative drink (soya, almond, coconut, oat, rice, hazelnut and hemp) by analysing forty seven products purchased in November/December 2015. For comparison, winter samples of conventional (n 5) and organic (n 5) cows' milk were included. The median iodine concentration of all of the unfortified milk alternative drinks (n 44) was low, at 7*3 mg/kg, just 1*7 of our value for winter conventional cows' milk (median 438 mg/kg) One brand (not the market leader) fortified its soya, oat and rice drinks with iodine and those drinks had a higher iodine concentration than unfortified drinks, at 280, 287 and 266 mg/kg, respectively. The iodine concentration of organic milk (median 324 mg/kg) was lower than that of conventional milk. Although many milk alternative drinks are fortified with Ca, at the time of this study, just three of forty seven drinks were fortified with iodine. Individuals who consume milk alternative drinks that are not fortified with iodine in place of cows' milk may be at risk of iodine deficiency unless they consume alternative dietary iodine sources.",
"author_names": [
"Sarah Bath",
"Sarah Hill",
"Heidi Goenaga Infante",
"Sarah Elghul",
"C J Nezianya",
"Margaret P Rayman"
],
"corpus_id": 206222620,
"doc_id": "206222620",
"n_citations": 36,
"n_key_citations": 1,
"score": 0,
"title": "Iodine concentration of milk alternative drinks available in the UK in comparison with cows' milk",
"venue": "British Journal of Nutrition",
"year": 2017
},
{
"abstract": "Functional advantages of probiotics combined with interesting composition of oat were considered as an alternative to dairy products. In this study, fermentation of oat milk with Lactobacillus reuteri and Streptococcus thermophilus was analysed to develop a new probiotic product. Central composite design with response surface methodology was used to analyse the effect of different factors (glucose, fructose, inulin and starters) on the probiotic population in the product. Optimised formulation was characterised throughout storage time at 4 in terms of pH, acidity, b glucan and oligosaccharides contents, colour and rheological behaviour. All formulations studied were adequate to produce fermented foods and minimum dose of each factor was considered as optimum. The selected formulation allowed starters survival above 107/cfu ml to be considered as a functional food and was maintained during the 28 days controlled. b glucans remained in the final product with a positive effect on viscosity. Therefore, a new probiotic non dairy milk was successfully developed in which high probiotic survivals were assured throughout the typical yoghurt like shelf life.",
"author_names": [
"Neus Bernat",
"Maite Chafer",
"Chelo Gonzalez-Martinez",
"Julia Rodriguez-Garcia",
"Amparo Chiralt"
],
"corpus_id": 206675193,
"doc_id": "206675193",
"n_citations": 32,
"n_key_citations": 1,
"score": 0,
"title": "Optimisation of oat milk formulation to obtain fermented derivatives by using probiotic Lactobacillus reuteri microorganisms",
"venue": "Food science and technology international Ciencia y tecnologia de los alimentos internacional",
"year": 2015
},
{
"abstract": "UK milk is a rich source of iodine [average concentration 300 mg/L] and, together with dairy products, is the principal source of iodine intake. UK sales of milk alternatives (e.g. soya drinks) increased by 155 between 2011 and 2013. As consumers may be choosing milk alternatives in place of iodine rich milk, we aimed to collate data on the iodine content of these products. A survey of UK grocery stores (n 20) identified 28 milk alternative brands. Ingredient information was taken from the product label and companies were contacted for data on the iodine content of milk alternative drinks. Only three of 28 brands (10*7 had information on the iodine content of their products. Of those, two stated the iodine content on the label; these products were fortified to give an iodine concentration of 225/230 mg/L. One brand of oat drink had a reported content of 1 mg/L. As reported in the literature, the values for the iodine content of unfortified soya and rice drinks ranged from 10 29 mg/L. Four brands used seaweed for calcium enrichment and, based on the literature, their estimated iodine content was 40 50 mg/L.",
"author_names": [
"Sarah Bath",
"C J Nezianya",
"Margaret P Rayman"
],
"corpus_id": 168794682,
"doc_id": "168794682",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "A label based assessment of the iodine content of milk alternative drinks available in the UK",
"venue": "Proceedings of the Nutrition Society",
"year": 2015
},
{
"abstract": "OBJECTIVE Alternative milk products are becoming more visible and popular, but nutrient data to compare these products to traditional cow's milk are not easily accessible. By summarizing this nutritional information, this project aims to help primary care providers take better care of their patients by providing easy to access nutritional comparison between cow's milk and milk alternatives such as plant based milks and goat's milk. METHODS This project uses data from The United States Department of Agriculture (USDA)'s Food Data Central database and publicly available nutrition label data to compare the nutritional content of selected milk. RESULTS Almond and oat milks provide less than half of the protein provided by soy, goat's, and cow's milk. Goat's milk contains the most fat of the products surveyed, while skim milk contains the lowest fat per serving. Soy milk, almond milk, and oat milk contain at least half the fat of cow's milk. Almond milk contained the most calcium of the milks surveyed. Quantities of folate and vitamin B12 were most notably decreased in goat's milk and almond milk while soy milk had almost double the amount of folate and vitamin B12 present in cow's milk. CONCLUSION Cow's milk still remains the best source of fats, protein, and micronutrients. For parents who prefer an alternative to cow's milk, the child's health should be considered. Parents may consider nutrition information when making decisions for their families, and primary care pediatricians should be able to provide current nutritional data on frequently advertised products.",
"author_names": [
"Kalyn Collard",
"David P Mccormick"
],
"corpus_id": 229721847,
"doc_id": "229721847",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "A Nutritional Comparison of Cow's Milk and Alternative Milk Products.",
"venue": "Academic pediatrics",
"year": 2020
},
{
"abstract": "Oat milk, barley milk and their blend (1:1) were used as an alternative to skimmed milk in the processing of like low fat butter spread with high quality and cheap. The product was stored in the refrigerator for thirty days and evaluated during this period nutritionally, physically and sensorial. The results obtained showed that all spreads were similar in their content of solids, fat, ash and differed in protein and carbohydrates. The spreads containing oat milk or barley milk or their mixture resulted in an increase in DV of each carbohydrates, zinc, phosphorus and vitamins B3 and B6. Oat and barley spreads without control spread were characterized by their fiber, iron, and selenium content. A high DPPH activity of oat and barley spreads was detected, compared to control spread. The initial peroxide values (PV) of all spreads were increased during storage, however, the PV remained within legal limits. At the end of storage oat and barley spreads were of lower PV, compared with control spread. Wheying off and oiling off increased gradually of all spreads during storage. The rate of increase was higher in control spread. No significant differences in overall acceptability score were observed between oatspread and control spread during storage, but they were slight significant when comparing with oat/barleyand barleyspreads. Barley spread had lower production cost followed by oat/barleyand then oatspread compared to the control. Therefore, we recommend using barley milk or oat/barley milk as substitute of skim milk in low fat butter spread.",
"author_names": [
"M A Azzam",
"Fatma Salama",
"M Abdl-Hameed"
],
"corpus_id": 199273625,
"doc_id": "199273625",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Making Low Fat Butter Spread Like Enriched with Oat's and Barley's Milk",
"venue": "",
"year": 2017
},
{
"abstract": "This thesis conducts a cultural analysis of the social implications of food allergies and intolerances and analyzes the interaction of individual experience and social structures by asking what it means when one chooses not to follow the normative food culture, such as with vegetarian and vegan diets, or when one physically cannot, such as in the case of food allergies and intolerances, specifically Celiac. By focusing on lifestyle and dietary eaters, that is, consumers adhering to `alternative' diets, such as veganism or vegetarianism, and individuals diagnosed with (or affected by) food allergies and intolerance, this research analyzes how (non)participation in the mainstream eating community is both a challenge and source of how people removed from the eating community understand themselves via social interaction. With theoretical structuring from Pasi Falk's eating communities, Bryan Turner's phenomenology of sickness, Erving Goffman's rules of conduct, and Pierre Bourdieu's taste, distinction, and habitus, and using my insights from work with the Swedish non dairy food manufacturer Oatly as a case study, I address what happens when one is suddenly outside the mainstream eating community. In order to address the tensions between eating communities, which grew from an analysis of participant responses, I analyze the following insights: How does the separation affect one's relationship to food, to one's self, and to society and what does it mean for (re)establishing community? How are people deliberately using food as distinction to distance themselves from the food culture, while others actively employ camouflage strategies? What, how, and by whom is disease legitimized? From these questions I have identified several analytical themes, including: 1. the problems raised from the interaction of competing versions of food culture, 2. the construction of an individual and community self via consumption, 3. conflicting definitions of `food' and what is edible, 4. food as the manifestation of the border between eating communities, and 5. visibility and the loss of control.",
"author_names": [
"Meghan Cridland"
],
"corpus_id": 146505001,
"doc_id": "146505001",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Negotiating Bodies at the Borderlands of Eating Communities An Ethnographic Study of Oat Milk Consumers' Relations to Health, Identity and Social Life",
"venue": "",
"year": 2012
},
{
"abstract": "Objective We hypothesised that an alternative RUTF (ready to use therapeutic food) made with oats (oat RUTF) would be non inferior to standard RUTF (s RUTF) Design This was a randomised, triple blind, controlled, clinical non inferiority trial comparing oat RUTF to s RUTF in rural Sierra Leone. Children aged 6 59 months with severe acute malnutrition (SAM) were randomised to oat RUTF or s RUTF. s RUTF was composed of milk powder, sugar, peanut paste and vegetable oil, with a hydrogenated vegetable oil additive. Oat RUTF contained oats and no hydrogenated vegetable oil additives. The primary outcome was graduation, an increase in anthropometric measurements such that the child was not acutely malnourished. Secondary outcomes were rates of growth, time to graduation and presence of adverse events. Intention to treat analyses was used. Results Of the 1406 children were enrolled, graduation was attained in 404/721 (56% children receiving oat RUTF and 311/685 (45% receiving s RUTF (difference 10.6% 95% CI 5.4% to 15.8% Death, hospitalisation or remaining with SAM was seen in 87/721 (12% receiving oat RUTF and in 125/685 (18% receiving s RUTF (difference 6.2% 95% CI 2.3 to 10.0, p=0.001) Time to graduation was less for children receiving oat RUTF; 3.9+ 1.8 versus 4.5+ 1.8 visits, respectively (p<0.001) Rates of weight in the oat RUTF group were greater than in the s RUTF group; 3.4+ 2.7 versus 2.5+ 2.3 g/kg/d, p<0.001. Conclusion Oat RUTF is superior to s RUTF in the treatment of SAM in Sierra Leone. We speculate that might be because of beneficial bioactive components or the absence of hydrogenated vegetable oil in oat RUTF. Trial registration number NCT03407326.",
"author_names": [
"David Taylor Hendrixson",
"Claire Godbout",
"Alyssa Los",
"Meghan Callaghan-Gillespie",
"Melody Mui",
"Donna Wegner",
"Taylor Bryant",
"Aminata Shamit Koroma",
"Mark J Manary"
],
"corpus_id": 212739992,
"doc_id": "212739992",
"n_citations": 6,
"n_key_citations": 0,
"score": 0,
"title": "Treatment of severe acute malnutrition with oat or standard ready to use therapeutic food: a triple blind, randomised controlled clinical trial",
"venue": "Gut",
"year": 2020
},
{
"abstract": "Abstract Recently, there has been an expansion of milk alternative beverages originating from plant based sources including soy, oat, hemp, coconut, rice, and nuts, referred to as milk. This review is focused on comparing nutrient composition of milk and plant based milk alternatives, as well as discussing considerations relevant to consumption of plant based milk alternatives. Milk (skim, 1% 2% and whole bovine milk) and seventeen plant based milk alternatives were compared for macro nutrient composition and calcium to determine if plant based milk alternatives could replicate the dietary role of bovine milk. Plant based milk alternatives often present inferior nutritional substitutes of bovine milk. The protein content of the plant based milk alternatives reviewed ranged from 5 to 100% (48% when averaged) of the protein content of whole bovine milk. Other considerations beyond protein content also warrant investigation as level of vitamins and minerals (and their absorption) tend to be less consistent with plant based milk alternatives.",
"author_names": [
"Sebastian Chalupa-Krebzdak",
"Chloe J Long",
"Benjamin M Bohrer"
],
"corpus_id": 91497320,
"doc_id": "91497320",
"n_citations": 74,
"n_key_citations": 3,
"score": 0,
"title": "Nutrient density and nutritional value of milk and plant based milk alternatives",
"venue": "",
"year": 2018
},
{
"abstract": "Grass based production systems use concentrate supplementation primarily when pasture quality and availability have declined. Barley is a common concentrate ingredient; however, oat grain grows well in Ireland, is a source of lipids and fiber, and may provide an alternative to barley. The antioxidant a tocopherol (a TOC) plays a role in cell membrane structure, and it has the potential to improve tight junction structures of the mammary gland that deteriorate in late lactation. The objective of this research was to investigate the effect of cereal type and a TOC level on milk yield, milk composition, rumen fermentation, and N excretion in late lactation dairy cows at pasture and when housed indoors on grass silage. Forty eight Holstein Friesian dairy cows were blocked on days in milk +185 d in milk) and balanced for parity, pre experimental milk yield, milk composition, and body condition score and assigned to 1 of 4 dietary treatments in a randomized complete block design (n 12) The dietary treatments were control (C) base diet; base diet barley based concentrate low a TOC (350 IU/kg) (B) base diet oat based concentrate low a TOC (350 IU/kg) (O) and base diet oat based concentrate high a TOC (1,050 IU/kg) (O+T) Following a 14 d acclimation period, diets were offered for a 49 d experimental period at pasture (P1) and a 21 d experimental period indoors (P2) The base diet was grazed grass in P1 and grass silage in P2. In P2, cows on C also received 2.65 kg (dry matter) of a standard concentrate. In P1, supplementation increased milk and milk solids yield (B: 20.7 kg/d, 1.74 kg/d; O: 20.6 kg/d, 1.81 kg/d; O+T: 20.5 kg/d, 1.77 kg/d, respectively) compared with C (17.8 kg/d, 1.60 kg/d) Cows offered B had a lower milk fat (4.60% concentration than C (5.00% and O (4.90% In P2, cereal type and a TOC level did not alter milk production. In conclusion, concentrate supplementation increased milk and milk solids yield and cows offered O had a higher milk fat concentration than cows offered B. Increasing the level of a TOC had no major effect on production parameters measured in P1 or in P2.",
"author_names": [
"Zoe C McKay",
"Finbar J Mulligan",
"Mary Bridget Lynch",
"Gaurav Rajauria",
"C N Miller",
"Karina M Pierce"
],
"corpus_id": 173995961,
"doc_id": "173995961",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "The effects of cereal type and a tocopherol level on milk production, milk composition, rumen fermentation, and nitrogen excretion of spring calving dairy cows in late lactation.",
"venue": "Journal of dairy science",
"year": 2019
}
] |
Loss balancing in three-level voltage source inverters applying active NPC switches | [
{
"abstract": "This paper investigates the use of active neutral point clamp switches in a three level NPC voltage source inverter to balance the losses among the semiconductors. Both a control structure and algorithm are proposed which enable a substantially increased output power of the inverter and an improved performance at zero speed.",
"author_names": [
"Thomas Bruckner",
"S Bemet"
],
"corpus_id": 110890453,
"doc_id": "110890453",
"n_citations": 204,
"n_key_citations": 14,
"score": 1,
"title": "Loss balancing in three level voltage source inverters applying active NPC switches",
"venue": "2001 IEEE 32nd Annual Power Electronics Specialists Conference (IEEE Cat. No.01CH37230)",
"year": 2001
},
{
"abstract": "Static converters design has to guarantee that in all specific operating conditions the junction temperature of power devices does not exceed admitted limits. The temperature of different components depends on losses distribution and on the number of switches in IGBT modules. This paper investigates the losses balancing in the most popular 3L conversion structures: 3L Stacked Cells, 3L Neutral Point Clamped (NPC) and 3L Active NPC. The 3L Active NPC concepts accomplish a two independent stages conversion which leads to a better equilibration of losses. The 3L Stacked Cells topology was experimentally realized and some results are presented in the paper.",
"author_names": [
"Dan Floricau",
"Guillaume Gateau",
"Elena Floricau",
"Ioana Popa",
"Dan Olaru",
"Sergiu Ivanov"
],
"corpus_id": 55560352,
"doc_id": "55560352",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "LOSSES DISTRIBUTION IN THREE LEVEL VOLTAGE SOURCE INVERTERS",
"venue": "",
"year": 2007
},
{
"abstract": "In this paper, direct torque control (DTC) of five phase induction motor (FPIM) is implemented using three level neutral point clamped (TL NPC) inverter. One of the advantages of three level inverter over two level one for DTC operation is the low torque ripple. Also TL NPC inverter through space vector modulation technique gives low <inline formula><tex math notation=\"LaTeX\" dv/dt$/tex math>/inline formula> transition with better voltage waveform. By applying conventional lookup table for DTC, the TL NPC inverter does not ensures lower <inline formula> <tex math notation=\"LaTeX\"$dv/dt$/tex math>/inline formula> transition. In this paper, a novel switching scheme for DTC of FPIM using TL NPC inverter is proposed that ensures the low <inline formula><tex math notation=\"LaTeX\" dv/dt$ /tex math>/inline formula> transition and balancing of dc link capacitor voltages of TL NPC inverter. To form the lookup table for DTC operation, instead of using voltage vectors directly, virtual vectors (VVs) are utilized. Two switching states are used in one sample time to generate a VV in <inline formula><tex math notation=\"LaTeX\"\\alpha \\beta$/tex math>/inline formula> plane, which gives zero resultant voltage in <inline formula> <tex math notation=\"LaTeX\" xy$/tex math>/inline formula> plane. The switching strategy ensures low number of transitions to reduce the switching losses. The switching state redundancies are used in a novel way to balance the dc link capacitor voltages without using any additional hardware. The proposed technique to balance the dc link capacitor voltage gives lower switching frequency. The MATLAB/Simulink environment is used for the simulation and the results are validated through experiments.",
"author_names": [
"Saifullah Payami",
"Ranjan Kumar Behera",
"Atif Iqbal"
],
"corpus_id": 10511405,
"doc_id": "10511405",
"n_citations": 27,
"n_key_citations": 1,
"score": 0,
"title": "DTC of Three Level NPC Inverter Fed Five Phase Induction Motor Drive With Novel Neutral Point Voltage Balancing Scheme",
"venue": "IEEE Transactions on Power Electronics",
"year": 2018
},
{
"abstract": "In order to control the neutral point voltage of inverter with discontinuous PWM (DPWM) this paper proposed a generalized discontinuous PWM (GDPWM) based neutral point voltage balancing method for three level neutral point clamped (NPC) voltage source inverter (VSI) Firstly, a triangle carrier based (TCB GDPWM) is proposed. Secondly, the affection on neutral point voltage for the modulation wave are analyzed. Base on the analysis, a bang bang control is adept to control the neutral point voltage via changing the polarity of the certain part of the common mode voltage (CMV) Finally, the proposed method is verified by simulation and experiment. The results show that the propose method has good performance at neutral point voltage control and switching losses reduction.",
"author_names": [
"Kai Li",
"Min Wei",
"Chuan Xie",
"Fujin Deng",
"Josep M Guerrero",
"Juan C Vasquez"
],
"corpus_id": 33704532,
"doc_id": "33704532",
"n_citations": 8,
"n_key_citations": 1,
"score": 0,
"title": "A generalized discontinuous PWM based neutral point voltage balancing method for three level NPC voltage source inverter with switching losses reduction",
"venue": "2017 IEEE Applied Power Electronics Conference and Exposition (APEC)",
"year": 2017
},
{
"abstract": "In this paper, a novel space vector pulse width modulation (SVPWM) scheme for reducing the common mode voltage (CMV) in the three phase five level active neutral point clamped (5L ANPC) inverter for the machine drive systems is proposed, where only the 55 selected voltage vectors with low values of CMV instead of all 125 voltage vectors are utilized. This PWM scheme can significantly reduce the common mode voltage without the increase of switching loss and total harmonic distortion (THD) of the output voltages compared with the conventional one. With the 55 voltage vectors only, the inverter can still work in the full range of modulation index (MI) In addition, the DC link capacitor and flying capacitor voltages can also be controlled for balancing. The PSIM simulation results show that the peak value of the CMV is decreased to one twelfth of the DC link voltage.",
"author_names": [
"Quoc Anh Le",
"Dong-Choon Lee"
],
"corpus_id": 7302735,
"doc_id": "7302735",
"n_citations": 6,
"n_key_citations": 2,
"score": 0,
"title": "A novel SVPWM scheme for common mode voltage reduction in five level active NPC inverters",
"venue": "2015 9th International Conference on Power Electronics and ECCE Asia (ICPE ECCE Asia)",
"year": 2015
},
{
"abstract": "The combination of new high voltage high power switching devices and multilevel topology is an effective approach to make medium or high voltage and large capacity converters. This paper focused research works on the Integrated Gate Commutated Thyristor (IGCT) based three level active neutral point clamped (ANPC) converter, and investigated four kinds of modulation strategies based on ANPC three level topology: SPWM, PF SPWM, DF SPWM, PFDF SPWM. Aiming at the application of SPWM in 10MVA NPC three level inverter and PFDF SPWM in ANPC medium voltage inverter, the IGCT device loss is analyzed and studied in detail in this paper. Experimental results verify the correctness of the analysis.",
"author_names": [
"Mei-fan Li",
"Chunyi Zhu",
"Chongjian Li",
"Wei Chang"
],
"corpus_id": 208884021,
"doc_id": "208884021",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Control Strategy of Loss Balance on the IGCT Based Large Power Three level Active Neutral Point Clamped Converter",
"venue": "2019 22nd International Conference on Electrical Machines and Systems (ICEMS)",
"year": 2019
},
{
"abstract": "The three level neutral point clamped voltage source converter (NPC VSC) is widely used in high power medium voltage applications. The unequal loss distribution among the semiconductors is one major disadvantage of this popular topology. This paper studies the loss distribution problem of the NPC VSC and proposes the active NPC VSC to overcome this drawback. The switch states and commutations of the converter are analyzed. A loss balancing scheme is introduced, enabling a substantially increased output power and an improved performance at zero speed, compared to the conventional NPC VSC.",
"author_names": [
"Thomas Bruckner",
"Steffen Bernet",
"Henry Guldner"
],
"corpus_id": 33215833,
"doc_id": "33215833",
"n_citations": 564,
"n_key_citations": 43,
"score": 0,
"title": "The active NPC converter and its loss balancing control",
"venue": "IEEE Transactions on Industrial Electronics",
"year": 2005
},
{
"abstract": "An improved space vector PWM (SVPWM) strategy is proposed in this paper to balance the neutral point voltage (NP) and reduce switching loss for three level active neutral point clamped (ANPC) converter. Compared with the traditional SVPWM, the improved SVPWM strategy reduces the number of vectors and the switching frequency per cycle. So the switching losses can be reduced. According to the NP offset, different switching sequences are used to control the NP voltage. Therefore, the voltage on each capacitor can be controlled effectively. This strategy can balance the NP voltage, no matter which Space Vector Diagram (SVD) the reference vector locates in. Lastly, simulation and experimental results are provided to verify the effectiveness of the proposed control strategy.",
"author_names": [
"Chao Zhang",
"Cungang Hu",
"Guanghui Fang",
"Hua Li",
"Zhe Qian"
],
"corpus_id": 46863311,
"doc_id": "46863311",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "An improved SVPWM strategy for three level active NPC inverter",
"venue": "2017 12th IEEE Conference on Industrial Electronics and Applications (ICIEA)",
"year": 2017
},
{
"abstract": "In this paper is proposed a new balancing three level three dimensional space vector modulation (B3L 3DSVM) strategy which uses a redundant voltage vectors to realize precise control and high performance for a three phase three level four leg neutral point clamped (NPC) inverter based Shunt Active Power Filter (SAPF) for eliminate the source currents harmonics, reduce the magnitude of neutral wire current (eliminate the zero sequence current produced by single phase nonlinear loads) and to compensate the reactive power in the three phase four wire electrical networks. This strategy is proposed in order to gate switching pulses generation, dc bus voltage capacitors balancing (conserve equal voltage of the two dc bus capacitors) and to switching frequency reduced and fixed of inverter switches in same times. A Nonlinear Back Stepping Controllers (NBSC) are used for regulated the dc bus voltage capacitors and the SAPF injected currents to robustness, stabilizing the system and to improve the response and to eliminate the overshoot and undershoot of traditional PI (Proportional Integral) Conventional three level three dimensional space vector modulation (C3L 3DSVM) and B3L 3DSVM are calculated and compared in terms of error between the two dc bus voltage capacitors, SAPF output voltages and THDv, THDi of source currents, magnitude of source neutral wire current, and the reactive power compensation under unbalanced single phase nonlinear loads. The success, robustness, and the effectiveness of the proposed control strategies are demonstrated through simulation using Sim Power Systems and S Function of MATLAB/SIMULINK.",
"author_names": [
"Ali Chebabhi",
"Mohammed Karim Fellah",
"Abdelhalim Kessal",
"M F Benkhoris"
],
"corpus_id": 295808,
"doc_id": "295808",
"n_citations": 16,
"n_key_citations": 1,
"score": 0,
"title": "A new balancing three level three dimensional space vector modulation strategy for three level neutral point clamped four leg inverter based shunt active power filter controlling by nonlinear back stepping controllers.",
"venue": "ISA transactions",
"year": 2016
},
{
"abstract": "This paper proposes a high performance grid connected Photovoltaic (PV) system based on a three phase transformerless three level Active Neutral Point Clamped (3L ANPC) inverter. The ANPC is one of the derived topologies from the Neutral Point Clamped (NPC) one, which is widely used for transformerless grid connected PV applications thanks to its inherent advantages such as: reduced voltage stress on its power switches, reduced output current THD, low high efficiency, and loss and heat balancing capability. The 3L ANPC topology has mainly been proposed to overcome the NPC major issue of unbalanced loss and temperature distribution among its power devices. Furthermore, the modulation strategy is a key issue for loss and temperature balancing inside the ANPC topology. In this paper, the 3L ANPC PV inverter is controlled by a Thermal Balancing PWM (ThB PWM) strategy. This strategy allows an efficient balance of the loss and temperature distribution inside the inverter and a reduced total dissipated losses when compared with the conventional PWM strategies. The effectiveness of the proposed grid connected PV system based on a 6.37 kW 3L ANPC inverter controlled by the ThB PWM strategy is proven through simulation tests performed on PSIM simulator.",
"author_names": [
"Hanen Messaoudi",
"Afef Bennani Ben Abdelghani",
"Najiba Mrabet Bellaaj"
],
"corpus_id": 59551115,
"doc_id": "59551115",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "High Performance Three Level ANPC Inverter with Thermal Balancing PWM Strategy for Grid Connected PV System",
"venue": "",
"year": 2018
}
] |
5G RF front end module architectures for mobile applications | [
{
"abstract": "The explosive growth and adoption of smartphones provides access to voice and data for billions of people worldwide today and connected devices are expect to reach 5.6 billion in 2020. This growth has been and continues to be the engine for semiconductor industry due to required computational power of CMOS technology in lower feature nodes as FinFet 7nm/14nm for application processors, modems and transceivers. The adoption of 5G will bring higher data capacity and low latency using sub 6GHz bands and mmWave spectrum, with the first expected to be deployed in next generation 5G smartphones. This 5G evolution will open up new applications where our phones will be a conduit for massive amounts of data. With lower feature nodes for RF CMOS there is an increased usage of digital signal processing (DSP) and RF digital calibration which are part of modern modem technology. 5G requires more RF bands, so there is a clear shift in terms of what parts of the RF systems are portioned in advanced CMOS nodes and what RF and analogue blocks are integrated with other components such as acoustic duplexers and filters in multiple RF front end modules (RF FEMs) This paper proposes a low cost RF partitioning and architecture which will be part of 5G RF FEMs. This paper also presents some design/measurement results and explains how these modules can be integrated into a complex 4G/5G system RF front end (RFFE) for mobile applications.",
"author_names": [
"Florinel Balteanu",
"Hardik Modi",
"Yunyoung Choi",
"Junhyung Lee",
"Serge Drogi",
"Sabah Khesbak"
],
"corpus_id": 208280120,
"doc_id": "208280120",
"n_citations": 5,
"n_key_citations": 0,
"score": 1,
"title": "5G RF Front End Module Architectures for Mobile Applications",
"venue": "2019 49th European Microwave Conference (EuMC)",
"year": 2019
},
{
"abstract": "Worldwide adoption of 3G/4G smartphones for more than 5 billion of people has been one of the main driving engine behind semiconductor industry. 5G is expected to bring higher data capacity, low latency and new RF hardware enhancements which will open the market for new application where our smartphones will be a conduit. CMOS lower features nodes as FinFET 7nm/14nm CMOS allow the computational power and lower power consumption required for the use of digital signal processing and RF digital calibration which are essential for 4G/5G modem and application processor technology. The goal of having a single die for the entire 4G/5G functionality has faded away to a more realistic partitioning where many RF and analogue blocks are integrated with other components such as RF acoustic filters in multiple RF front endmodules. This paper presents RF front end architectures which will be part of 5G smartphones together with circuit and measurement details.",
"author_names": [
"Florinel Balteanu"
],
"corpus_id": 210994554,
"doc_id": "210994554",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "RF Front End Module Architectures for 5G",
"venue": "2019 IEEE BiCMOS and Compound semiconductor Integrated Circuits and Technology Symposium (BCICTS)",
"year": 2019
},
{
"abstract": "generation mobile handsets for 5G and beyond 5G applications. More specifically, the team is looking into improving front end modules by using CMOS compatible III V technologies. These front ends will operate at mm wave frequencies, and will be compact, powerful, cost and energy efficient. Nadine Collaert, program director at imec, sheds light on the technologies that imec is developing and presents first functional devices.",
"author_names": [
"Nadine Collaert"
],
"corpus_id": 214812418,
"doc_id": "214812418",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Heterogeneous III V/CMOS technologies for beyond 5G RF front end modules",
"venue": "",
"year": 2020
},
{
"abstract": "The research area of improving the cost, performance and the size of RF transmit solution for 4G/5G is very active with many developments. 5G will bring high data capacity and low latency using sub 6GHz and mmWave spectrum, with the first deployment using sub 6GHz bands. The increased adoption of powerful worldwide mobile devices has been in made possible due to increased computational power of CMOS technology in lower feature nodes as FinFet 7nm/14nm. This has also made it possible to essentially enhance RF CMOS through digital signal processing (DSP) and digital calibration which are part of the modem and application processor. Despite this progress, there is a shift in terms of what parts of the RF system are portioned in advanced CMOS nodes and what blocks are left and integrated together with other analogue and RF blocks in a front end module (FEM) This paper proposes a solution for this partitioning for lower cost and size with high linearity performance and a new envelope tracking system for high power 5G LTE sub 6 GHz. This paper presents also how these modules integrate into a full 4G/5G system RF front end (RFFE) for mobile applications.",
"author_names": [
"Florinel Balteanu",
"Hardik Modi",
"Yu Zhu",
"Serge Drogi",
"Sabah Khesbak"
],
"corpus_id": 58670971,
"doc_id": "58670971",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Envelope Tracking System for High Power Applications in Uplink 4G/5G LTE Advanced",
"venue": "2018 Asia Pacific Microwave Conference (APMC)",
"year": 2018
},
{
"abstract": "Digital Video Content is now pervasive and services like IPTV are gaining traction on hand held devices too. Android, a software platform from Google, pioneering middleware and applications for handheld devices does not offer support for content management services by design. Motivated by these observations, we propose an aggregate model software platform to offer content management and value added services (VAS) like IPTV on android. The outline of paper is as follows: First, we discuss few related works to investigate and analyze industry wide content management and delivery practices. Second, we discuss few characteristics of android architecture and detail the constraints associated with middleware to offer such VAS. Consequently, we describe the proposed software platform which addresses key inherent challenges like Efficiency, Extendibility and Security while achieving efficient content delivery. The Module Interconnection Architecture can be visualized to be constituted by three basic building blocks, namely: VAS Content Management framework, IPTV framework and Application Services framework. The architecture employs a different perspective of classifying content based on applications. We point out the need and rationale behind such a classification to correlate in an end 2 end IPTV architecture. We show the benefits of using the Application Services framework to ensure smooth and swift portability onto various flavors of android. Finally, we conclude by detailing some use cases indicating content management services like Electronic Service Guide (ESG) on Android.",
"author_names": [
"S P Suman Kumar",
"Vijay Anand"
],
"corpus_id": 2803539,
"doc_id": "2803539",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "A Robust Client Architecture on Android to Cater End 2 End Real Time Content Management and Personalized IPTV Services to Mobile Internet Devices",
"venue": "",
"year": 2010
},
{
"abstract": "This paper describes the design of an MMIC phase shifter which can be used in a 4 channel 26 28 GHZ transmitter IC. The MMIC phase shifter is used for 5G RF front Ended applications. MMICs usually include power amplifiers with 4 bit digital phase shifters to make the phase adjustable. The whole design was used for the transmit chain of a mobile device and in a base station.Future topology will continue to be improved.",
"author_names": [
"Wei Cai",
"F G Shi"
],
"corpus_id": 64879781,
"doc_id": "64879781",
"n_citations": 8,
"n_key_citations": 0,
"score": 0,
"title": "Design of Low Power Medical Device",
"venue": "VLSIC 2017",
"year": 2017
},
{
"abstract": "Among multiple services delivered over future mobile networks, the most demanding (from the required bandwidth point of view) are related to media streaming, which is a key component in smart applications (entertainment, tourism, surveillance, etc. Such applications have to exploit a considerable amount of data, which is difficult to achieve especially in dense urban environments. In this context, the article presents a new solution for HTTP compliant adaptive media streaming applicable to future 5G mobile networks, aimed at increasing bandwidth availability through the use of multiple radio access technologies and direct connections between devices if they are in proximity of each other. The proposed solution considers a scenario in which a high quality media stream is received by multipath transmission through the radio access network. Collaboration of neighboring devices is exploited by using direct device to device links. Thus, proxy nodes can be inserted between a given media receiver and an access network. Toward ensuring optimized resource allocation at both levels, base station to device and device to device, this article introduces the architectural modules required for collaboration streaming inside the radio access network and end user's device, and proposes enhancements in HTTP compliant adaptive streaming protocols in order to become suitable for a multipath collaborative scenario.",
"author_names": [
"Jordi Mongay Batalla",
"Piotr Krawiec",
"Constandinos X Mavromoustakis",
"George Mastorakis",
"Naveen K Chilamkurti",
"Daniel Negru",
"Joachim Bruneau-Queyreix",
"Eugen Borcoci"
],
"corpus_id": 105426,
"doc_id": "105426",
"n_citations": 28,
"n_key_citations": 0,
"score": 0,
"title": "Efficient Media Streaming with Collaborative Terminals for the Smart City Environment",
"venue": "IEEE Communications Magazine",
"year": 2017
},
{
"abstract": "Social Studies play a big role in one's life. One of the main ideologies that the subject social studies discuss is the history. The said subject helps to mold one's behavior and culture. History helps people to be aware of their civic duties to the society. However, many of them are being misguided to learn the true essence of the subject. There are several factors affecting the interest of the students regarding the Philippine History (PH) subjects. This research project tends to enhance the knowledge of the students towards the PH subject. The researchers developed a mobile game application called Map A to aid the existing problem of some students regarding with understanding and interest of the subject matter. The game is simply a great learning tool for the students that will help them to grasp broad knowledge about the PH. The game provides information and entertainment to the intended users. The system has been developed using prototyping methodology to ensure its capability to achieve its main objective. The authors successfully met all the objectives based on the result of testing and evaluation. History doesn't end as long as people live. It will be more necessary to download and play this game for those who intend to recall or enhance their knowledge regarding Philippine History.",
"author_names": [
"Anthony M Rivadulla",
"Jomar L de Jesus",
"Jover B Saludo",
"Francis F Balahadia",
"For-ian V Sandoval"
],
"corpus_id": 23683037,
"doc_id": "23683037",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "PhilLoveHistory: Enhancing knowledge in Philippine history using mobile game application",
"venue": "TENCON 2017 2017 IEEE Region 10 Conference",
"year": 2017
},
{
"abstract": "The uses of wireless technologies, such as, mobile ad hoc network (MANET) are increasing and it influences the development of new theories and structures for the communication. Wireless mobile communication consists of various nodes communicating with each other directly or through the relay nodes using multi hope network system. There are many MANET routing protocols. These routing protocols are used to deal with dynamic communication. As mobile applications are increasing day by day, we need to analyze these routing protocols to handle more data load at high node density. It motivates the performance comparison of AODV, ZRP and OLSR protocols in mobile ad hoc network. The comparison represents the more effective and reliable protocol for required mobile application. Using OPNET simulator software tool we can analyze these protocols performance on the basis of various matrices like End to End delay, Network load, ReTransmission attempts and Throughput. The results are given with graphical representation.",
"author_names": [
"Barjinder S Kaler",
"Munish Rattan",
"Address for Correspondence"
],
"corpus_id": 61358301,
"doc_id": "61358301",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "A COMPREHENSIVE PERFORMANCE ANALYSIS OF MOBILE AD HOC ROUTING PROTOCOLS UNDER HIGH DATA RATE TRAFFIC HI DEFINITION VIDEO CONFERENCING",
"venue": "",
"year": 2012
},
{
"abstract": "The growing number of discussions on political issues and other issues on social networks result in the polarization of those messages, considering the characteristics of the content selection algorithms used in these platforms. Therefore, the \"Cidade Democratica\" institute presents the idea of a new social participation platform, the \"Pushing Together\" to be used in web and mobile applications. The idea is to allow the user to create and participate of conversations, performing comments and/or votes on a comment of another participant. With the given votes, people who answered in a similar way are grouped, allowing the user to get a wide vision of all opinions. The \"Pushing Together\" platform need to have these funcionalities of user/conversations management and user grouping to fulfill its purpose. Offering these functionalities as web services would be meaningful contribution to the project. Moreover, a more flexible solution would be making possible to group the users using different configurable classification techniques. The goal of this study was the implementation of a RESTful API that holds all the functionalities mentioned above and an architecture that allows the use of different classification methods to group the users. The study was made in five steps and the API was implemented in six iterations. Along with the services module (API) the architecture was proposed with another two modules, the client module to provide the platform graphic interface and the math module to be responsible for the user grouping. At the end of development, an application was built to validate the API and the proposed architecture. In the validation only one math module was integrated. The proposed architecture and the API was considered adequate and satisfactory for the identified requirements. However, evolutions needs was perceived considering another functionalities for \" Pushing Together \" Essentials functionalities to reduce the discussions polarization. The gap of math modules to integrated was perceived too. Key words: Social participation. RESTful API. Pushing Together. Lista de ilustracoes Figura 1 Etapas do trabalho 23 Figura 2 Funcionamento do \"Empurrando Juntos\" 26 Figura 3 Prototipo do \"Empurrando Juntos\" Fonte: (PARRA FILHO, 2016) 27 Figura 4 Cronograma de iteracoes de desenvolvimento 32 Figura 5 Niveis do modelo de maturidade proposto por Richardson. Fonte: Traduzido de (FOWLER, 2010) 36 Figura 6 Estrutura do Pentano 37 Figura 7 Esquema de comunicacao entre os modulos de API e Matematico 38 Figura 8 Relacionamento das principais entidades da API 39 Figura 9 Arquitetura de apps da API 40 Figura 10 Diagrama de Sequencia de comunicacao entre os modulos 41 Figura 11 Funcionamento do Empurrando Juntos Comunicacao entre os modulos 42 Figura 12 Solucao completa utilizando a arquitetura proposta. 43 Figura 13 Tela que apresenta os comentarios e grupos de usuarios de uma conversa 45 Figura 14 Fluxo de clusterizacao do \"Empurrando Juntos\" 46 Figura 15 Passos para clusterizacao. Adaptado de Jain, Murty e Flynn (1999) 56 Figura 16 Formas de se agrupar o mesmo conjunto de dados. Fonte: (TAN; STEINBACH; KUMAR, 2006) 57 Figura 17 Iteracoes de clusterizacao utilizando k Means. Adaptado de Tan, Steinbach e Kumar (2006) 59",
"author_names": [
"Emilie Trindade de Morais",
"Italo Paiva Batista"
],
"corpus_id": 67308255,
"doc_id": "67308255",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Implementacao de uma interface de programacao e uma arquitetura de plugins para a plataforma de participacao social \"Empurrando Juntos\"",
"venue": "",
"year": 2018
}
] |
Interface Physics in Complex Oxide Heterostructures | [
{
"abstract": "Complex transition metal oxides span a wide range of crystalline structures and play host to an incredible variety of physical phenomena. High dielectric permittivities, piezo pyro and ferroelectricity are just a few of the functionalities offered by this class of materials, while the potential for applications of the more exotic properties like high temperature superconductivity and colossal magnetoresistance is still waiting to be fully exploited. With recent advances in deposition techniques, the structural quality of oxide heterostructures now rivals that of the best conventional semiconductors, taking oxide electronics to a new level. Such heterostructures have enabled the fabrication of artificial multifunctional materials. At the same time they have exposed a wealth of phenomena at the boundaries where compounds with different structural instabilities and electronic properties meet, giving unprecedented access to new physics emerging at oxide interfaces. Here we highlight some of these exciting.",
"author_names": [
"Pavlo Zubko",
"Stefano Gariglio",
"Marc Gabay",
"Philippe Ghosez",
"J-M Triscone"
],
"corpus_id": 121026358,
"doc_id": "121026358",
"n_citations": 729,
"n_key_citations": 4,
"score": 1,
"title": "Interface Physics in Complex Oxide Heterostructures",
"venue": "",
"year": 2011
},
{
"abstract": "Abstract Multilayered structures with artificial oxide hetero interfaces have recently been dominating the field of new states of matter. The unexpected properties and related functionalities at the interface of complex oxide heterostructures as a consequence of the symmetry breaking, electronic reconstruction etc. make these complex oxide interfaces particularly challenging for understanding the fundamental mechanism and interaction across the interfaces. Magnetic modulation, novel magnetic coupling and emerging interface induced magnetization at the interfaces of complex oxide heterostructures have made the search for their technological applications as an intense field of research in recent time. However to study the structure and magnetism in such interfaces require tools with interface specificity. Polarized neutron reflectivity is a non destructive technique which has played a decisive role in investigation of interfacial magnetic structures and in understanding the underlying physics in this rapidly developing field. This article presents a review of some recent experimental results on emerging magnetization at the interfaces of complex oxide heterostructures specifically investigated using polarized neutron reflectivity.",
"author_names": [
"Surendra Singh",
"Saibal Basu"
],
"corpus_id": 100457715,
"doc_id": "100457715",
"n_citations": 7,
"n_key_citations": 0,
"score": 0,
"title": "Investigation of interface magnetism of complex oxide heterostructures using polarized neutron reflectivity",
"venue": "",
"year": 2017
},
{
"abstract": "The marriage between a 2D layered material (2DLM) and a complex transition metal oxide (TMO) results in a variety of physical and chemical phenomena that cannot be achieved in either material alone. Interesting recent discoveries in systems such as graphene/SrTiO3 graphene/LaAlO3 /SrTiO3 graphene/ferroelectric oxide, MoS2 /SrTiO3 and FeSe/SrTiO3 heterostructures include voltage scaling in field effect transistors, charge state coupling across an interface, quantum conductance probing of the electrochemical activity, novel memory functions based on charge traps, and greatly enhanced superconductivity. In this context, various properties and functionalities appearing in numerous different 2DLM/TMO heterostructure systems are reviewed. The results imply that the multidimensional heterostructure approach based on the disparate material systems leads to an entirely new platform for the study of condensed matter physics and materials science. The heterostructures are also highly relevant technologically as each constituent material is a promising candidate for next generation optoelectronic devices.",
"author_names": [
"Kyeong Tae Kang",
"Jeongmin Park",
"Dongseok Suh",
"Woo Seok Choi"
],
"corpus_id": 58560037,
"doc_id": "58560037",
"n_citations": 13,
"n_key_citations": 0,
"score": 0,
"title": "Synergetic Behavior in 2D Layered Material/Complex Oxide Heterostructures.",
"venue": "Advanced materials",
"year": 2018
},
{
"abstract": "Magnetic complex oxide heterostructures are of keen interest because a wealth of phenomena at the interface of dissimilar materials can give rise to fundamentally new physics and potentially valuable functionalities. Altered magnetization, novel magnetic coupling and emergent interfacial magnetism at the epitaxial layered oxide interfaces are under intensive investigation, which shapes our understanding on how to utilize those materials, particularly for spintronics. Neutron and x ray based techniques have played a decisive role in characterizing interfacial magnetic structures and clarifying the underlying physics in this rapidly developing field. Here we review some recent experimental results, with an emphasis on those studied via polarized neutron reflectometery and polarized x ray absorption spectroscopy. We conclude with some perspectives.",
"author_names": [
"Yaohua Liu",
"X Ke"
],
"corpus_id": 16906709,
"doc_id": "16906709",
"n_citations": 15,
"n_key_citations": 0,
"score": 0,
"title": "Interfacial magnetism in complex oxide heterostructures probed by neutrons and x rays.",
"venue": "Journal of physics. Condensed matter an Institute of Physics journal",
"year": 2015
},
{
"abstract": "As the representative family of complex oxides, transition metal oxides, where the lattice, charge, orbital and spin degrees of freedom are tightly coupled, have been at the forefront of condensed matter physics for decades. With the advancement of state of the art heteroepitaxial deposition techniques, it has been recognized that combining these oxides on the atomic scale, the interfacial region offers great opportunities to discover emergent phenomena and tune materials' functionality. However, there still lacks general guiding principles for experimentalists, following which one can design and fabricate artificial systems on demand. The main theme of this dissertation is to devise and propose some basic rules for heterostructure engineering. Towards this goal, I first report the growth of high quality YTiO3/CaTiO3 superlattices by pulsed laser deposition. Electrical transport measurements reveal that a novel, nonSrTiO3 based two dimensional electron gas system has formed at the interface. What is more, these studies add solid evidences that interface engineering via charge modulation is an effective approach to realizing exotic many body phenomena. Secondly, a new concept, denoted as \"geometrical lattice engineering\" is proposed with pioneering experimental efforts. Aiming at designing magnetically frustrated systems, (111)oriented CoCr2O4 thin films and CoCr2O4/Al2O3 heterostructures have been fabricated for the first time. Comprehensive structural and electronic characterizations reveal that no disorder in the cation distribution or multivalency issue is present. As a result, unique quasi two dimensional geometrically frustrated lattices composed of alternating kagome and triangular lattices, are naturally established via this topological approach. These CoCr2O4/Al2O3 heterostructures have been found to exhibit remarkably different behaviors from the bulk compounds. Towards the two dimensional limit, the ground state of the ultrathin superlattices transforms from the bulk like nonlinear ferrimagnetic phase, into an emergent collinear ferrimagnetic phase, and finally into an exotic magnetically disordered phase with an extensively large frustration parameter, which is a hallmark of quantum spin liquid. These findings corroborate geometrical lattice engineering has excellent potential in achieving novel electronic, magnetic, and topological phases.",
"author_names": [
"Xiaoran Liu"
],
"corpus_id": 136128961,
"doc_id": "136128961",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Artificial Quantum Many Body States in Complex Oxide Heterostructures at Two Dimensional Limit",
"venue": "",
"year": 2016
},
{
"abstract": "Abstract Complex oxide heterostructure interfaces have shown novel physical phenomena which do not exist in bulk materials. These heterostructures can be used in the potential applications in the next generation devices and served as the playgrounds for the fundamental physics research. The direct measurements of the interfaces with excellent spatial resolution and physical property information is rather difficult to achieve with the existing tools. Recently developed cross sectional scanning tunneling microscopy and spectroscopy (XSTM/S) for complex oxide interfaces have proven to be capable of providing local electronic density of states (LDOS) information at the interface with spatial resolution down to nanometer scale. In this perspective, we will briefly introduce the basic idea and some recent achievements in using XSTM/S to study complex oxide interfaces. We will also discuss the future of this technique and the field of the interfacial physics.",
"author_names": [
"Aaron Wang",
"TeYu Chien"
],
"corpus_id": 125129075,
"doc_id": "125129075",
"n_citations": 6,
"n_key_citations": 0,
"score": 0,
"title": "Perspectives of cross sectional scanning tunneling microscopy and spectroscopy for complex oxide physics",
"venue": "",
"year": 2018
},
{
"abstract": "Epitaxial strain, imparted by an underlying substrate, is a powerful pathway to drive phase transitions and dramatically alter properties in oxide heterostructures, enabling the emergence of new ground states and the enhancement of ferroelectricity, piezoelectricity, superconductivity and ferromagnetism. However, the limitation of commercially available single crystal substrates and the lack of continuous strain tunability preclude the ability to take full advantage of strain engineering for further exploring novel properties and exhaustively studying fundamental physics in complex oxides. Here we report an approach for imposing continuously tunable, large epitaxial strain in oxide heterostructures beyond substrate limitations by inserting an interface layer through tailoring its gradual strain relaxation. Taking BiFeO3 as a model system, we demonstrate that the introduction of an ultrathin interface layer allows the creation of a desired strain that can induce phase transition and stabilize a new metastable super tetragonal phase as well as morphotropic phase boundaries overcoming substrate limitations. Furthermore, continuously tunable strain from tension to compression can be generated by precisely adjusting the thickness of the interface layer, leading to the first achievement of continuous O R T phase transition in BiFeO3 on a single substrate. This proposed route could be extended to other oxide heterostructures, providing a platform for creating exotic phases and emergent phenomena.",
"author_names": [
"Xiong Deng",
"Chao Ming Chen",
"Deyang Chen",
"Xiangbin Cai",
"Chao Xu",
"Xiaozhe Yin",
"Guo Tian",
"Zhen Fan",
"Zhipeng Hou",
"Minghui Qin",
"Xubing Lu",
"Guofu Zhou",
"Lang Chen",
"Ning Wang",
"Ye Zhu",
"Xingsen Gao",
"Jun-ming Liu"
],
"corpus_id": 145049986,
"doc_id": "145049986",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Strain Engineering of Epitaxial Oxide Heterostructures Beyond Substrate Limitations",
"venue": "",
"year": 2019
},
{
"abstract": "Selective optical excitation of a substrate lattice can drive phase changes across heterointerfaces. This phenomenon is a nonequilibrium analogue of static strain control in heterostructures and may lead to new applications in optically controlled phase change devices. Here, we make use of time resolved nonresonant and resonant x ray diffraction to clarify the underlying physics and to separate different microscopic degrees of freedom in space and time. We measure the dynamics of the lattice and that of the charge disproportionation in NdNiO_{3} when an insulator metal transition is driven by coherent lattice distortions in the LaAlO_{3} substrate. We find that charge redistribution propagates at supersonic speeds from the interface into the NdNiO_{3} film, followed by a sonic lattice wave. When combined with measurements of magnetic disordering and of the metal insulator transition, these results establish a hierarchy of events for ultrafast control at complex oxide heterointerfaces.",
"author_names": [
"Michael Forst",
"K R Beyerlein",
"Roman Mankowsky",
"W J Hu",
"Giordano Mattoni",
"Sara Catalano",
"M Gibert",
"Oleksandr M Yefanov",
"J N Clark",
"Alex Frano",
"James M Glownia",
"Matthieu Chollet",
"Henrik Till Lemke",
"Benjamin Moser",
"Stephen P Collins",
"Sarnjeet Dhesi",
"Andrea D Caviglia",
"J-M Triscone",
"Andrea Cavalleri"
],
"corpus_id": 11778881,
"doc_id": "11778881",
"n_citations": 20,
"n_key_citations": 0,
"score": 0,
"title": "Multiple Supersonic Phase Fronts Launched at a Complex Oxide Heterointerface.",
"venue": "Physical review letters",
"year": 2017
},
{
"abstract": "We explore novel electronic phenomena that occur at the interface in epitaxial complex oxide heterostructures. We apply ab initio theory to predict and analyze the behavior of these heterostructures and use molecular beam epitaxy (MBE) to realize them in practice. Our first system concerns the interface between two insulating oxides, LaAlO3/SrTiO3 and LaAlO3/EuO. Formation of a two dimensional electron gas is explored and the electrostatic doping mechanism as a result of the macroscopic electric fields in LaAlO3 is proposed. In the second system, we investigate the possibility of magnetoelectric coupling at a tri components ferroelectric/ferromagnetic interface using a Pt/PbTiO3/Fe heterostructure as an example. At last we explore the connection between epitaxial strain and interfacial ferromagnetism in SrTiO3/LaCoO3 interface and demonstrate that such structure can be integrated epitaxially with Si (001)",
"author_names": [
"Alexander A Demkov",
"Agham B Posadas",
"Hosung Seo",
"Jaekwang Lee",
"Na Sai"
],
"corpus_id": 123081448,
"doc_id": "123081448",
"n_citations": 6,
"n_key_citations": 0,
"score": 0,
"title": "Emerging physics of oxide heterostructures",
"venue": "",
"year": 2011
},
{
"abstract": "Recent advances in creating complex oxide heterostructures, interfaces formed between two different transition metal oxides, have heralded a new era of materials and physics research, enabling a uniquely diverse set of coexisting physical properties to be combined with an ever increasing degree of experimental control. These systems have exhibited varied phenomena such as superconductivity, magnetism, and ferroelasticity, all of which are gate tunable, demonstrating their promise for fundamental discovery and technological innovation. To fully exploit this richness, it is necessary to understand and control the physics on the smallest scales, making the use of nanoscale probes essential. Using the prototypical LaAlO3/SrTiO3 interface as a guide, we explore the exciting developments in the physics of oxide based heterostructures, with a focus on nanostructures and the nanoscale probes employed to unravel their complex behavior.",
"author_names": [
"Joseph A Sulpizio",
"Shahal Ilani",
"Patrick Irvin",
"Jeremy Levy"
],
"corpus_id": 119192759,
"doc_id": "119192759",
"n_citations": 95,
"n_key_citations": 3,
"score": 0,
"title": "Nanoscale Phenomena in Oxide Heterostructures",
"venue": "",
"year": 2014
}
] |
A review of the status of plastic encapsulated semiconductor component reliability | [
{
"abstract": "La fiabilite des composants semiconducteurs (bipolaires et MOS) sous capsules de plastique s'est amelioree depuis leur introduction et leurs utilisations se multiplient. Les demandes du marche pour des produits bon marche et fiables ont eu pour consequence des developpements technologiques assurant une meilleure fiabilite, avec des ameliorations des capsules de plastique, en particulier des couches de passivation, un meilleur controle de la qualite. Des controles acceleres de l'humidite pour etablir la fiabilite font partie de la strategie de production a faible prix",
"author_names": [
"Richard Lawson"
],
"corpus_id": 138959640,
"doc_id": "138959640",
"n_citations": 13,
"n_key_citations": 0,
"score": 1,
"title": "A review of the status of plastic encapsulated semiconductor component reliability",
"venue": "",
"year": 1984
},
{
"abstract": "Piezoresistive sensors are among the earliest micromachined silicon devices. The need for smaller, less expensive, higher performance sensors helped drive early micromachining technology, a precursor to microsystems or microelectromechanical systems (MEMS) The effect of stress on doped silicon and germanium has been known since the work of Smith at Bell Laboratories in 1954. Since then, researchers have extensively reported on microscale, piezoresistive strain gauges, pressure sensors, accelerometers, and cantilever force/displacement sensors, including many commercially successful devices. In this paper, we review the history of piezoresistance, its physics and related fabrication techniques. We also discuss electrical noise in piezoresistors, device examples and design considerations, and alternative materials. This paper provides a comprehensive overview of integrated piezoresistor technology with an introduction to the physics of piezoresistivity, process and material selection and design guidance useful to researchers and device engineers.",
"author_names": [
"A Alvin Barlian",
"Woo-Tae Park",
"Joseph R Mallon",
"Ali J Rastegar",
"Beth L Pruitt"
],
"corpus_id": 11693846,
"doc_id": "11693846",
"n_citations": 635,
"n_key_citations": 18,
"score": 0,
"title": "Review: Semiconductor Piezoresistance for Microsystems",
"venue": "Proceedings of the IEEE",
"year": 2009
},
{
"abstract": "",
"author_names": [
"Robert Wolpert"
],
"corpus_id": 59860133,
"doc_id": "59860133",
"n_citations": 22093,
"n_key_citations": 974,
"score": 0,
"title": "A Review of the",
"venue": "",
"year": 1985
},
{
"abstract": "Silicon carbide has the potential to replace silicon in a large spectrum of applications. To do so, SiC needs superior performance, a reasonable price and good reliability. However, there are a couple of properties and effects, which could compromise the reliability of SiC devices. Namely, power cycling capability, high temperature operation, bipolar degradation, susceptibility to humidity under operation and all kinds of MOS issues require special attention. After SiC devices have matured to a level at which standard applications are no longer affected by reliability issues, it is now time to find out how far SiC devices can be pushed or what safety margin are required. As testing for the entire useful life is not an option, it is essential to find the right tests that can precisely quantify the degradation or can at least indicate if there is a possible risk. Finally, these tests have to become internationally recognized standards.",
"author_names": [
"Nando Kaminski",
"Sarah Rugen",
"Felix Hoffmann"
],
"corpus_id": 169037180,
"doc_id": "169037180",
"n_citations": 6,
"n_key_citations": 0,
"score": 0,
"title": "Gaining Confidence A Review of Silicon Carbide's Reliability Status",
"venue": "2019 IEEE International Reliability Physics Symposium (IRPS)",
"year": 2019
},
{
"abstract": "Organic electronics are beginning to make significant inroads into the commercial world, and if the field continues to progress at its current, rapid pace, electronics based on organic thin film materials will soon become a mainstay of our technological existence. Already products based on active thin film organic devices are in the market place, most notably the displays of several mobile electronic appliances. Yet the future holds even greater promise for this technology, with an entirely new generation of ultralow cost, lightweight and even flexible electronic devices in the offing, which will perform functions traditionally accomplished using much more expensive components based on conventional semiconductor materials such as silicon.",
"author_names": [
"Stephen R Forrest"
],
"corpus_id": 1099780,
"doc_id": "1099780",
"n_citations": 4357,
"n_key_citations": 34,
"score": 0,
"title": "The path to ubiquitous and low cost organic electronic appliances on plastic",
"venue": "Nature",
"year": 2004
},
{
"abstract": "Global environmental concerns and the escalating demand for energy, coupled with steady progress in renewable energy technologies, are opening up new opportunities for utilization of renewable energy resources. Solar energy is the most abundant, inexhaustible and clean of all the renewable energy resources till date. The power from sun intercepted by the earth is about 1.8A xA 1011A MW, which is many times larger than the present rate of all the energy consumption. Photovoltaic technology is one of the finest ways to harness the solar power. This paper reviews the photovoltaic technology, its power generating capability, the different existing light absorbing materials used, its environmental aspect coupled with a variety of its applications. The different existing performance and reliability evaluation models, sizing and control, grid connection and distribution have also been discussed.",
"author_names": [
"Bhubaneswari Parida",
"Selvarasan Iniyan",
"Ranko Goic"
],
"corpus_id": 205013527,
"doc_id": "205013527",
"n_citations": 1189,
"n_key_citations": 21,
"score": 0,
"title": "A review of solar photovoltaic technologies",
"venue": "",
"year": 2011
},
{
"abstract": "Polymer solar cells are reviewed in the context of the processing techniques leading to complete devices. A distinction is made between the film forming techniques that are used currently such as spincoating, doctor blading and casting and the, from a processing point of view, more desirable film forming techniques such as slot die coating, gravure coating, knife over edge coating, off set coating, spray coating and printing techniques such as ink jet printing, pad printing and screen printing. The former are used almost exclusively and are not suited for high volume production whereas the latter are highly suited, but little explored in the context of polymer solar cells. A further distinction is made between printing and coating when a film is formed. The entire process leading to polymer solar cells is broken down into the individual steps and the available techniques and materials for each step are described with focus on the particular advantages and disadvantages associated with each case.",
"author_names": [
"Frederik C Krebs"
],
"corpus_id": 95382117,
"doc_id": "95382117",
"n_citations": 2813,
"n_key_citations": 55,
"score": 0,
"title": "Fabrication and processing of polymer solar cells: A review of printing and coating techniques",
"venue": "",
"year": 2009
},
{
"abstract": "The field of biomaterials has become a vital area, as these materials can enhance the quality and longevity of human life and the science and technology associated with this field has now led to multi million dollar business. The paper focuses its attention mainly on titanium based alloys, even though there exists biomaterials made up of ceramics, polymers and composite materials. The paper discusses the biomechanical compatibility of many metallic materials and it brings out the overall superiority of Ti based alloys, even though it is costlier. As it is well known that a good biomaterial should possess the fundamental properties such as better mechanical and biological compatibility and enhanced wear and corrosion resistance in biological environment, the paper discusses the influence of alloy chemistry, thermomechanical processing and surface condition on these properties. In addition, this paper also discusses in detail the various surface modification techniques to achieve superior biocompatibility, higher wear and corrosion resistance. Overall, an attempt has been made to bring out the current scenario of Ti based materials for biomedical applications.",
"author_names": [
"M B Geetha",
"A K Singh",
"R Asokamani",
"Ashok Kumar Gogia"
],
"corpus_id": 73551446,
"doc_id": "73551446",
"n_citations": 3331,
"n_key_citations": 75,
"score": 0,
"title": "Ti based biomaterials, the ultimate choice for orthopaedic implants A review",
"venue": "",
"year": 2009
},
{
"abstract": "It has been known for many years that sex steroid hormones play a role in the development, growth, and behavior of certain tumors. Hormonal manipulation has been shown to be effective in the management of a significant number of patients with breast, prostate, and gynecological malignancies. 14,6 '3 It is recognized that, in order for sex steroid hormones to exert their effect, specific receptors should be present. I 4 9 l 5 Thus, detection of sex steroid hormone receptors in hormone dependent tumors has been important in our understanding of tumor biology and the mechanism of endocrine therapy. Hormone receptor analysis is traditionally performed on surgically removed specimens. However, there are situations where surgical specimens are not available or suitable for such analysis. In these circumstances, cytologic material such as fine needle aspirates, imprints, and exfoliated cells in malignant effusions can be utilized for estrogen and progesterone receptor analysis. Fine needle aspiration biopsy (FNAB) offers a suitable alternative for hormone receptor analysis in several circumstances: first, in inoperable cases and metastatic or recurrent tumors in which the size and the accessibility to surgical biopsy presents a problem; second, in selected cases in which preoperative irradiation or presurgical therapy are the initial treatment options; and third, in advanced tumors where serial hormone receptor studies may potentially provide information regarding response to therapy. FNAB is an easy, cost effective, and nontraumatic procedure which permits sampling of several areas of the same tumor and allows better assessment of hormone receptor heterogeneity. Imprint preparations have also been utilized for hormone receptor analysis and are recommended for tumors",
"author_names": [
""
],
"corpus_id": 71045765,
"doc_id": "71045765",
"n_citations": 3066,
"n_key_citations": 162,
"score": 0,
"title": "Comprehensive review",
"venue": "",
"year": 2019
},
{
"abstract": "Military specified, ceramic packaged and radiation hardened components will disappear before the end of the century. The only long term sustainable alternative may well be to use plastic packaged Commercial Components. The material in this report comes from the Defense Logistics Agency sponsored Plastic Package Availability Program and from an AT and T field reliability study. It summarizes Case Studies from companies which have been building and fielding highly reliable commercial and DOD military systems using plastic commercial components. Findings are, that when properly selected commercial components are operated within the true limitations of their design and packaging, they are as reliable as today`s Mil Spec components. Further, they offer cost, space and weight savings, shared manufacturing and field test experience with industry, and access to the most modern technology. Also reported are potential problems that may be encountered when using commercial components, their long term storage and use reliability characteristics, recommended design processes and supplier selection practices, commercial best business practices, and a semiconductor manufacturer`s view of the military`s switch to commercial plastic microcircuits.",
"author_names": [
"J Timothy Hanlon"
],
"corpus_id": 110071837,
"doc_id": "110071837",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "The future of components for high reliability military and space applications",
"venue": "",
"year": 1996
}
] |
schedule problem sustenaible | [
{
"abstract": "Hoist scheduling in automated electroplating lines has been extensively studied in a static environment. However, practical electroplating lines are subject to diversified unforeseen disruptions that require frequent rescheduling to maintain or optimize system performance. This paper addresses a hoist scheduling problem, where randomly arriving jobs need to be inserted into an existing schedule without changing the sequence of hoist moves already scheduled. The objective is to minimize the total completion time of all the jobs in the existing schedule and a newly inserted job. We develop a polynomial time heuristic that adjusts the starting times of the existing hoist moves to a limited extent but does not bring about a severe disturbance of the existing hoist moves. We compare our algorithm with two existing approaches with different rescheduling policies (i.e. partial and zero adjustment of the existing schedule) We empirically analyze the productivity and the stability of the schedules generated by the three approaches. Computational results demonstrate that our algorithm can generate more productive and stable schedules than the two existing approaches. Note to Practitioners Electroplating and chemical surface treatment lines with automated material handling hoists are commonplace in electronics, semiconductor, and many other manufacturing industries. In an uncertain environment, hoist rescheduling plays an important role in improving the productivity and reducing the impact of disruptions. This paper presents a hoist scheduling algorithm to deal with dynamic job arrivals by considering the impact of the disturbance incurred by rescheduling. Our algorithm can generate a better schedule with smaller total completion time and slighter disturbance than the existing algorithms. The proposed algorithm runs in polynomial time and can be used to control hoist operations in practical electroplating lines. A comparative analysis provides useful insights on the implementation of rescheduling approaches and policies to industry practitioners.",
"author_names": [
"Pengyu Yan",
"Ada Che",
"Eugene Levner",
"Shi Qiang Liu"
],
"corpus_id": 49556120,
"doc_id": "49556120",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "A Heuristic for Inserting Randomly Arriving Jobs Into an Existing Hoist Schedule",
"venue": "IEEE Transactions on Automation Science and Engineering",
"year": 2018
},
{
"abstract": "The cyclic hoist scheduling problem (CHSP) is a well studied optimisation problem due to its importance in industry. Despite the wide range of solving techniques applied to the CHSP and its variants, the models have remained complicated and inflexible, or have failed to scale up with larger problem instances. This article re examines modelling of the CHSP and proposes a new simple, flexible constraint programming formulation. We compare current state of the art solving technologies on this formulation, and show that modelling in a high level constraint language, MiniZinc, leads to both a simple, generic model and to computational results that outperform the state of the art. We further demonstrate that combining integer programming and lazy clause generation, using the multiple cores of modern processors, has potential to improve over either solving approach alone.",
"author_names": [
"Mark Wallace",
"N Yorke-Smith"
],
"corpus_id": 228811818,
"doc_id": "228811818",
"n_citations": 1,
"n_key_citations": 0,
"score": 1,
"title": "A new constraint programming model and solving for the cyclic hoist scheduling problem",
"venue": "Constraints An Int. J.",
"year": 2020
},
{
"abstract": "The Hoist Scheduling Problem is combinatory, so tools such as mathematical programming need to be used to get the sequence of movements, respecting the constraints of the process by minimizing the cycle time. A sequence in which the order of movements follows the order of the process is known as the basic diagram. These schedules do not have any clearance for the hoist to make any other movements, resulting in a loss in productivity. This chapter takes the production line of a Mexican factory as a case study, analyzing the hoist's travelling speed to find sequences of movements that could improve productivity. The results of the study indicate that the cycle time has a nonlinear behavior in respect of the hoist's travelling speed and it was determined that there are travelling speeds for which sequences are obtained with enough clearance to make other movements and keep other carriers on the line. A suitable speed was estimated in the case. An Analysis of the Traveling Speed in the Traveling Hoist Scheduling Problem for Electroplating Processes",
"author_names": [
"Jose Itzcoatl Gomar-Madriz",
"Salvador Hernandez-Gonzalez",
"J Navarrete-Damian"
],
"corpus_id": 208092401,
"doc_id": "208092401",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "An Analysis of the Traveling Speed in the Traveling Hoist Scheduling Problem for Electroplating Processes",
"venue": "",
"year": 2020
},
{
"abstract": "In this paper, we propose a Mixed Integer Linear Programming model for solving a hoist scheduling problem with several transportation resources. This model complements initial work that neglected the risk of collisions between hoists. This new model identifies and manages the various possible collision situations, and it is intended to be integrated as a solution evaluation module in a hybrid algorithm addressing the broader and more complex joint problem of sizing transport resources and scheduling surface treatment workshops. In this global approach, an evolutionary algorithm first generates partially feasible solutions, whose total feasibility is then verified a posteriori, thanks to the proposed new model. This model is validated through tests performed on instances of the literature.",
"author_names": [
"Emna Laajili",
"Sid Lamrous",
"Marie-Ange Manier",
"Jean Marc Nicod"
],
"corpus_id": 208629912,
"doc_id": "208629912",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Collision Free Based Model for the Cyclic Multi Hoist Scheduling Problem",
"venue": "2019 IEEE International Conference on Systems, Man and Cybernetics (SMC)",
"year": 2019
},
{
"abstract": "Electroplating facilities often face the Cyclic Hoist Scheduling Problem when a repetitive sequence of moves is searched for the hoists. This paper addresses this optimization problem extended to the design of the workshop, where we aim to minimize both the cycle time and the number of hoists used. For this goal, we propose a genetic meta heuristic approach which introduces a novel solution encoding to enlarge the solutions' search space. Our encoding procedure is based on hoists' empty moves, and includes separator characters. With the latter, we obtain solutions that were not reachable by previous approaches. Each solution obtained thanks to the genetic operators is evaluated by using a Mixed Integer Linear Program. This one checks the constraints of the problem (such as capacity constraints and soaking time bounds) and computes the smallest cycle time for a given moving sequence and its associated number of hoists. Some results are presented using benchmark instances for which our approach allows to improve the best known solutions.",
"author_names": [
"Laajili Emna",
"Lamrous Sid",
"Manier Marie-Ange",
"Nicod Jean-Marc"
],
"corpus_id": 209900422,
"doc_id": "209900422",
"n_citations": 2,
"n_key_citations": 1,
"score": 0,
"title": "Genetic Algorithm Based Approach for the Multi Hoist Design and Scheduling Problem",
"venue": "2019 International Conference on Industrial Engineering and Systems Management (IESM)",
"year": 2019
},
{
"abstract": "The current paper deals with the cyclic multi hoist scheduling problem in electroplating lines considering rentrance. Identical parts are produced with processing time windows. Moreover, re entrance is also considered. These together make it more challenged to obtain better schedules improving the productivity. To achieve this, multi degree cycles are considered. To our best knowledge, this is the first research in this generally complicated scenario. In order to maximize the productivity of the production line, i.e. minimize the cycle time for a given degree, operations of hoists are first analyzed in detail to avoid their collision. Then, operations related the re entrance are modeled. Based on these work, a mixed integer linear programming model is proposed. An industrial instances is used to test the proposed model. It is solved using the commercial software ILOG CPLEX. Results illustrate the efficiency of the proposed approach.",
"author_names": [
"Xin Li",
"Yanchun Pan",
"Richard Y K Fung"
],
"corpus_id": 211026578,
"doc_id": "211026578",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Optimal Scheduling of the Reentrant Multi Degree Cyclic Multi Hoist Scheduing Problem",
"venue": "2019 IEEE International Conference on Industrial Engineering and Engineering Management (IEEM)",
"year": 2019
},
{
"abstract": "This paper deals with the robust optimization for the cyclic hoist scheduling problem with processing time window constraints. The robustness of a cyclic hoist schedule is defined as its ability to remain stable in the presence of perturbations or variations of certain degree in the hoist transportation times. With such a definition, we propose a method to measure the robustness of a cyclic hoist schedule. A bi objective mixed integer linear programming (MILP) model, which aims to optimize cycle time and robustness, is developed for the robust cyclic hoist scheduling problem. We prove that the optimal cycle time is a strictly increasing function of the robustness and the problem has infinite Pareto optimal solutions. Furthermore, we derive the so called ideal point and nadir point that define the lower and upper bounds for the objective values of Pareto front. A Pareto optimal solution can be obtained by solving a single objective MILP model to minimize the cycle time for a given value of robustness or maximize the robustness for a specific cycle time. The single objective MILP models are solved using commercial optimization software CPLEX. Computational results on several benchmark instances and randomly generated instances indicate that the proposed approach can solve large scale problems within a reasonable amount of time.",
"author_names": [
"Ada Che",
"Jianguang Feng",
"Haoxun Chen",
"Chengbin Chu"
],
"corpus_id": 22472184,
"doc_id": "22472184",
"n_citations": 34,
"n_key_citations": 2,
"score": 0,
"title": "Robust optimization for the cyclic hoist scheduling problem",
"venue": "Eur. J. Oper. Res.",
"year": 2015
},
{
"abstract": "When various kinds of products must receive the same treatments in a production line of tanks and the size of batches is high, a cyclic manufacturing composed of a job from each batch can be scheduled. A hoist ensures the auto mated transfer of the jobs between tanks. The probl em consists in the scheduling of repetitive hoist movements, which is known as CHSP (Cyclic Hoist Scheduling Problem) The objective is to find a sequence which minimizes the cycle time for jobs from different products. We consider the problem where types of products must be treated and we search an n cyclic schedule. The algorithm is based on the resolution of different sequences of products. For each one, a branch and bound is solved which considers only coherent subsequences. It enables to reduce the com putational times most of the time for instances with 5 tanks and 4 product types",
"author_names": [
"Manuel Mateo Doll",
"Marie-Ange Manier",
"Ramon Pascual"
],
"corpus_id": 28488783,
"doc_id": "28488783",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "A procedure based on branch and bound for the Cyclic Hoist Scheduling Problem",
"venue": "",
"year": 2015
},
{
"abstract": "The cyclic hoist scheduling problem is encountered in electroplating facilities, when mass production is required. This class of problems is a branch stemming from the Hoist Scheduling Problem (HSP) where automatic hoist is used for moving electroplates through chemical baths. A repetitive sequence of moves is searched for the hoist in cyclic schedule. To minimize the cycle time of r different part jobs, we propose a linear optimization approach. An illustrative example is given in order to show some feedback of our exact solving method. Afterward, two comparisons are presented: firstly, between a two 1 cycle homogenous schedule and a 2 cycle heterogeneous part job and secondly, between 2 cycle and 4 cycle heterogeneous part job. These comparisons show how, by considering r cyclic scheduling, we can optimize the cycle length considerably and then the throughput rate of the electroplating line.",
"author_names": [
"Adnen El Amraoui",
"Marie-Ange Manier",
"Abdellah El Moudni",
"Mohamed Benrejeb"
],
"corpus_id": 5506202,
"doc_id": "5506202",
"n_citations": 20,
"n_key_citations": 0,
"score": 0,
"title": "A linear optimization approach to the heterogeneous r cyclic hoist scheduling problem",
"venue": "Comput. Ind. Eng.",
"year": 2013
},
{
"abstract": "The performance of an enterprise largely depends on the schedule of its resources. A good schedule may increase the output rate and reduce the production costs. Therefore, in this paper, we consider the cyclic schedule of hoist activities in automated electroplating lines which is commonly known as cyclic hoist scheduling problem (CHSP) The CHSPs appear in the manufacturing facilities to achieve a mass production and to search a repetitive sequence of moves for the hoist. In such kind of lines, hoist is used for moving electroplates through a line of tanks containing chemical baths. In this paper, we first study the impact of non fixed processing time in cycle duration and then we extend our elaborated two degree cyclic model to a more complex configuration of the production lines. [Received 26 June 2010; Revised 14 November 2010; Accepted 15 February 2011]",
"author_names": [
"Adnen El Amraoui",
"Marie-Ange Manier",
"Abdellah El Moudni",
"Mohamed Benrejeb"
],
"corpus_id": 108712340,
"doc_id": "108712340",
"n_citations": 20,
"n_key_citations": 0,
"score": 0,
"title": "Resolution of the two part cyclic hoist scheduling problem with bounded processing times in complex lines' configuration",
"venue": "",
"year": 2012
}
] |
An HVD based error detection and correction of soft errors in semiconductor memories used for space application | [
{
"abstract": "Exposure to electromagnetic radiations (high speed a ray particles) is a prominent problem in all the semiconductor memories of on board computing unit used for space application. So, in this paper, an error detection and correction method to protect the semiconductor memories against the soft errors is proposed. This method is based on 2 d parities. The parity bits are calculated at the receiver end for each row, column and diagonal in slash and backslash directions in a memory array. The parities are regenerated at the receiver end; the comparison of transmitted and received parity bits detects the error. As soon as the error is detected, the code corrects the detected error. Hamming code is used for error detection and correction. It uses parity codes in each of the four directions (that are horizontal, vertical, forward slash diagonal and backslash diagonal) in a data part. Correction code can correct an error in each row, column, and forward slash diagonal and back slash diagonal. This method is implemented on an FPGA device and it is evaluated for an on chip RAM of a Virtex device. This method is a promising technique to detect and correct errors in semiconductor memories in presence of large electromagnetic interference and hazards with less computational complexity.",
"author_names": [
"Sudhakar Sharma",
"Prashanth Vijayakumar"
],
"corpus_id": 22125783,
"doc_id": "22125783",
"n_citations": 16,
"n_key_citations": 1,
"score": 1,
"title": "An HVD based error detection and correction of soft errors in semiconductor memories used for space applications",
"venue": "2012 International Conference on Devices, Circuits and Systems (ICDCS)",
"year": 2012
},
{
"abstract": "With the increase of data transmission and hence sources of noise and interference, engineers have been struggling with the demand for more efficient and reliable techniques for detecting and correcting errors in received data. Although several techniques and approaches have been proposed and applied in the last decade, data reliability in transmission is still a problem. In this report propose a high efficient combined error detection and correction technique based on the horizontal vertical diagonal (HVD) parity check in HDLC. This method has been experimentally implemented and simulated using Field Programmable Gate Array .Simulation results show that the proposed technique detects 99.99% of the errors and corrects as predicted up to three bits of errors in the received impaired n bit code. An independent design platform is utilized for the simulation by Xilinx 8.1 using ModelSim SE EE 5.4a coded in VHDL which shows a significant reduction in uncorrected errors during data transmission. The efficient performance of HVD makes it a more applicable coding technique for communication, data transmission, different protocols and other application.",
"author_names": [
"Shubham Fadnavis"
],
"corpus_id": 212589210,
"doc_id": "212589210",
"n_citations": 5,
"n_key_citations": 0,
"score": 0,
"title": "An HVD Based Error Detection and Correction Code in HDLC Protocol Used for Communication",
"venue": "",
"year": 2013
},
{
"abstract": "Data stored in memory or buffer needs Error Detection And Correction (EDAC) Errors occur due to supply voltage fluctuations and/or noise due to electromagnetic interference or external radiation. These errors could be either temporary or permanent. In this paper, a EDAC method is proposed to detect and correct errors based on 3D parity check. In the encoder, the data bits are arranged in a matrix format and then parity bits are calculated for each row, column and diagonal. Errors present in parity bits are detected and corrected using Hamming code. Regeneration of data bits and Syndrome calculation at the decoder helps in detecting and correcting the error bits in the data. The 3D Parity check code can correct up to 3 bits of any combination of errors in the data and the Hamming code can correct up to 3 bits in the parity, if they occur in specific combinations. Thus, this method can detect and correct errors in both data and parity bits. This method achieves higher reliability by having a slight tradeoff in area and power consumption compared to other similar methods.",
"author_names": [
"Shivani Tambatkar",
"Siddharth Narayana Menon",
"Vijayalakshmi S Sudarshan",
"M Vinodhini",
"N S Murty"
],
"corpus_id": 44041109,
"doc_id": "44041109",
"n_citations": 13,
"n_key_citations": 3,
"score": 0,
"title": "Error detection and correction in semiconductor memories using 3D parity check code with hamming code",
"venue": "2017 International Conference on Communication and Signal Processing (ICCSP)",
"year": 2017
},
{
"abstract": "Constant shrinkage in the device dimensions by scaling of CMOS technology to nano scale level has resulted in very dense high speed memory cells, also resulting in increase of fault occurrence in the memory. Soft errors and Multiple Cell Upsets (MCUs) are becoming a major reliability issues in memory exposed to extraordinary conditions like radiation and ionization. Thus we need some method for fault tolerant memory cells. Conventional Error Correcting Codes (ECC) cannot correct multiple errors in memories even though many of these are capable of detecting multiple errors. The existing Decimal Matrix Code (DMC) based method for memory protection require more redundant bits to maintain higher reliability of memory. This paper presents a novel Parity Matrix Code (PMC) based encoding and decoding method to assure reliability in presence of multiple bit flip. PMC reduces number of redundant bit and it corrects more errors compared to existing system. The existing DMC and proposed PMC is compared to well known codes such as Hamming Codes, Matrix Code and Punctured Difference Set Code in terms of area used, delay and power resulting in proving minimal overhead for proposed PMC. Keywords Soft Errors, Multiple Cell Upsets (MCUs) Error Correction Codes, Error Detection and Correction (EDAC) Decimal Matrix Code (DMC) Parity Matrix Code (PMC)",
"author_names": [
"Neha Ibrahim",
"Sunil Jacob"
],
"corpus_id": 212505253,
"doc_id": "212505253",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "A Novel Methodology for Detection and Correction of Errors in Memory using Parity Matrix Code (PMC)",
"venue": "",
"year": 2015
},
{
"abstract": "Data that is either transmitted over communication channel (e.g. bus) or stored in memory is not completely error free. RAM memory cell contents can change spuriously due to some electromagnetic interference. In magnetic storage devices such as disks, magnetic flux density increases could cause one or more bits to flip (change that value) Exposure to high speed a ray particles is a prominent problem in all the semiconductor memories used for various communication applications. So, in this paper, an error detection and correction method to protect the RAM against the errors is proposed. This method is based on 2 d parities. The parity bits are calculated at the transmitter end for each row, column and diagonal in slash and backslash directions in a memory array. The parities are regenerated at the receiver end. The comparison of transmitted and received parity bits detects the error. As soon as the error is detected, the code corrects the detected error. This method is a promising technique to detect and correct errors in semiconductor memories in presence of large electromagnetic interference with less computational complexity.",
"author_names": [
"Narinder Singh",
"Sukhjit Singh",
"Vikrant Sharma",
"Amandeep Singh Sehmby"
],
"corpus_id": 116079598,
"doc_id": "116079598",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "RAM ERROR DETECTION CORRECTION USING HVD IMPLEMENTATION",
"venue": "",
"year": 2013
},
{
"abstract": "This paper proposes a novel approach to detect and correct multi bit upsets using horizontal vertical diagonal shift (HVDS) parity. Many of the errors occur when information is transmitted from one node to another node. Detection and correction of these errors is a must for many systems e.g. safety critical systems. Existing work on error detection and correction cover up to three bit errors (as far reviewed) in a data word. The proposed method can detect and correct up to 7 bit errors. Experimental studies show the effectiveness of the proposed method in comparison to existing dominant work.",
"author_names": [
"Muhammad Sheikh Sadi",
"Muhammed Saifur Rahman",
"K M Imrul Kayes Sikdar"
],
"corpus_id": 16887037,
"doc_id": "16887037",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Error detection correction using horizontal vertical diagonal shift method",
"venue": "2014 International Conference on Electrical Engineering and Information Communication Technology",
"year": 2014
},
{
"abstract": "This senate proposes an optimize version of the Hamming codes for Error detection and correction (EDAC) used in the HDLC protocol as HDLC being the most enduring and fundamental standard in communication. When data is either stored in memory or transmitted through a communication channel it is not errorless. With the exposure to electromagnetic radiation the semiconductor memory which are used in various applications get damaged .Because of electromagnetic interference the contents of the RAM memory cells get affected which leads to the bit flip in the magnetic storage devices like floppy disk, magnetic tape and hard disk devices etc. A proposed method has been developed to overcome the existing problems by using Xilinx ISE 13.2 simulator tool through which number of bit errors detection and correction can be increased in 8x8 matrix .It will result into enhancement of code rate and reduction of bit overhead.",
"author_names": [
"Varinder Pal Singh",
"Narinder Sharma"
],
"corpus_id": 12981574,
"doc_id": "12981574",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Improving Performance Parameters of Error Detection and Correction in HDLC Protocol by using Hamming Method",
"venue": "",
"year": 2015
},
{
"abstract": "Soft errors hamper reliability of modern electronic circuits. In critical application areas, demand of safety against soft errors is increasing. Several methods have been developed to provide such error free environment in modern systems. The use of Golay code, and BCH codes are some of the top most widely used techniques. Nonetheless, still no methods have claimed that it has 100% correction rate. In this paper, a new Successive Parity Generation (SPG) based approach is proposed to tolerate such soft errors. After occurrence of bit errors in data, the proposed method corrects all the bit errors completely. It calculates parity at receiver end and successively detects errors and correct the erroneous data by comparing sender and receiver parity bits. The proposed method can detect and correct 100% error for any size of codeword with a moderate overhead. Experimental studies show that it outperforms existing methods with respect to error correction rate, time and information overhead.",
"author_names": [
"Shaikh Akib Shahriyar",
"Md Asaf-Uddowla Golap",
"Muhammad Sheikh Sadi"
],
"corpus_id": 59554628,
"doc_id": "59554628",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "An Efficient Error Correction Approach by using Successive Parity Generation",
"venue": "2018 4th International Conference on Electrical Engineering and Information Communication Technology (iCEEiCT)",
"year": 2018
},
{
"abstract": "Soft error tolerance is a matter of concern for system reliability nowadays. The likelihood of soft errors increase with system complexity, reduction in operational voltages, exponential growth in transistors per chip, increases in clock frequencies and device shrinking. As the memory bit cell area is condensed, single event upset that would have formerly despoiled only a single bit cell are now proficient of upsetting multiple contiguous memory bit cells per particle strike. While these error types are beyond the error handling capabilities of the frequently used error correction codes (ECCs) for single bit, the overhead associated with moving to more sophisticated codes for multi bit errors is considered to be too costly. To address this issue, this paper presents a new approach to detect and correct multi bit soft error by using Horizontal Vertical Diagonal Blocks (HVDB) parity bits with a higher reliability.",
"author_names": [
"Md Shamimur Rahman",
"Sakib Ahammed",
"Muhammad Sheikh Sadi"
],
"corpus_id": 17716179,
"doc_id": "17716179",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Soft error tolerance using horizontal vertical diagonal blocks",
"venue": "2015 2nd International Conference on Electrical Information and Communication Technologies (EICT)",
"year": 2015
},
{
"abstract": "The likelihood of soft errors increase with system complexity, reduction in operational voltages, exponential growth in transistors per chip, increases in clock frequencies and device shrinking. As the memory bit cell area is condensed, single event upset that would have formerly despoiled only a single bit cell are now proficient of upsetting multiple contiguous memory bit cells per particle strike. While these error types are beyond the error handling capabilities of the frequently used error correction codes (ECCs) for single bit, the overhead associated with moving to more sophisticated codes for multi bit errors is considered to be too costly. To address this issue, this paper presents a new approach to detect and correct multi bit soft error by using Horizontal Vertical Double Bit Diagonal (HVDD) parity bits with a comparatively low overhead.",
"author_names": [
"Md Shamimur Rahman",
"Muhammad Sheikh Sadi",
"Sakib Ahammed",
"Jan Jurjens"
],
"corpus_id": 18381968,
"doc_id": "18381968",
"n_citations": 11,
"n_key_citations": 3,
"score": 0,
"title": "Soft error tolerance using Horizontal Vertical Double Bit Diagonal parity method",
"venue": "2015 International Conference on Electrical Engineering and Information Communication Technology (ICEEICT)",
"year": 2015
}
] |
: novel two-dimensional semiconductors with excellent stability and high electron mobility | [
{
"abstract": "Two dimensional materials play a vital role in next generation microelectronics, optoelectronics and flexible electronics due to their novel physical properties caused by quantum confinement effects. In this work, we investigate the stability and the possibility of exfoliation of monolayer Bi2Se3 xTex (x 0, 1, 2) using first principles calculations. Our calculations show that these materials are indirect bandgap semiconductors, and the elastic modulus is smaller than other conventional materials, which indicates better flexibility. We find that the electron mobility of monolayer Bi2SeTe2 along the armchair direction is higher than that of black phosphorene, reaching 2708 cm2 V 1 s 1, and the electron mobility of monolayer Bi2Se3 along the zigzag direction is about 24 times larger than the hole mobility. The remarkable electron mobilities and highly anisotropic properties of these new monolayers pave the way for future applications in high speed (opto)electronic devices.",
"author_names": [
"Yifan Liu",
"Yuanfeng Xu",
"Yanjun Ji",
"Haitao Zhang"
],
"corpus_id": 216110392,
"doc_id": "216110392",
"n_citations": 3,
"n_key_citations": 0,
"score": 1,
"title": "Monolayer Bi2Se3 xTex: novel two dimensional semiconductors with excellent stability and high electron mobility.",
"venue": "Physical chemistry chemical physics PCCP",
"year": 2020
},
{
"abstract": "Photocatalytic water splitting is a promising method which uses sunlight to directly generate hydrogen from water to meet the requirements of energy consumption. Inspired by the experimental realization of monolayer b phase GeSe which has a moderate bandgap and excellent transport properties, based on the first principles calculations, we identify a new class of compelling two dimensional (2D) materials, b MX (GeS, GeSe, SnS and SnSe) which not only exhibit good feasibility for experimental exfoliation and excellent chemical stability, but also satisfy the bandgap and band edge requirements of the redox potential of water splitting. Excitingly, monolayer b GeSe and b SnSe exhibit remarkable optical absorption ability in the visible region, strongly anisotropic transport properties and a relatively small exciton binding energy of 0.50 eV (b GeSe) and 0.55 eV (b SnSe) favorable for the separation of photogenerated carriers (electrons and holes) The low overpotential in the oxygen evolution reaction and high overpotential in the hydrogen evolution reaction for monolayer b SnSe are attributed to the relatively large exciton binding energies, indicating that it can make a directional adjustment to the effective separation of photoexcited carriers for monolayer b SnSe. Therefore, we can expect a high photocatalytic activity for solar energy harvesting and water splitting for b GeSe and b SnSe monolayers.",
"author_names": [
"Yuanfeng Xu",
"Ke Xu",
"Congcong Ma",
"Ying Chen",
"Haitao Zhang",
"Yifan Liu",
"Yanjun Ji"
],
"corpus_id": 225186939,
"doc_id": "225186939",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "Novel two dimensional b GeSe and b SnSe semiconductors: anisotropic high carrier mobility and excellent photocatalytic water splitting",
"venue": "",
"year": 2020
},
{
"abstract": "Two dimensional (2D) semiconductors with suitable direct band gaps, high carrier mobility, and excellent open air stability are especially desirable for material applications. Herein, we show theoretical evidence of a new phase of a copper(i) sulfide (Cu2S) monolayer, denoted d Cu2S, with both novel electronic properties and superior oxidation resistance. We find that both monolayer and bilayer d Cu2S have much lower formation energy than the known b Cu2S phase. Given that b Cu2S sheets have been recently synthesized in the laboratory (Adv. Mater.2016, 28, 8271) the higher stability of d Cu2S than that of b Cu2S sheets suggests a high possibility of experimental realization of d Cu2S. Stability analysis indicates that d Cu2S is dynamically and thermally stable. Notably, d Cu2S exhibits superior oxidation resistance, due to the high activation energy of 1.98 eV for the chemisorption of O2 on d Cu2S. On its electronic properties, d Cu2S is a semiconductor with a modest direct band gap (1.26 eV) and an ultrahigh electron mobility of up to 6880 cm2 V 1 s 1, about 27 times that (246 cm2 V 1 s 1) of the b Cu2S bilayer. The marked difference between the electron and hole mobilities of d Cu2S suggests easy separation of electrons and holes for solar energy conversion. Combination of these novel properties makes d Cu2S a promising 2D material for future applications in electronics and optoelectronics with high thermal and chemical stability.",
"author_names": [
"Y Guo",
"Qisheng Wu",
"Yunhai Li",
"Ning Lu",
"Keke Mao",
"Yizhen Bai",
"Jijun Zhao",
"Jinlan Wang",
"Xiao Cheng Zeng"
],
"corpus_id": 105847267,
"doc_id": "105847267",
"n_citations": 26,
"n_key_citations": 1,
"score": 0,
"title": "Copper(i) sulfide: a two dimensional semiconductor with superior oxidation resistance and high carrier mobility.",
"venue": "Nanoscale horizons",
"year": 2019
},
{
"abstract": "It remains a pressing task to search for new two dimensional (2D) semiconducting materials for future generation electronic applications. By using density functional theory computations and global structure prediction methods, we demonstrate two new gold sulfide monolayers (2D Au2S and AuS) both exhibiting excellent electronic properties and high stabilities. All the gold sulfide monolayers are semiconductors with band gaps in the range 1.0 3.6 eV. In particular, the a Au2S monolayer is predicted to possess a direct band gap of 1.0 eV and extremely high electron and hole mobilities of 8.45 x 104 and 0.40 x 104 cm2 V 1 S 1, respectively. The phonon dispersion calculations and ab initio molecular dynamics simulations indicate that the gold sulfide monolayers exhibit robust dynamical and thermal stabilities. Moreover, the a Au2S monolayer appears to show strong oxidation resistibility. The novel electronic properties, coupled with structural and chemical stabilities, endow the new gold sulfide monolayers to be highly promising for future applications in nanoelectronics.",
"author_names": [
"Qisheng Wu",
"Wen Wu Xu",
"Dongdong Lin",
"Jinlan Wang",
"Xiao Cheng Zeng"
],
"corpus_id": 195696088,
"doc_id": "195696088",
"n_citations": 18,
"n_key_citations": 1,
"score": 0,
"title": "Two Dimensional Gold Sulfide Monolayers with Direct Band Gap and Ultrahigh Electron Mobility.",
"venue": "The journal of physical chemistry letters",
"year": 2019
},
{
"abstract": "Two dimensional(2D) materials are considered excellent candidates for achieving a highly efficient photocatalyst. Herein, we report a novel 2D aluminum nitride monolayer (th AlN) as a potential photocatalyst material, possessing robust energetic, dynamical, thermal, and mechanical stability as well as strong stability in aqueuos conditions. The monolayer is an indirect band gap semiconductor at its equilibrium state and can induce an indirect to direct band gap transition under a very small strain 4% The band edge positions fit perfectly the water oxidation and reduction redox potentials. The monolayer exhibits strong visible ultraviolet light absorption, which is favorable for the efficient utilization of sunlight. The excellent electron transport ability including high and directionally anisotropic carrier mobility (104 cm2 V 1 s 1) leads to the rapid separation of electron hole pairs, retards the recombination of electron hole pairs, and greatly improves the photocatalytic activity. Furthermore, the monolayer exhibits exceptional mechanical properties including ultrahigh ideal strength (40% outperforming graphene, good flexibility, and mechanical anisotropy. Remarkably, the negative Poisson's ratio makes th AlN a promising candidate for auxetic materials. With these outstanding properties, th AlN can be considered as a promising candidate for potential application in the fields of electronics, optoelectronics, and nanomechanics.",
"author_names": [
"Mehmet Emin Kilic"
],
"corpus_id": 234199069,
"doc_id": "234199069",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "Auxetic, flexible, and strain tunable two dimensional th AlN for photocatalytic visible light water splitting with anisotropic high carrier mobility",
"venue": "",
"year": 2021
},
{
"abstract": "Based on first principles calculations, we propose a novel two dimensional (2D) germanium carbide, tetrahex GeC2, and determine its electronic and optical properties. Each Ge atom binds to four C atoms, in contrast to the known 2D hexagonal germanium carbides. Monolayer tetrahex GeC2 possesses a narrow direct band gap of 0.89 eV, which can be effectively tuned by applying strain and increasing the thickness. Its electron mobility is extraordinarily high (9.5 x 104 cm2/(V s) about 80 times that of monolayer black phosphorus. The optical absorption coefficient is ~106 cm 1 in a wide spectral range from near infrared to near ultraviolet, comparable to perovskite solar cell materials. We obtain high cohesive energy (5.50 eV/atom) excellent stability, and small electron/hole effective mass (0.19/0.10 m0) Tetrahex GeC2 turns out to be a very promising semiconductor for nanoelectronic, optoelectronic, and photovoltaic applications.",
"author_names": [
"Changchun Chai",
"Qingyang Fan",
"Minglei Sun",
"Yintang Yang",
"Udo Schwingenschlogl"
],
"corpus_id": 232300305,
"doc_id": "232300305",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Two Dimensional Tetrahex GeC2: A Material with Tunable Electronic and Optical Properties Combined with Ultrahigh Carrier Mobility",
"venue": "ACS applied materials interfaces",
"year": 2021
},
{
"abstract": "Abstract The investigations of two dimensional photocatalysts are promising subjects in clean and renewable energy. Herein, we proposed a novel two dimensional GeN3 monolayer. The stability of GeN3 monolayer was examined via formation energy, phonon calculations and ab initio molecular dynamics simulations. Excellent photocatalytic properties are revealed: (a) GeN3 monolayer is a semiconductor with appropriate band gap of 1.962 eV that the valence and conduction bands straddle the redox potential of water. (b) GeN3 monolayer has extremely high carrier mobility with anisotropic character (its electron mobility is 1.55 x 104 cm2 V 1 s 1 along the armchair direction, whereas the hole mobility reaches 1.6 x 103 cm2 V 1 s 1 along the zigzag direction) which boost the separation of electron hole pairs. (c) The GeN3 monolayer shows strong light absorption coefficients (up to 105 cm 1) in the visible regions, leading to high solar to hydrogen efficiency (12.63 (d) Furthermore, the band gap of the GeN3 monolayer could be engineered from indirect to direct under external strain and electric field. This work discloses a novel 2D GeN3 monolayer whose fascinating properties make it a promising photocatalyst for hydrolyzing to produce hydrogen.",
"author_names": [
"Jiajia Liu",
"Yanqing Shen",
"Xusheng Gao",
"Lingling Lv",
"Yanyan Ma",
"Shengyao Wu",
"Xinyu Wang",
"Zhongxiang Zhou"
],
"corpus_id": 225016343,
"doc_id": "225016343",
"n_citations": 5,
"n_key_citations": 0,
"score": 0,
"title": "GeN3 monolayer: A promising 2D high efficiency photo hydrolytic catalyst with High carrier mobility transport anisotropy",
"venue": "",
"year": 2020
},
{
"abstract": "We propose a novel two dimensional SnS allotrope (monolayer d SnS) based on an auxetic d phosphorene configuration using first principles calculations. This monolayer appears to have outstanding stability as revealed by its energetic, kinetic, thermodynamic, and mechanic calculations, and it can withstand temperatures as high as 900 K. Monolayer d SnS is a wide direct bandgap (2.354 eV) semiconductor, and its electron mobility is as high as ~1.25 x 103 cm2 V 1 s 1, higher than that of monolayer KTlO ~450 cm2 V 1 s 1) and MoS2 ~200 cm2 V 1 s 1) Optical absorption spectra, reaching up to the order of ~105 cm 1, are obviously excellent in the visible light region, suggesting efficient harvesting of solar radiation. Because of its unique atomic motif, monolayer d SnS presents an unusual bidirectional auxetic effect: a high negative in plane Poisson's ratio 0.048 and 0.068) which is larger than those for many recently reported two dimensional auxetic materials, e.g. black phosphorene 0.027) borophene 0.04) and monolayer penta B2N4 0.02) The bandgap and band edge can be substantially manipulated under strain to meet the requirement of the water splitting reaction. Particularly, when pH 7, suitable band edge alignments and small overpotentials of the photocatalytic OER (oxygen evolution reaction) and HER (hydrogen evolution reaction) appear, endowing monolayer d SnS with great potential as an efficient visible light driven bifunctional photocatalyst for water splitting.",
"author_names": [
"Xi'an Wang",
"Shali Yang"
],
"corpus_id": 235698311,
"doc_id": "235698311",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "d SnS: An Emerging Bidirectional Auxetic Direct Semiconductor with Desirable Carrier Mobility and High Performance Catalytic Behavior toward the Water Splitting Reaction.",
"venue": "ACS applied materials interfaces",
"year": 2021
},
{
"abstract": "Two dimensional (2D) materials have extraordinary properties and multifunctional applications; thus, prodigious efforts have been made in the exploration of novel 2D materials. In this study, 2D hexagonal YN (h YN) is predicted based on theoretical calculations. By assessing its phonon spectrum, ab initio molecular dynamics and elastic constants, the h YN monolayer is proven to exhibit satisfying thermal, dynamic and mechanical stability. Unique from most of the reported 2D transition metal mononitrides, which exhibit metallic characteristics, monolayer h YN is a semiconductor with an indirect bandgap of 2.322 eV. In particular, the electronic structures of h YN present unusually insensitive responses to tensile or compressive strain due to valence orbital hybridization. Carrier mobility calculations suggest that monolayer h YN possesses a high electron mobility of up to 104 cm2 V 1 s 1 and hole mobility of up to 103 cm2 V 1 s 1 in the zigzag and armchair orientations. Moreover, few layer h YN displays evident semiconductor performances and dispersive conductive bands, indicating light electron effective masses and excellent electron transport capabilities. This pronounced carrier mobility, insensitive electronic responses to strain and light electron effective masses of its few layer structures demonstrate that h YN is a promising candidate in future nanoscale electronic devices in high strain conditions.",
"author_names": [
"Kai Zheng",
"Xi-bin Yang",
"Heping Cui",
"Qun Yang",
"Huaiyu Ye",
"Daxi Xiong",
"Sven Ingebrandt",
"Xianping Chen"
],
"corpus_id": 139880490,
"doc_id": "139880490",
"n_citations": 20,
"n_key_citations": 0,
"score": 0,
"title": "Intriguing electronic insensitivity and high carrier mobility in monolayer hexagonal YN",
"venue": "",
"year": 2018
},
{
"abstract": "Abstract.Exploring new 2D monolayer materials with intrinsic novel properties is of great significance from both fundamental and practical points of view. Using first principles calculations based on density functional theory, we propose three stable hexagonal SiAs monolayers with the excellent thermal and dynamic stabilities, whose crystal structures are similar to the monolayer GaSe, named \\alpha$a \\beta$b and \\gamma$g SiAs, respectively. Our results show that \\alpha$a and \\beta$b SiAs monolayers are wide band gap semiconductors with indirect band gaps, which have great potential applications in photoelectronic devices, especially used for catalytic splitting water. Further, the effective masses of electron transport in monolayers \\alpha$a and \\beta$b SiAs exhibit anisotropic characteristics. Intriguingly, \\gamma$g SiAs is a direct band gap semiconductor with a band gap of 1.13eV as bulk silicon, which results in a significant absorption in the visible light region. More importantly, the effective masses in the \\gamma$g SiAs monolayer are only a little larger than 0.20 m0, indicating that it may have high carrier mobility. Our findings provide three promising candidates for low dimensional nanodevices with excellent performances in the future.",
"author_names": [
"Xinliang Wang",
"Guang-Wei Deng",
"Weiyang Yu",
"Baoji Wang",
"Xiao-hua Li",
"Yujin Liu",
"Yu-huan Zhao",
"Qin Wang",
"Liwei Zhang",
"Xiao-lin Cai"
],
"corpus_id": 195344735,
"doc_id": "195344735",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "A class of two dimensional SiAs monolayers with novel electronic and optical properties from ab initio investigations",
"venue": "The European Physical Journal Plus",
"year": 2019
}
] |
Binary sequence matching system | [
{
"abstract": "Abstract. Photonic firewalls that can directly conduct the data discrimination and intrusion detection at the optical layer are an important security technique for optical networks. In the photonic firewall, binary sequence matching module is the core part and is implemented by multiple all optical logic gates such as all optical AND gate, all optical XOR gate, etc. However, the semiconductor optical amplifier (SOA) based all optical logic gates have the disadvantages of low operation rate which leads to low matching rate. We design a binary sequence matching module that is implemented by the cross phase modulation effect (XPM) and the four wave mixing effect (FWM) of the highly nonlinear fiber (HNLF) The nonlinear effect of HNLF has a very short response time, thus it can realize the logic operation at a very high optical signal rate. The developed binary sequence matching module is verified through VPI Transmission Maker 8.5. The simulation results show that it can achieve the matching of 8 bit data in 64 bit binary data at 160 Gbps signal rate.",
"author_names": [
"Yu Liu",
"Xin Li",
"Ying Tang",
"Zicheng Shi",
"Shanguo Huang"
],
"corpus_id": 225171940,
"doc_id": "225171940",
"n_citations": 1,
"n_key_citations": 0,
"score": 1,
"title": "Binary sequence matching system based on cross phase modulation and four wave mixing in highly nonlinear fibers",
"venue": "",
"year": 2020
},
{
"abstract": "Visual localization is widely used in autonomous navigation system and Advanced Driver Assistance Systems (ADAS) However, visual based localization in seasonal changing situations is one of the most challenging topics in computer vision and the intelligent vehicle community. The difficulty of this task is related to the strong appearance changes that occur in scenes due to weather or season changes. In this paper, a place recognition based visual localization method is proposed, which realizes the localization by identifying previously visited places using the sequence matching method. It operates by matching query image sequences to an image database acquired previously (video acquired during traveling period) In this method, in order to improve matching accuracy, multi feature is constructed by combining a global GIST descriptor and local binary feature CSLBP (Center symmetric local binary patterns) to represent image sequence. Then, similarity measurement according to Chi square distance is used for effective sequences matching. For experimental evaluation, the relationship between image sequence length and sequences matching performance is studied. To show its effectiveness, the proposed method is tested and evaluated in four seasons outdoor environments. The results have shown improved precision recall performance against the state of the art SeqSLAM algorithm.",
"author_names": [
"Yongliang Qiao",
"Cindy Cappelle",
"Yassine Ruichek"
],
"corpus_id": 3452200,
"doc_id": "3452200",
"n_citations": 10,
"n_key_citations": 0,
"score": 0,
"title": "Visual Localization across Seasons Using Sequence Matching Based on Multi Feature Combination",
"venue": "Sensors",
"year": 2017
},
{
"abstract": "Sonar system has a bell shaped magnitude spectrum. The spectrum matching degree of excitation sequence with that of sonar system is important to increase echo energy, which is related closely with the measurement range. Binary excitation sequences modulated using chaotic series, including chaotic binary amplitude shift keying, chaotic binary frequency shift keying and chaotic binary phase shift keying, are proposed in this paper. The spectrum matching degree of the proposed excitation sequences with that of sonar system is analyzed. Sonar experiments applying SensComp 600 series instrument grade electrostatic sensors excited with chaotic binary modulation sequences validate the analytical results.",
"author_names": [
"Zhenjing Yao",
"YanXing Song",
"Jingsong Yang",
"Qin Gao"
],
"corpus_id": 15292093,
"doc_id": "15292093",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Spectrum matching analysis of chaotic binary modulation excitation sequence for sonar systems",
"venue": "2012 IEEE 11th International Conference on Signal Processing",
"year": 2012
},
{
"abstract": "We propose an all optical logic gate based binary sequence matching system for OOK modulated format optical signals at high information rate by using cross phase modulation (XPM) and four wave mixing (FWM) in high nonlinear fiber (HNLF) Through the simulation successfully demonstrates that the system can identify and locate the known 8bit detection sequence from the input 64bit target sequence at the information rate of 80Gbps.",
"author_names": [
"Yu Liu",
"Shanguo Huang",
"Xin Li"
],
"corpus_id": 220470158,
"doc_id": "220470158",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Photonic Firewall Oriented Fast All Optical Binary Pattern Recognition",
"venue": "2020 International Conference on Optical Network Design and Modeling (ONDM)",
"year": 2020
},
{
"abstract": "In order to solve the problems of low precision,dependence and low mobility for common vehicle velocity measurement system,a vehicle borne autonomous velocity measurement model is designed.Therefore,the problem of vehicle velocity measurement is transformed into sequence image matching.Considering the constrained parameters of vehicle movement and the characteristics of sequence images,sequence image matching method is analyzed in four aspects of feature extraction,similarity measurement,searching space and searching strategy.Image matching algorithm is also designed.The simulation result shows that the matching method base on binary images and similarity measurement of mean absolute error function ensure computation complexity greatly reduced with a high computation efficiency.And the proposed matching algorithm exhibits a behavior with a high matching precision and speed.The result of vehicular test proves the effectiveness and reliability of the proposed method.",
"author_names": [
"Liu Hong-lian"
],
"corpus_id": 124787982,
"doc_id": "124787982",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Research on vehicle borne autonomous velocity measurement method based on sequence image matching",
"venue": "",
"year": 2015
},
{
"abstract": "Traffic monitoring through video processing is one of the hot research areas in the Intelligent Transportation System (ITS) Vehicle counting systems should be simple enough to be applied in real time circumstances. A novel and fast algorithm for vehicle counting from a traffic video sequence is proposed in this paper where the vehicle tracking step is not necessary. A reference model is only created in the video frames for a narrow area. When going through this narrow area, the moving vehicles are identified as foreground objects. Detection of moving vehicles is achieved by integrating approximated median filter based background subtraction with binary integral projection. The detected candidates are counted as a vehicle using a novel pixel matching search algorithm. The proposed algorithm does not rely on every video frame. It only requires every third frame for processing and thus increases the computation speed by three times compared to existing techniques. The proposed algorithm is tested and validated on a standard data set as well as a custom data set. Two parameters such as accuracy and processing time are used for the system evaluation where an overall accuracy of 96.84% is achieved. The processing time results show that the proposed system can perform in real time with an average real time processing speed of 93.92%",
"author_names": [
"M HarikrishnanP",
"Anju Thomas",
"S NishaJ",
"Varun P Gopi",
"Ponnusamy Palanisamy"
],
"corpus_id": 224964737,
"doc_id": "224964737",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Pixel matching search algorithm for counting moving vehicle in highway traffic videos",
"venue": "Multim. Tools Appl.",
"year": 2021
},
{
"abstract": "This work presents a K NN feature matching for monocular visual odometry (VO) system that can perform in realtime. By feeding a monocular video sequence, the proposed method continuously determines the instantaneous 6 DOF camera pose. After obtaining binary descriptors for image features, we adopt k nearest neighbor algorithm with Hamming distance calculation as a novel method for feature matching. Then, with our designed robust motion estimation, the system can operate in real time scenario for a large scale outdoor environment without utilizing GPU or reducing input image size. The experimental result shows a successfully built trajectory path without non linear optimization and loop closure for a challenging video sequences of KITTI dataset. Moreover, the comparison in terms of root mean square error with ORB SLAM and Semidirect Visual Odometry (SVO) are also presented.",
"author_names": [
"Noppadol Pudchuen",
"Chirdpong Deelertpaiboon"
],
"corpus_id": 155108037,
"doc_id": "155108037",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Visual Odometry Based on K Nearest Neighbor Matching and Robust Motion Estimation",
"venue": "2018 International Electrical Engineering Congress (iEECON)",
"year": 2018
},
{
"abstract": "Using MESA code (Modules for Experiments in Stellar Astrophysics, version 9575) an evaluation was made of the evolutionary state of the epsilon Aurigae binary system (HD 31964, F0Iap disk) We sought to satisfy several observational constraints: 1) requiring evolutionary tracks to pass close to the current temperature and luminosity of the primary star; 2) obtaining a period near the observed value of 27.1 years; 3) matching a mass function of 3.0; 4) concurrent Roche lobe overflow and mass transfer; 5) an isotopic ratio 12 C/ 13 C 5 and, (6) matching the interferometrically determined angular diameter. A MESA model starting with binary masses of 9.85 4.5 Msun with a 100 day initial period, produces a 1.2 10.6 Msun result having a 547 day period, and a single digit 12 C/ 13 C ratio. These values were reached near an age of 20 Myr, when the donor star comes close to the observed luminosity and temperature for epsilon Aurigae A, as a post RGB/pre AGB star. Contemporaneously, the accretor then appears as an upper main sequence, early B type star. This benchmark model can provide a basis for further exploration of this interacting binary, and other long period binary stars.",
"author_names": [
"Justus L Gibson",
"Robert E Stencel"
],
"corpus_id": 119325181,
"doc_id": "119325181",
"n_citations": 3,
"n_key_citations": 1,
"score": 0,
"title": "MESA models of the evolutionary state of the interacting binary epsilon Aurigae",
"venue": "",
"year": 2018
},
{
"abstract": "A Novel Linear Barcode scanning system based on a Dynamic template matching scheme. The proposed system works entirely in the Spatial domain and is capable of reading Linear Barcodes from low resolution Images containing severe OOF blur. This paper treats Linear barcode scanning under the perspective of deformed Binary waveform analysis and classification. A directed graphical model is designed to characterize the relationship between the blurred barcode waveform and its corresponding symbol value at any specific blur level. A Dynamic programming based inference algorithm is designed to retrieve the optimal state sequence, enabling real time",
"author_names": [
"Enugula Niharika",
"Ismail Shurab"
],
"corpus_id": 17963011,
"doc_id": "17963011",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "LINEAR BARCODE SCANNING SYSTEM BASED ON DYNAMIC TEMPLATE MATCHING FOR OOF BLURRED IMAGES",
"venue": "",
"year": 2015
},
{
"abstract": "The emergence of autocatalytic structures in model chemistries has been a prominent subject throughout the history of artificial life research (Rasmussen (1985) Farmer et al. (1986) Kauffman (1986) Rasmussen (1989) Hordijk et al. (2011) and others) Most of these works have been concerned with the likelihood of finding autocatalytic sets in a population of random cross catalytic molecules. Here, in contrast, we study how the detailed sequence structure determines the properties of the emergent cooperative structures. In particular, we study a system of binary polymers, where each polymer can replicate itself by exact ligation of two matching subsequences. We report the emergence of stable cooperative structures with high equilibrated polymer concentrations together with a quantitative connection between the details of the sequence and the frequency as well as the stability of the evolving cooperative structures. These findings could have implications for early earth information polymers as well as the design of protocell information polymer networks. In the simplest realization, we allow for decomposition, random ligation, and autocatalytic ligation of polymers via the three reactions l.m c 0",
"author_names": [
"Steen Rasmussen",
"Harold Fellermann",
"Shinpei Tanaka"
],
"corpus_id": 35710502,
"doc_id": "35710502",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Sequence selection and evolution in a binary polymer model",
"venue": "ECAL",
"year": 2013
}
] |
How Good Can Monolayer MoS2 Transistors Be | [
{
"abstract": "Monolayer molybdenum disulfide (MoS(2) unlike its bulk form, is a direct band gap semiconductor with a band gap of 1.8 eV. Recently, field effect transistors have been demonstrated experimentally using a mechanically exfoliated MoS(2) monolayer, showing promising potential for next generation electronics. Here we project the ultimate performance limit of MoS(2) transistors by using nonequilibrium Green's function based quantum transport simulations. Our simulation results show that the strength of MoS(2) transistors lies in large ON OFF current ratio >10(10) immunity to short channel effects (drain induced barrier lowering ~10 mV/V) and abrupt switching (subthreshold swing as low as 60 mV/decade) Our comparison of monolayer MoS(2) transistors to the state of the art III V materials based transistors, reveals that while MoS(2) transistors may not be ideal for high performance applications due to heavier electron effective mass (m 0.45 m(0) and a lower mobility, they can be an attractive alternative for low power applications thanks to the large band gap and the excellent electrostatic integrity inherent in a two dimensional system.",
"author_names": [
"Youngki Yoon",
"Kartik Ganapathi",
"Sayeef S Salahuddin"
],
"corpus_id": 32886786,
"doc_id": "32886786",
"n_citations": 1158,
"n_key_citations": 15,
"score": 1,
"title": "How good can monolayer MoS2 transistors be?",
"venue": "Nano letters",
"year": 2011
},
{
"abstract": "Electronic junctions on edge Two dimensional materials such as graphene are attractive materials for making smaller transistors because they are inherently nanoscale and can carry high currents. However, graphene has no band gap and the transistors are \"leaky\" that is, they are hard to turn off. Related transition metal dichalcogenides (TMDCs) such as molybdenum sulfide have band gaps. Transistors based on these materials can have high ratios of \"on\" to \"off\" currents. However, it is often difficult to make a good voltage biased (p n) junction between different TMDC materials. Li et al. succeeded in making p n heterojunctions between two of these materials, molybdenum sulfide and tungsten selenide. They did this not by stacking the layers, which make a weak junction, but by growing molybdenum sulfide on the edge of a triangle of tungsten selenide with an atomically sharp boundary Science, this issue p. 524 The regrowth of the second transition metal dichalcogenide monolayer by edge epitaxy creates a lateral p n heterojunction. Two dimensional transition metal dichalcogenides (TMDCs) such as molybdenum sulfide MoS2 and tungsten sulfide WSe2 have potential applications in electronics because they exhibit high on off current ratios and distinctive electro optical properties. Spatially connected TMDC lateral heterojunctions are key components for constructing monolayer p n rectifying diodes, light emitting diodes, photovoltaic devices, and bipolar junction transistors. However, such structures are not readily prepared via the layer stacking techniques, and direct growth favors the thermodynamically preferred TMDC alloys. We report the two step epitaxial growth of lateral WSe2 MoS2 heterojunction, where the edge of WSe2 induces the epitaxial MoS2 growth despite a large lattice mismatch. The epitaxial growth process offers a controllable method to obtain lateral heterojunction with an atomically sharp interface.",
"author_names": [
"Ming-Yang Li",
"Yumeng Shi",
"Chia-Chin Cheng",
"Yung-Chang Lin",
"Hao-Lin Tang",
"Meng-Lin Tsai",
"Chih-Wei Chu",
"Kung-Hwa Wei",
"Jr-hau He",
"Wen-Hao Chang",
"Kazu Suenaga",
"Lain-Jong Li"
],
"corpus_id": 206638761,
"doc_id": "206638761",
"n_citations": 721,
"n_key_citations": 5,
"score": 0,
"title": "Epitaxial growth of a monolayer WSe2 MoS2 lateral p n junction with an atomically sharp interface",
"venue": "Science",
"year": 2015
},
{
"abstract": "Controlled substitutional doping of two dimensional (2D) transitional metal dichalcogenides (TMDs) is of fundamental importance for their applications in electronics and optoelectronics. However, achieving p type conductivity in MoS2 and WS2 is challenging due to their natural tendency to form n type vacancy defects. Here, we report versatile growth of p type monolayer WS2 by liquid phase mixing of a host tungsten source and niobium dopant. We show that crystallites of WS2 with variable concentrations of substitutionally doped Nb up to 1014 cm 2 can be grown by reacting solution deposited precursor film with sulfur vapor at 850 oC reflecting the good miscibility of the precursors in the liquid phase. Atomic resolution characterization with aberration corrected scanning TEM (STEM) reveals that the Nb concentration can vary by as much as one order of magnitude along the radial direction in some crystals. We also demonstrate that ambipolar field effect transistors can be fabricated based on Nb doped monolayer WS2.",
"author_names": [
"Ziyu Qin",
"Leyi Loh",
"Junyong Wang",
"Xiaomin Xu",
"Qicheng Zhang",
"Benedikt Haas",
"Carlos Alvarez",
"Hanako Okuno",
"Justin Zhou Yong",
"Thorsten Schultz",
"Norbert Koch",
"Jiadong Dan",
"Stephen John Pennycook",
"Dawen Zeng",
"Michel Bosman",
"Goki Eda"
],
"corpus_id": 201868566,
"doc_id": "201868566",
"n_citations": 28,
"n_key_citations": 1,
"score": 0,
"title": "Growth of Nb Doped Monolayer WS2 from Liquid Phase Precursor Mixing.",
"venue": "ACS nano",
"year": 2019
},
{
"abstract": "We report that control over the grain size and lateral growth of monolayer MoS<sub>2</sub> film, yielding a uniform large area monolayer MoS<sub>2</sub> film, can be achieved by submitting the SiO<sub>2</sub> surfaces of the substrates to oxygen plasma treatment and modulating substrate temperature in chemical vapor deposition (CVD) process. Scanning electron microscopy and atomic force microscopy images and Raman spectra revealed that the MoS<sub>2</sub> lateral growth could be controlled by the surface treatment conditions and process temperatures. Moreover, the obtained monolayer MoS<sub>2</sub> films showed excellent scalable uniformity covering a centimeter scale SiO<sub>2</sub> /Si substrates, which was confirmed with Raman and photoluminescence mapping studies. Transmission electron microscopy measurements revealed that the MoS<sub>2</sub> film of the monolayer was largely single crystalline in nature. Back gate field effect transistors based on a CVD grown uniform monolayer MoS<sub>2</sub> film showed a good current on/off ratio of ~10<sup>6</sup> and a field effect mobility of 7.23 cm<sup>2</sup>/V*s. Our new approach to growing MoS<sub>2</sub> films is anticipated to advance studies of MoS<sub>2</sub> or other transition metal dichalcogenide material growth mechanisms and to facilitate the mass production of uniform high quality MoS<sub>2</sub> films for the commercialization of a variety of applications.",
"author_names": [
"Jaeho Jeon",
"Sung Kyu Jang",
"Su Min Jeon",
"Gwangwe Yoo",
"Jin-Hong Park",
"Sungjoo Lee"
],
"corpus_id": 8690588,
"doc_id": "8690588",
"n_citations": 16,
"n_key_citations": 0,
"score": 0,
"title": "Controlling Grain Size and Continuous Layer Growth in Two Dimensional MoS2 Films for Nanoelectronic Device Application",
"venue": "IEEE Transactions on Nanotechnology",
"year": 2015
},
{
"abstract": "Two dimensional (2D) semiconducting monolayer transition metal dichalcogenides (TMDCs) have stimulated lots of interest because they are direct bandgap materials that have reasonably good mobility values. However, contact between most metals and semiconducting TMDCs like 2H phase WSe2 are highly resistive, thus degrading the performance of field effect transistors (FETs) fabricated with WSe2 as active channel materials. Recently, a phase engineering concept of 2D MoS2 materials was developed, with improved device performance. Here, we applied this method to chemical vapor deposition (CVD) grown monolayer 2H WSe2 and demonstrated semiconducting to metallic phase transition in atomically thin WSe2. We have also shown that metallic phase WSe2 can be converted back to semiconducting phase, demonstrating the reversibility of this phase transition. In addition, we fabricated FETs based on these CVD grown WSe2 flakes with phase engineered metallic 1T WSe2 as contact regions and intact semiconducting 2H WSe2 as active channel materials. The device performance is substantially improved with metallic phase source/drain electrodes, showing on/off current ratios of 10(7) and mobilities up to 66 cm(2)/V*s for monolayer WSe2. These results further suggest that phase engineering can be a generic strategy to improve device performance for many kinds of 2D TMDC materials.",
"author_names": [
"Yuqiang Ma",
"Bilu Liu",
"Anyi Zhang",
"Liang Chen",
"Mohammad Fathi",
"Chenfei Shen",
"Ahmad N Abbas",
"M Ge",
"Matthew Mecklenburg",
"Chongwu Zhou"
],
"corpus_id": 5764847,
"doc_id": "5764847",
"n_citations": 114,
"n_key_citations": 1,
"score": 0,
"title": "Reversible Semiconducting to Metallic Phase Transition in Chemical Vapor Deposition Grown Monolayer WSe2 and Applications for Devices.",
"venue": "ACS nano",
"year": 2015
},
{
"abstract": "The lack of stable and efficient techniques to synthesize high quality large area thin films is one of the major bottlenecks for the real world application of the 2D transition metal dichalcogenides. In this work, the growth of molybdenum disulfide (MoS2 on sapphire substrates by sulfurizing the MoO3 film deposited by atomic layer deposition (ALD) is reported. The advantages of the ALD method can be well inherited, and the synthesized MoS2 films exhibit excellent layer controllability, wafer scale uniformity, and homogeneity. MoS2 films with desired thickness can be obtained by varying MoO3 ALD cycles. The atomic force microscope and Raman measurements demonstrate that the ALD based MoS2 has good uniformity. Clear Raman shift as a function of the film thickness is observed. Field effect transistor devices are fabricated through a transfer free and top down process. High On/Off current ratio (104 and medium level electron mobilities (0.76 cm2 V 1 s 1 for monolayer, and 5.9 cm2 V 1 s 1 for four layer) are obtained. The work opens up an attractive approach to realize the application of wafer scale 2D materials in integrated circuits and systems.",
"author_names": [
"Mao-Lin Shi",
"Lin Chen",
"Tian-bao Zhang",
"Jing Xu",
"Hao Zhu",
"Qingqing Sun",
"David-Wei Zhang"
],
"corpus_id": 41956423,
"doc_id": "41956423",
"n_citations": 24,
"n_key_citations": 0,
"score": 0,
"title": "Top Down Integration of Molybdenum Disulfide Transistors with Wafer Scale Uniformity and Layer Controllability.",
"venue": "Small",
"year": 2017
},
{
"abstract": "Experimental two dimensional (2D) black phosphorus (BP) transistors typically appear in the form of Schottky barrier field effect transistors (SBFETs) but their performance limit remains open. We investigate the performance limit of monolayer BP SBFETs in the sub 10 nm scale by using ab initio quantum transport simulations. The devices with 2D graphene electrodes are apparently superior to those with bulk Ti electrodes due to their smaller and tunable Schottky barrier heights and the absence of metal induced gap states in the channels. With graphene electrodes, the performance limit of the sub 10 nm monolayer BP SBFETs outperforms the monolayer MoS2, carbon nanotube, and advanced silicon transistors and even can meet the requirements of both high performance and low power logic applications of the next decade in the latest International Technology Roadmap for Semiconductors. It appears that the ML BP SBFETs have the best intrinsic device performance among the reported sub 10 nm 2D material SBFETs.",
"author_names": [
"Ruge Quhe",
"Xiyou Peng",
"Yuanyuan Pan",
"Meng Ye",
"Han Zhang",
"Shenyan Feng",
"Qiaoxuan Zhang",
"Jun-jie Shi",
"Jinbo Yang",
"Dapeng Yu",
"Minglong Lei",
"Jing Lu"
],
"corpus_id": 206437971,
"doc_id": "206437971",
"n_citations": 44,
"n_key_citations": 1,
"score": 0,
"title": "Can a Black Phosphorus Schottky Barrier Transistor Be Good Enough?",
"venue": "ACS applied materials interfaces",
"year": 2017
},
{
"abstract": "Among a variety of 2D graphene like materials, molybdenum disulfide (MoS2) stands out due to its remarkable physical, electrical, and optical properties [1] The existence of a direct bandgap in the MoS2 monolayer offers an attractive possibility of using this material in field effect transistors and optoelectronic devices. Furthermore, MoS2 can produce high specific area nanostructures and has reasonably good electrochemical performance, the desirable features for application in energy storage devices [2] However, the mass production of large area electrodes based on MoS2 has been a major hurdle for their practical applications.",
"author_names": [
"Maykel dos Santos Klem",
"Gabriel Leonardo Nogueira",
"C R C Sousa",
"Neri Alves"
],
"corpus_id": 212684221,
"doc_id": "212684221",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Spray printed molybdenum disulfide electrodes for application in supercapacitors",
"venue": "",
"year": 2019
},
{
"abstract": "We present ab initio calculations of uniaxial absolute deformation potentials of the valence and the conduction bands in monolayer MoS2, MoSe2, WS2, WSe2, h BN, and phosphorene. Calculations are performed using both semilocal and hybrid functionals. The absolute positions of the band edges in strained and unstrained materials are determined using the vacuum level as reference. For WSe2, we compare the obtained results with measured shifts of the valence band maximum (VBM) and the conduction band minimum (CBM) induced by uniaxial strain and find a very good agreement. The parameters describing the shifts in the VBM and CBM positions under strain can be used in the modeling of devices such as tunneling field effect transistors.",
"author_names": [
"Julia Wiktor",
"Alfredo Pasquarello"
],
"corpus_id": 11118355,
"doc_id": "11118355",
"n_citations": 26,
"n_key_citations": 0,
"score": 0,
"title": "Absolute deformation potentials of two dimensional materials",
"venue": "",
"year": 2016
},
{
"abstract": "Atomically thin MoS2 has drawn tremendous attention due to its great potential in a range of electronic devices such as photodetectors, field effect transistors (FET) and sensors. In the past few years, numerous methods including mechanical cleavage, liquid exfoliation, chemical vapor deposition (CVD) have been devoted to synthesizing two dimensional atomically thin MoS2. Among these methods, CVD is the most promising method for preparing large size and highly crystalline MoS2 monolayers, exhibiting relatively good optical and electrical properties. Nevertheless, there are so many experiment parameters in CVD process that we should take into account, which makes it still a challenge for us to grow large scale, single crystalline MoS2 monolayer films suitable for practical applications. This review systematically summarized some synthetic strategies of MoS2 by CVD in recent years. We also discussed in detail how these vital factors such as substrates, carrier gases, Mo precursors, influenced the process of growth, which was expected to help us to controllably synthesize high quality MoS2 and other kinds of transition metal dichalcogenides including WS2, VS2, WSe2 and so forth.",
"author_names": [
"Wei Li",
"Yanglong Hou"
],
"corpus_id": 139920324,
"doc_id": "139920324",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Review: Controllable Synthesis of Two Dimensional (2D) MoS 2 by Chemical Vapor Deposition Process",
"venue": "",
"year": 2018
}
] |
Mercaptophosphonic acids as efficient linkers in quantum dot sensitized solar cells | [
{
"abstract": "Control over the deposition of quantum dots (QDs) on nanostructured semiconductors is very important for the photovoltaic performance of QD sensitized solar cells. The best control is typically achieved using bifunctional molecular linkers, such as mercaptopropionic acid (MPA) to attach the QDs to metal oxides in a specific manner; however some materials, such as ZnO, are not compatible with these molecules due to their pH sensitivity. We have developed new linkers, mercaptophosphonic acids of different length, which allow efficient functionalization of ZnO nanowires and also mesoporous TiO2 without damaging their surface. Detailed XPS and contact angle studies of the mechanism of self assembly of these acids show that their strong chelation of the oxide surface prevents protonic attack and etching. Using these linkers, we show that colloidal ternary quantum dots, CuInS2, can be conformally and homogeneously deposited on the functionalized metal oxides. Photophysical studies by means of time resolved photoluminescence spectroscopy confirm efficient electron transfer from the QDs to the metal oxides with the rate and efficiency scaling with respect to the linker length and nature. The efficiency of the QD sensitized solar cells fabricated with such assemblies also strongly depends on the linkers used and follows the trends observed for the charge transfer.",
"author_names": [
"Dmitry Aldakov",
"Muhammad T Sajjad",
"Valentina Ivanova",
"Ashu Kumar Bansal",
"Jinhyung Park",
"Peter Reiss",
"Ifor D W Samuel"
],
"corpus_id": 55695471,
"doc_id": "55695471",
"n_citations": 21,
"n_key_citations": 1,
"score": 1,
"title": "Mercaptophosphonic acids as efficient linkers in quantum dot sensitized solar cells",
"venue": "",
"year": 2015
},
{
"abstract": "Abstract CuInS2 (CIS) based solar cell devices are fabricated by sensitizing TiO2 photoanodes with CIS quantum dots (CIS QDs) Morphologically different TiO2, viz. Degussa P25 nanoparticles, smooth and fibrous microspheres (SmS and FmS respectively) are used to fabricate photoanodes. CIS QDs are synthesized using dodecanethiol (DDT) CuI and In(OAc)3 precursors by solvothermal method. DDT surfactant present on the CIS QDs surface is replaced with 3 mercaptopropionic acid in a single phase one step procedure to enable efficient loading of QDs onto photoanode and as linker molecule for charge carrier extraction. The CIS QDs sensitized on SmS and FmS microsphere photoanode layers exhibit a photoconversion efficiency (e) of 3.2% and 1.6% respectively, in comparison to e 2.1% for nanoparticulate TiO2 (Degussa P25) Further increase in efficiency is obtained (3.8% for SmS and 2.5% for FmS) when composite photoanode films made of porous microspheres filled with nanoparticulate P25 are used. A maximum efficiency of 3.8% (with JSC 6.2 mA, VOC 926 mV and FF 66 for cell area 0.25 cm2 and thickness 20 um) is realized when 4.6 nm CIS QDs sensitized on composite photoanode (consisting of 80 wt. SmS and 20 wt. P25) is used. High VOC observed is unprecedented and is possible due to combined effect of SmS+P25 composite photoanode properties such as fewer defects, good connectivity between particles, effective light scattering, minimum recombination, and effective electron transport and size optimized CuInS2 QDs. Electrochemical impedance spectroscopy studies reveal a low interfacial resistance and longer electron life time in SmS+P25 composite photoanodes.",
"author_names": [
"P Ilaiyaraja",
"Benadict Rakesh",
"Tapan Kumar Das",
"Pavana S V Mocherla",
"C Sudakar"
],
"corpus_id": 103043164,
"doc_id": "103043164",
"n_citations": 20,
"n_key_citations": 1,
"score": 0,
"title": "CuInS2 quantum dot sensitized solar cells with high VOC 0.9 V achieved using microsphere nanoparticulate TiO2 composite photoanode",
"venue": "",
"year": 2018
},
{
"abstract": "Searching suitable panchromatic QD sensitizers for expanding the light harvesting range, accelerating charge separation, and retarding charge recombination is an effective way to improve power conversion efficiency (PCE) of quantum dot sensitized solar cells (QDSCs) One possible way to obtain a wide absorption range is to use the exciplex state of a type II core/shell structured QDs. In addition, this system could also provide a fast charge separation and low charge recombination rate. Herein, we report on using a CdTe/CdSe type II core/shell QD sensitizer with an absorption range extending into the infrared region because of its exciplex state, which is covalently linked to TiO2 mesoporous electrodes by dropping a bifunctional linker molecule mercaptopropionic acid (MPA) capped QD aqueous solution onto the film electrode. High loading and a uniform distribution of QD sensitizer throughout the film electrode thickness have been confirmed by energy dispersive X ray (EDX) elemental mapping. The accelerated electron injection and retarded charge recombination pathway in the built CdTe/CdSe QD cells in comparison with reference CdSe QD based cells have been confirmed by impedance spectroscopy, fluorescence decay, and intensity modulated photocurrent/photovoltage spectroscopy (IMPS/IMVS) analysis. With the combination of the high QD loading and intrinsically superior optoelectronic properties of type II core/shell QD (wide absorption range, fast charge separation, and slow charge recombination) the resulting CdTe/CdSe QD based regenerative sandwich solar cells exhibit a record PCE of 6.76% (J(sc) 19.59 mA cm( 2) V(oc) 0.606 V, and FF 0.569) with a mask around the active film under a full 1 sun illumination (simulated AM 1.5) which is the highest reported to date for liquid junction QDSCs.",
"author_names": [
"Jian-Jun Wang",
"Ivan Mora-Sero",
"Zhenxiao Pan",
"Ke Zhao",
"Hua Zhang",
"Yaoyu Feng",
"Guocheng Yang",
"Xinhua Zhong",
"Juan Bisquert"
],
"corpus_id": 207102168,
"doc_id": "207102168",
"n_citations": 354,
"n_key_citations": 1,
"score": 0,
"title": "Core/shell colloidal quantum dot exciplex states for the development of highly efficient quantum dot sensitized solar cells.",
"venue": "Journal of the American Chemical Society",
"year": 2013
},
{
"abstract": "This paper reports a facile and environmentally friendly approach to the preparation of highly efficient quantum dot sensitized solar cells (QDSSCs) based on a combination of aqueous CuInS2 quantum dots (QDs) and thiol coadsorbents. The photovoltaic properties of the QDSSCs were found to be dependent on the type and concentration of the thiol coadsorbent. The incorporation of thiol coadsorbents results in improved JSC and VOC because (1) they provide disulfide reductants during the QD sensitization process and (2) the coadsorbent molecules are anchored on the TiO2 surface, thus affecting the movement of the conduction band of TiO2. To the best of the our knowledge, this is the first demonstrated use of various thiol coadsorbents as reducing agents in the fabrication of high efficiency QDSSCs. CuInS2 QDSSCs fabricated with the assistance of thioglycolic acid coadsorbents exhibited efficiencies as high as 5.90% which is 20 times higher than that of the control device without thiol coadsorbents (0.29% In addition, the photovoltaic properties of a device fabricated using the colloidal CuInS2 QDs coated with different bifunctional linkers were investigated for comparison. The versatility of this facile fabrication process was demonstrated in the preparation of solar cells sensitized with aqueous AgInS2 or CdSeTe QDs. The AgInS2 QDSSC showed a conversion efficiency of 2.72% which is the highest reported for Ag based metal sulfides QDSSCs thus far.",
"author_names": [
"Jia-Yaw Chang",
"Chen-Hei Li",
"Ya-Han Chiang",
"Chia-Hung Chen",
"Peihang Li"
],
"corpus_id": 26073823,
"doc_id": "26073823",
"n_citations": 22,
"n_key_citations": 0,
"score": 0,
"title": "Toward the Facile and Ecofriendly Fabrication of Quantum Dot Sensitized Solar Cells via Thiol Coadsorbent Assistance.",
"venue": "ACS applied materials interfaces",
"year": 2016
},
{
"abstract": "A modified polysulfide redox couple, (CH(3)(4)N](2)S/(CH(3)(4)N](2)S(n) in an organic solvent (3 methoxypropionitrile) was employed in CdS quantum dot (QD) sensitized solar cells (QDSSCs) and an unprecedented energy conversion efficiency of up to 3.2% was obtained under AM 1.5 G illumination. The QDs were linked to nanoporous TiO(2) via covalent bonds by using thioglycolic acid, and chemical bath deposition in an organic solvent was then used to prepare the QDSSCs, facilitating high wettability and superior penetration capability of the TiO(2) films. A very high fill factor of 0.89 was observed with the optimized QDSSCs.",
"author_names": [
"Lisheng Li",
"Xichuan Yang",
"Jiajia Gao",
"Haining Tian",
"Jianzhang Zhao",
"Anders Hagfeldt",
"Licheng Sun"
],
"corpus_id": 207066384,
"doc_id": "207066384",
"n_citations": 229,
"n_key_citations": 4,
"score": 0,
"title": "Highly efficient CdS quantum dot sensitized solar cells based on a modified polysulfide electrolyte.",
"venue": "Journal of the American Chemical Society",
"year": 2011
},
{
"abstract": "We report on an interesting and efficient one step linker assisted chemical bath deposition method to synthesise CdTe or CdTe/CdS quantum dot sensitized TiO2 photoelectrodes. The CdTe or CdTe/CdS core/shell quantum dots with different size and structure can be easily obtained by controlling the hydrothermal temperature. The QDs are covalently linked to TiO2 nanocrystallites by thioglycolic acid (TGA) bifunctional molecule which also acts as stabilizer and sulfur source in this one step fabrication. In this sensitized electrode, CdTe has higher light absorptivity while the CdS shell plays a crucial role in the sensitive CdTe QDs protection and photo generated charges separation. Both effects push the power conversion efficiency of the quantum dot sensitized solar cells (QDSSCs) up to 3.8% and 5.25% under AM 1.5 G one sun (100 mW cm 2) and 0.12 sun illumination, respectively.",
"author_names": [
"Xiaoyun Yu",
"Bingxin Lei",
"Dai-Bin Kuang",
"Chengyong Su"
],
"corpus_id": 95104862,
"doc_id": "95104862",
"n_citations": 119,
"n_key_citations": 0,
"score": 0,
"title": "Highly efficient CdTe/CdS quantum dot sensitized solar cells fabricated by a one step linker assisted chemical bath deposition",
"venue": "",
"year": 2011
},
{
"abstract": "Abstract This paper presents a facile approach to prepare highly efficient CdSe(S) quantum dots (QDs) sensitized TiO 2 photoanodes. Aqueous colloidal CdSe(S) QDs were synthesized and simultaneously assembled onto TiO 2 mesoporous films through a one step hydrothermal route with the aid of thioglycolic acid. This sensitization strategy involves the combination of linker assisted assembly with in situ fabrication. It achieves high coverage and uniform distribution of CdSe(S) QDs within mesoporous TiO 2 films, while keeping strong electronic coupling between QDs and the TiO 2 substrate. The effect of the molar ratios of the ligands (thioglycolic acid) to monomers (Cd 2+ ions) on the cell performance was investigated, and the experimental results showed that the cell based on the photoanode prepared at [ligand][monomer] 3.6 achieved a maximum short circuit current density of 10.51 mA cm 2 and a power conversion efficiency of 1.97% under one sun illumination (AM 1.5 G, 100 mW cm 2",
"author_names": [
"Xiaohui Song",
"Minqiang Wang",
"Yanhua Shi",
"Jianping Deng",
"Zhi Yang",
"Xiuchao Yao"
],
"corpus_id": 97935442,
"doc_id": "97935442",
"n_citations": 27,
"n_key_citations": 0,
"score": 0,
"title": "In situ hydrothermal growth of CdSe(S) nanocrystals on mesoporous TiO2 films for quantum dot sensitized solar cells",
"venue": "",
"year": 2012
},
{
"abstract": "Presynthesized high quality CdS/CdSe inverted type I core/shell structure QDs have been deposited onto TiO(2) electrodes after first coating with bifunctional linker molecules, mercaptopropionic acid (MPA) and the resulting quantum dot sensitized solar cells (QDSCs) exhibited record conversion efficiency of 5.32% (V(oc) 0.527 V, J(sc) 18.02 mA/cm(2) FF 0.56) under simulated AM 1.5, 100 mW cm( 2) illumination. CdS/CdSe QDs with different CdSe shell thicknesses and different corresponding absorption onsets were prepared via the well developed organometallic high temperature injection method. MPA capped water dispersible QDs were then obtained via ligand exchange from the initial organic ligand capped oil dispersible QDs. The QD sensitized TiO(2) electrodes were facilely prepared by pipetting the MPA capped CdS/CdSe QD aqueous solution onto the TiO(2) film, followed by a covering process with a ZnS layer and a postsintering process at 300 degC. Polysulfide electrolyte and Cu(2)S counterelectrode were used to provide higher photocurrents and fill factors of the constructed cell devices. The characteristics of these QDSCs were studied in more detail by optical measurements, incidental photo to current efficiency measurements, and impedance spectroscopy. With the combination of the modified deposition technique with use of linker molecule MPA capped water soluble QDs and well developed inverted type I core/shell structure of the sensitizer together with the sintering treatment of QD bound TiO(2) electrodes, the resulting CdS/CdSe sensitized solar cells show a record photovoltaic performance with a conversion efficiency of 5.32%",
"author_names": [
"Zhenxiao Pan",
"Hua Zhang",
"Kan Cheng",
"Yumei Hou",
"Jianli Hua",
"Xinhua Zhong"
],
"corpus_id": 207744895,
"doc_id": "207744895",
"n_citations": 265,
"n_key_citations": 2,
"score": 0,
"title": "Highly efficient inverted type I CdS/CdSe core/shell structure QD sensitized solar cells.",
"venue": "ACS nano",
"year": 2012
},
{
"abstract": "Quantum dot solar cells (QDSCs) have attracted great interest as a next generation solar energy conversion system. 1 While the conversion efficiency of QDSCs has recently exceeded 5% they still lag behind their dye sensitized solar cell analogues. The performance of QDSCs is governed by many factors such as their light harvesting capacity, interfacial charge recombination, the characteristics of the electrolytes, and the electrocatalytic activity of the counter electrode. 2 Among them, the anode characteristics (i.e. light absorption and charge recombination) are intimately associated with the deposition method of the QD sensitizer. Therefore, the development of an effective deposition method is of great importance for highly efficient solar cell fabrication. Commonly used deposition methods include chemical bath deposition (CBD) successive ionic layer adsorption and reaction (SILAR) a molecular linker based approach (MBA) and direct adsorption (DA) 3 CBD and SILAR are",
"author_names": [
"Hye Mi Choi",
"In Ae Ji",
"Jin Ho Bang"
],
"corpus_id": 54198629,
"doc_id": "54198629",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Quantum Dot Solar Cells Prepared Using Electrophoretic Deposition",
"venue": "",
"year": 2013
},
{
"abstract": "Quantum dot sensitized solar cells (QDSSCs) are becoming a viable alternative in the market of the third generation solar cells. Replacing conventional TiO2 or ZnO thin films with anatase TiO2 nanotubes (NTs) leads to a faster charge separation of the excited electron from the quantum dot (QD) to the anode and, consequently, to higher efficiencies. In addition, the adsorption mode of the QDs to the nanotube plays a significant role in the quest for more efficient QDSSCs. We investigate these effects by means of density functional theory (DFT) and real time time dependent DFT. Differently sized QDs (CdSe)13 and (CdSe)34, bare clusters and saturated with methylamine and p toluidine] are added to different anatase TiO2 nanotubes [NT(0,8) NT(0,12) NT(0,16) We considered direct adsorption or linkage via mercaptopropionic acid (MPA) First, the nanotube diameter does not affect the electronic absorption spectra. When the QDs are linked with MPA, we find that the absorption spectrum resembles that of the single QD. Also, the size of the QD has a significant impact on the absorption spectrum and it can happen that the conduction band (CB) of an unsaturated QD lies below that of the nanotube. Saturation of the QD's surface pushes the CB up again. Furthermore, aromatic ligands increase the first absorption peak maximum to higher energies.",
"author_names": [
"Roger Nadler",
"Javier Fernandez Sanz"
],
"corpus_id": 103159149,
"doc_id": "103159149",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "TiO2 nanotubes sensitized with CdSe quantum dots",
"venue": "Theoretical Chemistry Accounts",
"year": 2018
}
] |
Integrated finely tunable microring laser on silicon | [
{
"abstract": "Finely tunable microring laser exploits integrated capacitive structure. Large scale computer installations are severely limited by network bandwidth constraints and energy costs that arise from architectural designs originally based on copper interconnects1. Wavelength division multiplexed (WDM) photonic links can increase the network bandwidth but are sensitive to environmental perturbations and manufacturing imperfections that can affect the precise emission wavelength and output power of laser transmitters2,3. Here, we demonstrate a new design of a three terminal hybrid III V on silicon laser that integrates a metal oxide semiconductor (MOS) capacitor into the laser cavity. The MOS capacitor makes it possible to introduce the plasma dispersion effect4 and thus change the laser modal refractive index and free carrier absorption (FCA) loss to tune the laser wavelength and output power, respectively. The approach enables a highly energy efficient method to tune the output power and wavelength of microring lasers, with future prospects for high speed, chirp free direct laser modulation. The concept is potentially applicable to other diode laser platforms.",
"author_names": [
"Di Liang",
"Xue Huang",
"Geza Kurczveil",
"Marco Fiorentino",
"Raymond G Beausoleil"
],
"corpus_id": 125371564,
"doc_id": "125371564",
"n_citations": 81,
"n_key_citations": 0,
"score": 1,
"title": "Integrated finely tunable microring laser on silicon",
"venue": "",
"year": 2016
},
{
"abstract": "Tracking changes in a photonic integrated circuit is an essential task for many applications, such sensing or telecommunication systems. In particular, locking of laser to a microring resonator and tracking resonance shifts over time with high accuracy can improve several applications such as sensing and biosensing. In this work, we present a novel system to lock a laser to a silicon photonics microring resonance and track the changes in wavelength over time. An electronic digital feedback loop balances the power at outputs of the microring (at the through and the drop ports) by tuning finely the wavelength of the input laser. The silicon photonics chip is equipped with integrated photodiodes at each port of the microring. The low noise of photodiodes, together with the resolution of the tuning of the laser, allows achieving locking with less than 7 femtometers as residual noise at 1550 nm. The digital implementation of the feedback loop permits to reach bandwidth up to 1 kHz. Demonstration of the locking has been made with several different microring resonators, with Q factor varying from 5000 to 60000.",
"author_names": [
"Nicola Peserico",
"P Barbi",
"Melchiorre Bruccoleri",
"Charles Baudot",
"Antonio Fincato",
"Maurizio Zuffada",
"Carlo Guardiani",
"Andrea Melloni"
],
"corpus_id": 139257946,
"doc_id": "139257946",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "System for tracking femtometer resonance shifts of silicon photonics microring resonator by locking tunable laser",
"venue": "OPTO",
"year": 2018
},
{
"abstract": "We demonstrate detailed characterization results of a hybrid InP TriPleX photonic integrated tunable laser based on silicon nitride microring resonators. A tuning range of 50 nm across the C band, side mode suppression ratio (SMSR) >50 dB, high output power ~10 dBm) linewidth of <80 kHz across the whole tuning range, and ms switching speed are achieved. The delayed self heterodyne (DSH) method is used for the linewidth measurement, the lowest linewidth can be achieve is ~35 kHz. The FM noise spectrum is also measured to show the 1/f noise and white noise characterization. Furthermore, the device demonstrates performance comparable with commercial external cavity lasers in 64 QAM coherent system.",
"author_names": [
"Yi Lin",
"Colm Browning",
"Roelof Bernardus Timens",
"Douwe H Geuzebroek",
"Chris G H Roeloffzen",
"Marcel Hoekman",
"Dimitri Geskus",
"Ruud M Oldenbeuving",
"Rene G Heideman",
"Youwen Fan",
"Klaus J Boller",
"Liam P Barry"
],
"corpus_id": 49266453,
"doc_id": "49266453",
"n_citations": 40,
"n_key_citations": 1,
"score": 0,
"title": "Characterization of Hybrid InP TriPleX Photonic Integrated Tunable Lasers Based on Silicon Nitride (Si 3N4/SiO2) Microring Resonators for Optical Coherent System",
"venue": "IEEE Photonics Journal",
"year": 2018
},
{
"abstract": "A tunable laser source is a crucial photonic component for many applications, such as spectroscopic measurements, wavelength division multiplexing (WDM) frequency modulated light detection and ranging (LIDAR) and optical coherence tomography (OCT) In this article, we demonstrate the first monolithically integrated erbium doped tunable laser on a complementary metal oxide semiconductor (CMOS) compatible silicon photonics platform. Erbium doped Al2O3 sputtered on top is used as a gain medium to achieve lasing. The laser achieves a tunability from 1527 nm to 1573 nm, with a >40 dB side mode suppression ratio (SMSR) The wide tuning range (46 nm) is realized with a Vernier cavity, formed by two Si3N4 microring resonators. With 107 mW on chip 980 nm pump power, up to 1.6 mW output lasing power is obtained with a 2.2% slope efficiency. The maximum output power is limited by pump power. Fine tuning of the laser wavelength is demonstrated by using the gain cavity phase shifter. Signal response times are measured to be around 200 ms and 35 us for the heaters used to tune the Vernier rings and gain cavity longitudinal mode, respectively. The linewidth of the laser is 340 kHz, measured via a self delay heterodyne detection method. Furthermore, the laser signal is stabilized by continuous locking to a mode locked laser (MLL) over 4900 seconds with a measured peak to peak frequency deviation below 10 Hz.",
"author_names": [
"Nanxi Li",
"Diedrik Vermeulen",
"Zhan Su",
"Emir Salih Magden",
"Ming Xin",
"Neetesh Singh",
"Alfonso Ruocco",
"Jelena Notaros",
"Christopher Vincent Poulton",
"Erman Timurdogan",
"Christopher Baiocco",
"Michael Robert Watts"
],
"corpus_id": 52033486,
"doc_id": "52033486",
"n_citations": 44,
"n_key_citations": 1,
"score": 0,
"title": "Monolithically integrated erbium doped tunable laser on a CMOS compatible silicon photonics platform.",
"venue": "Optics express",
"year": 2018
},
{
"abstract": "Mid infrared microring resonators have been extensively studied for biochemical sensing applications. To characterize such resonators, a tunable laser or spectrometer has usually been utilized in previous studies. However, it is challenging to integrate these bulky instruments on a chip, ineluctably limiting practical applications. Here, we study a method for characterizing a microring resonator by using a monochromatic laser to conquer this limitation. Specifically, we theoretically probe the quality factor, extinction ratio, and resonant wavelength shift of a graphene on silicon microring resonator at a wavelength of 2.7 um. Our work paves the way for studying monochromatically chip integrated cavities and their applications.",
"author_names": [
"Weicheng Chen",
"Haofeng Hu",
"Zhenzhou Cheng",
"Tiegen Liu"
],
"corpus_id": 219083371,
"doc_id": "219083371",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Characterization method of a mid infrared graphene on silicon microring with a monochromatic laser",
"venue": "Journal of the Optical Society of America B",
"year": 2020
},
{
"abstract": "",
"author_names": [
"Yi Lin",
"Colm Browning",
"Roelof Bernardus Timens",
"Douwe H Geuzebroek",
"Chris G H Roeloffzen",
"Marcel Hoekman",
"Dimitri Geskus",
"Ruud M Oldenbeuving",
"Rene G Heideman",
"Youwen Fan",
"Klaus J Boller",
"Liam P Barry"
],
"corpus_id": 52153192,
"doc_id": "52153192",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Corrections to \"Characterization of Hybrid InP TriPleX Photonic Integrated Tunable Lasers Based on Silicon Nitride (Si3N4/SiO2) Microring Resonators for Optical Coherent System\"",
"venue": "IEEE Photonics Journal",
"year": 2018
},
{
"abstract": "The fast growing fields of coherent optical communications, light detection and ranging (LIDAR) etc. have huge demand for narrow linewidth lasers. While laboratory scale lasers have high stability with narrow linewidth down to few Hz, they are usually in large size and unable to be integrated with chip scale optical systems. In this paper, we develop a new on chip semiconductor laser by introducing the Vernier effect and the self injection locking effect simultaneously between a Fabry Perot diode laser and an external microresonator on silicon chip. The proposed laser is demonstrated with a narrow linewidth of 8 kHz and wide switchable range of 17 nm. This paper explores a new scheme to realize narrow linewidth with wide tunability for on chip semiconductor lasers.",
"author_names": [
"Yu Li",
"Yuanjue Zhang",
"Hongwei Chen",
"Sigang Yang",
"Minghua Chen"
],
"corpus_id": 49348481,
"doc_id": "49348481",
"n_citations": 13,
"n_key_citations": 0,
"score": 0,
"title": "Tunable Self Injected Fabry Perot Laser Diode Coupled to an External High Q Si3N4 /SiO2 Microring Resonator",
"venue": "Journal of Lightwave Technology",
"year": 2018
},
{
"abstract": "We present a monolithic InP based photonic integrated circuit (PIC) consisting of a widely tunable laser master oscillator feeding an array of integrated semiconductor optical amplifiers that are interferometrically combined on chip in a single mode waveguide. We demonstrate a stable and efficient on chip coherent beam combination and obtain up to 240 mW average power from the monolithic PIC, with 30 50 kHz Schawlow Townes linewidths and >180 mW average power across the extended C band. We also explored hybrid integration of the InP based laser and amplifier array PIC with a high quality factor silicon nitride microring resonator. We observe lasing based on gain from the interferometrically combined amplifier array in an external cavity formed via feedback from the silicon nitride microresonator chip; this configuration results in narrowing of the Schawlow Townes linewidth to ~3 kHz with 37.9 mW average power at the SiN output facet. This work demonstrates a new approach toward high power, narrow linewidth sources that can be integrated with on chip single mode waveguide platforms for potential applications in nonlinear integrated photonics.",
"author_names": [
"Keith A McKinzie",
"Cong Wang",
"Abdullah Al Noman",
"David L Mathine",
"Kyunghun Han",
"Daniel E Leaird",
"Gloria E Hoefler",
"Vikrant Lal",
"Fred A Kish",
"Minghao Qi",
"Andrew M Weiner"
],
"corpus_id": 232377539,
"doc_id": "232377539",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "InP high power monolithically integrated widely tunable laser and SOA array for hybrid integration.",
"venue": "Optics express",
"year": 2021
},
{
"abstract": "The \"<inline formula> <tex math notation=\"LaTeX\"$2~\\mu \\text{m} /tex math>/inline formula> waveband\" specifically the <inline formula> <tex math notation=\"LaTeX\"$1.9~\\mu \\text{m} /tex math>/inline formula> wavelength region, is playing an increasingly imperative role in photonics. Development into compact tunable light sources operating at the wavelength region can unlock numerous technological applications. Instances, while not exhaustive, include alleviating the capacity load in fiber communications, H<sub>2</sub>O spectroscopy, optical logic, signal processing as well as enabling the optical Kerr effect on silicon. Silicon photonics is a disruptive technology. Through mature silicon processing, recent developments suggest that silicon will emerge as the workhorse of integrated optics. While the realization of a monolithic light source has proved to be challenging, the hybrid/heterogenous Si platforms, consisting of silicon and III V materials, has stepped to the fore. In this work, we present the study of Vernier based hybrid silicon photonic wavelength tunable lasers with an operating range of 1881 1947 nm (66 nm) subject to different coupling gaps (Gap<sub>mrr</sub> between the silicon microring resonators (MRRs) and bus waveguide. Wavelength tuning functionality is enabled via the thermo optic effect of MRRs. Gap<sub>mrr</sub> being the smallest feature in the assemble, is highly influential to the characteristics of the SHREC. As such, trends in hybrid laser performance with respect to Gap<sub>mrr</sub> are measured and analyzed. Slope efficiency, laser output power and side mode suppression ratio of 0.232 W/A, 28 mW and 42 dB respectively are obtained across the developed lasers. Through the design of the Vernier spectrum and Gap<sub>mrr</sub> tuning of laser wavelength from 1881 1947 nm can be achieved by applying only 47.2 mW of thermal power to a single MRR.",
"author_names": [
"Jia Xu Brian Sia",
"Wanjun Wang",
"Zhongliang Qiao",
"Xiang Li",
"Tina X Guo",
"Jin Zhou",
"Callum George Littlejohns",
"Chongyang Liu",
"Graham T Reed",
"Hongzhong Wang"
],
"corpus_id": 226230398,
"doc_id": "226230398",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Analysis of Compact Silicon Photonic Hybrid Ring External Cavity (SHREC) Wavelength Tunable Laser Diodes Operating From 1881 1947 nm",
"venue": "IEEE Journal of Quantum Electronics",
"year": 2020
},
{
"abstract": "We demonstrate a wavelength tunable hybrid III V/Si transmitter based on a Vernier laser with more than 30 nm tuning range and two microring modulators nested in a Mach Zehnder interferometer. This transmitter circuit has versatile operating modes. It can be used for on off keying (OOK) generation based on low drive voltage push pull ring modulators and for quadrature phase shift keying (QPSK) generation where binary phase shift keying signal is generated by each ring. We reported the first hybrid III V/Si integrated wavelength tunable transmitter based on high speed ring modulators (BW ~23 GHz) which can cover more than 30 nm. OOK signal generation with better signal integrity, lower drive voltage, and lower chirp is demonstrated as well as 80 Gbit/s polarization division multiplexing QPSK signal transmission over 100 km with the integrated hybrid transmitter and a fully packaged silicon based coherent receiver.",
"author_names": [
"Guilhem de Valicourt",
"Chia-Ming Chang",
"Jeffrey Lee",
"Michael S Eggleston",
"Chen Zhu",
"Jeffrey H Sinsky",
"Kwangwoong Kim",
"Po Dong",
"Anaelle Maho",
"Romain Brenot",
"Young-Kai Chen"
],
"corpus_id": 3437826,
"doc_id": "3437826",
"n_citations": 5,
"n_key_citations": 0,
"score": 0,
"title": "Integrated Hybrid Wavelength Tunable III V/Silicon Transmitter Based on a Ring Assisted Mach Zehnder Interferometer Modulator",
"venue": "Journal of Lightwave Technology",
"year": 2018
}
] |
Application of neural netw ork techniques in gamma spectroscopy | [
{
"abstract": "Abstract The usual methods of automatic radiation spectra analysis, based on fittings of peaks and background to exact mathematical curves, are valid for high resolution detectors. However, these methods are less successful for lower resolution detectors, such as the common scintillators or new room temperature semiconductors. Trying to solve some of the problems inherent in the application of complex fittings to the response of these detectors, we test here a new and less strict approach, based on the use of a neural network algorithm known as \"associative memory\" This method appears useful in those cases in which a simple operation and a fast response are needed, together with a reasonable (and not extreme) accuracy. Furthermore, as the pattern recognition is carried out through the rough shape of the whole spectrum, instead of each individual peak, it can be used with advantage for low resolution detectors. With the idea of comparing the behavior of this method with the \"classical\" ones, the response of the network in the analysis of several spectra, taken with a NaI spectrometer, is presented.",
"author_names": [
"P Olmos",
"J Casanueva Diaz",
"J Mercado Perez",
"Germa Garcia-Belmonte",
"P Gomez",
"Victoria Rodellar"
],
"corpus_id": 110112242,
"doc_id": "110112242",
"n_citations": 30,
"n_key_citations": 0,
"score": 1,
"title": "Application of neural network techniques in gamma spectroscopy",
"venue": "",
"year": 1992
},
{
"abstract": "The aim of this study was to investigate the potential for quantitative assessment of amylose and protein content in rice after gamma irradiation using infrared spectroscopy and chemometrics. Rice was treated with eight different radiation doses (250, 500, 750, 1000, 1500, 2000, 2500, and 3000 Gy) and compared to untreated rice (i.e. 0 Gy) Near infrared (NIR; 1100 2500 nm) and mid infrared (MIR; 400 4000 cm 1) spectra of the rice were compared to determine which one produced the best prediction of components for irradiated rice. Least squares support vector machine (LS SVM) was applied to construct calibration models for component analysis of amylose and protein individually. The optimal results built by LS SVM were obtained when the rp and RMSEP values were 0.8514 and 0.1519, respectively, for prediction of amylose in the NIR region and 0.8824 and 0.2012, respectively, for prediction of protein in the MIR region. Chemometrics based on LS SVM are better than that of a back propagation artificial neural network (BP ANN) This work demonstrates the potential of infrared reflectance spectroscopy using NIR and MIR for more efficient analysis of components in irradiated rice.",
"author_names": [
"Yongni Shao",
"C F Zhao",
"Yong He",
"Yidan Bao"
],
"corpus_id": 93897967,
"doc_id": "93897967",
"n_citations": 11,
"n_key_citations": 1,
"score": 0,
"title": "Application of Infrared Spectroscopy Technique and Chemometrics for Measurement of Components in Rice after Radiation",
"venue": "",
"year": 2009
},
{
"abstract": "In this paper two applications of artificial neural networks (ANNs) in nuclear spectroscopy analysis are discussed. In the first application, an ANN assigns quality coefficients to alpha particle energy spectra. These spectra are used to detect plutonium contamination in the work environment. The quality coefficients represent the levels of spectral degradation caused by miscalibration and foreign matter affecting the instruments. A set of spectra was labeled with quality coefficients by an expert and used to train the ANN expert system. Our investigation shows that the expert knowledge of spectral quality can be transferred to an ANN system. The second application combines a portable gamma ray spectrometer with an ANN. In this system the ANN is used to automatically identify, radioactive isotopes in real time from their gamma ray spectra. Two neural network paradigms are examined: the linear perception and the optimal linear associative memory (OLAM) A comparison of the two paradigms shows that OLAM is superior to linear perception for this application. Both networks have a linear response and are useful in determining the composition of an unknown sample when the spectrum of the unknown is a linear superposition of known spectra. One feature of this technique is that it uses the whole more spectrum in the identification process instead of only the individual photo peaks. For this reason, it is potentially more useful for processing data from lower resolution gamma ray spectrometers. This approach has been tested with data generated by Monte Carlo simulations and with field data from sodium iodide and Germanium detectors. With the ANN approach, the intense computation takes place during the training process. Once the network is trained, normal operation consists of propagating the data through the network, which results in rapid identification of samples. This approach is useful in situations that require fast response where precise quantification is less important. less",
"author_names": [
"Paul E Keller",
"Lars J Kangas",
"Sherif Hashem",
"Richard T Kouzes"
],
"corpus_id": 112613664,
"doc_id": "112613664",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Neural networks for nuclear spectroscopy",
"venue": "",
"year": 1995
},
{
"abstract": "With the rapid development of Internet of Things (IoT) techn iques, RF sensing has found wide applications for, e.g. indoor localization, activit y recognition, and healthcare. In this dissertation, we investigate the problem of RF sensing for IoT using channel state information (CSI) and machine learning techniques. In particular, our work mainl y focuses on indoor localization using deep learning and vital sign monitoring for RF sensing. In this dissertation, we first study the problem of CSI based in door localization. For first three works, we exploit deep learning for three different in door localization systems using CSI amplitudes, CSI calibrated phases, and CSI bimodal data, resp ectively. Moreover, we study and analyze CSI data, which is stable for indoor localization. We consider deep autoencoder networks to train CSI data, and employ the weights of the deep netw ork to represent fingerprints. A greedy learning algorithm is leveraged to train the weights layer by layer to reduce computational complexity, where a sub network between two consecutive la y rs forms a Restricted Boltzmann Machine (RBM) In the online stage, we use a probabilistic meth od for online location estimation. Then, we exploit deep convolutional neural networks (DCNN) f or indoor localization. Since DCNN is a supervised method, it only requires to train one grou p f weights for all the training data with related labels, which is different with our prior w orks that requires training weights for every training location. Specially, we use estimated angle of arrival (AOA) images from CSI data as input to the DCNN. By executing four convolutional and subsa mpling layers, the system can automatically extract the features of the estimated AOA ima ges, to obtain training weights. To improve indoor localization accuracy, we propose deep resi dual sharing learning for training two channels CSI tensor data. Moreover, we can stack many residua l haring blocks for adding the depth of the deep network, thus achieving higher learning an d representation ability for CSI tensor",
"author_names": [
"Xuyu Wang"
],
"corpus_id": 86632668,
"doc_id": "86632668",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "RF Sensing for Internet of Things: When Machine Learning Meets Channel State Information",
"venue": "",
"year": 2018
},
{
"abstract": "In the imaging process of the remote sensing ,there was degradation phenomenon in the acquired images. In order to reduce the image blur caused by the degradation, the remote sensing images were restored to give prominence to the characteristic objects in the images.the images were restored. IMAGE restoration is an important issue in high level image processing..The purpose of image restoration is to estimate the original image from the degraded data. It is widely used in various f ields of applications, such as medical imaging, astronomical imaging, remote sensing, microscopy imaging, photography deblurring, and forensic science, etc. Restoration is beneficial to interpreting and analyzing the remote sensing images. After restoration, the blur phenomenon of the images is reduced. The characters are highlighted, and the visual effec t of the images is clearer. In this paper different image restoration techniques like Richardson Lucy algorithm, Wiener f ilter, Neural Netw ork,Blind Deconvolution. Keywords Image Restoration,Degradation model, Richardson Lucy algorithm,Wiener f ilter, Neural Netw ork,Blind Deconvolution.",
"author_names": [
"Ajay Kaushik"
],
"corpus_id": 535263,
"doc_id": "535263",
"n_citations": 8,
"n_key_citations": 1,
"score": 0,
"title": "Remote Sensing Image Restoration Using Various Techniques: A Review",
"venue": "",
"year": 2012
},
{
"abstract": "Free unfolding in neutron spectroscopy means reconstructing energy spectra from experimental data without a priori assumptions regarding their shape. Due to the ill conditioned nature of the problem, this cannot be done analytically. Neural Networks (NNs) were applied to this task and synthetic data was used for training and testing. Results showed very consistent performance especially in the region of low and medium counts, where they fall near the Poisson statistical boundary. Comparison with other unfolding methods validated these results. Application time on the order of ms makes NNs suitable for real time analysis. This approach can be applied to any instrument of which the response function is known.",
"author_names": [
"Emanuel Ronchi",
"Sean Conroy",
"Erik Andersson Sunden",
"G Ericsson",
"Maria Gatu Johnson",
"Carl Hellesen",
"Henrik Sjostrand",
"Matthias Weiszflog",
"J E T Contributors"
],
"corpus_id": 14475018,
"doc_id": "14475018",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Applications of neural networks for free unfolding of experimental data from fusion neutron spectrometers",
"venue": "",
"year": 2008
},
{
"abstract": "Abstract Tomographic reconstruction techniques typically require computationally intensive algorithms which are not suitable for real time application. This paper describes a framework to perform neutron emissivity tomography at the Joint European Torus (JET) using neural networks with successful results over a broad range of magnetic configurations, heating and fueling schemes. Application times in the m s time scale allows for real time applicability of the method.",
"author_names": [
"Emanuel Ronchi",
"Sean Conroy",
"Erik Andersson Sunden",
"G Ericsson",
"M Gatu Johnson",
"Carl Hellesen",
"Henrik Sjostrand",
"Matthias Weiszflog"
],
"corpus_id": 121550115,
"doc_id": "121550115",
"n_citations": 12,
"n_key_citations": 0,
"score": 0,
"title": "Neural networks based neutron emissivity tomography at JET with real time capabilities",
"venue": "",
"year": 2010
},
{
"abstract": "In this paper two applications of artificial neural networks (ANNs) in nuclear spectroscopy analysis are discussed. In the first application, an ANN assigns quality coefficients to alpha particle energy spectra. These spectra are used to detect plutonium contamination in the work environment. The quality coefficients represent the levels of spectral degradation caused by miscalibration and foreign matter affecting the instruments. A set of spectra was labeled with quality coefficients by an expert and used to train the ANN expert system. Our investigation shows that the expert knowledge of spectral quality can be transferred to an ANN system. The second application combines a portable gamma ray spectrometer with an ANN to automatically identify radioactive isotopes in real time. Two neural network paradigms are examined and compared: the linear perceptron and the optimal linear associative memory (OLAM) Both networks have a linear response and are useful in determining the composition of an unknown sample when the spectrum of the unknown is a linear superposition of known spectra. One feature of this technique is that it uses the whole spectrum in the identification process instead of only the individual photo peaks. This approach has been successfully tested with data generated by Monte Carlo simulations and with field data from both sodium iodide and germanium detectors.",
"author_names": [
"Paul E Keller",
"Lars J Kangas",
"G L Troyer",
"Sherif Hashem",
"Richard T Kouzes"
],
"corpus_id": 109946378,
"doc_id": "109946378",
"n_citations": 30,
"n_key_citations": 1,
"score": 0,
"title": "Nuclear spectral analysis via artificial neural networks for waste handling",
"venue": "",
"year": 1995
},
{
"abstract": "Polymers as Drug Delivery Carriers Hydrophilic Cellulose Derivatives as Drug Delivery Carriers: Influence of Substitution Type on the Properties of Compressed Matrix Tablets, Carmen Ferrero Rodriguez, Nathalie Bruneau, Jerome Barra, Dorothee Alfonso, and Eric Doelker Poly(Vinyl Alcohol) as a Drug Delivery Carrier, Surya K. Mallapragada and Shannon McCarthy Schroeder Development of Acrylate and Methacrylate Polymer Networks for Controlled Release by Photopolymerization Technology, Robert Scott, Jennifer H. Ward, and Nicholas A. Peppas Smart Polymers for Controlled Drug Delivery, Joseph Kost and Smadar A. Lapidot Complexing Polymers in Drug Delivery, Anthony M. Lowman Polylactic and Polyglycolic Acids as Drug Delivery Carriers, Lisa Brannon Peppas and Michel Vert Use of Infrared and Raman Spectroscopy for Characterization of Controlled Release Systems, A. B. Scranton, B. Drescher, E. W. Nelson, and J. L. Jacobs Accurate Models in Controlled Drug Delivery Systems, Balaji Narasimhan Mechanism Based Classification of Controlled Release Devices Drug Release from Swelling Controlled Systems, Paolo Colombo, Patrizia Santi, Ruggero Bettini,Christopher S. Brazel, and Nikolaos A. Peppas Superporous Hydrogels as a Platform for Oral Controlled Drug Delivery, Haesun Park, Jun Chen, and Kinam Park Osmotic Implantable Delivery Systems, Jeremy C. Wright, Felix Theeuwes, and Cynthia L. Stevenson Bioadhesive Controlled Release Systems, Nicholas A. Peppas, Monica D. Little, and Yanbin Huang Micro and Nanoparticulate Release Systems Microencapsulation Technology: Interfacial Polymerization Method, A. Atila Hincal and H. Suheyla Kas Nanoparticulate Controlled Release Systems for Cancer Therapy, C. Dubernet, E. Fattal, and P. Couvreur Microencapsulation Using Coacervation/Phase Separation: An Overview of the Technique and Applications, H. Suheyla Kas and Levent Oner Microsphere Preparation by Solvent Evaporation Method, A. Atila Hincal and Sema Calis Nanosuspensions A Formulation Approach for Poorly Soluble and Poorly Bioavailable Drugs, R. H. Muller, B. H. L. Bohm, and M. J. Grau Large Scale Production of Solid Lipid Nanoparticles (SLN) and Nanosuspensions (DissoCubes) R. H. Muller, S. Gohla, A. Dingler, and T. Schneppe Solid Lipid Nanoparticles (SLN) as a Carrier System for the Controlled Release of Drugs, R. H. Muller, A. Lippacher, and S. Gohla Stability of Encapsulated Substances in Poly(Lactide co Glycolide) Delivery Systems, Steven P. Schwendeman, Gaozhong Zhu, Anna Shenderova, and Wenle Jiang Development of Polysaccharides as Novel Drug Carrier Systems, C. Vauthier and P. Couvreur Classification of Controlled Release Devices According to Administration Site An Overview of Controlled Release Systems, S. Venkatraman, N. Davar, A. Chester, and L. Kleiner Research and Development Aspects of Oral Controlled Release Dosage Forms, Yihong Qiu and Guohua Zhang A Gastrointestinal Retentive Microparticulate System to Improve Oral Drug Delivery, Y. Kawashima, H. Takeuchi, and H. Yamamoto In Vitro In Vivo Correlations in the Development of Solid Oral Controlled Release Dosage Forms, Yihong Qiu, Emil E. Samara, and Guoliang Cao Gamma Scintigraphy in the Analysis of the Behavior of Controlled Release Systems, C. G. Wilson and N. Washington Electrically Assisted Transdermal Delivery of Drugs, Ajay K. Banga A Novel Method Based on Artificial Neural Networks for Optimizing Transdermal Drug Delivery Systems, Kozo Takayama and Tsuneji Nagai Transdermal Drug Delivery by Skin Electroporation, Tani Chen, Robert Langer, and James C. Weaver Enhancement of Transdermal Transport Using Ultrasound in Combination with Other Enhancers, Joseph Kost, Samir Mitragotri, and Robert Langer Electrotransport Systems for Transdermal Delivery: A Practical Implementation of Iontophoresis, Erik R. Scott, J. Bradley Phipps, J. Richard Gyory, and Rama V. Padmanabhan Peptide and Protein Release Systems Controlled Release Protein Therapeutics: Effects of Process and Formulation on Stability, Paul A. Burke Solid State Chemical Stability of Peptides and Proteins: Application to Controlled Release Formulations, Elizabeth M. Topp, Yuan Song, Ashley Wilson, Rong Li, Michael J. Hageman, and Richard L. Schowen Growth Factor Release from Biodegradable Hydrogels to Induce Neovascularization, Yoshita Ikada and Yasuhiko Tabata Biopolymers for Release of Interleukin 2 for Treatment of Cancer, Debra J. Trantolo, Joseph D. Gresser, A. Ganiyu Jimoh, Donald L. Wise,and James C. Yang Medical Applications of Drug Delivery Osmotic Drug Delivery from Asymmetric Membrane Film Coated Dosage Forms, Mary Tanya am Ende, Scott M. Herbig, Richard W. Korsmeyer, and Mark B. Chidlaw Controlled Release Pain Management Systems, Vasif Hasirci, Dilek Sendil, Leonidas C. Goudas, Daniel B. Carr, and Donald L. Wise Biodegradable Systems for Long Acting Nestorone, Debra J. Trantolo, Donald L. Wise, A. J. Moo Young, Yung Yueh Hsu, and Joseph D. Gresser Preparation and Evaluation of Buprenorphine Microspheres for Parenteral Administration, William R. Ravis, Yuh Jing Lin, and Ram Murty Prolonged Release of Hydromorphone from a Novel Poly(Lactic co Glycolic) Acid Depot System: Initial In Vitro and In Vivo Observations, Leonidas C. Goudas, Daniel B. Carr, Richard M. Kream, Louis Shuster, William M. Vaughan, Joseph D. Gresser,Donald L. Wise, and Debra J. Trantolo Incorporation of an Active Agent into a Biodegradable Cement: Encapsulation of the Agent as Protection from Chemical Degradation During Cure and Effect on Release Profile, Joseph D. Gresser, Debra J. Trantolo, Pattisapu R. J. Gangadharam, Hisanori X. Nagaoka,Yung Yueh Hsu, and Donald L. Wise The Pharmacoeconomic Value of Controlled Release Dosage Forms, Laura B. Gardner",
"author_names": [
"Donald L Wise"
],
"corpus_id": 106803375,
"doc_id": "106803375",
"n_citations": 576,
"n_key_citations": 10,
"score": 0,
"title": "Handbook of Pharmaceutical Controlled Release Technology",
"venue": "",
"year": 2000
},
{
"abstract": "Shield tunnelling method w as used from 1920 in Japan. As the innovation of closed type shield technology around 1970's, the number of shield tunnel constructions in urban area increased rapidly. As a result, more than 4000 shield tunnels have been Abstract The European project \"Technology Innovation in Underground Construction\" is the biggest single research initiative ever undertaken on the topic. Some innovations that resulted from the project and that are associated w ith some topics of the conference more $20.00 EUR15.00 Add PDF to cart Abstract A new data mining method of Support Vector Machines (SVM) is applied on the classification of rock mass in tunnels. SVM is a novel pow erful leaning method that based on Statistical Learning Theory. SVM can solve small sample learning problems Abstract In the present paper, Data Mining techniques has been applied to evaluate the stability of slopes. For this propose, the R (w w w .r project.org) softw are w as used together w ith a user defined application developed at the University of Minho called RMiner. The Abstract Jet Grouting (JG) technology is currently applied in many geotechnical w orks for improving mechanics properties of soil, mainly soft soils. In many geotechnical structures advance design incorporates the serviceability design criteria. For this more Abstract This paper introduced the method and principle of a traditional probability neural netw ork (PNN) and an adaptive probability neural netw ork (APNN) Based on inverse problem theory, the question of soil classify is investigated. A new method based on",
"author_names": [
"Yasushi Arai",
"Yoshio Nishida",
"Koji Kayukaw",
"Wataru Sato",
"Minoru Kuriki",
"Vector Machines",
"Tian-bin Li"
],
"corpus_id": 110089576,
"doc_id": "110089576",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "An Intelligent Rock Mass Classification Method based on Support",
"venue": "",
"year": 2014
}
] |
3 dimension Heterostructure | [
{
"abstract": "Abstract Photocatalytic hydrogen evolution has emerged as a promising technology to alleviate energy and environmental issues. Numerous inorganic semiconductors have been designed for efficient photocatalytic hydrogen evolution, but which are generally limited by the heavy metal contamination. All organic semiconductors have attracted great interest due to the low cost, stable properties and tunable chemical structures. In our paper, TPA 3CN, with the superior donor acceptor (D A) structure, was synthesized via the molecular engineering of triphenylamine (TPA) and (3 cyano 4,5,5 trimethyl 2(5H) furanylidene) malononitrile (3 CN) Then an all organic TPA 3CN/2D C3N4 heterostructure is formed by linking the TPA 3CN of stronger electron withdrawing property with two dimension graphitic carbon nitride (2D C3N4) which realizes the broader solar absorption compared to the pristine CNS, as well as the quicker electron transfer rate. Significantly, the TPA 3CN/2D C3N4 shows superior photocatalytic performance of 1558.6 mmol (twice as 2D C3N4) under 5 h visible light irradiation (l 400 nm) and its apparent quantum efficiency (AQE) reaches 9.3% at 420 nm. This work offers an innovative opinion for rational development of efficient all organic photocatalysts for solar energy utilization.",
"author_names": [
"JiaJun Fu",
"Zhao Mo",
"Ming Cheng",
"Fan Chou Xu",
"Yanhua Song",
"Xingdong Ding",
"Zhigang Chen",
"Hanxiang Chen",
"Huaming Li",
"Hui Xu"
],
"corpus_id": 213954845,
"doc_id": "213954845",
"n_citations": 3,
"n_key_citations": 0,
"score": 1,
"title": "An all organic TPA 3CN/2D C3N4 heterostructure for high efficiency photocatalytic hydrogen evolution",
"venue": "",
"year": 2020
},
{
"abstract": "Intensive efforts have been devoted to the exploration of new optoelectronic devices based on two dimensional transition metal dichalcogenides (TMDCs) owing to their strong light matter interaction and distinctive material properties. In particular, photodetectors featuring both high speed and high responsivity performance are of great interest for a vast number of applications such as high data rate interconnects operated at standardized telecom wavelengths. Yet, the intrinsically small carrier mobilities of TMDCs become a bottleneck for high speed application use. Here, we present high performance vertical van der Waals heterostructure based photodetectors integrated on a silicon photonics platform. Our vertical MoTe 2 graphene heterostructure design minimizes the carrier transit path length in TMDCs and enables a record high measured bandwidth of at least 24 GHz under a moderate bias voltage of 3 V. Applying a higher bias or employing thinner MoTe 2 flakes boosts the bandwidth even to 50 GHz. Simultaneously, our device reaches a high external responsivity of 0.2 A W 1 for incident light at 1,300 nm, benefiting from the integrated waveguide design. Our studies shed light on performance trade offs and present design guidelines for fast and efficient devices. The combination of two diemensional heterostructures and integrated guided wave nano photonics defines an attractive platform to realize high performance optoelectronic devices, such as photodetectors, light emitting devices and electro optic modulators. The low carrier mobilities of TMDCs pose a challenge for applications in high speed photodetection. Integrating vertical two dimensional heterostructures with photonic waveguides allows the intrinsic speed limitations to be overcome and record high photodetection bandwidths to be achieved.",
"author_names": [
"Nikolaus Flory",
"Ping Ma",
"Yannick Salamin",
"Alexandros Emboras",
"Takashi Taniguchi",
"Kenji Watanabe",
"Juerg Leuthold",
"Lukas Novotny"
],
"corpus_id": 128358568,
"doc_id": "128358568",
"n_citations": 58,
"n_key_citations": 0,
"score": 0,
"title": "Waveguide integrated van der Waals heterostructure photodetector at telecom band with high speed and high responsivity",
"venue": "Nature Nanotechnology",
"year": 2020
},
{
"abstract": "van der Waals heterostructures combining two dimensional magnetic and semiconducting layers constitute a promising platform for interfacing magnetism, electronics, and optics. Here, we use resonant optical reflection spectroscopy to observe the magnetic proximity effect in a gate tunable MoSe_{2}/CrBr_{3} heterostructure. The high quality of the interface leads to a giant zero field splitting of the K and K^ valley excitons in MoSe_{2} equivalent to an external magnetic field of 12 T, with a weak but distinct electric field dependence that hints at potential for electrical control of magnetization. The magnetic proximity effect allows us to use resonant optical spectroscopy to fully characterize the CrBr_{3} magnet, determining the easy axis coercive field, the magnetic anisotropy energy, and critical exponents associated with spin susceptibility and magnetization.",
"author_names": [
"Livio Ciorciaro",
"Martin Kroner",
"Kenji Watanabe",
"Takashi Taniguchi",
"Atac Imamoglu"
],
"corpus_id": 211043960,
"doc_id": "211043960",
"n_citations": 25,
"n_key_citations": 0,
"score": 0,
"title": "Observation of Magnetic Proximity Effect Using Resonant Optical Spectroscopy of an Electrically Tunable MoSe_{2}/CrBr_{3} Heterostructure.",
"venue": "Physical review letters",
"year": 2020
},
{
"abstract": "Two dimensional material van der Waals (vdW) heterostructures provide an excellent platform for design of novel optoelectronics. In this work, transition metal dichalcogenide WS2 nanodiscs (WS2 NDs) of lateral dimension of 200 400 nm and layer number of 4 7 were synthesized on graphene using a layer by layer, transfer free chemical vapor deposition. On this WS2 NDs/graphene vdW heterostructures, localized surface plasmonic resonance (LSPR) was achieved, resulting in remarkably enhanced light absorption as compared to the counterpart devices with a continuous WS2 layer (WS2 CL/graphene) Remarkably, the photoresponsivity of 6.4 A/W on the WS2 NDs/graphene photodetectors is seven times higher than that (0.91 A/W) of the WS2 CL/graphene vdW heterostructures at an incident 550 nm light intensity of 10 mW/cm2. Furthermore, the WS2 NDs/graphene photodetectors exhibit higher sensitivity to lower lights. Under 550 nm light illumination of 3 mW/cm2, which is beyond the sensitivity limit of the WS2 CL/graphene photodetectors, high photoresponsivity of 8.05 A/W and detectivity of 2.8 x 1010 Jones are achieved at Vsd 5 V. This result demonstrates that the LSPR WS2 NDs/graphene vdW heterostructure is promising for scalable high performance optoelectronics applications.",
"author_names": [
"Mohammed A Alamri",
"Maogang Gong",
"Brent Cook",
"Ryan Goul",
"Judy Z Wu"
],
"corpus_id": 201804802,
"doc_id": "201804802",
"n_citations": 15,
"n_key_citations": 0,
"score": 0,
"title": "Plasmonic WS2 Nanodiscs/Graphene van der Waals Heterostructure Photodetectors.",
"venue": "ACS applied materials interfaces",
"year": 2019
},
{
"abstract": "Abstract Nickel cobalt layered double hydroxides (NiCo LDHs) nanosheets (NSs) were synthesized on a flexible carbon cloth (CC) substrate by a simple hydrothermal method. Then the manganese dioxide (MnO2) NSs were directly deposited on the NiCo LDH/CC to form three dimensional (3D) self supported core shell MnO2/NiCo LDH/CC flexible electrodes. The MnO2 NSs with three different loading amount on NiCo LDH/CC substrate, named MnO2 1/NiCo LDH/CC, MnO2 2/NiCo LDH/CC and MnO2 3/NiCo LDH/CC, were hydrothermally synthesized in 0.01 M, 0.02 M and 0.03 M potassium permanganate (KMnO4) solution, respectively. The morphology and electrochemical performance of these obtained composite electrodes were observed and analyzed. The MnO2 2/NiCo LDH/CC electrode exhibits a capacitive performance of 312 F g 1 at 0.2 A g 1 (937 mF cm 2 at 1 mV s 1) and maintains 97% of the original capacitance after 5000 charge discharge cycles. The good mechanical stability and excellent cycle performance of MnO2 2/NiCo LDH/CC electrode is benefit from the numerous channels for rapid electron transport provided by the large specific surface area and self supported of NiCo LDH nanoflakes. Therefore, the MnO2 2/NiCo LDH/CC composite electrode is a potential candidate in wearable energy storage device.",
"author_names": [
"Lianlian Liu",
"Liang Fang",
"Fang Wu",
"Jia Hu",
"Shu-fang Zhang",
"Haijun Luo",
"Bashan Hu",
"Miao Zhou"
],
"corpus_id": 213257399,
"doc_id": "213257399",
"n_citations": 22,
"n_key_citations": 0,
"score": 0,
"title": "Self supported core shell heterostructure MnO2/NiCo LDH composite for flexible high performance supercapacitor",
"venue": "",
"year": 2020
},
{
"abstract": "Recently, CO2 reduction to fuels has been the subject of great much numerous studies, but selectivity and activity remain inadequate. Progress has been made on single site two dimensional catalysts based on graphene coupled to a metal and nitrogen for CO2RR but the product is usually CO and the metal N environment remains ambiguous. We report a novel 2D graphene nitrene heterostructure (grafiN6) providing well defined active sites (N6) that can bind 1 to 3 metals for CO2RR. We find that homo bimetallic FeFe grafiN6 could reduce CO2 to CH4 at 0.61 V and to CH3CH2OH at 0.68 V vs RHE, with high product selectivity. Moreover, the heteronuclear FeCu grafiN6 system may be significantly less affected by HER, while maintaining low limiting potential 0.68 V) for C1 and C2 mechanisms. Binding metals to one N6 site but not the other could promote efficient electron transport facilitating some reaction steps. This framework for single multiple metal sites might also provide unique catalytic sites for other catalytic process.",
"author_names": [
"Shiqiang Chen",
"Hao Yuan",
"Sergey I Morozov",
"Lei Ge",
"Li Li",
"Lai Xu",
"William A Goddard"
],
"corpus_id": 212693275,
"doc_id": "212693275",
"n_citations": 11,
"n_key_citations": 0,
"score": 0,
"title": "Design of a Graphene Nitrene Two Dimensional Catalyst Heterostructure Providing a Well Defined Site Accommodating 1 to 3 Metals, with Application to CO2 Reduction Electrocatalysis for the 2 Metal Case.",
"venue": "The journal of physical chemistry letters",
"year": 2020
},
{
"abstract": "In this paper, a two dimensional/two dimensional (2D/2D) heterostructure of Ti3C2/g C3N4 (T/CN) was constructed and used to activate peroxymonosulfate (PMS) for the degradation of diclofenac (DCF) in water in the presence of light illumination. Compared with single photocatalytic process by T/CN (0.040/min) and with pure g C3N4 nanosheets in PMS system (0.071/min) 5.0 and 3.0 times enhanced activities were achieved in the T/CN PMS system at optimum Ti3C2 (1.0 wt% loading under light illumination (0.21/min) Moreover, the decomposing processes of DCF in T/CN PMS system were applicable in a wide initial pH range (3~14) therefore, overcoming the limitation of pH dependence in traditional PMS system. Based on the synergistic effect of photocatalysis and PMS oxidation processes, the 1O2 was generated as primary reactive species for the removal of DCF in T/CN PMS system. The DCF degradation mechanism was further proposed through the results of liquid chromatography mass spectrometry (LC MS) and density functional theory (DFT) calculations.",
"author_names": [
"Jie He",
"Jingling Yang",
"Fengxing Jiang",
"Peng Liu",
"Mingshan Zhu"
],
"corpus_id": 219926177,
"doc_id": "219926177",
"n_citations": 16,
"n_key_citations": 0,
"score": 0,
"title": "Photo assisted peroxymonosulfate activation via 2D/2D heterostructure of Ti3C2/g C3N4 for degradation of diclofenac.",
"venue": "Chemosphere",
"year": 2020
},
{
"abstract": "Abstract Multi level and multi angle designations of photocatalysts have attracted great attention to address the insufficient photogenerated charge generation and transformation in photocatalysis. In this study, we reported an ultrathin 1D/2D W18O49/g C3N4 nanocomposites with unique multiscale structure and electronic property through direct growth of 1D plasmonic W18O49 nanowires onto the surface of 2D ultrathin g C3N4 nanosheets. Owing to the unique multiscale structure and electronic property, the synthesized nanocomposites present enhanced photocatalytic activity, owns the maximum removal efficiency of 96.3% and rate constant of 0.0464 min 1 for Ibuprofen (IBF) after 60 min under AM 1.5G light. Meanwhile, under near infrared (NIR) light irradiation, the optimum UWN 3 present 39.2% removal efficiency of IBF and the reaction rate reached 0.0027 min 1 after 120 min. Additionally, the degradation pathway indicated that the decarboxylation process was the main decomposition route of IBF in this reaction system, and OH radical species attack process also contributed to the degradation of IBF. Scavenge experiments and mechanism analysis illustrated that IBF degradation in this reaction system mainly depends on h+ O2 and OH radical species, and the promoted photocatalytic performance of the synthesized ultrathin 1D/2D W18O49/g C3N4 nanocomposites are stem from the synergism of the multichannel photogenerated charge transfer pathways. The combination of the multichannel charge transfer pathways mentioned above resulted in the highly efficient photocatalytic activity of the ultrathin 1D/2D W18O49/g C3N4 nanocomposites.",
"author_names": [
"Yaocheng Deng",
"Chengyang Feng",
"Lin Tang",
"Yaoyu Zhou",
"Zhaoming Chen",
"Haopeng Feng",
"Jiajia Wang",
"Jiangfang Yu",
"Yani Liu"
],
"corpus_id": 216471375,
"doc_id": "216471375",
"n_citations": 23,
"n_key_citations": 0,
"score": 0,
"title": "Ultrathin low dimensional heterostructure composites with superior photocatalytic activity: Insight into the multichannel charge transfer mechanism",
"venue": "",
"year": 2020
},
{
"abstract": "Incommensurate stacking between two different types of two dimensional layered materials furnished the weak interfacial interaction due to the mismatch of their lattice structure, which can be harnessed for development of new generation lubricant additives. Herein, a facile approach is presented to synthesize the ZnO decorated reduced graphene oxide/MoS2 (Gr MS Zn) nanosheets. The Fourier transform infrared, X ray photoelectron spectroscopic, Raman, and transmission electron microscopic analyses confirmed the preparation of Gr MS Zn heterostructure. The MoS2 nanosheets having 3 7 molecular lamellae are thoroughly distributed over the graphene skeleton via weak interfacial interaction. The curved and bent structure of MoS2 nanosheets grown over the graphene lamellae subsidized the cohesive interaction and furnished the stable dispersion of Gr MS Zn in the fully formulated engine oil. The minute dose of Gr MS Zn as a nano additive to engine oil significantly enhanced the tribological performance between the steel steel tribopair by decreasing the friction (37% and the wear volume (87% The microscopic and spectroscopic analyses revealed the formation of a Gr MS Zn based surface protective tribo thin film of low shear strength. The enhanced tribo performance is collectively attributed to (a) uninterrupted supply of ultrathin Gr MS Zn nanosheets to tribo interfaces, (b) stable dispersion of Gr MS Zn, and (c) the significantly low shear strength, arising from weak interfacial interaction between the incommensurately stacked graphene and MoS2 nanosheets.",
"author_names": [
"Ajay Chouhan",
"Tarun K Sarkar",
"Sangita Kumari",
"Srikanth Vemuluri",
"Om P Khatri"
],
"corpus_id": 220795923,
"doc_id": "220795923",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "Synergistic lubrication performance by incommensurately stacked ZnO decorated reduced graphene oxide/MoS2 heterostructure.",
"venue": "Journal of colloid and interface science",
"year": 2020
},
{
"abstract": "The emergence of antibiotic resistant bacteria is the biggest threat to our society. The rapid discovery of drug resistant bacteria is very urgently needed to guide antibiotic treatment development. The current manuscript reports the design of a 2D 0D heterostructure based surface enhanced Raman spectroscopy (SERS) platform, which has the capability for the rapid identification of the multidrug resistant strain of Salmonella DT104. Details of the synthesis and characterization of the heterostructure SERS platform using a two dimensional (2D) WS2 transition metal dichalcogenide (TMD) and zero dimensional (0D) plasmonic gold nanoparticles (GNPs) have been reported. The current manuscript reveals that the 2D 0D heterostructure based SERS platform exhibits extremely high Raman enhancement capabilities. Using Rh 6G and 4 ATP probe molecules, we determined that the SERS sensitivity is in the range of ~10 10 to 10 11 M, several orders of magnitude higher than 2D TMD on its own (10 3 M) or 0D GNPs on their own ~10 6 to 10 7 M) Experimental and theoretical finite difference time domain (FDTD) simulation data indicate that the synergistic effect of an electromagnetic mechanism (EM) and a chemical mechanism (CM) on the heterostructure is responsible for the excellent SERS enhancement observed. Notably, the experimental data reported here show that the heterostructure based SERS has the ability to separate a multidrug resistance strain from a normal strain of Salmonella by monitoring the antibiotic pathogen interaction within 90 minutes, even at a concentration of 100 CFU mL 1.",
"author_names": [
"Avijit Pramanik",
"Shamily Patibandla",
"Salma Begum",
"Kaelin Gates",
"Ye Gao",
"Paresh Chandra Ray"
],
"corpus_id": 216505074,
"doc_id": "216505074",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "A WS2 gold nanoparticle heterostructure based novel SERS platform for the rapid identification of antibiotic resistant pathogens",
"venue": "",
"year": 2020
}
] |
optical phonon scattering mobility | [
{
"abstract": "Abstract Optical phonon scattering mobility has been calculated using numerical methods, and a general program was developed in Matlab to calculate mobility due to scattering on optical phonons. Calculations were done for InAs material that was irradiated by fast neutrons.",
"author_names": [
"R Kobaidze",
"E V Khutsishvili",
"Nodar Kekelidze"
],
"corpus_id": 125983773,
"doc_id": "125983773",
"n_citations": 3,
"n_key_citations": 0,
"score": 1,
"title": "Numerical computation of charge carriers optical phonon scattering mobility in III V semiconductor compounds",
"venue": "",
"year": 2018
},
{
"abstract": "",
"author_names": [
""
],
"corpus_id": 37047304,
"doc_id": "37047304",
"n_citations": 13,
"n_key_citations": 0,
"score": 1,
"title": "Polar optical phonon scattering mobility in semiconductor quantum wells.",
"venue": "Physical review. B, Condensed matter",
"year": 1986
},
{
"abstract": "Temperature characteristics of the channel mobility were investigated for 4H SiC trenched MOSFETs in the range from 30 to 200 degC. The conventional model of channel mobility limited by carrier scattering is based on Si MOSFETs and shows a greatly different channel mobility from the experimental value, especially at high temperatures. On the other hand, our improved mobility model taking into account optical phonon scattering yielded results in excellent agreement with experimental results. Moreover, the major factors limiting the channel mobility were found to be Coulomb scattering in a low effective field <0.7 MV/cm) and optical phonon scattering in a high effective field.",
"author_names": [
"Katsuhiro Kutsuki",
"Sachiko Kawaji",
"Yukihiko Watanabe",
"Toru Onishi",
"Hirokazu Fujiwara",
"Kensaku Yamamoto",
"Toshimasa Kariya Yamamoto"
],
"corpus_id": 125436689,
"doc_id": "125436689",
"n_citations": 6,
"n_key_citations": 0,
"score": 0,
"title": "Impact of optical phonon scattering on inversion channel mobility in 4H SiC trenched MOSFETs",
"venue": "",
"year": 2017
},
{
"abstract": "High carrier mobility is often invoked to justify the exceptionally long diffusion length in CH3NH3PbI3 perovskites. Using a combination of an ab initio band structure and scattering models, we present clear evidence that large electrical and Hall mobilities are crucially related to the low scattering rate of carriers with polar optical phonons, which represents the dominant mobility limiting mechanism at room temperature. With a charge injection regime at room temperature, we obtained carrier relaxation times (trel) of ~10 fs, which are typical of polar inorganic semiconductors, and electrical mobilities (m) as high as ~60 cm(2) V( 1) s( 1) and 40 cm(2) V( 1) s( 1) for electrons and holes, respectively, which were robustly independent on the injected carrier density in the range of n 10(14) cm( 3) to 10(20) cm( 3) In the absence of a significant concentration of trapping centers, these mobilities foster diffusion lengths of ~10 mm for the low injection density regime (n 10(15) cm( 3) which are in agreement with recent measurements for highly pure single crystal perovskites.",
"author_names": [
"Alessio Filippetti",
"Alessandro Mattoni",
"Claudia Caddeo",
"Michele Saba",
"Pietro Delugas"
],
"corpus_id": 2510636,
"doc_id": "2510636",
"n_citations": 50,
"n_key_citations": 0,
"score": 0,
"title": "Low electron polar optical phonon scattering as a fundamental aspect of carrier mobility in methylammonium lead halide CH3NH3PbI3 perovskites.",
"venue": "Physical chemistry chemical physics PCCP",
"year": 2016
},
{
"abstract": "Abstract Considering the built in electric fields and the two mode property of transverse optical phonons in AlGaN material, the electronic eigen energies and wave functions are obtained by solving Schrodinger equation with the finite difference method. The dispersion relations and potentials of the optical phonons are given by the transfer matrix method. The mobility of the two dimensional electron gas influenced by the optical phonons in Al2O3/AlGaN/AlN/GaN heterostructures is investigated based on the theory of Lei Ting force balance equation. It is found that the scattering from the half space phonons is the main factor affecting the electronic mobility, and the influence of the other phonons can be ignored. The results show that the mobility decreases with increasing the thicknesses of Al2O3 and AlN layers, but there is no definite relationship between the mobility and the thickness of AlGaN barrier. The mobility is obviously reduced by increasing Al component in AlGaN crystal to show that the effect of ternary mixed crystals is important. It is also found that the mobility increases first and then decreases as the increment of the fixed charges, but decreases always with increasing temperature. The heterostructures constructed here can be good candidates as metal oxide semiconductor high electron mobility transistors since they have higher electronic mobility due to the influence from interface phonons weakened by the AlN interlayer.",
"author_names": [
"X J Zhou",
"Y H Qu",
"S L Ban",
"Zi Wang"
],
"corpus_id": 125630913,
"doc_id": "125630913",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "Optical phonon scattering on electronic mobility in Al2O3/AlGaN/AlN/GaN heterostructures",
"venue": "",
"year": 2017
},
{
"abstract": "N polar GaN channel mobility is important for high frequency device applications. Here, we report theoretical calculations on the surface optical (SO) phonon scattering rate of two dimensional electron gas (2DEG) in N polar GaN quantum well channels with high k dielectrics. Rode's iterative calculation is used to predict the scattering rate and mobility. Coupling of the GaN plasmon modes with the SO modes is taken into account and dynamic screening is employed under linear polarization response. The effect of SO phonons on 2DEG mobility was found to be small at >5 nm channel thickness. However, the SO mobility in 3 nm N polar GaN channels with HfO2 and ZrO2 high k dielectrics is low and limits the total mobility. The SO scattering for SiN dielectric on GaN was found to be negligible due to its high SO phonon energy. Using Al2O3, the SO phonon scattering does not affect mobility significantly only except the case when the channel is too thin with a low 2DEG density.",
"author_names": [
"Krishnendu Ghosh",
"Uttam Singisetti"
],
"corpus_id": 58944723,
"doc_id": "58944723",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "Rode's iterative calculation of surface optical phonon scattering limited electron mobility in N polar GaN devices",
"venue": "",
"year": 2015
},
{
"abstract": "Atomically thin Bi2 O2 Se has emerged as a new member in 2D materials with ultrahigh carrier mobility and excellent air stability, showing great potential for electronics and optoelectronics. In addition, its ferroelectric nature renders an ultralow thermal conductivity, making it a perfect candidate for thermoelectrics. In this work, the thermoelectric performance of 2D Bi2 O2 Se is investigated over a wide temperature range (20 300 K) A gate tunable transition from polar optical phonon (POP) scattering to piezoelectric scattering is observed, which facilitates the capacity of drastic mobility engineering in 2D Bi2 O2 Se. Consequently, a high power factor of more than 400 uW m 1 K 2 over an unprecedented temperature range (80 200 K) is achieved, corresponding to the persistently high mobility arising from the highly gate tunable scattering mechanism. This finding provides a new avenue for maximizing thermoelectric performance by changing the scattering mechanism and carrier mobility over a wide temperature range.",
"author_names": [
"Fang Yang",
"Jing Wu",
"Ady Suwardi",
"Yunshan Zhao",
"Boyuan Liang",
"Jie Jiang",
"Jianwei Xu",
"Dongzhi Chi",
"Kedar Hippalgaonkar",
"Junpeng Lu",
"Zhenhua Ni"
],
"corpus_id": 229179009,
"doc_id": "229179009",
"n_citations": 10,
"n_key_citations": 0,
"score": 0,
"title": "Gate Tunable Polar Optical Phonon to Piezoelectric Scattering in Few Layer Bi2 O2 Se for High Performance Thermoelectrics.",
"venue": "Advanced materials",
"year": 2020
},
{
"abstract": "Cubic perovskite oxides are emerging high mobility transparent conducting oxides (TCOs) but Ge based TCOs had not been known until the discovery of metastable cubic SrGeO$_3$ $0.5 \\times 0.4 \\times 0.2$ mm$^3$ large single crystals of the cubic SrGeO$_3$ perovskite were successfully synthesized employing the high pressure flux method. The phonon spectrum is determined from the IR optical reflectance and Raman scattering analysis to evaluate the electron transport governed by optical phonon scattering. A calculated room temperature mobility on the order of $3.9 \\times 10^2$ cm$^2$V$ 1}$s$ 1} is obtained, identifying cubic SrGeO$_3$ as one of the most promising TCOs. Employing classical phonon theory and a combined experimental theoretical approach, a comprehensive analysis of the intrinsic electron mobility in the cubic perovskite semiconductors SrGeO$_3$ BaSnO$_3$ and SrTiO$_3$ is provided based on the magnitude of polarization and eigenfrequency of optically active phonons.",
"author_names": [
"Christian A Niedermeier",
"Yu Kumagai",
"Keisuke Ide",
"Takayoshi Katase",
"Fumiyasu Oba",
"Hideo Hosono",
"Toshio Kamiya"
],
"corpus_id": 214641352,
"doc_id": "214641352",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Phonon scattering limited mobility in the representative cubic perovskite semiconductors SrGeO3 BaSnO3 and SrTiO3",
"venue": "",
"year": 2020
},
{
"abstract": "Abstract The effects of substrate on the electronic properties of Graphene remains unclear. Many theoretical and experimental efforts have been done to clarify this discrepancy. In this work, we studied the electronic transport in armchair Graphene nanoribbons (AGNR) in the presence of substrate's disorder. The three main substrate's disorders surface roughness, charged impurity and surface optical phonon are investigated. Non Equilibrium Green's function along with the tight binding model is employed to investigate the electronic properties of Graphene Nanoribbons. The effects of these disorders are investigated individually, finally, the effects of them are compared to determine the dominant source of scattering.",
"author_names": [
"Shoeib Babaee Touski",
"Manouchehr Hosseini"
],
"corpus_id": 204509520,
"doc_id": "204509520",
"n_citations": 5,
"n_key_citations": 0,
"score": 0,
"title": "A comparative study of substrates disorder on mobility in the Graphene nanoribbon: Charged impurity, surface optical phonon, surface roughness",
"venue": "",
"year": 2020
},
{
"abstract": "We study the electrical and optical properties of degenerate ZnGa2O4 films grown by metalorganic chemical vapor deposition (MOCVD) on sapphire and semi insulating films grown by pulsed laser deposition (PLD) on fused silica. After a forming gas anneal at 700 degC, the MOCVD film is highly conducting, with a room temperature carrier concentration of 2 x 1020 cm 3, a mobility of 20 cm2/V s, and direct bandgap absorptions at 3.65 eV and 4.60 eV. Under the same annealing conditions, the PLD film is semi insulating, with a direct bandgap absorption at 5.25 eV. The phonon structure, important for electrical and thermal conduction as well as superconductivity and other quantum phenomena, is very complicated due to the large number of atoms (and, thus, phonon branches) in the unit cell. However, we show that the phonon contributions to electron mobility (mph) can be directly measured by quantum based magnetoconductivity over the temperature span T 10 200 K. From an approximate analytical formula, mph function (Tph, T) we calculate an effective phonon energy kTph(T) that takes account of all phonon contributions at temperature T. For T 10 200 K, the value of kTph ranges from about 10 to 90 meV, consistent with the energy range of the ZnGa2O4 phonon density of states (at 0 K) calculated by density functional theory. The total measured mobility can then be modeled by mtot 1 mii 1 mph 1, where mii is the mobility due to ionized impurity scattering. With a high bandgap, controllable conductivity, high breakdown voltage, and bulk growth capability, ZnGa2O4 offers opportunities for high power electronics and UV detectors.",
"author_names": [
"David C Look",
"Kevin D Leedy",
"Ray-Hua Horng",
"Marco D Santia",
"Stefan C Badescu"
],
"corpus_id": 225678871,
"doc_id": "225678871",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Electrical and optical properties of degenerate and semi insulating ZnGa2O4: Electron/phonon scattering elucidated by quantum magnetoconductivity",
"venue": "",
"year": 2020
}
] |
Electromigration—A brief survey and some recent results | [
{
"abstract": "Recently, electromigration has been identified as a potential wear out failure mode for semiconductor devices employing metal film conductors of inadequate cross sectional area. A brief survey of electromigration indicates that although the effect has been known for several decades, a great deal of the processes involved is still unknown, especially for complex metals and solute ions. Earlier design equations are improved to account for conductor film cross sectional area as well as film structure, film temperature, and current density. Design curves are presented which permit the construction of high reliability \"infinite life\" aluminum conductors for specific conditions of maximum current and temperature stress expected in use. It is also shown that positive gradients, in terms of electron flow, of temperature, current density, or ion diffusion coefficient foreshorten conductor life because they present regions where vacancies condense to form voids.",
"author_names": [
"James R Black"
],
"corpus_id": 109036679,
"doc_id": "109036679",
"n_citations": 1134,
"n_key_citations": 34,
"score": 1,
"title": "Electromigration A brief survey and some recent results",
"venue": "",
"year": 1969
},
{
"abstract": "The novel coronavirus, namely SARS CoV 2, emerged from central China in December 2019 and then spread rapidly worldwide. It has infected hundreds of thousands of people and killed several thousand thus far. The illness caused by this coronavirus is called COVID 19 and has been declared a global emergency by the World Health Organization (WHO) on January 30, 2020. Although a series of existing drugs have shown some promise in treating COVID 19, there is currently no approved medication that treat this disease. In this focus review, we aim to summarize the available literature on the potential usefulness of existing drugs against COVID 19.",
"author_names": [
"Soma Majedi",
"Serveh Majedi"
],
"corpus_id": 229185337,
"doc_id": "229185337",
"n_citations": 6,
"n_key_citations": 0,
"score": 0,
"title": "Existing drugs as treatment options for COVID 19: A brief survey of some recent results",
"venue": "",
"year": 2020
},
{
"abstract": "During the past few decades, networked systems have gained increasing attention and a wider range of applications have been found in areas such as industrial control and signal processing. This paper provides a brief survey of recent results on control and filtering for networked systems. In depth analysis and discussion is first made on networked control and event triggered control, where existing research methods on networked control systems are summarized. Then, network based filtering is also reviewed. Finally, some challenging problems are presented to direct future research.",
"author_names": [
"Xian-Ming Zhang",
"Qinglong Han",
"Yu-Long Wang"
],
"corpus_id": 14243395,
"doc_id": "14243395",
"n_citations": 8,
"n_key_citations": 0,
"score": 0,
"title": "A brief survey of recent results on control and filtering for networked systems",
"venue": "2016 12th World Congress on Intelligent Control and Automation (WCICA)",
"year": 2016
},
{
"abstract": "In this paper, we mainly review the topics in consensus and coordination of multi agent systems, which have received a tremendous surge of interest and progressed rapidly in the past few years. Focusing on different kinds of constraints on the controller and the self dynamics of each individual agent, as well as the coordination schemes, we categorize the recent results into the following directions: consensus with constraints, event based consensus, consensus over signed networks, and consensus of heterogeneous agents. We also review some applications of the very well developed consensus algorithms to the topics such as economic dispatch problem in smart grid and k means clustering algorithms.",
"author_names": [
"Jiahu Qin",
"Qichao Ma",
"Yang Shi",
"Long Wang"
],
"corpus_id": 26762472,
"doc_id": "26762472",
"n_citations": 350,
"n_key_citations": 5,
"score": 0,
"title": "Recent Advances in Consensus of Multi Agent Systems: A Brief Survey",
"venue": "IEEE Transactions on Industrial Electronics",
"year": 2017
},
{
"abstract": "The generalized Lebesgue Ramanujan Nagell equation is an important type of polynomial exponential Diophantine equation in number theory. In this survey, the recent results and some unsolved problems of this equation are given.",
"author_names": [
"Maohua Le",
"Gokhan Soydan"
],
"corpus_id": 210919959,
"doc_id": "210919959",
"n_citations": 8,
"n_key_citations": 1,
"score": 0,
"title": "A brief survey on the generalized Lebesgue Ramanujan Nagell equation",
"venue": "",
"year": 2020
},
{
"abstract": "We present some recent results in Fibrewise General Topology with special regard to the theory of Tychonoff compactifications of mappings. Several open problems are also proposed.",
"author_names": [
"Giorgio Nordo"
],
"corpus_id": 214728055,
"doc_id": "214728055",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "A Brief Survey on Fibrewise General Topology",
"venue": "",
"year": 2020
},
{
"abstract": "Deep learning has made significant contribution to the recent progress in artificial intelligence. In comparison to traditional machine learning methods such as decision trees and support vector machines, deep learning methods have achieved substantial improvement in various prediction tasks. However, deep neural networks (DNNs) are comparably weak in explaining their inference processes and final results, and they are typically treated as a black box by both developers and users. Some people even consider DNNs (deep neural networks) in the current stage rather as alchemy, than as real science. In many real world applications such as business decision, process optimization, medical diagnosis and investment recommendation, explainability and transparency of our AI systems become particularly essential for their users, for the people who are affected by AI decisions, and furthermore, for the researchers and developers who create the AI solutions. In recent years, the explainability and explainable AI have received increasing attention by both research community and industry. This paper first introduces the history of Explainable AI, starting from expert systems and traditional machine learning approaches to the latest progress in the context of modern deep learning, and then describes the major research areas and the state of art approaches in recent years. The paper ends with a discussion on the challenges and future directions.",
"author_names": [
"Feiyu Xu",
"Hans Uszkoreit",
"Yangzhou Du",
"Wei Fan",
"Dongyan Zhao",
"Jun Zhu"
],
"corpus_id": 203620028,
"doc_id": "203620028",
"n_citations": 52,
"n_key_citations": 0,
"score": 0,
"title": "Explainable AI: A Brief Survey on History, Research Areas, Approaches and Challenges",
"venue": "NLPCC",
"year": 2019
},
{
"abstract": "Consensus problems of multi agent systems have attracted an ever increasing interest in the control community due to their great potential in various applications such as cooperative unmanned air vehicles, automated highway systems scheduling, air traffic control, sensor networks. This paper presents a brief overview of theoretical development of consensus in a sampled data setting, paying special attention to those published since 2011. Recent results in this area are categorized into several directions, such as consensus based on periodic sampling, variable sampling, stochastic sampling, and event triggered communication. In particular, for event triggered consensus, some typical patterns of event triggering conditions are reviewed based on different definitions of a transmission error and a threshold.",
"author_names": [
"Xiaohua Ge",
"Qinglong Han"
],
"corpus_id": 3509686,
"doc_id": "3509686",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "A brief survey of recent advances in consensus of sampled data multi agent systems",
"venue": "IECON 2016 42nd Annual Conference of the IEEE Industrial Electronics Society",
"year": 2016
},
{
"abstract": "The method of, and apparatus for, producing a yarn using a friction spinning device comprising a perforated first friction spinning drum and a second friction spinning drum which can also be perforated. Two fiber feed passages project to the first friction spinning drum and are each supplied by opening assemblies which individualize or individually separate the fibers. The fibers are transported toward the first friction spinning drum using a feed air stream in the fiber feed passages. This feed air stream is produced by the first friction spinning drum which is maintained under sub pressure. Advantageously, the fiber double feed to the friction spinning drum permits supplying two different fiber types to the same yarn end. Also, different inclinations of the fibers at the friction spinning drum can be obtained by different inclinations of the fiber feed passages in order to produce yarns of different character.",
"author_names": [
"Venkatesan Guruswami"
],
"corpus_id": 9472877,
"doc_id": "9472877",
"n_citations": 16,
"n_key_citations": 0,
"score": 0,
"title": "List Decoding of Binary Codes A Brief Survey of Some Recent Results",
"venue": "IWCC",
"year": 2009
},
{
"abstract": "Abstract Risk assessment is essential for various purposes such as facility siting, safeguarding, and licensing. Hazard identification (HAZID) which suffers greatly from incompleteness, is still the weakest link in risk assessment. Of course, this recognition is not new and many efforts have been spent to improve the situation, of which some have been rather successful. To find out what can go wrong, creative divergent thinking is required. Hazard identification should result in scenario definition. In that respect, applying the present tools as HAZOP and FMEA there is still a great emphasis on the material and equipment aspects. In contrast, underlying management and leadership failure in its many forms reflecting in organizational and human failure, due to complexity, attracts much less attention. Unlike in HAZID, in accident investigation the occurrence of an event with nasty consequences is no doubt a fact, so there must be one or more causes and the traces will lead to them. Over the years, methods for accident and incident investigation have gone through a significant evolution. From the early on simplistic domino stone model and the human operator always at fault, via models of latent failure due to failing management involvement and via extensive root cause analysis (RCA) to a system approach. Hence, in accident investigation, management failure appearing in the many possible forms of human and organizational factors, obtained already 30 years ago with the RCA technique much attention, while it nowadays culminates in the socio technical system approach. So, the question arises whether for improved HAZID we can learn from the accident investigation experience. In addition, safer design and advances from static risk assessment towards more accurate predictive operational dynamic risk assessment and management, will also be enabled by possibilities offered by big data and analytics. Digitization, automation and simulation, hence computerization, will be of great help in improving the identification of hazards and tracing the corresponding scenarios. The paper reviews the developmental history of both accident investigation and hazard identification methodology; incidentally it will identify commonality and differences. On the basis of the comparison and of recent advances in computerization, the paper will investigate to what extent beneficial modifications and additions can be made to obtain a higher degree of completeness in HAZID.",
"author_names": [
"Hans J Pasman",
"William J Rogers",
"M Sam Mannan"
],
"corpus_id": 116661812,
"doc_id": "116661812",
"n_citations": 20,
"n_key_citations": 0,
"score": 0,
"title": "How can we improve process hazard identification? What can accident investigation methods contribute and what other recent developments? A brief historical survey and a sketch of how to advance",
"venue": "",
"year": 2018
}
] |
Decision making chaotic semiconductor laser | [
{
"abstract": "We investigate the effect of a memory parameter on the performance of adaptive decision making using a tug of war method with the chaotic oscillatory dynamics of a semiconductor laser. We experimentally generate chaotic temporal waveforms of the semiconductor laser with optical feedback and apply them for adaptive decision making in solving a multiarmed bandit problem that aims at maximizing the total reward from slot machines whose hit probabilities are dynamically switched. We examine the dependence of making correct decisions on different values of the memory parameter. The degree of adaptivity is found to be enhanced with a smaller memory parameter, whereas the degree of convergence to the correct decision is higher for a larger memory parameter. The relations among the adaptivity, environmental changes, and the difficulties of the problem are also discussed considering the requirement of past decisions. This examination of ultrafast adaptive decision making highlights the importance of memorizing past events and paves the way for future photonic intelligence.",
"author_names": [
"Takatomo Mihana",
"Yuta Terashima",
"Makoto Naruse",
"Song-Ju Kim",
"Atsushi Uchida"
],
"corpus_id": 51606382,
"doc_id": "51606382",
"n_citations": 10,
"n_key_citations": 0,
"score": 0,
"title": "Memory Effect on Adaptive Decision Making with a Chaotic Semiconductor Laser",
"venue": "Complex.",
"year": 2018
},
{
"abstract": "",
"author_names": [
"Akihiro Oda",
"Takatomo Mihana",
"Makoto Naruse",
"Atsushi Uchida"
],
"corpus_id": 67325592,
"doc_id": "67325592",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Investigation of decision making without prior knowledge using a chaotic semiconductor laser",
"venue": "",
"year": 2018
},
{
"abstract": "",
"author_names": [
"Akihiro Oda",
"Takatomo Mihana",
"Kazutaka Kanno",
"Makoto Naruse",
"Atsushi Uchida"
],
"corpus_id": 226137405,
"doc_id": "226137405",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Decision making using chaotic semiconductor laser in bandit problem with variable hit probabilities",
"venue": "",
"year": 2020
},
{
"abstract": "hazimeni: Jin Nian noQing Bao Hua She Hui nioite, Ren Gong Zhi Neng noQiang Hua Xue Xi ha, Fu Guang iFen Ye deLi Yong sareteiru. Qiang Hua Xue Xi noLi toshite, Fu Shu noDang tariQue Lu gaWei Zhi dearusurotsutomashinkaraBao Chou woZui Da Hua suru Duo Ben Wan bandeitsutoWen Ti gaJu gerareru[1] Bao Chou woZui Da Hua suruniha, Zui moDang tariQue Lu gaGao ima shinwoZhi rutamenoTan Suo to, Zui moDang tariQue Lu gaGao isurotsutomashinwodekirudakeDuo kuXuan Ze suru Zhi Shi Li Yong gaBi Yao tonaru. shikashinagaraTan Suo toZhi Shi Li Yong nihatoredoohunoGuan Xi gaaru[1] Jin Nian Duo Ben Wan bandeitsutoWen Ti noJie Fa toshite, Gang Yin kiLi Lun (Tug of war theory)gaKimraniyori Ti Chang sareteori, Cong Lai Fa yorimoHuan Jing Bian Hua niDui shi teShi Ying Xing niYou reteirukotogaShi sareteiru[2] mata, Gang Yin kiLi Lun niJi duitaYi Si Jue Ding noWu Li Shi Zhuang gaBao Gao sareteiru[3,4] shikashinagaraGang Yin ki Li Lun noparametaBian Hua niDui suruXing Neng Xiang Shang nota menoDiao Cha haShi Fen niXing wareteinai. Te niGuo Qu no Jie Guo wodoredakeLi Yong surukawoShi suJi Yi Xi Shu ni Guan suruDiao Cha haBu Shi Fen dearu. sokodeBen Yan Jiu deha, Ban Dao Ti rezaShi Yan niteSheng Cheng saretaGao Su kaosuBo Xing woYong ite, 2Ben Wan ban deitsutoWen Ti niDui shiteGang Yin kiLi Lun niJi duita Yi Si Jue Ding woXing ukotowoMu De tosuru. Te ni, Yi na ruJi Yi Xi Shu niDui surushikiiZhi Zhi Yu Bian Shu noDiao Cha woShu Zhi Ji Suan niyoriXing u.",
"author_names": [
"Takatomo Mihana",
"Yuta Terashima",
"Makoto Naruse",
"Song-Ju Kim",
"Atsushi Uchida"
],
"corpus_id": 125548480,
"doc_id": "125548480",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Performance evaluation of decision making using chaotic semiconductor laser based on tug of war theory",
"venue": "",
"year": 2017
},
{
"abstract": "",
"author_names": [
"Mihana Takatomo",
"Tera Yuta",
"Naruse Makoto",
"Kim Song-Ju",
"Uchida Atsushi"
],
"corpus_id": 115833419,
"doc_id": "115833419",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Adaptivity and convergence characteristics of decision making using chaotic semiconductor laser",
"venue": "",
"year": 2017
},
{
"abstract": "",
"author_names": [
"Takatomo Mihana",
"Yuta Terashima",
"Atsushi Uchida",
"Makoto Naruse",
"Song-Ju Kim"
],
"corpus_id": 114574687,
"doc_id": "114574687",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Investigation of adaptation of ultrafast decision making using a chaotic semiconductor laser",
"venue": "",
"year": 2017
},
{
"abstract": "We numerically and experimentally demonstrate the utilization of the synchronization of chaotic lasers for decision making. We perform decision making to solve the multi armed bandit problem using lag synchronization of chaos in mutually coupled semiconductor lasers. We observe the spontaneous exchanges of the leader laggard relationship under lag synchronization of chaos, and we find that the leader laser can be controlled by changing the coupling strengths between the two lasers. To solve the multi armed bandit problem, we select one of the slot machines with unknown hit probabilities based only on the identity of the leader laser while reconfiguring the coupling strength to determine the correct decision. We successfully perform an on line experimental demonstration of the decision making based on the two laser coupled architecture. This is the first time that synchronization in chaotic lasers is utilized for decision making, and this study paves the way for novel resources for future photonic intelligence.",
"author_names": [
"Takatomo Mihana",
"Yusuke Mitsui",
"Mizuho Takabayashi",
"Kazutaka Kanno",
"Satoshi Sunada",
"Makoto Naruse",
"Atsushi Uchida"
],
"corpus_id": 203078764,
"doc_id": "203078764",
"n_citations": 8,
"n_key_citations": 0,
"score": 0,
"title": "Decision making for the multi armed bandit problem using lag synchronization of chaos in mutually coupled semiconductor lasers.",
"venue": "Optics express",
"year": 2019
},
{
"abstract": "Reinforcement learning involves decision making in dynamic and uncertain environments and constitutes an important element of artificial intelligence (AI) In this work, we experimentally demonstrate that the ultrafast chaotic oscillatory dynamics of lasers efficiently solve the multi armed bandit problem (MAB) which requires decision making concerning a class of difficult trade offs called the exploration exploitation dilemma. To solve the MAB, a certain degree of randomness is required for exploration purposes. However, pseudorandom numbers generated using conventional electronic circuitry encounter severe limitations in terms of their data rate and the quality of randomness due to their algorithmic foundations. We generate laser chaos signals using a semiconductor laser sampled at a maximum rate of 100 GSample/s, and combine it with a simple decision making principle called tug of war with a variable threshold, to ensure ultrafast, adaptive, and accurate decision making at a maximum adaptation speed of 1 GHz. We found that decision making performance was maximized with an optimal sampling interval, and we highlight the exact coincidence between the negative autocorrelation inherent in laser chaos and decision making performance. This study paves the way for a new realm of ultrafast photonics in the age of AI, where the ultrahigh bandwidth of light wave can provide new value.",
"author_names": [
"Makoto Naruse",
"Yuta Terashima",
"Atsushi Uchida",
"Song-Ju Kim"
],
"corpus_id": 4582364,
"doc_id": "4582364",
"n_citations": 47,
"n_key_citations": 4,
"score": 0,
"title": "Ultrafast photonic reinforcement learning based on laser chaos",
"venue": "Scientific Reports",
"year": 2017
},
{
"abstract": "",
"author_names": [
"Takatomo Mihana",
"Kiyohiro Fujii",
"Kazutaka Kanno",
"Makoto Naruse",
"Atsushi Uchida"
],
"corpus_id": 219680622,
"doc_id": "219680622",
"n_citations": 0,
"n_key_citations": 0,
"score": 3,
"title": "Decision making using semiconductor laser network based on tug of war theory",
"venue": "",
"year": 2020
},
{
"abstract": "Photonic reinforcement learning and decision making has been used to solve multi armed bandit problem. We propose a method of decision making using lag synchronization of chaos in mutually coupled semiconductor lasers. We numerically investigate the performance of decision making by changing the sampling interval of chaotic temporal waveforms.",
"author_names": [
"Takatomo Mihana",
"Yusuke Mitsui",
"Mizuho Takabayashi",
"Kazutaka Kanno",
"Makoto Naruse",
"Atsushi Uchida"
],
"corpus_id": 208838321,
"doc_id": "208838321",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Decision making using lag synchronization of chaos in mutually coupled semiconductor lasers",
"venue": "",
"year": 2019
}
] |
Reliability Enhancement of a Power Semiconductor With Optimized Solder Layer Thickness | [
{
"abstract": "This article deals with the reliability of a power semiconductor exposing to the severe thermal stresses. The importance of solder joint thickness on the power semiconductor's useful lifetime is demonstrated in this article. Solder layer thickness has knock on effects both on the creep accumulated strain and thermal characteristics of the power semiconductors. Since, these effects are in contradictory of each other, a trade off seems to be essential to optimize the solder layer thickness. Thereby, thermo mechanical behavior of a discrete power semiconductor under the thermal mission profile was simulated and the results were integrated to the actual conditions. The simulation results reveal that after thermal cycling, some creep strain is produced in the solder layer especially at the corners. The thinner the solder joint was, the greater accumulated creep strain was observed leading to the faster degradation. On the contrary, the thicker the solder layer was, the larger thermal resistance was observed leading to the higher junction temperature. Accordingly, the article is concentrated on optimizing the solder layer thickness based on these two issues. The scanning electron microscope micrographs, the EDS maps and X ray diffraction analysis were also taken to indicate the solder layer thickness effects on the number of voids and their propagations in the different solder layer thicknesses. The experimental tests validate the expected results in the extracted simulations.",
"author_names": [
"Elakkiya R",
"G Kavithaa",
"Vahid Samavatian",
"K Alhaifi",
"Alireza Kokabi",
"Hossein Moayedi"
],
"corpus_id": 209768032,
"doc_id": "209768032",
"n_citations": 10,
"n_key_citations": 0,
"score": 1,
"title": "Reliability Enhancement of a Power Semiconductor With Optimized Solder Layer Thickness",
"venue": "IEEE Transactions on Power Electronics",
"year": 2020
},
{
"abstract": "Fundamentals of Power Semiconductor Devices provides an in depth treatment of the physics of operation of power semiconductor devices that are commonly used by the power electronics industry. Analytical models for explaining the operation of all power semiconductor devices are shown. The treatment focuses on silicon devicesandincludes the unique attributes and design requirements for emerging silicon carbide devices.",
"author_names": [
"B Jayant Baliga"
],
"corpus_id": 221005416,
"doc_id": "221005416",
"n_citations": 1262,
"n_key_citations": 105,
"score": 0,
"title": "Fundamentals of Power Semiconductor Devices",
"venue": "",
"year": 2008
},
{
"abstract": "A questionnaire survey was carried out to determine the industrial requirements and expectations of reliability in power electronic converters. The survey was subjective and conducted with a number of high profile semiconductor manufacturers, integrators, and users in the aerospace, automation, motor drive, utility power, and other industry sectors. According to the survey, power semiconductor devices ranked the most fragile components. It was concluded that main stresses were from the environment, transients, and heavy loads, which should be considered during power electronic system design and normal operation. This paper has also highlighted that there is a significant need identified by the responders for better reliability monitoring methods and indicators.",
"author_names": [
"Shaoyong Yang",
"Angus T Bryant",
"Phil Mawby",
"Dawei Xiang",
"Li Ran",
"Peter J Tavner"
],
"corpus_id": 2957473,
"doc_id": "2957473",
"n_citations": 1086,
"n_key_citations": 26,
"score": 0,
"title": "An Industry Based Survey of Reliability in Power Electronic Converters",
"venue": "IEEE Transactions on Industry Applications",
"year": 2011
},
{
"abstract": "Power cycling capability is one of the most important reliability items in application semiconductor modules. This paper describes the mechanism of power cycling by analysis of the structure of lead based solder and joint failure due to fatigue was studied to ascertain improvement in the power cycling lifetime. Lead based solder has a relatively weaker yield strength which means that plastic deformation leads to deterioration. Therefore, tin silver based solder was selected as a higher yield strength material, and a new composition which has both excellent mechanical properties and wettability was developed. Concerning the failure mechanism of power cycling for this newly developed solder material, solder joint failure and aluminum wire bond failure were clearly distinguished, and the lifetime prediction curve was clarified by FEM analysis and detailed failure analysis. Furthermore, it was proved that the deterioration of new tin silver based solder is caused by thermal fatigue with the grain growth of tin. Thus, longer power cycling capability is achieved by using this newly developed solder instead of conventional lead based solder.",
"author_names": [
"Akira Morozumi",
"K Yamada",
"T Miyasaka",
"Yoshio Seki"
],
"corpus_id": 16907218,
"doc_id": "16907218",
"n_citations": 208,
"n_key_citations": 5,
"score": 0,
"title": "Reliability of power cycling for IGBT power semiconductor modules",
"venue": "Conference Record of the 2001 IEEE Industry Applications Conference. 36th IAS Annual Meeting (Cat. No.01CH37248)",
"year": 2001
},
{
"abstract": "In this work, we show that how solder thickness can affect the IGBTs' useful lifetime. Hence, the thermo mechanical response of joints in IGBT discrete with different solder thickness under thermal cycling were simulated and the results were merged to conditions of IGBTs in actual environment. The simulation results demonstrated that after thermal cycling, some creep strain is produced in the solder layer especially at the corners. This type of strain is accumulated in the volume of solder as stored energy. Accordingly, the decrease in solder thickness leads to the enhancement of stored energy per volume and as a result, the fatigue life of joint falls to shorter times. The SEM micrographs indicates that with the decrease of solder thickness, the number of voids and their concentration enhance across in the joint zone. The higher strain energy in thinner solder layers leads to the connection of voids and the formation of more concentrated defects. The EDS results also show that the diffusion of elements such as Si, Cu and Ag considerably increases across the joint zone after thermal cycling.",
"author_names": [
"Aravindhan Surendar",
"Vahid Samavatian",
"Andino Maseleno",
"Aygul Z Ibatova",
"Majid Samavatian"
],
"corpus_id": 139857341,
"doc_id": "139857341",
"n_citations": 17,
"n_key_citations": 0,
"score": 0,
"title": "Effect of solder layer thickness on thermo mechanical reliability of a power electronic system",
"venue": "Journal of Materials Science: Materials in Electronics",
"year": 2018
},
{
"abstract": "Power Semiconductor Devices Key Components for Efficient Electrical Energy Conversion Systems. Semiconductor Properties. pn Junctions. Short introduction to power device technology. pin Diodes. Schottky Diodes. Bipolar Transistors. Thyristors. MOS Transistors. IGBTs. Packaging and Reliability of Power Devices. Destructive Mechanisms in Power Devices. Power Device Induced Oscillations and Electromagnetic Disturbances. Power Electronic Systems. Appendix. Index.",
"author_names": [
"Josef Lutz",
"Heinrich Dr Schlangenotto",
"Uwe Scheuermann",
"Rik W De Doncker"
],
"corpus_id": 106910905,
"doc_id": "106910905",
"n_citations": 344,
"n_key_citations": 44,
"score": 0,
"title": "Semiconductor Power Devices: Physics, Characteristics, Reliability",
"venue": "",
"year": 2011
},
{
"abstract": "For decades soldering has been the technology of choice in die bonding. However, due to worldwide health regulations, the most common solder alloys, which contain lead, have been banned. Furthermore, standard solders cannot fulfil the reliability requirements of future power electronic devices. New interconnection technologies have to be developed. One of them is pressure sintering of silver flakes, which forms a highly reliable, highly thermally conductive bond. However, the level of pressure needed (30 50 MPa) requires a powerful pressing equipment and can lead to cracking of the devices and ceramic substrates. A promising development is the use of nano scaled silver particles, which can be sintered using less pressure due to their superior sintering properties. Preceding thermogravimetric and calorimetric analyses showed that the presence of oxygen eases the sintering of silver nanoparticles. In order to grasp the sintering characteristics of interconnection layers consisting of nano scaled silver, sintering experiments were conducted in both air and nitrogen. Scanning electronic microscope pictures and density measurements with a laser profilometer show that sintering of the nano scaled silver in air but under a chip, the case of real interest, is closer to uncovered sintering in nitrogen than in air. Densities remain lower and the microstructures more fine grained. This is due to limitation of diffusion of organics out of and oxygen into the layer. The application of pressure can make up for this in terms of density. Hence, the increase in density of stencil printed layers of nano silver when sintering at temperatures ranging from 200 to 300degC, pressures between 0 and 30 MPa, and for a time of up to 1800 s was measured. The density can be set to any value between 60% and 90% of bulk silver by adjusting sintering time and the levels of temperature and pressure. Samples for shear tests were built using dummy chips made of silver coated copper. They show that after 60 s of sintering at 275degC and 5 MPa a good shear strength of 40 MPa had been established. If the remaining parameters are set correctly, even 5 s of sintering, a temperature of 225degC, or a pressure as low as 2 MPa is sufficient to generate bonds comparable to solder and high pressure sinter joints.",
"author_names": [
"Matthias Knoerr",
"Andreas Schletz"
],
"corpus_id": 18297579,
"doc_id": "18297579",
"n_citations": 91,
"n_key_citations": 7,
"score": 0,
"title": "Power semiconductor joining through sintering of silver nanoparticles: Evaluation of influence of parameters time, temperature and pressure on density, strength and reliability",
"venue": "2010 6th International Conference on Integrated Power Electronics Systems",
"year": 2010
},
{
"abstract": "Electronic devices using semiconductors such as insulated gate bipolar transistors, metal oxide semiconductor field effect transistors, and diodes are extensively used in electrical traction applications such as locomotive, elevators, subways, and cars. The long term reliability of such power modules is then highly demanded, and their main reliability criterion is their power cycling capability. Thus, a power cycling test is the most important reliability test for power modules. This test consists in periodically applying a current to a device mounted onto a heat sink. This leads to power loss in the entire module and results in a rise in the semiconductor temperature. In this paper, the different kinds of semiconductors and power modules used for traction applications are described. Experimental and simulation methods employed for power cycling tests are presented. Modules' weak points and fatigue processes are pointed out. Then, a detailed statistical review of publications from 1994 to 2015 dealing with power cycling is presented. This review gives a clear overview of all studies dealing with power cycling that were carried out until now. It reveals the principal trends in power electronic devices and highlights the main reliability issues for which an important lack of knowledge remains.",
"author_names": [
"C Durand",
"Markus Klingler",
"Daniel Coutellier",
"Hakim Naceur"
],
"corpus_id": 35234033,
"doc_id": "35234033",
"n_citations": 91,
"n_key_citations": 7,
"score": 0,
"title": "Power Cycling Reliability of Power Module: A Survey",
"venue": "IEEE Transactions on Device and Materials Reliability",
"year": 2016
},
{
"abstract": "A figure of merit (the Baliga high frequency figure of merit) is derived for power semiconductor devices operating in high frequency circuits. Using this figure of merit, it is predicted that the power losses incurred in the power device will increase as the square root of the operating frequency and approximately in proportion to the output power. By relating the device power dissipation to the intrinsic material parameters, it is shown that the power loss can be reduced by using semiconductors with larger mobility and critical electric field for breakdown. Examination of data in the literature indicates that significant performance improvement can be achieved by replacing silicon with gallium arsenide, silicon carbide, or semiconducting diamond.<ETX>",
"author_names": [
"B Jayant Baliga"
],
"corpus_id": 19274318,
"doc_id": "19274318",
"n_citations": 611,
"n_key_citations": 23,
"score": 0,
"title": "Power semiconductor device figure of merit for high frequency applications",
"venue": "IEEE Electron Device Letters",
"year": 1989
},
{
"abstract": "Low temperature Ag sintering provides a lead free die attachment method that is compatible with high temperature (300 degC) power electronics applications. The reliability of sintered Ag die attach for Si and SiC die has been studied on both thick film substrates for lower current power applications and direct bond copper (DBC) substrates for higher current power applications. Pressureless and low pressure sintering were evaluated. Sintering with low pressure yielded lower porosity (15 17% versus pressureless sintering ~30% Reliability was evaluated with thermal aging (300 degC) and thermal cycling 55 degC to 300 degC) tests. Reliable Ag sintered die attach was achieved with assemblies having Ag bearing surface finishes on both the die and the substrate. In contrast, the shear strength after 300 degC aging was greatly reduced when Au metallization was used either on the die or on substrate surface. In some cases, low pressure sintering delayed the failure of the sintered Ag die attach to Au surfaces when aged at 300 degC compared to the pressureless sintering. The reliability with Pd containing substrate metallizations was intermediate between Ag and Au metallizations. The thermal cycle reliability on DBC substrates was limited by failure at the Cu to alumina interface over the wide temperature range, while on the thick film substrates high adhesion was maintained after 1000 thermal cycles.",
"author_names": [
"Fang Yu",
"Jinzi Cui",
"Zhangming Zhou",
"Kun Fang",
"R Wayne Johnson",
"Michael C Hamilton"
],
"corpus_id": 8478975,
"doc_id": "8478975",
"n_citations": 64,
"n_key_citations": 0,
"score": 0,
"title": "Reliability of Ag Sintering for Power Semiconductor Die Attach in High Temperature Applications",
"venue": "IEEE Transactions on Power Electronics",
"year": 2017
}
] |
The effect of gold loading and particle size on photocatalytic hydrogen production from ethanol over Au/TiO 2 nanoparticles | [
{
"abstract": "Catalytic hydrogen production from renewables is a promising method for providing energy carriers in the near future. Photocatalysts capable of promoting this reaction are often composed of noble metal nanoparticles deposited on a semiconductor. The most promising semiconductor at present is TiO2. The successful design of these catalysts relies on a thorough understanding of the role of the noble metal particle size and the TiO2 polymorph. Here we demonstrate that Au particles in the size range 3 30 nm on TiO2 are very active in hydrogen production from ethanol. It was found that Au particles of similar size on anatase nanoparticles delivered a rate two orders of magnitude higher than that recorded for Au on rutile nanoparticles. Surprisingly, it was also found that Au particle size does not affect the photoreaction rate over the 3 12 nm range. The high hydrogen yield observed makes these catalysts promising materials for solar conversion.",
"author_names": [
"Morag Murdoch",
"Geoffrey I N Waterhouse",
"Muhammad Amtiaz Nadeem",
"James B Metson",
"Mark A Keane",
"Russell F Howe",
"Jordi Llorca",
"Hicham Idriss"
],
"corpus_id": 205288751,
"doc_id": "205288751",
"n_citations": 907,
"n_key_citations": 4,
"score": 2,
"title": "The effect of gold loading and particle size on photocatalytic hydrogen production from ethanol over Au/TiO2 nanoparticles.",
"venue": "Nature chemistry",
"year": 2011
},
{
"abstract": "Metal/semiconductor interactions affect electron transfer rates and this is central to photocatalytic hydrogen ion reduction. While this interaction has been studied in great detail on metal oxide semiconductors, not much is known of Au particles on top of polymeric semiconductors. The effects of gold nanoparticle size and dispersion on top of g C3N4 were studied by core and valence level spectroscopy and transmission electron microscopy in addition to catalytic tests. The as prepared, non calcined catalysts displayed Au particles with uniform dimension (mean particle size 1.8 nm) and multiple electronic states: XPS Au 4f7/2 lines at 84.9 and 87.1 eV (each with a spin orbit splitting of 3.6 3.7 eV) These particles, which did not show localized surface plasmon resonance (LSPR) before the reaction, doubled in size after the reaction giving a pronounced LSPR at about 550 nm. The effect of the heating environment on these particles (in air or in H2) was further investigated. While heating in H2 gave Au nanoparticles of different shapes, heating under O2 gave exclusively spherical particles. Similar activity towards photocatalytic hydrogen ion reduction under UV excitation was seen in both cases, however. XPS Au 4f analyses indicated that an increase in deposition time, during catalyst preparation, resulted in an increase in the initial fraction of oxidized gold particles, which were easily reduced under hydrogen. The valence band region for Au/gC3N4 was further studied in an effort to compare it to what is already known for Au/metal oxide semiconductors. A shift of over 2 eV for the Au 5d doublets was noticed between reduced and oxidized gold particles with mean particle sizes between 2 and 6 nm, which is consistent with the final state effect. A narrow range of gold loading for optimal catalytic performance was seen, where it seems that a density of one Au particle per 10 x 10 nm2 is the most suitable. Particle size and shape had a minor effect on performance, which may indicate the absence of a plasmonic effect on the reaction rate.",
"author_names": [
"Marine Caux",
"Herve Menard",
"Y M AlSalik",
"John T S Irvine",
"Hicham Idriss"
],
"corpus_id": 195879564,
"doc_id": "195879564",
"n_citations": 9,
"n_key_citations": 0,
"score": 0,
"title": "Photo catalytic hydrogen production over Au/g C3N4: effect of gold particle dispersion and morphology.",
"venue": "Physical chemistry chemical physics PCCP",
"year": 2019
},
{
"abstract": "Small plasmonic Au nanoparticles (NPs) decorated with TiO2 nanosheets were fabricated to improve the photocatalytic performance. The Au/TiO2 nanosheets with Au NPs of different sizes ranging from ~3 nm to 28 nm were prepared by using hydrothermally obtained TiO2 nanosheets as substrate via urea and light reduction method. During synthesis, the obtained Au NPs through urea reduction treatment in different calcination temperatures possessed smaller size ~3 13 nm) than those of the light reduction method ~28 nm) The introduced Au NPs were tightly loaded on the surface of TiO2 nanosheets through in situ growth reduction process of chloroauric acid. The emergence of smaller Au NPs promoted the photocatalytic performance over Au/TiO2 nanosheets. The as prepared Au/TiO2 nanosheets with small Au NP sizes of ~3 5 nm showed the highest photocatalytic rate of hydrogen production ~230 umol*h 1) under xenon lamp illumination, exceeding more than twice that of Au/TiO2 nanosheets with loading of larger Au NPs ~28 nm) The favorable constituents and combination of Au/TiO2 nanosheets provided large surface adsorptive sites for reactant adsorption, introduced plasmonic effects and formed Schottky barrier junction via surface plasmon resonance. The Schottky barrier height was lower due to the presence of smaller Au NPs, thereby enhancing the charge separation through the Schottky transfer hub to neighboring TiO2 nanosheets. The synergistic effect between the plasmonic hot carrier driven Au NPs and TiO2 nanosheets was discussed. The photocatalytic mechanism was also proposed for the fabrication of visible light restricted photocatalysts with smaller Au NPs.",
"author_names": [
"Lei Cheng",
"Dainan Zhang",
"Yulong Liao",
"Fei Li",
"Huaiwu Zhang",
"Quanjun Xiang"
],
"corpus_id": 199437226,
"doc_id": "199437226",
"n_citations": 58,
"n_key_citations": 0,
"score": 0,
"title": "Constructing functionalized plasmonic gold/titanium dioxide nanosheets with small gold nanoparticles for efficient photocatalytic hydrogen evolution.",
"venue": "Journal of colloid and interface science",
"year": 2019
},
{
"abstract": "Abstract Au nanoparticles of size ranging 2 6 nm were deposited over hexagonal CdS using three conventional metal deposition methods namely; deposition precipitation (DP) incipient wet impregnation (WI) photo deposition (PD) and a recently reported KI method by our group [1] The later involves reduction of Au3+ ions with iodide ions. XRD results confirmed the formation of CdS hexagonal phase from as prepared cubic phase at 600 degC under the N2 atmosphere. Transmission electron spectroscopy (TEM) diffused reflectance spectroscopy (DRS) X Ray fluorescence (XRF) ICP OES and photoreactions were conducted to study the effect of Au particle size, Au loading and Au plasmonic properties on H2 production activity. It was found that surface plasmon resonance (SPR) is directly linked to Au particle size. Among all four methods, 3 wt. Au deposited over hexagonal CdS by KI method with mean Au particle size of 4 nm showed highest performance for hydrogen production from water electrolyte mixture under visible light irradiation >420 nm and a flux of 35 mW/cm2) XPS results of Au/CdS prepared by KI method showed that Au is exclusively present in zero oxidation state before and after photocatalytic H2 production testes indicating the stable nature of Au/CdS catalyst. The H2 production activity of Au/CdS catalysts prepared by different metal deposition methods and H2 production mechanism in a water electrolyte is discussed in detail.",
"author_names": [
"Imran Majeed",
"Muhammad Amtiaz Nadeem",
"Ejaz Hussain",
"Amin Badshah",
"Rubina Gilani",
"Muhammad Arif Nadeem"
],
"corpus_id": 99673505,
"doc_id": "99673505",
"n_citations": 14,
"n_key_citations": 0,
"score": 0,
"title": "Effect of deposition method on metal loading and photocatalytic activity of Au/CdS for hydrogen production in water electrolyte mixture",
"venue": "",
"year": 2017
},
{
"abstract": "Abstract This paper systematically compares the activity of Au/TiO 2 photocatalysts (Au loadings 0 10 wt. for H 2 production from ethanol water mixtures under UV excitation. Degussa P25 TiO 2 was used as the support phase. TEM analyses revealed that the average Au nanoparticle size at all loadings was 5 2 nm, with the Au nanoparticles preferentially located at the interfacial sites between TiO 2 crystallites. XRD, XRF, XPS, and UV Vis measurements established that metallic Au was the only gold species on the surface of the photocatalysts. The Au/TiO 2 photocatalysts showed an intense absorption maximum centred around 560 570 nm due to the localised surface plasmon resonance (LSPR) of the supported gold nanoparticles. Photoluminescence measurements revealed that gold nanoparticles effectively suppress electron hole pair recombination in TiO 2 even at low Au loadings. All of the Au/TiO 2 photocatalysts displayed high activity for H 2 production from ethanol water mixtures under UV irradiation, with the highest activities observed in the Au loading range 0.5 2 wt. (H 2 production rate 31 34 mmol g 1 h 1 In order to deconvolute the role of the P25 TiO 2 support in promoting H 2 production, anatase and rutile nanoparticles were isolated from P25 TiO 2 by selective chemical dissolution and then functionalised with gold nanoparticles (3 wt. loading, size 5 2 nm) The H 2 production activity of the resulting Au/anatase and Au/rutile photocatalysts was 22 and 10 mmol g 1 h 1 respectively, and substantially lower than the corresponding Au/P25 TiO 2 photocatalyst (32 mmol g 1 h 1 The data provide strong evidence that synergistic electron transfer between the TiO 2 polymorphs and supported Au nanoparticles is responsible for the high rates of H 2 production observed in the Au/P25 TiO 2 system. The interface between anatase and rutile crystallites, where gold nanoparticles preferentially deposit, is identified as a photocatalytic 'hot spot' for H 2 production. High Au loadings reduce the efficiency of such 'hot spots'",
"author_names": [
"Vedran Jovic",
"Wan-Ting Chen",
"Dongxiao Sun-Waterhouse",
"Mark G Blackford",
"Hicham Idriss",
"Geoffrey I N Waterhouse"
],
"corpus_id": 98212989,
"doc_id": "98212989",
"n_citations": 148,
"n_key_citations": 2,
"score": 0,
"title": "Effect of gold loading and TiO2 support composition on the activity of Au/TiO2 photocatalysts for H2 production from ethanol water mixtures",
"venue": "",
"year": 2013
},
{
"abstract": "Abstract Au and/or Ni nanoparticles were synthesized by radiolysis on TiO 2 (commercial P25) at various composition (metal content) The modified photocatalysts were characterized by High Resolution Transmission Microscopy (HRTEM) Energy Dispersive X ray Spectroscopy (EDS) UV vis Diffuse Reflectance Spectroscopy (DRS) and X ray Photoelectron Spectroscopy (XPS) The charge carrier mobility was studied by Time Resolved Microwave Conductivity (TRMC) The photocatalytic activities were tested under UV vis irradiation using polychromatic and monochromatic light (action spectrum analysis of apparent quantum efficiency) Surface modified TiO 2 with Au and Ni nanoparticles showed high photocatalytic activity for hydrogen evolution from aqueous methanol solution. The enhanced hydrogen evolution rate was obtained for TiO 2 co modified with Au and Ni, where synergetic effect of the two metals was revealed. A very small amount of gold associated to nickel (atomic ratio Ni:Au 5:1 with a total optimized metal loading of 0.5 at% can induce a significant increase in H 2 formation.",
"author_names": [
"Ana Laura Luna",
"Ekaterina Novoseltceva",
"Essyllt Louarn",
"Patricia Beaunier",
"Ewa Kowalska",
"Bunsho Ohtani",
"Miguel A Valenzuela",
"Hynd Remita",
"Christophe Colbeau-Justin"
],
"corpus_id": 101050547,
"doc_id": "101050547",
"n_citations": 99,
"n_key_citations": 0,
"score": 0,
"title": "Synergetic effect of Ni and Au nanoparticles synthesized on titania particles for efficient photocatalytic hydrogen production",
"venue": "",
"year": 2016
},
{
"abstract": "Abstract Photo catalytic hydrogen production has been studied on Au supported CdS catalysts under visible light irradiation in order to understand the effect of Au particle size as well as the reaction medium properties. Au nanoparticles of size about 2 5 nm were deposited over hexagonal CdS particles using a new simple method involving reduction of Au 3+ ions with iodide ions. Within the investigated range of Au (between 1 and 5 wt. fresh particles with mean size of 4 nm and XPS Au4f/Cd3d surface ratio of 0.07 showed the highest performance (ca. 1 molecule of H 2 /Au atom s 1 under visible light irradiation >420 nm and a flux of 35 mW/cm 2 The highest hydrogen production rate was obtained from water (92% ethanol (8% in an electrolyte medium (Na 2 S Na 2 SO 3 TEM studies of fresh and used catalysts showed that Au particle size increases (almost 5 fold) with increasing photo irradiation time due to photo agglomeration effect yet no sign of deactivation was observed. A mechanism for hydrogen production from ethanol water electrolyte mixture is presented and discussed.",
"author_names": [
"Imran Majeed",
"Muhammad Amtiaz Nadeem",
"Maher Al-oufi",
"Muhammad Arif Nadeem",
"Geoffrey I N Waterhouse",
"Amin Badshah",
"James B Metson",
"Hicham Idriss"
],
"corpus_id": 93966092,
"doc_id": "93966092",
"n_citations": 91,
"n_key_citations": 0,
"score": 0,
"title": "On the role of metal particle size and surface coverage for photo catalytic hydrogen production: A case study of the Au/CdS system",
"venue": "",
"year": 2016
},
{
"abstract": "Solar hydrogen production from renewables such as ethanol and water is potentially a key means of fuel generation either for direct combustion or to power fuel cells. To achieve this few methods are possible among them are those involving photocatalytic processes on semiconductor materials due to the simplicity of the concept and its technical feasibility. However, no materials have been found, to date, that can be used for this process. Among the most promising photo catalysts are those composed of TiO2 based material in presence of a transition metal such as Au or Pd because of the simplicity of their structure and the present industrial knowledge. Gold catalysts with finite nanoparticle size have been found to be very active for specific reactions in dark conditions such as CO oxidation Yet, their potential as active materials for photoreactions has only received sporadic attention and considerable work is needed because the size of Au, the size of the TiO2 particulate support and the nature of TiO2 can each, in turn, influence the photo reaction rate. The rate of H2 production from water is so far very small to be used as a model system for materials study. Alcohol are known however to act as hole scavengers and when used in the appropriate environment (i.e. in presence of only traces of O2 as in the liquid phase) can be used in a systematic way to test for materials properties and help the design of the better photo catalysts. We have opted for ethanol as an alternative feedstock to methanol for two main reasons. Firstly, ethanol is produced from renewable sources and secondly it is a realistic prototype for larger molecules as it has a carbon carbon bond and thus serves as a prototype for larger organic compounds. In this work we are focusing on the effect of TiO2 polymorphs and size while keeping the Au particle size constant in order to extract information on the reaction rate. In addition we compare the effect of the polymorph of TiO2: Anatase and rutile separately and together.",
"author_names": [
"Muhammad Amtiaz Nadeem",
"Geoff Waterhouse",
"Jordi Llorca Pique",
"J M R Muir",
"Hicham Idriss"
],
"corpus_id": 136966159,
"doc_id": "136966159",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Ethanol photoreaction to Hydrogen over Au/TiO(2) catalysts: Effect of Au particle size and TiO(2) bulk structure",
"venue": "",
"year": 2011
},
{
"abstract": "The catalytic activity of Pd core porous SiO2 shell catalysts (Pd@SiO2) with different Pd particle size was evaluated for the direct synthesis of hydrogen peroxide from hydrogen and oxygen. In the synthesis of palladium nanoparticles, the Pd particle size increased with the decrease of the ratio of polyvinylpyrrolidone (PVP) to Pd. Among the prepared Pd@SiO2 catalysts, Pd@SiO2_PVP2 (Pd loading 1.02 wt% PVP to Pd precursor molar ratio 2) had the largest Pd particle size (4.2 nm) and showed the highest hydrogen peroxide production rate (330 mmol H2O2/gPd*h) The production rate of hydrogen peroxide decreased along with the decrease in Pd particle size. As the Pd nanoparticles became smaller, energetic sites (defects, edges, and corners) where the O O bond is dissociated and the formation of water is promoted were more exposed on the surface. Thus, fewer energetic sites on the Pd surface are favored for synthesizing hydrogen peroxide, which was the major reason for Pd@SiO2_PVP2 being the most active among the prepared Pd@SiO2 catalysts.Graphical Abstract",
"author_names": [
"Seongmin Kim",
"Dae Won Lee",
"Kwan Young Lee",
"EunAe Cho"
],
"corpus_id": 97559913,
"doc_id": "97559913",
"n_citations": 39,
"n_key_citations": 0,
"score": 0,
"title": "Effect of Pd Particle Size on the Direct Synthesis of Hydrogen Peroxide from Hydrogen and Oxygen over Pd Core Porous SiO2 Shell Catalysts",
"venue": "Catalysis Letters",
"year": 2014
},
{
"abstract": "Abstract Low cost semiconductor photocatalysts that can efficiently harvest solar energy and generate H 2 from water or biofuels will be critical to future hydrogen economies. Here, we evaluate the performance of low cost Ni/TiO 2 photocatalysts (Ni loadings 0 4 wt. for H 2 production from ethanol water mixtures (0 100 vol. EtOH) under UV excitation. Ni(II) was deposited on P25 TiO 2 by the complex precipitation method, followed by H 2 reduction at 500 degC for 2 h to obtain Ni/TiO 2 photocatalysts. TGA, TEM, XRD, Ni 2p XPS, Ni L edge NEXAFS, Ni K edge EXAFS, UV Vis and photoluminescence measurements confirmed that Ni 0 was the dominant nickel species on the surface of the Ni/TiO 2 photocatalysts, with the Ni particle size ~1 2 nm. The photocatalytic activity of Ni/TiO 2 photocatalysts was highly dependent on the Ni loading, with the optimal Ni loading being 0.5 wt. which afforded a H 2 production rate of 11.6 mmol g 1 h 1 (or 0.258 mmol m 2 h 1 at an EtOH:H 2 O volume ratio of 10:90 and a UV flux comparable to that in sunlight. High H 2 production rates were achieved over a wide range of EtOH:H 2 O concentrations, with a 95:5 volume ratio affording the highest rate (24.3 mmol g 1 h 1 The 0.5 wt. Ni/TiO 2 photocatalyst displayed superior photocatalytic activity to a 2 wt. Au/TiO 2 reference photocatalyst at low ethanol concentrations (1 15 vol. which is attributed to the high co catalyst dispersion achieved in the Ni/TiO 2 system. Results suggest that Ni/TiO 2 photocatalysts are promising alternatives to M/TiO 2 (M Pd, Pt or Au) photocatalysts for solar H 2 production from biofuels.",
"author_names": [
"Wan-Ting Chen",
"Andrew Chan",
"Dongxiao Sun-Waterhouse",
"Toshihiro Moriga",
"Hicham Idriss",
"Geoffrey I N Waterhouse"
],
"corpus_id": 96714349,
"doc_id": "96714349",
"n_citations": 117,
"n_key_citations": 2,
"score": 0,
"title": "Ni/TiO2: A promising low cost photocatalytic system for solar H2 production from ethanol water mixtures",
"venue": "",
"year": 2015
}
] |
decrease energy compiler | [
{
"abstract": "Due to the power constraints of the current semiconductor technology, energy consumption has become an important factor for computer systems. Reducing energy consumption can mean more battery life for mobile devices or reduction of financial costs for data centers. One of the energy bottlenecks of computer systems is the information traffic between the processor and memory hierarchy. In this paper we evaluate the energy reduction of our new spill code minimization technique called color flipping in comparison with classical approaches. We implemented the Briggs' register allocator in the LLVM compiler framework with and without color flipping strategy and we ran some SPEC CPU 2006 benchmarks in a modified gem5 simulator for Cortex A9. Then the energy consumption was estimated using the McPAT framework. Experimental results showed that our technique can reduce about 1% of the energy consumption of integer programs.",
"author_names": [
"Marcelo F Luna",
"Felipe L Silva",
"Wesley Attrot"
],
"corpus_id": 18724498,
"doc_id": "18724498",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Decreasing Spill Code to Decrease Energy Consumption",
"venue": "2015 Brazilian Symposium on Computing Systems Engineering (SBESC)",
"year": 2015
},
{
"abstract": "Annotation In this paper we analyze a problem of compilation, which increase energy efficiency of programs through use of techniques, implemented in the compiler. This problem is formulated as a multiple criteria optimization problem, with constraints on compile time increase and performance decrease. Non linearity of performance decrease and energy consumption decrease at given frequency decrease is explained. Short description of software capabilities of controlling system on chip frequency and voltage is provided. Genetic algorithm for solving this problem is provided. Result of application of this algorithm are measured and provided. Up to 13.5% energy efficiency increase in archived on tests from SPEC2000 testsuite.",
"author_names": [
"Tocar Ilya"
],
"corpus_id": 18434978,
"doc_id": "18434978",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Compiler optimization of application's energy efficiency",
"venue": "",
"year": 2014
},
{
"abstract": "A large and increasing number of Internet of Things devices are not equipped with batteries and harvest energy from their environment. Many of them cannot be physically accessed once they are deployed (embedded in civil engineering structures, sent in the atmosphere or deep in the oceans) When they run out of energy, they stop executing and wait until the energy level reaches a threshold. Programming such devices is challenging in terms of ensuring memory consistency and guaranteeing forward progress. Previous work has proposed to insert checkpoints in the program so that execution can resume from well defined locations. In this work, we propose to define these checkpoint locations based on statically computed worst case energy consumption of code sections. We also apply classical compiler optimizations in order to decrease the required number of checkpoints at runtime. As our method is based upon worst case energy consumption, we can guarantee memory consistency and forward progress.",
"author_names": [
"Bahram Yarahmadi",
"Erven Rohou"
],
"corpus_id": 222832577,
"doc_id": "222832577",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Compiler Optimizations for Safe Insertion of Checkpoints in Intermittently Powered Systems",
"venue": "SAMOS",
"year": 2020
},
{
"abstract": "In this paper, a 32 bit approximate Dadda Multiplier is implemented using 4:2 compressor. A Dadda Multiplier much alike to a Wallace Multiplier. The above multipliers are used to reduce the partial products but Dadda Multiplier is quite faster when compared to other multipliers as it requires few gates than Wallace Multiplier and thus leads to lower power consumption. The compressors used here has it's own accuracy level in approximate mode with variable delay and less power consumption. The proposed multiplier can also perform exact operation but 1.5625% error for average case. Synopsys Design Compiler using SCL 180nm CMOS technology was used to evaluate the efficiency of the compressors in 32 bit Dadda Multiplier and compared with other 4, 8 and 16 bit Dadda multipliers. The comparative study results depicts around 59.5% 54.5% and 14% decrease in power consumption with an area of 17504 um2.",
"author_names": [
"Saurav Chanda",
"Koushik Guha",
"Santu Patra",
"Loukrakpam Merin Singh",
"Krishna Lal Baishnab",
"Prashanta Kumar Paul"
],
"corpus_id": 219314036,
"doc_id": "219314036",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "An Energy Efficient 32 Bit Approximate Dadda Multiplier",
"venue": "2020 IEEE Calcutta Conference (CALCON)",
"year": 2020
},
{
"abstract": "In this paper, a 32 bit exact Dadda Multiplier is realized using 4:2 compressor. A Dadda Multiplier is similar to Wallace Multiplier. Both of the multipliers are used to reduce the partial products but Dadda Multiplier is faster than other multipliers and require less gates than Wallace Multiplier and a low power consumption. The compressors used has it's own accuracy level in both the exact and approximate mode with variable delay and less power consumption. Synopsys Design Compiler using SCL 180nm CMOS technology was used to evaluate the efficiency of the compressors in 32 bit Dadda Multiplier and compared with other 4, 8 and 16 bit Dadda multipliers. The results depicts around 48% decrease in power consumption with an area of 18955.74mm2.",
"author_names": [
"Saurav Chanda",
"Koushik Guha",
"Santu Patra",
"Anupam Karmakar",
"Loukrakpam Merin Singh",
"Krishna Lal Baishnab"
],
"corpus_id": 212705422,
"doc_id": "212705422",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "A 32 bit Energy Efficient Exact Dadda Multiplier",
"venue": "2019 IEEE 5th International Conference for Convergence in Technology (I2CT)",
"year": 2019
},
{
"abstract": "Miniaturization of devices and the ensuing decrease in the threshold voltage has led to a substantial increase in the leakage component of the total processor energy consumption. Relatively simpler issue logic and the presence of a large number of function units in the VLIW and the clustered VLIW architectures attribute a large fraction of this leakage energy consumption in the functional units. However, functional units are not fully utilized in the VLIW architectures because of the inherent variations in the ILP of the programs. This underutilization is even more pronounced in the context of clustered VLIW architectures because of the contentions for the limited number of slow intercluster communication channels which lead to many short idle cycles.In the past, some architectural schemes have been proposed to obtain leakage energy bene .ts by aggressively exploiting the idleness of functional units. However, presence of many short idle cycles cause frequent transitions from the active mode to the sleep mode and vice versa and adversely a ffects the energy benefits of a purely hardware based scheme. In this paper, we propose and evaluate a compiler instruction scheduling algorithm that assist such a hardware based scheme in the context of VLIW and clustered VLIW architectures. The proposed scheme exploits the scheduling slacks of instructions to orchestrate the functional unit mapping with the objective of reducing the number of transitions in functional units thereby keeping them off for a longer duration. The proposed compiler assisted scheme obtains a further 12% reduction of energy consumption of functional units with negligible performance degradation over a hardware only scheme for a VLIW architecture. The benefits are 15% and 17% in the context of a 2 clustered and a 4 clustered VLIW architecture respectively. Our test bed uses the Trimaran compiler infrastructure.",
"author_names": [
"Rahul Nagpal",
"Y N Srikant"
],
"corpus_id": 15437585,
"doc_id": "15437585",
"n_citations": 23,
"n_key_citations": 3,
"score": 0,
"title": "Compiler assisted leakage energy optimization for clustered VLIW architectures",
"venue": "EMSOFT '06",
"year": 2006
},
{
"abstract": "In order to decrease latency and energy consumption, processors use hierarchical memory systems to store temporally and spatially related instructions close to the core. Instruction register file (IRF) is an energy efficient solution for the lowest level in the instruction memory hierarcy. Being compiler controlled, it removes the area and energy overheads involved in cache tag checking and adds flexibility in the separation of the instruction fetch and execution. In this paper, we systematically evaluate for the first time the effect of three IRF design variations on energy and delay against an unoptimized baseline IRF. Having instruction fetch and decode with IRF in the same pipeline stage allows minimal delay branching, but results in low operating clock frequency and impaired energy delay product compared to splitting them into two stages. Assuring instruction presence in IRF before execution with software reduces the area and increases maximum clock frequency compared to assurance with hardware, but requires compiler analysis. With a proposed compiler analyzed instruction placement and co designed hardware implementation, energy consumption with the best IRF variant is reduced by 9% on average with EEMBC Coremark and CHStone benchmaks. The energy delay product is improved by 23% when compared to the baseline IRF approach.",
"author_names": [
"Joonas Multanen",
"Heikki O Kultala",
"Pekka Jaaskelainen"
],
"corpus_id": 56178684,
"doc_id": "56178684",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Energy Delay Trade Offs in Instruction Register File Design",
"venue": "2018 IEEE Nordic Circuits and Systems Conference (NORCAS) NORCHIP and International Symposium of System on Chip (SoC)",
"year": 2018
},
{
"abstract": "The holy grail of computer hardware across all market segments has been to sustain performance improvement at the same pace as silicon technology scales. As the technology scales and the size of transistors shrinks, the power consumption and energy usage per transistor decrease. On the other hand, the transistor density increases significantly by technology scaling. Due to technology factors, the reduction in power consumption per transistor is not sufficient to offset the increase in power consumption per unit area. Therefore, to improve performance, increasing energy efficiency must be addressed at all design levels from circuit level to application and algorithm levels. At architectural level, one promising approach is to populate the system with hardware accelerators each optimized for a specific task. One drawback of hardware accelerators is that they are not programmable. Therefore, their utilization can be low as they perform one specific function. Using software programmable accelerators is an alternative approach to achieve high energy efficiency and programmability. Due to intrinsic characteristics of software accelerators, they can exploit both instruction level parallelism and data level parallelism. Coarse Grained Reconfigurable Architecture (CGRA) is a software programmable accelerator consists of a number of word level functional units. Motivated by promising characteristics of software programmable accelerators, the potentials of CGRAs in future computing platforms is studied and an end to end CGRA research framework is developed. This framework consists of three different aspects: CGRA architectural design, integration in a computing system, and CGRA compiler. First, the design and implementation of a CGRA and its instruction set is presented. This design is then modeled in a cycle accurate system simulator. The simulation platform enables us to investigate several problems associated with a CGRA when it is deployed as an accelerator in a computing system. Next, the problem of mapping a compute intensive",
"author_names": [
"Mahdi Hamzeh"
],
"corpus_id": 64268850,
"doc_id": "64268850",
"n_citations": 4,
"n_key_citations": 1,
"score": 0,
"title": "Compiler and Architecture Design for Coarse Grained Programmable Accelerators",
"venue": "",
"year": 2015
},
{
"abstract": "Govt. of India scaled up of budget during 12th five year plan for Rooftop solar project to achieve the target of 40 GWp as committed before UNFCC (United Nations Framework Convention on Climate Change) In this connection through a case study it is shown that there is a chance to reduce the target and also the space of solar project installation if a manual solar tracking based on optimum tilt angle as proposed in the study can be included in the policy for future small solar project initiatives. An equation set of monthly solar optimum tilt angle has been proposed based on an anisotropic validated model developed by FORTRAN 77 Compiler. The equation set is applicable for a wide latitude range of 13deg to 39deg of northern hemisphere i.e. it includes all over India. If the proposed optimum tilt based manual tracking has been adopted in Govt. policy of solar project then the target to UNFCC will be reduced to 36.5 kWp i.e. a decrease of 8.75% and the required area for solar installation will also be decreased of 8.84%",
"author_names": [
"Arindam Dutta",
"Subhasis Neogi"
],
"corpus_id": 35645780,
"doc_id": "35645780",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Reassessment of the Indian renewable energy policy through technology implementation",
"venue": "2016 21st Century Energy Needs Materials, Systems and Applications (ICTFCEN)",
"year": 2016
},
{
"abstract": "Managing power consumption while simultaneously delivering acceptable levels of performance is becoming a critical issue in several application domains such as wireless computing. We integrate compiler assisted techniques with power aware operating system services and present scheduling techniques to reduce energy consumption of applications that have deadlines. We show by simulation that our dynamic power management schemes dramatically decrease energy consumption",
"author_names": [
"Daniel Moss",
"Hakan Aydin",
"Bruce R Childers",
"Rami G Melhem"
],
"corpus_id": 18159606,
"doc_id": "18159606",
"n_citations": 142,
"n_key_citations": 8,
"score": 1,
"title": "Compiler assisted dynamic power aware scheduling for real time applications",
"venue": "",
"year": 2000
}
] |
Chemical mechanical polishing for sapphire wafers using a developed slurry | [
{
"abstract": "Abstract At present, corrosive ingredients are widely employed in chemical mechanical polishing (CMP) of sapphire, bringing a potential threat to both people and environment. This results in high cost and long processing time on the treatment of CMP slurry of sapphire. It is a challenge to develop a novel green CMP for sapphire to meet the stringent requirements of high performance products. In this study, a novel green CMP is proposed for sapphire, consisting of silica nanoparticles of 50 nm, triethanolamine (TEA) sodium metasilicate nonahydrate, and deionized water. After green CMP, surface roughness Ra, root mean square (rms) and peak to valley (PV) are 0.11, 0.139, and 1.65 nm, respectively. Material removal rate is 3.31 mm/h during green CMP. To the best of our knowledge, surface roughness Ra and rms after green CMP developed are the lowest on a sapphire wafer, compared with those reported previously. The CMP mechanism is elucidated by X ray photoelectron spectroscopy (XPS) and infrared (IR) spectra. Sapphire formed Al(OH)4 ions in an alkaline environment, which was chelated by TEA, and removed from the surface of sapphire. These findings provide new insights to fabricate high performance devices of sapphire for the use in semiconductor and microelectronic industries.",
"author_names": [
"Zhenyu J Zhang",
"Weibing Hu",
"Lezhen Zhang",
"Longxing Liao"
],
"corpus_id": 234041716,
"doc_id": "234041716",
"n_citations": 11,
"n_key_citations": 0,
"score": 2,
"title": "Chemical mechanical polishing for sapphire wafers using a developed slurry",
"venue": "",
"year": 2021
},
{
"abstract": "Toxic and corrosive solutions are widely used in the preparation of abrasives and chemical mechanical polishing (CMP) of sapphire wafers, resulting in potential environmental pollution. Developing a novel green CMP technique to achieve light emitting diode sapphire wafers is a significant challenge. In this study, a novel green CMP slurry, consisting of silica, sorbitol, aminomethyl propanol, and deionized water was developed for sapphire wafers. After CMP, the sapphire wafers were cleaned with deionized water and dried with compressed air, which is a green process. After CMP, the surface roughness Ra of the sapphire wafer surface with an area of 5 x 5 mm2 was 0.098 nm, which is the lowest surface roughness reported to date for sapphire wafers. Tetrahydroxy coordinated Al(OH)4 ions were produced in the alkaline CMP slurry, and chelation occurred between sorbitol and these ions. The proposed green CMP has potential applications in the semiconductor and microelectronics industries.",
"author_names": [
"Wenxiang Xie",
"Zhenyu J Zhang",
"Longxing Liao",
"Jia-wen Liu",
"Hongjiu Su",
"Shudong Wang",
"Dongming Guo"
],
"corpus_id": 222154578,
"doc_id": "222154578",
"n_citations": 19,
"n_key_citations": 1,
"score": 0,
"title": "Green chemical mechanical polishing of sapphire wafers using a novel slurry.",
"venue": "Nanoscale",
"year": 2020
},
{
"abstract": "A novel chemical mechanical polishing (CMP) solution was developed. The CMP solution developed consisted of mainly silica, hydrogen peroxide, and malic acid. CMP solution is environment friendly, which is different from those used in conventional CMP, consisting of acids or organic solvents. Fixed abrasive waterproof paper of alumina with mesh size of 3000 was used as lapping tool, to avoid embedding of free abrasives on soft cadmium zinc telluride (CdZnTe or CZT) surfaces employed in traditional lapping processes. The diameter of silica was varied from several tens of nanometers to 100 nanometers. Surface roughness Ra, and PV achieved using fixed abrasive lapping and developed CMP solution are 0.6 nm and 6.3 nm, respectively. The polished CZT surface was cleaned by deionized water and dried using compressed air, to avoid damages induced by conventional physical wiping and ultrasonic cleaning on soft brittle CZT wafers.",
"author_names": [
"Zhenyu J Zhang",
"Bo Wang",
"Y X Song"
],
"corpus_id": 137094747,
"doc_id": "137094747",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Chemical Mechanical Polishing of Soft Brittle Cadmium Zinc Telluride Wafers Using a Developed Environment Friendly Solution",
"venue": "",
"year": 2014
},
{
"abstract": "AUTHORS AND INSTITUTIONS Yan Zhou Guoshun Pan a,b, Hua Gong Chunli Zou Li Xu a,b,c a State Key Laboratory of Tribology, Tsinghua University, Beijing 100084, China, [email protected] b Shenzhen Key Laboratory of Micro/Nano Manufacturing, Research Institute of Tsinghua University in Shenzhen, Shenzhen 518057, China c Guangdong Provincial Key Laboratory of Optomechatronics, Shenzhen 518057, China",
"author_names": [
"Yan Zhou",
"Guoshun Pan",
"Hua Gong",
"Chunli Zou",
"Li Xu"
],
"corpus_id": 117953352,
"doc_id": "117953352",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "CHEMICAL MECHANICAL POLISHING OF SAPPHIRE WAFER USING MIXED SILICA NANOPARTICLES",
"venue": "",
"year": 2016
},
{
"abstract": "The study mainly explores the fabrication mechanism for fabricating sapphire wafer substrate, by using chemical mechanical polishing (CMP) method. A slurry containing the abrasive particles of SiO2 is used to contact with the sapphire substrate polish and to produce chemical reaction for removal of sapphire wafer substrate when CMP method is used. The study observes the changes of the removal amount of sapphire wafer substrate when the pattern free polishing pad and hole pattern polishing pad are used under different down forces, polishing velocities, abrasive particle sizes and slurry concentrations. Employing regression analysis theory, the study makes improvement of the equation of material removal rate (MRR) to be the material removal height per 30 minutes (MRRh) and develops a compensation parameter Crv of the error caused by the volume concentration of slurry. The results of experimental analysis show that under a certain down force, if the polishing velocity is greater, the material removal amount will be greater. Generally speaking, the material removal amount of hole pattern polishing pad is greater than that of pattern free polishing pad. As to the relationship between abrasive particle size and slurry concentration, when particle size is smaller, the volume concentration of slurry will be higher, and the number of abrasives for polishing wafer will be greater. As a result, a better material removal depth can be acquired. Through the above analytical results, considerable help is offered to the polishing of sapphire wafer.",
"author_names": [
"Zone-Ching Lin",
"Wei-Shuen Huang",
"Ju-Shiau Tsai"
],
"corpus_id": 67813354,
"doc_id": "67813354",
"n_citations": 15,
"n_key_citations": 0,
"score": 0,
"title": "A study of material removal amount of sapphire wafer in application of chemical mechanical polishing with different polishing pads",
"venue": "",
"year": 2012
},
{
"abstract": "Abstract A novel semi empirical model was developed for predicting the material removal rate (MRR) during chemical mechanical polishing (CMP) based on the following assumptions: plastic contact at the wafer particle interface, elastic contact at the pad particle interface, a particle size distribution, and a randomly distributed surface roughness of the polishing pad. The proposed model incorporates the effects of particle size, concentration, and distribution, as well as the slurry flow rate, pad surface topography, material properties, and chemical reactions during the silicon dioxide (SiO 2 CMP. To obtain the unknown parameters and ensure the validity of the model, a SiO 2 CMP experiment was conducted by using various sized CMP slurries. The spatial distribution of the MRRs is expressed with respect to the normal contact stress distribution and the relative velocity distribution. The proposed MRR model can be used for the development of a CMP simulator, the optimization of CMP process parameters, and the design of next generation CMP machines.",
"author_names": [
"H S Lee",
"Hae-do Jeong",
"David A Dornfeld"
],
"corpus_id": 137581224,
"doc_id": "137581224",
"n_citations": 46,
"n_key_citations": 0,
"score": 0,
"title": "Semi empirical material removal rate distribution model for SiO2 chemical mechanical polishing (CMP) processes",
"venue": "",
"year": 2013
},
{
"abstract": "This paper presents the results of a study to develop chemical mechanical polishing (CMP) processes for 3C SiC films using commercial polishing pads in conjunction with basic colloidal SiO 2 acidic colloidal Al 2 O 3 and near neutral SiC slurries. The removal rate and surface roughness of the 3C SiC surfaces were measured for the three slurries. The SiC based slurry had the highest removal rate at 0.58 mm/h. while the colloidal SiO 2 slurry produced surfaces with the lowest average roughness at 15 A. The removal rates of the Al 2 O 3 slurries were found to be too low for practical applications. The surface chemistry and morphology of the as deposited and polished 3C SiC films were characterized using X ray photoelectron spectroscopy and atomic force microscopy in order to gain insight into the physical and chemical mechanisms in the process.",
"author_names": [
"Xiao-an Fu",
"Christian A Zorman",
"Mehran Mehregany"
],
"corpus_id": 96009156,
"doc_id": "96009156",
"n_citations": 11,
"n_key_citations": 0,
"score": 0,
"title": "Chemical Mechanical Polishing of Cubic Silicon Carbide Films Grown on Si(100) Wafers",
"venue": "",
"year": 2002
},
{
"abstract": "Diamond disk conditioners have long served the semiconductor chemical mechanical polishing (CMP) process for polish pad dressing. While the diameter of wafers has steadily increased and the lower line gap distance is developing in order to improve its efficiency, a better polish performance is our pursuing target. However, the slurry in polishing processes, which can lead not only to uniform corrosion but also to crevice attack and pitting for pad conditioners. To further improve the performance and quality of diamond disk conditioners, the corrosion Fang Shi Gong Cheng Di Er Shi Juan Di Er Qi Di 163~ 168 Ye 2006 Nian 6 Yue Journal of Chinese Corrosion Engineering, Vol. 20, No. 2, pp. 163~168 (2006) ________________________________________________ 1 Qing Yun Ke Ji Da Xue 1 Ching Yun University 2 Zhong Guo Sha Lun 2 KINIK Company Lian Luo Zuo Zhe :[email protected] Fang Shi Gong Cheng Di Er Shi Juan Di Er Qi 2006 Nian 6 Yue 164resistance is the first consideration of dressing in the CMP corrosive slurry. Diamond like carbon (DLC) for protecting applications were of great interest to researchers in recent years because of their excellent properties such as dielectric insulation, low friction, high wear resistance, high hardness and corrosion resistance. Improving the corrosion resistance and keeping the diamond powder working well become very challengeing when pad conditioners are immersed in a corrosive environment. In this study, DLC were deposited on the mixed surface layer of diamond powder and Ni/Cr alloy for a protective layer by physical vapor deposition. This study investigated the corrosion behavior of DLC protective layers in the slurry by electrochemical techniques, including the potentio dynamic polarization test and electrochemical impedance spectroscopy (EIS) SEM was used to analyze the surface morphology of the conditioner after corrosion.",
"author_names": [
"A H Tan",
"Y C Cheng",
"Chi Kang Lee",
"Jacky Chou",
"James C Sung"
],
"corpus_id": 225091887,
"doc_id": "225091887",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Corrosion Investigation of Diamond Disk Conditioner in the Slurry of Chemical Mechanical Polishing",
"venue": "",
"year": 2006
},
{
"abstract": "Polydimethylsiloxane (PDMS) posts with a diameter of 80 m were used to measure the shearing forces at the wafer pad interface during chemical mechanical planarization (CMP) Measurements are made at 10 kHz with measurable forces between 40 and 400 N. The structures were polished using a stiff, ungrooved pad and 3 wt fumed silica slurry at velocities of 0.3 and 0.6 m/s and average wafer pad normal load of 5.0 and 9.1 kPa. Due to the small fraction of the pad that contacts the wafer, the local microscale forces can be much larger than the global average force might suggest. Observed lateral forces on the structures averaged, in time, between 230 and 310 N with RMS deviations of the force about the mean between 47 and 64 N. The faster polishing case shows a 30% higher mean force, and a 20% reduction in the RMS variation of force. Little change is seen in the force characteristics when increasing from 5.0 to 9.1 kPa downforce. A mathematical model is developed to interpret these forces, allowing estimation of the local pad properties. The model suggests that 5000 asperity contacts are present per square millimeter, asperity lateral stiffness is 0.3 N/m, and asperity slip off force is 19 N. VC 2011 The Electrochemical Society. [DOI: 10.1149/1.3616021] All rights reserved.",
"author_names": [
"Robert D White",
"Andrew Mueller",
"Minchul Shin",
"Douglas A Gauthier",
"Vincent P Manno",
"Chris Rogers"
],
"corpus_id": 53537620,
"doc_id": "53537620",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Measurement of Microscale Shear Forces during Chemical Mechanical Planarization",
"venue": "",
"year": 2011
},
{
"abstract": "The mechanism of chemical mechanical polishing (CMP) is so complicated because there is synergy between chemical and mechanical action. We studied the effect of particels in CMP by MD simulation theoreticaly and liquid jet simulation experimentaly. The results show that MD simulation and slurry jet test have the similar result. For MD simulation, during the collision there are material adhesion and transfer between particle and surface. The pit bottom is not flat in both MD simulation and collision test, this is because the particle rotates during the collision. The pit area and depth increase with the particle energy. The effect of chemical reaction under mechanical action in CMP is studied. With mechanical action, the density of exposed fresh surface increases during the CMP process. The thoretical analysis shows that the corrosion current with the mechanical action is extremely increased at least 100 times than the static corrosion. CMP applications in SKLT are also introduced in this presentation. We have developed CMP slurries for hard disk substrate, silicon wafer and sapphire wafer as LED substrate. These slurries have already been used in China.",
"author_names": [
"Xinchun Lu",
"Guoshun Pan",
"Jianbin Luo"
],
"corpus_id": 135659332,
"doc_id": "135659332",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Mechanism and Applications of Chemical and Mechanical Polishing",
"venue": "",
"year": 2009
}
] |
Physical Acoustics in the Solid State | [
{
"abstract": "Experimental Techniques. Elasticity. Thermodynamics and Phase Transitions. Acoustic Waves in the Presence of Magnetic Ions. Ultrasonics at Magnetic Phase Transitions. Ultrasonics at Structural Transitions. Metals and Semiconductors. Unstable Moment Compounds. Ultrasonics in Superconductors. Coupling to Collective Excitations. Ultrasonics in Low Dimensional Spin and Electronic Peierls Systems. Symmetry Effects with Sound Waves. Ultrasonic Propagation in Tunneling Systems. Conclusion and Outlook.",
"author_names": [
"Bruno Luthi"
],
"corpus_id": 210246445,
"doc_id": "210246445",
"n_citations": 132,
"n_key_citations": 5,
"score": 1,
"title": "Physical acoustics in the solid state",
"venue": "",
"year": 2005
},
{
"abstract": "This article reviews Physical Acoustics in the Solid State by B. Luthi Berlin, 2005. 428 pp. Price $179.00 (hardcover) ISBN: 3540229108.",
"author_names": [
"Albert Migliori"
],
"corpus_id": 122633550,
"doc_id": "122633550",
"n_citations": 44,
"n_key_citations": 4,
"score": 0,
"title": "Physical Acoustics in the Solid State",
"venue": "",
"year": 2006
},
{
"abstract": "Ultrasonic pulse echo technique is a valuable and non destructive tool to explore elastic properties of materials. We propose a new instrument based on mass produced microchips. In our design, the signal is processed by an AD8302 RF gain and phase detector (www.analog.com) Its phase output is linearly proportional to the phase difference between the exciting and response signals. The gain output is proportional to the log of the ratio of amplitudes of the received to the exciting signals. To exclude the non linear fragments and to enable exploring large phase changes, we employ a parallel connection of two detectors, fed by in phase and quadrature reference signals, respectively. The interferometer was tested by measuring the temperature dependences of both sound speed and attenuation in metallic glasses as well as in ferroelectric KTaNbO3 (KTN) single crystals. The instrument allows for exploring phase transitions with precision of DV/V 10 7 (V is ultrasound speed) in the broad dynamic range from 60 to x 20 dBm. These qualities allowed us to detect the theoretically predicted, but not observed previously velocity kink at the KTN phase transition from tetragonal to orthorhombic symmetry, whereas the attenuation curve showed new features in the development of the low temperature structure of the KTN crystal.",
"author_names": [
"Oleksiy Svitelskiy",
"David N Lee",
"Jon K Grossmann",
"Lynn A Boatner",
"Grace Jean Yong",
"Alexey Suslov"
],
"corpus_id": 122041234,
"doc_id": "122041234",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Simple homodyne ultrasound interferometer for solid state physical acoustics",
"venue": "",
"year": 2015
},
{
"abstract": "The Department of Physics at UL Lafayette has a strong history of acoustics research. Recently, the program has expanded considerably as a result of renewed interest in acoustics related research. Tied into the current rethinking of the Department's multiple physics tracks, the Physical Acoustics Research Program offers ample opportunities for students both undergraduate and graduate to be involved in wide spectrum research in acoustics. This program, unique in Louisiana, involves acoustic sensing of alien environments, atmospheric and underwater acoustics, ultrasonics in the solid state, ultrasonic materials characterization and structural health monitoring, seismology and geodynamics. In parallel to research projects, the faculty offer a variety of acoustics related courses on topics such as Matlab and Python based computational acoustics, solid state acoustics, experimental techniques in acoustics, room acoustics, as well as machine learning techniques for wave propagation.",
"author_names": [
"Andi G Petculescu"
],
"corpus_id": 126150066,
"doc_id": "126150066",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "The Physical Acoustics Research Program at the University of Louisiana at Lafayette",
"venue": "",
"year": 2017
},
{
"abstract": "This is the fifth volume in this series dealing with general problems of chemical structure and bonding forces. It consists of five articles. Two articles have biochemical implications 'Biochemical aspects of iron sulfur linkage in non heme iron protein' by T. Kimura, pp. 40; 'Reaction of some transition metals with nucleic acids and their constituents' by U. Weser, pp. 27) and one article is purely theoretical 'A perturbation representation of weak covalent bonding' by C.E. Sch~iffer, pp. 28) There is an article (in German) on homogeneous catalysis by W.Strohmeier (pp. 22) and an article on 'The thermodynamics of complex formation between hard and soft acceptors and donors' by S.Ahrland (pp.31) As in previous volumes in this series the spread of interest is wide, and I cannot imagine any one reader being interested equally in all the articles. It would perhaps be unwise for a reviewer to attempt a critical evaluation of the articles and the prudent course of action would seem to be to attempt brief summaries and leave the reader to judge the articles that seem to interest him. The article by Kimura is primarily a review of the recent work done in his own laboratory on the non haem iron protein serving as an electron transfer intermediate in steroid hydroxylation in mammalian glands. To some extent it supplements the article of Buchanan which appeared in Vol. 1 of this series. The article by Weser is a systematic review of what is known about the interactions of transition metals with monomer and polymer units of nucleic acids. Some attempt is made in the article to suggest, tentatively, correlations between metal polynucleotide interactions and biochemical pathways. Sch~iffer presents a formalization and elucidation of a ligand field model for highly heteropolar situations, by means of the representations of the full rotation group carried by the real atomic orbitals. In this way he is able to exhibit clearly the parametrization of the model. Strohmeier's article on homogeneous catalysis is an attempt to develop a simple model for such catalysis in terms of a suggested mechanism and the structure of the activated complex. The final article, by Ahrland, collects a great deal of thermodynamic data on complex formation and proposes an elucidation of it in terms of the ideas of hard and soft acceptors and donors, given a theory of the nature of the coordinate bond. B. T. SUTCLIFFE Chemistry Department University of York Heslington York England",
"author_names": [
"M M Woolfson"
],
"corpus_id": 100243714,
"doc_id": "100243714",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Physical acoustics. Principles and methods. Vol. IV, part B. Applications to quantum and solid state physics. edited by W. P. Mason",
"venue": "",
"year": 1969
},
{
"abstract": "",
"author_names": [
"Warren P Mason",
"Walter G Mayer"
],
"corpus_id": 117734235,
"doc_id": "117734235",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Physical Acoustics, Principles and Methods, Vol. 4, Parts A And B: Applications to Quantum and Solid State Physics",
"venue": "",
"year": 1969
},
{
"abstract": "",
"author_names": [
"Gen Fu Yu Zi Chai"
],
"corpus_id": 62184337,
"doc_id": "62184337",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "W.P. Mason Bian Physical Acoustics, Vol. IV. Part B; Application to Quantum and Solid State Physics, Academic Press, New YorkLondon, 1968, 490Ye 15.5x23cm, 7800Yuan",
"venue": "",
"year": 1967
},
{
"abstract": "",
"author_names": [
"Warren P Mason",
"Meir Menes"
],
"corpus_id": 122819017,
"doc_id": "122819017",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Physical Acoustics, Vol. IV, Part A Applications to Quantum and Solid State Physics",
"venue": "",
"year": 1967
},
{
"abstract": "The experimental results in the field of laser generation of acoustic pulses of duration less than 1 ns are reviewed. The various physical mechanisms of optoacoustic conversion are analyzed theoretically. Possibilities are shown for shortening the duration of optoacoustic pulses by increasing the intensity of the laser exposure. The prospects of initiating ultrashort, strong shock pulses with high power femtosecond light pulses, are discussed.",
"author_names": [
"Sergei A Akhmanov",
"Vitalyi E Gusev"
],
"corpus_id": 118141962,
"doc_id": "118141962",
"n_citations": 85,
"n_key_citations": 2,
"score": 0,
"title": "REVIEWS OF TOPICAL PROBLEMS: Laser excitation of ultrashort acoustic pulses: New possibilities in solid state spectroscopy, diagnostics of fast processes, and nonlinear acoustics",
"venue": "",
"year": 1992
},
{
"abstract": "49th Winter School on Wave and Quantum Acoustics constituted platforms for sharing the results and achievements obtained in different branches of physical acoustics, as molecular acoustics, quantum acoustics, acousto optics, magnetoacoustics, photoacoustics, acoustics of solid state, acoustic emission, and others. Moreover, researches in some selected topics related to those mentioned above (e.g. optoelectronics, relaxation processes) were presented during the school. The conference consisted of the 16th Workshop on Acoustoelectronics and the 16th Workshop on Molecular Acoustics, Relaxation and Calorimetric Methods. However, the organizers are opened to organizing workshops on other subjects in future. We would like to invite scientific centers and other professional groups to cooperate in organizing workshops on the subjects of their interests. XLVIIIth Winter School on Environmental Acoustics and Vibroacoustics was a forum for all environmental and vibroacoustics fields. Particularly it was concerned with traffic noise, vibroacoustics of machines, room acoustics, building acoustics, noise protection and similar problems. During the Conference, seminars on \"Measurement of noise and vibration at the workplace\" and Environmental noise monitoring\" combined with measurement workshops, were organised in association with SVANTEK. The Conferences began with the special, joined session dedicated to celebration of the 15th Jubilee Conference Integrated Optics Sensors, Sensing Structures and Methods. In summary, 48 people participated in Conferences and seminars, presenting 37 lectures, reports and posters. In this issue one can find abstracts of some lectures and posters, which were presented during the Conferences.",
"author_names": [
"Winter Schools on Acoustics"
],
"corpus_id": 219054902,
"doc_id": "219054902",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "49th Winter School on Wave and Quantum Acoustics XLVIIIth Winter School on Environmental Acoustics and Vibroacoustics Szczyrk, Poland, February 24 27, 2020",
"venue": "",
"year": 2020
}
] |
vanadium doped zinc oxide thin films | [
{
"abstract": "We demonstrate the electro thermal control of aluminum doped zinc oxide (Al:ZnO) /vanadium dioxide (VO2) multilayered thin films, where the application of a small electric field enables precise control of the applied heat to the VO2 thin film to induce its semiconductor metal transition (SMT) The transparent conducting oxide nature of the top Al:ZnO film can be tuned to facilitate the fine control of the SMT of the VO2 thin film and its associated properties. In addition, the Al:ZnO film provides a capping layer to the VO2 thin film, which inhibits oxidation to a more energetically favorable and stable V2O5 phase. It also decreases the SMT of the VO2 thin film by approximately 5 10 degC because of an additional stress induced on the VO2 thin film and/or an alteration of the oxygen vacancy concentration in the VO2 thin film. These results have significant impacts on technological applications for both passive and active devices by exploiting this near room temperature SMT.",
"author_names": [
"Jonathan Skuza",
"D W Scott",
"Rajeh Mundle",
"Asima Pradhan"
],
"corpus_id": 5372850,
"doc_id": "5372850",
"n_citations": 21,
"n_key_citations": 0,
"score": 0,
"title": "Electro thermal control of aluminum doped zinc oxide/vanadium dioxide multilayered thin films for smart device applications",
"venue": "Scientific reports",
"year": 2016
},
{
"abstract": "The transparent conducting properties of vanadium doped indium zinc oxide (VIZO) have been investigated as a function of V doping concentration. The VIZO film demonstrated an average transmittance of above 86% in the visible range, and the sheet resistance showed 10.81 O/square with a V doping concentration of 0.18% In order to verify the applicability as a transparent conductor, the figure of merit was obtained to be 2.07 x 10 2 O 1. The changes of electrical characteristics could be correlated with the changes of the electronic structure, such as the oxidation state and the band edge defect states below the conduction band. By applying the optimal condition, the VIZO film achieved a high workfunction of 5.16 eV.The transparent conducting properties of vanadium doped indium zinc oxide (VIZO) have been investigated as a function of V doping concentration. The VIZO film demonstrated an average transmittance of above 86% in the visible range, and the sheet resistance showed 10.81 O/square with a V doping concentration of 0.18% In order to verify the applicability as a transparent conductor, the figure of merit was obtained to be 2.07 x 10 2 O 1. The changes of electrical characteristics could be correlated with the changes of the electronic structure, such as the oxidation state and the band edge defect states below the conduction band. By applying the optimal condition, the VIZO film achieved a high workfunction of 5.16 eV.",
"author_names": [
"Sera Kwon",
"Deuk Young Kim",
"Byung Hyuk Jun",
"Kwun-Bum Chung"
],
"corpus_id": 126135753,
"doc_id": "126135753",
"n_citations": 2,
"n_key_citations": 0,
"score": 1,
"title": "Optimization of the electrical and optical properties of vanadium doped InZnO thin films",
"venue": "",
"year": 2018
},
{
"abstract": "",
"author_names": [
"Marco Galvez-Saldana",
"Gina Montes-Albino",
"O Perales-Perez"
],
"corpus_id": 97240956,
"doc_id": "97240956",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Ferromagnetism in Nanocrystalline Powders and Thin Films of Cobalt Vanadium co doped Zinc Oxide",
"venue": "",
"year": 2012
},
{
"abstract": "Abstract Transparent conducting oxide thin films are used as front contact material for dye sensitized solar cells. This study investigated the effects of chromium (Cr) and vanadium (V) contents on the chemical and heat stability properties of aluminum doped zinc oxide (AZO) thin films using pulsed direct current magnetic sputtering on Corning 1737F glass substrates. The experimental results show that Cr and V doping is useful for improving the chemical and thermal stability of AZO films. The energy gap for AZO thin films is between 3.65 and 3.69 eV. The resistivity of the AZO:Cr:V thin film was 4.23 x 10 4 O cm at a Cr/V ratio of 0.30/0.23 wt. deposition power of 150 W, working distance of 5.5 cm, substrate temperature of 473 K, working pressure of 0.4 Pa, and frequency of 10 kHz. This value is lesser than (and therefore superior to) the resistivity of SnO 2 :F (FTO) films (6.5 x 10 4 O cm) but greater than that of SnO 2 :In (ITO) thin films (1.2 x 10 4 O cm) The resistivity increased by about 0.27% after electrolyte etching, which is similar to the 0.16% increase observed for the ITO thin film. After a thermal cycle test at 673 K, the resistivity of the AZO:Cr:V film increased to 5.42 x 10 4 O cm, which is better than the resistivity of the ITO and FTO films after the same thermal cycle.",
"author_names": [
"Yi-Cheng Lin",
"C H Chang",
"C H Shen",
"P Wang",
"Y C Lee"
],
"corpus_id": 56463916,
"doc_id": "56463916",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "The characteristics of chemical and heat stability properties of chromium vanadium aluminum co doped zinc oxide films for dye sensitized solar cells",
"venue": "",
"year": 2010
},
{
"abstract": "Vanadium doped ZnO (VZO) thin films were grown by RF magnetron sputtering, starting from a ZnO:V ceramic target. The crystal structure, chemical composition, electric and piezoelectric properties of the films were investigated either on the as grown thin films or after a post deposition rapid thermal annealing (RTA) treatment performed at 600 degC for different lengths of time (1 and 5 min) in an oxygen atmosphere. Substitutional doping of Zn2+ with V3+ and V5+ ions strongly deteriorated the hexagonal wurtzite ZnO structure of the as grown thin films due to lattice distortion. The resulting slight amorphization led to a poor piezoelectric response and higher resistivity. After the RTA treatment, strong c axis oriented VZO thin films were obtained, together with a partial conversion of the starting V3+ ions into V5+ The improvement of the crystal structure and the stronger polarity of both V3+ O and V5+ O chemical bonds, together with the corresponding easier rotation under the application of an external electric field, positively affected the piezoelectric response and increased conductivity. This was confirmed by closed loop butterfly piezoelectric curves, by a maximum d33 piezoelectric coefficient of 85 pm*V 1, and also by ferroelectric switching domains with a well defined polarization hysteresis curve, featuring a residual polarization of 12.5 mCcm 2.",
"author_names": [
"Marco Laurenti",
"Micaela Castellino",
"Denis Perrone",
"Abil Sh Asvarov",
"Giancarlo Canavese",
"Alessandro Chiolerio"
],
"corpus_id": 20070644,
"doc_id": "20070644",
"n_citations": 28,
"n_key_citations": 0,
"score": 0,
"title": "Lead free piezoelectrics: V3+ to V5+ ion conversion promoting the performances of V doped Zinc Oxide",
"venue": "Scientific reports",
"year": 2017
},
{
"abstract": "In this paper, transparent conducting thin films based on both undoped and vanadium (V) doped zinc oxide Zn1 xVx O (x 3, 5, 7 at. were studied. The thin films were prepared using a simple low cost deposition technique called spray pyrolysis (SP) The layers were deposited onto preheated glass substrates at 450 degC. The effect of vanadium on the microstructural, the morphological and the optical properties of ZnO material was carried out using X ray diffractometer (XRD) micro Raman spectroscope, scanning electron microscope (SEM) energy dispersive analysis by X ray (EDX) and UV Vis NIR spectrophotometer. We have demonstrated that doping with 3 at. of V enhances the crystallinity of the films by estimating the grain size value, the dislocation density and the residual stress. Also, the SEM images have demonstrated that the vanadium concentrations do effect in the thin films morphology, from hexagonal shaped grains to rounded crystals for higher doping concentrations. The optical analysis revealed that doping with 3 at. of vanadium shows a remarkable enhancement in the average transmittance in the visible range 89% and in the band gap energy (3.3 eV) Moreover, the disorder inside the samples was estimated using Urbach equation. Therefore, the microstructural, the morphological and the optical results approve that doping with 3 at. of V in ZnO lattices gives interesting results for the optical window material for solar cells application.",
"author_names": [
"Hajar Ftouhi",
"Zouhair El Jouad",
"Mohammed Jbilou",
"M Diani",
"Mohammed Addou"
],
"corpus_id": 202872201,
"doc_id": "202872201",
"n_citations": 5,
"n_key_citations": 0,
"score": 1,
"title": "Study of microstructural, morphological and optical properties of sprayed vanadium doped ZnO nanoparticles",
"venue": "The European Physical Journal Applied Physics",
"year": 2019
},
{
"abstract": "Three types of ZnO based thin films were deposited under different deposition parameters using DC magnetron reactive sputtering technique over glass and n type (100) highly oriented silicon substrates: un doped ZnO films, Vanadium doped Zinc Oxide films and Aluminium doped Zinc Oxide films. ZnO:V films were co sputtered using two different magnetrons with Zinc (99,99% and Vanadium (99,99% targets, while pure ZnO and ZnO:Al films were sputtered using a single magnetron, placing an aluminium thin plate over the Zinc target in the case of ZnO:Al films. In all cases oxygen was used as the reactive gas and argon as the inert gas for creating the plasma. Previous to the deposition of the doped films, pure Zinc Oxide and pure Vanadium Oxide films were deposited separately to optimise some of their individual sputtering parameters and for following comparison. After characterization of all the films and result analysis, the most suitable and adjusted sputtering parameters were chosen to fabricate a piezoelectric thin film vibration energy harvesting device. Three different types of films were used for the device fabrication and testing, one un doped ZnO and two Vanadium doped ZnO films, in order to compare their vibration response and energy harvesting capabilities. For device testing different experiments were carried on including: Ultrasonic vibrations, mobile phone vibrations, cantilever vibrations and knocking induced vibrations. The films showed good piezoelectric activity, generating voltages in the order of tens to hundreds of mV under the different vibration conditions.",
"author_names": [
"Albert Serra Torrent"
],
"corpus_id": 135873422,
"doc_id": "135873422",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Studies on zinc oxide based thin films for energy harvesting applications",
"venue": "",
"year": 2013
},
{
"abstract": "The vanadium oxide thin films are prepared on zinc selenide by DC magnet sputtering method. The components are gotten using The X ray photoelectron spectroscopy (XPS) It's phase transition temperature can be changed by doping. Utilizing the Castep program package of the Material Studio simulation tool, based on local density function approximation and pseudopotential method, optimization for the geometric structure of vanadium dioxides is accomplished with the BFGS calculate way. The phase transition temperature of the vanadium oxide thin films doped with Cr and Al is higher; and doped with W and F is lower.",
"author_names": [
"Xue-song Tian",
"Qi Wang",
"Jian-feng Sun",
"Z Fan"
],
"corpus_id": 44347227,
"doc_id": "44347227",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "The calculation of doped vanadium dioxide thin films",
"venue": "2013 International Conference on Optoelectronics and Microelectronics (ICOM)",
"year": 2013
},
{
"abstract": "Abstract The effect of vanadium (V) doping on amorphization of zinc oxide (ZnO) thin films deposited on c plane sapphire substrate at room temperature by RF magnetron sputtering was investigated. From the in plane X ray diffraction measurements, the diffraction intensity from 30deg rotated domains observed in the ZnO film weakened with increasing V concentration. Faint diffractions from both the 30deg rotated and normal domains were seen in the V doped ZnO (VZO) film of 1.7 at. V, and relatively strong diffractions only from the normal domain were observed at 2.1 at. V while the 30deg rotated domains diminished. There were only weak normal domains for VZO over 2.4 at. V. Concerning the c axis orientation, the broad (0002) diffraction peaks were observed in all VZO films, and the peak was the widest for the 3.8 at. VZO film. From the dependence of crystallinity on thickness for the highly doped VZO (V: 3.8 at. films, the c axis orientation deteriorated with increasing film thickness and no diffraction signal was seen for the VZO films over 35 nm thick. This means that the VZO film aligned by the c plane sapphire grew only at the initial stage, but an accumulation of disordered layers worsened the crystallinity with thickening the film. From the above mentioned results, even in the ZnO film in which the grains tend to align to the c axis orientation preferentially, the doping of transition metals, like V in this study, plays an effective reaction to form the pseudo amorphous thin film. It is considered that this phenomenon is attributed to the feature of transition metal in which the transition metal atoms can take a state of various valence or coordination numbers.",
"author_names": [
"Akihiro Watanabe",
"Hiroshi Chiba",
"Tomoyuki Kawashima",
"Katsuyoshi Washio"
],
"corpus_id": 137994153,
"doc_id": "137994153",
"n_citations": 5,
"n_key_citations": 0,
"score": 0,
"title": "Effect of vanadium doping on amorphization of ZnO thin films on c plane sapphire substrate",
"venue": "",
"year": 2016
},
{
"abstract": "Abstract Thin films of vanadium doped zinc oxide with different vanadium doping levels (0, 1, 2 and 3 at% were deposited on glass substrates by employing an inexpensive, simplified spray technique using at relatively low substrate temperature (460 degC) The effect of V doping on the structural, morphological and optical properties of the films was investigated by Mhamdi et al. (2013) The X ray diffraction analysis shows that the films were well crystallized in wurtzite phase with the crystallites preferentially oriented toward (0 0 2) direction parallel c axis. As also that the average particle size along the surface of the films decreases with increasing of concentration of vanadium. In this work we study the AC and DC conductivity and gas sensor application on ZnO:V thin films. The dielectric properties of ZnO:V thin films were studied by means of complex impedance spectroscopy and frequency dependence of conductivity measured from the impedance data at a range of frequency intervals between 10 Hz and 13 MHz with a temperature between 355 and 445 degC. The frequency dependence of the dielectric constant e' dielectric loss e' loss tangent (tan d) and AC electrical conductivity (sAC) of the layers was subsequently investigated. In literature, we have shown in previous papers that structural and surface morphology of ZnO thin films, prepared by spray, plays an important role in the gas detection mechanism. In this article, we have studied the response evolution of ZnO:V sensors ethanol versus time and working temperature, relative doping and the concentration of the ethanol vapor.",
"author_names": [
"Ammar Mhamdi",
"Ahmed Labidi",
"Ben Souissi",
"Massoud Kahlaoui",
"Ayse Yumak",
"Karem Boubaker",
"A Amlouk",
"Mosbah Amlouk"
],
"corpus_id": 135812366,
"doc_id": "135812366",
"n_citations": 39,
"n_key_citations": 0,
"score": 0,
"title": "Impedance spectroscopy and sensors under ethanol vapors application of sprayed vanadium doped ZnO compounds",
"venue": "",
"year": 2015
}
] |
Attractive nanofiber structure based | [
{
"abstract": "Abstract The support materials are an important part of catalysts and they are the dispersant, adhesive, and support for other components. In this study, three types of thin films with different structures were used as the precursor to prepare loading Pd catalysts. The one step dealloying method was used to prepare a semiconductor nanofiber film (NFF) support material with upward directional growth from the substrate. Pd/NFF and Pd/NiO/NFF loaded Pd catalysts were prepared using NFF as the support material, and the electrochemical catalytic properties for ethanol oxidation in alkaline media were studied. Cyclic votammetry showed that the NFF support material effectively inhibited agglomeration of palladium, increased the number of active sites of Pd, and improved the electrocatalytic properties of Pd for ethanol oxidation. In addition, the Pd/NiO/NFF loaded Pd catalyst obtained by modification with nickel oxide significantly improved the electrocatalytic performance for ethanol oxidation compared with the Pd/NFF loaded Pd catalyst. These results show that NiO is conductive to absorption of oxygen containing groups on the surface of the catalyst and can further improve the electrocatalytic ability of Pd for ethanol oxidation. All of the above results demonstrate that the support material prepared by the dealloying method has application prospects in load type catalysts.",
"author_names": [
"Qingzhuo Hu",
"Fabao Zhang",
"Jinjun Zhang",
"Wei Jiang",
"Binglei Zhang"
],
"corpus_id": 219923048,
"doc_id": "219923048",
"n_citations": 0,
"n_key_citations": 0,
"score": 1,
"title": "Attractive nanofiber structure based on Cu Ti/metal sandwich film with excellent electrocatalytic performance for ethanol oxidation",
"venue": "",
"year": 2020
},
{
"abstract": "Abstract Electrospun carbon nanofibers (CNFs) present an attractive application for energy storage devices. However, current CNFs suffer from poor graphitic structure. In this work, the graphitic structure was improved by adding a small amount of graphene oxide (GO) into water soluble phenolic resin to prepare flexible carbon nanofibers prior to processing. The obtained CNFs exhibit improved electrochemical performance compared with pristine carbon nanofibers. The flexible hybrid membranes with NiMoO 4 uniformly anchored give rise to a high specific capacitance (593 F g 1 at 1 A g 1 based on the mass of hybrid membranes) good rate performance (465 F g 1 at 10 A g 1 and intriguing cycling stability (95.4% after 2000 cycles) simultaneously. The symmetric solid state supercapacitor fabricated by using the as prepared hybrid membrane had a maximum energy density of 9.3 Wh kg 1 and a power density of 3430.6 W kg 1 It also possessed high rate capability of 92.4% with the current density ranging from 0.2 to 10 A g 1 as well as excellent cyclic lifetime over which 80.8% of the capacitance were maintained after 5000 cycles at 5 A g 1",
"author_names": [
"Xiaodong Tian",
"Xiao Li",
"Tao Yang",
"Kai Wang",
"Wang Hongbao",
"Yan Song",
"Zhanjun Liu",
"Quan-gui Guo",
"Chengmeng Chen"
],
"corpus_id": 104206733,
"doc_id": "104206733",
"n_citations": 16,
"n_key_citations": 0,
"score": 0,
"title": "Flexible carbon nanofiber mats with improved graphitic structure as scaffolds for efficient all solid state supercapacitor",
"venue": "",
"year": 2017
},
{
"abstract": "Monolithic honeycomb structures have been attractive to multidisciplinary fields due to their high strength to weight ratio. Particularly, microhoneycomb monoliths (MHMs) with micrometer scale channels are expected as efficient platforms for reactions and separations because of their large surface areas. Up to now, MHMs have been prepared by a unidirectional freeze drying (UDF) method only from very limited precursors. Herein, we report a protocol from which a series of MHMs consisting of different components can be obtained. Recently, we found that cellulose nanofibers function as a distinct structure directing agent towards the formation of MHMs through the UDF process. By mixing the cellulose nanofibers with water soluble substances which do not yield MHMs, a variety of composite MHMs can be prepared. This significantly enriches the chemical constitution of MHMs towards versatile applications.",
"author_names": [
"Zheng-Ze Pan",
"Hirotomo Nishihara",
"Wei Lv",
"Cong Wang",
"Yi Luo",
"Liubing Dong",
"Houfu Song",
"Wenjie Zhang",
"Feiyu Kang",
"Takashi Kyotani",
"Quan-hong Yang"
],
"corpus_id": 47010186,
"doc_id": "47010186",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Microhoneycomb Monoliths Prepared by the Unidirectional Freeze drying of Cellulose Nanofiber Based Sols: Method and Extensions.",
"venue": "Journal of visualized experiments JoVE",
"year": 2018
},
{
"abstract": "Recently, carbon nanomaterials are attractive for various applications owing to the benefits derived from their high electrical conductivity, chemical stability and large surface to volume ratio. However, the fabrication process of carbon nanomaterials is complicated and exhibits low productivity. Here we report the facile one pot synthesis of highly porous 1D carbon nanotubes based on three layered polymer nanofibers by using a dual nozzle co electrospinning technique to apply to an energy storage device. Specific capacitance (CG) of the porous carbon nanotube based electrode is 401 F g 1, which is larger than that of the other carbon nanomaterials. Furthermore, the porous carbon nanotube exhibits excellent rate capability and cycle stability due to micro /mesopores in the carbon structure enhancing the active surface area between carbon and the ions of the electrolytes. This unique fabrication technique is an effective approach for forming large scale highly porous carbon nanomaterials for diverse electrochemical applications.",
"author_names": [
"Jun Seop Lee",
"Jaemoon Jun",
"Sunghun Cho",
"Wooyoung Kim",
"Jyongsik Jang"
],
"corpus_id": 100164688,
"doc_id": "100164688",
"n_citations": 8,
"n_key_citations": 0,
"score": 0,
"title": "Electrospun three layered polymer nanofiber based porous carbon nanotubes for high capacity energy storage",
"venue": "",
"year": 2017
},
{
"abstract": "All cellulose composites are usually prepared by removing impurities and surface selective dissolution approach, which detract significantly from their environment friendly properties. In this paper, we report an environment friendly approach to fabricate all cellulose nanofiber composites from stack up bacterial cellulose (BC) hydrogels via self aggregation forces of the hydrogen bond by water based processing. Structural and mechanical properties of BC laminated composites have been investigated. The results indicated that BC composites possess the structure of all nanofibers, a tensile strength of 116 MPa and a storage modulus of 25 GPa. Additionally, the interfacial shear strength and the tensile strength of piece hot press BC demonstrate the strong self aggregation forces of BC nanofibers. Thus, BC laminated composites will be attractive in structural material.",
"author_names": [
"Zhao-qian Li",
"Xiaopeng Li",
"Junming Ren",
"Bo Wu",
"Qing Ping Luo",
"Xun Liu",
"Chonghua Pei"
],
"corpus_id": 211064077,
"doc_id": "211064077",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Robust All cellulose Nanofiber Composite from Stack up Bacterial Cellulose Hydrogels via Self aggregation Forces.",
"venue": "Journal of agricultural and food chemistry",
"year": 2020
},
{
"abstract": "Hybrid structure of TiO2 nanofiber and nanoparticle as a photoelectrode was very attractive in dye sensitized solar cells (DSCs) because TiO2 nanoparticle provided a high specific surface area to adsorb the N719 dye and TiO2 nanofiber was a direct path to transfer photoelectron from dye to electrode. TiO2 nanofiber film was prepared with titanium tetraisopropoxide (TTIP) and polyvinylpyrrolidone (PVP) based precursor by electro spinning process. To fabricate the hybrid structure, TiO2 nanoparticular paste was screen printed on the TiO2 nanofiber film. Electrospun TiO2 nanofiber film and screen printed TiO2 nanoparticular film were combined in layer by layer method. These films were observed as an anatase phase by X ray diffraction pattern. Thickness and diameter of TiO2 nanofibers were ~5mm and ~400nm, respectively. Thickness and particle size of TiO2 particles were ~5mm and ~20nm, respectively. Compared to conventional DSCs, higher short circuit current densities (Jsc) of 6.47 mA/cm2 and higher power conversion efficiency of 3.06 were measured in DSCs having hybrid structure of TiO2 nanofiber and nanoparticle. Electrochemical impedance spectroscopy (EIS) was observed to understand an electron transfer and life time.",
"author_names": [
"Ji Sun Kim",
"Seongbo Shim",
"Tae-Hwan Hwang",
"Won-Youl Choi"
],
"corpus_id": 211830891,
"doc_id": "211830891",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Hybrid Structure of TiO2 Nanofiber and Nanoparticle for Dye Sensitized Solar Cells",
"venue": "",
"year": 2014
},
{
"abstract": "Hybrid structure of TiO2 nanofiber and nanoparticle as a photoelectrode was very attractive in dye sensitized solar cells (DSCs) because TiO2 nanoparticle provided a high specific surface area to adsorb the N719 dye and TiO2 nanofiber was a direct path to transfer photoelectron from dye to electrode. TiO2 nanofiber film was prepared with titanium tetraisopropoxide (TTIP) and polyvinylpyrrolidone (PVP) based precursor by electro spinning process. To fabricate the hybrid structure, TiO2 nanoparticular paste was screen printed on the TiO2 nanofiber film. Electrospun TiO2 nanofiber film and screen printed TiO2 nanoparticular film were combined in layer by layer method. These films were observed as an anatase phase by X ray diffraction pattern. Thickness and diameter of TiO2 nanofibers were ~5mm and ~400nm, respectively. Thickness and particle size of TiO2 particles were ~5mm and ~20nm, respectively. Compared to conventional DSCs, higher short circuit current densities (Jsc) of 6.47 mA/cm2 and higher power conversion efficiency of 3.06 were measured in DSCs having hybrid structure of TiO2 nanofiber and nanoparticle. Electrochemical impedance spectroscopy (EIS) was observed to understand an electron transfer and life time.",
"author_names": [
"Ji Sun Kim",
"Seongbo Shim",
"Tae-Hwan Hwang",
"Won-Youl Choi"
],
"corpus_id": 135900594,
"doc_id": "135900594",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Hybrid Structure of TiO2 Nanofiber and Nanoparticle for Dye Sensitized Solar Cells",
"venue": "",
"year": 2014
},
{
"abstract": "Abstract A flexible carbon nanofiber membrane (NFM) containing uniformly dispersed MoO2 nanoparticles is facilely fabricated via electrospinning and subsequent controlled reduction carbonization. This NFM is used directly as a binder free anode in lithium ion batteries (LIBs) The self standing carbon/MoO2 (C/MoO2) NFM electrode has a good capacity retention, a satisfactory rate capability and a high reversible capacity of 811 mAh g 1 after 100 cycles at a current density of 100 mA g 1, which is superior to most other MoO2 based anodes. The superior electrochemical properties of the resultant C/MoO2 NFM are attributed to the cooperative effects from the excellent conductivity of the carbon nanofiber matrix, the high electrochemical performance of MoO2, the unique one dimensional nanofiber structure and the three dimensional porous network structure. The present C/MoO2 NFM may be an attractive candidate as an anode material for LIBs.",
"author_names": [
"Jun Xiang",
"Zhipeng Wu",
"Xueke Zhang",
"Shan-shan Yao"
],
"corpus_id": 102557410,
"doc_id": "102557410",
"n_citations": 11,
"n_key_citations": 0,
"score": 0,
"title": "Enhanced electrochemical performance of an electrospun carbon/MoO2 composite nanofiber membrane as self standing anodes for lithium ion batteries",
"venue": "",
"year": 2018
},
{
"abstract": "Nano scale materials can be designed to exhibit novel and significantly improved physical and chemical properties. Polymer nanofibers, an important class of nano materials, have attracted increasing attention in the last 10 years because of their high surface to mass (or volume) ratio and special characteristics attractive for advanced applications. In particular, electro spun nanofiber membranes (ENMs) have high porosity, interconnected open pore structure and tailorable membrane thickness. Moreover, their high surface hydrophobicity makes them suitable for membrane distillation (MD) In this paper, recent progresses are reviewed on the preparation of different types of nanofibers with different secondary nanostructures, including hollow nanofibers. Applications of hollow nanofibers for membrane separation processes are then discussed based on an imaginary design of hollow nanofiber module. Application of ENMs in MD is, on the other hand, not a product of imagination but experiments have already been conducted in various laboratories, as reviewed in this paper. In particular, the experimental results obtained in our laboratory for desalination of saline water and gases stripping of VOCs are highlighted. By using an electrospun PVDF nanofiber membrane, saline water with NaCl content of 6% was successfully desalinated for more than 20 days with no indication of membrane wetting. The PVDF ENM could also be successfully used in gas stripping of chloroform, representing VOCs, with mass transfer coefficients higher than hollow fiber membranes. It is expected that MD by ENMs can be applied for many other purposes such as waste water treatment, food processing, and treatment of pharmaceutical products.",
"author_names": [
"C Feng",
"Kailash Chandra Khulbe",
"Takeshi Matsuura",
"Shahram Tabe",
"Ahmad Fauzi Ismail"
],
"corpus_id": 98720238,
"doc_id": "98720238",
"n_citations": 192,
"n_key_citations": 2,
"score": 0,
"title": "Preparation and characterization of electro spun nanofiber membranes and their possible applications in water treatment",
"venue": "",
"year": 2013
},
{
"abstract": "Carbon nanofibers (CNFs) with typical diameters of approximately 80 nm and lengths of the order of micrometers, are extremely attractive in bioanalytical area as they can combine properties of high surface area, non toxicity, acceptable biocompatibility, ease of fabrication, chemical and electrochemical stability, good electrical conductivity. In this work, CNF based composites were successfully used as an immobilization matrix for the construction of a reagentless mediator free hemoglobin based H2O2 biosensor. The results revealed that hemoglobin retained its essential secondary structure in the CNF based composite film. With the advantages of organic inorganic hybrid materials, dramatically facilitated direct electron transfer of hemoglobin and good bioelectrocatalytic activity towards H2O2 were demonstrated. The biosensor displayed good performance along with good long term stability. The CNF based composites were proved to be a promising biosensing platform for the construction of mediator free biosensors, and may find wide potential applications in biosensors, biocatalysis, bioelectronics and biofuel cell.",
"author_names": [
"Xianbo Lu",
"Jianhua Zhou",
"Wu Lu",
"Qing Liu",
"Jinghong Li"
],
"corpus_id": 2730710,
"doc_id": "2730710",
"n_citations": 149,
"n_key_citations": 4,
"score": 0,
"title": "Carbon nanofiber based composites for the construction of mediator free biosensors.",
"venue": "Biosensors bioelectronics",
"year": 2008
}
] |
green light emitting diodes | [
{
"abstract": "CONJUGATED polymers are organic semiconductors, the semiconducting behaviour being associated with the p molecular orbitals delocalized along the polymer chain. Their main advantage over non polymeric organic semiconductors is the possibility of processing the polymer to form useful and robust structures. The response of the system to electronic excitation is nonlinear the injection of an electron and a hole on the conjugated chain can lead to a self localized excited state which can then decay radiatively, suggesting the possibility of using these materials in electroluminescent devices. We demonstrate here that poly(p phenylene vinylene) prepared by way of a solution processable precursor, can be used as the active element in a large area light emitting diode. The combination of good structural properties of this polymer, its ease of fabrication, and light emission in the green yellow part of the spectrum with reasonably high efficiency, suggest that the polymer can be used for the development of large area light emitting displays.",
"author_names": [
"Jeremy H Burroughes",
"Donal D C Bradley",
"A Robinson Brown",
"R N Marks",
"K Mackay",
"Richard H Friend",
"P L Burns",
"Andrew B Holmes"
],
"corpus_id": 43158308,
"doc_id": "43158308",
"n_citations": 7621,
"n_key_citations": 18,
"score": 0,
"title": "Light emitting diodes based on conjugated polymers",
"venue": "Nature",
"year": 1990
},
{
"abstract": "Solid state light emitting devices based on direct bandgap semiconductors have, over the past two decades, been utilized as energy efficient sources of lighting. However, fabrication of these devices typically relies on expensive high temperature and high vacuum processes, rendering them uneconomical for use in large area displays. Here, we report high brightness light emitting diodes based on solution processed organometal halide perovskites. We demonstrate electroluminescence in the near infrared, green and red by tuning the halide compositions in the perovskite. In our infrared device, a thin 15 nm layer of CH3NH3PbI(3 x)Cl(x) perovskite emitter is sandwiched between larger bandgap titanium dioxide (TiO2) and poly(9,9' dioctylfluorene) (F8) layers, effectively confining electrons and holes in the perovskite layer for radiative recombination. We report an infrared radiance of 13.2 W sr( 1) m( 2) at a current density of 363 mA cm( 2) with highest external and internal quantum efficiencies of 0.76% and 3.4% respectively. In our green light emitting device with an ITO/PEDOT:PSS/CH3NH3PbBr3/F8/Ca/Ag structure, we achieved a luminance of 364 cd m( 2) at a current density of 123 mA cm( 2) giving external and internal quantum efficiencies of 0.1% and 0.4% respectively. We show, using photoluminescence studies, that radiative bimolecular recombination is dominant at higher excitation densities. Hence, the quantum efficiencies of the perovskite light emitting diodes increase at higher current densities. This demonstration of effective perovskite electroluminescence offers scope for developing this unique class of materials into efficient and colour tunable light emitters for low cost display, lighting and optical communication applications.",
"author_names": [
"Zhi-Kuang Tan",
"Reza Saberi Moghaddam",
"May Ling Lai",
"Pablo Docampo",
"Ruben Higler",
"Felix Deschler",
"Michael B Price",
"Aditya Sadhanala",
"Luis M Pazos",
"Dan Credgington",
"Fabian C Hanusch",
"Thomas Bein",
"Henry J Snaith",
"Richard H Friend"
],
"corpus_id": 19458326,
"doc_id": "19458326",
"n_citations": 2548,
"n_key_citations": 7,
"score": 0,
"title": "Bright light emitting diodes based on organometal halide perovskite.",
"venue": "Nature nanotechnology",
"year": 2014
},
{
"abstract": "Metal halide perovskite materials are an emerging class of solution processable semiconductors with considerable potential for use in optoelectronic devices1 3. For example, light emitting diodes (LEDs) based on these materials could see application in flat panel displays and solid state lighting, owing to their potential to be made at low cost via facile solution processing, and could provide tunable colours and narrow emission line widths at high photoluminescence quantum yields4 8. However, the highest reported external quantum efficiencies of green and red light emitting perovskite LEDs are around 14 per cent7,9 and 12 per cent8, respectively still well behind the performance of organic LEDs10 12 and inorganic quantum dot LEDs13. Here we describe visible light emitting perovskite LEDs that surpass the quantum efficiency milestone of 20 per cent. This achievement stems from a new strategy for managing the compositional distribution in the device an approach that simultaneously provides high luminescence and balanced charge injection. Specifically, we mixed a presynthesized CsPbBr3 perovskite with a MABr additive (where MA is CH3NH3) the differing solubilities of which yield sequential crystallization into a CsPbBr3/MABr quasi core/shell structure. The MABr shell passivates the nonradiative defects that would otherwise be present in CsPbBr3 crystals, boosting the photoluminescence quantum efficiency, while the MABr capping layer enables balanced charge injection. The resulting 20.3 per cent external quantum efficiency represents a substantial step towards the practical application of perovskite LEDs in lighting and display.A strategy for managing the compositional distribution in metal halide perovskite light emitting diodes enables them to surpass 20% external quantum efficiency a step towards their practical application in lighting and displays.",
"author_names": [
"Kebin Lin",
"Jun Xing",
"Li Na Quan",
"F Pelayo Garcia Arquer",
"Xiwen Gong",
"Jianxun Lu",
"Liqiang Xie",
"Weijie Zhao",
"Di Zhang",
"Chuanzhong Yan",
"Wenqiang Li",
"Xinyi Liu",
"Yan Lu",
"Jeffrey Kirman",
"Edward H Sargent",
"Qihua Xiong",
"Zhanhua Wei"
],
"corpus_id": 52958604,
"doc_id": "52958604",
"n_citations": 1303,
"n_key_citations": 4,
"score": 0,
"title": "Perovskite light emitting diodes with external quantum efficiency exceeding 20 per cent",
"venue": "Nature",
"year": 2018
},
{
"abstract": "Optimization of internal quantum efficiency (IQE) for InGaN quantum wells (QWs) light emitting diodes (LEDs) is investigated. Staggered InGaN QWs with large electron hole wavefunction overlap and improved radiative recombination rate are investigated for nitride LEDs application. The effect of interface abruptness in staggered InGaN QWs on radiative recombination rate is studied. Studies show that the less interface abruptness between the InGaN sub layers will not affect the performance of the staggered InGaN QWs detrimentally. The growths of linearly shaped staggered InGaN QWs by employing graded growth temperature grading are presented. The effect of current injection efficiency on IQE of InGaN QWs LEDs and other approaches to reduce dislocation in InGaN QWs LEDs are also discussed. The optimization of both radiative efficiency and current injection efficiency in InGaN QWs LEDs are required for achieving high IQE devices emitting in the green spectral regime and longer.",
"author_names": [
"Hongping Zhao",
"Guangyu Liu",
"Jing Zhang",
"Jonathan D Poplawsky",
"Volkmar Dierolf",
"Nelson Tansu"
],
"corpus_id": 12434309,
"doc_id": "12434309",
"n_citations": 508,
"n_key_citations": 7,
"score": 0,
"title": "Approaches for high internal quantum efficiency green InGaN light emitting diodes with large overlap quantum wells.",
"venue": "Optics express",
"year": 2011
},
{
"abstract": "Considerable effort is being expended on the development of efficient silicon light emitting devices compatible with silicon based integrated circuit technology. Although several approaches are being explored, all presently suffer from low emission efficiencies, with values in the 0.01 0.1% range regarded as high. Here we report a large increase in silicon light emitting diode power conversion efficiency to values above 1% near room temperature close to the values of representative direct bandgap emitters of a little more than a decade ago. Our devices are based on normally weak one and two phonon assisted sub bandgap light emission processes. Their design takes advantage of the reciprocity between light absorption and emission by maximizing absorption at relevant sub bandgap wavelengths while reducing the scope for parasitic non radiative recombination within the diode. Each feature individually is shown to improve the emission efficiency by a factor of ten, which accounts for the improvement by a factor of one hundred on the efficiency of baseline devices.",
"author_names": [
"Martin A Green",
"Jianhua Zhao",
"Aihua Wang",
"Peter J Reece",
"Michael Gal"
],
"corpus_id": 283521,
"doc_id": "283521",
"n_citations": 479,
"n_key_citations": 5,
"score": 0,
"title": "Efficient silicon light emitting diodes",
"venue": "Nature",
"year": 2001
},
{
"abstract": "High brightness InGaN/AlGaN double heterostructure blue green light emitting diodes with a luminous intensity of 2 cd were fabricated by increasing an indium mole fraction of the InGaN active layer up to 0.23. Both Zn and Si were codoped into the InGaN active layer to afford relatively stronger luminescence. The blue green emission intensity of room temperature photoluminescence became maximum when the electron carrier concentration of the InGaN active layer was around 1x1019 cm 3. Donor acceptor pair recombination is a dominant emission mechanism of the InGaN active layer. The external quantum efficiency was as high as 2.4% at a forward current of 20 mA at room temperature. The peak wavelength and the full width at half maximum of the electroluminescence were 500 and 80 nm, respectively.",
"author_names": [
"Shuji Nakamura",
"Takashi Mukai",
"Masayuki Senoh"
],
"corpus_id": 119772541,
"doc_id": "119772541",
"n_citations": 376,
"n_key_citations": 2,
"score": 0,
"title": "High brightness InGaN/AlGaN double heterostructure blue green light emitting diodes",
"venue": "",
"year": 1994
},
{
"abstract": "Perovskite light emitting diodes (LEDs) are attracting great attention due to their efficient and narrow emission. Quasi two dimensional perovskites with Ruddlesden Popper type layered structures can enlarge exciton binding energy and confine charge carriers and are considered good candidate materials for efficient LEDs. However, these materials usually contain a mixture of phases and the phase impurity could cause low emission efficiency. In addition, converting three dimensional into quasi two dimensional perovskite introduces more defects on the surface or at the grain boundaries due to the reduction of crystal sizes. Both factors limit the emission efficiency of LEDs. Here, firstly, through composition and phase engineering, optimal quasi two dimensional perovskites are selected. Secondly, surface passivation is carried out by coating organic small molecule trioctylphosphine oxide on the perovskite thin film surface. Accordingly, green LEDs based on quasi two dimensional perovskite reach a current efficiency of 62.4 cd A 1 and external quantum efficiency of 14.36%.Solution processable halide perovskites have high luminous efficiency and excellent chemical tunability, making them ideal candidates for light emitting diodes. Here Yang et al. achieve high external quantum efficiency of 14% in the devices by fine tuning the phase and passivating the surface defects.",
"author_names": [
"Xiaolei Yang",
"Xingwang Zhang",
"Jinxiang Deng",
"Zema Chu",
"Qi Jiang",
"Junhua Meng",
"Pengyan Wang",
"Liu Qi Zhang",
"Zhigang Yin",
"Jingbi You"
],
"corpus_id": 52853011,
"doc_id": "52853011",
"n_citations": 442,
"n_key_citations": 2,
"score": 1,
"title": "Efficient green light emitting diodes based on quasi two dimensional composition and phase engineered perovskite with surface passivation",
"venue": "Nature Communications",
"year": 2018
},
{
"abstract": "A series of highly emissive three coordinate copper(I) complexes, (dtpb)Cu(I)X [X Cl (1) Br (2) I (3) dtpb =1,2 bis(o ditolylphosphino)benzene] were synthesized and investigated in prototype organic light emitting diodes (OLEDs) 1 3 showed excellent photoluminescent performance in both degassed dichloromethane solutions [quantum yield (Ph) 0.43 0.60; lifetime (t) 4.9 6.5 ms] and amorphous films (Ph 0.57 0.71; t 3.2 6.1 ms) Conventional OLEDs containing 2 in the emitting layer exhibited bright green luminescence with a current efficiency of 65.3 cd/A and a maximum external quantum efficiency of 21.3%",
"author_names": [
"Masashi Hashimoto",
"Satoshi Igawa",
"Masataka Yashima",
"Isao Kawata",
"Mikio Hoshino",
"Masahisa Osawa"
],
"corpus_id": 13002982,
"doc_id": "13002982",
"n_citations": 320,
"n_key_citations": 0,
"score": 0,
"title": "Highly efficient green organic light emitting diodes containing luminescent three coordinate copper(I) complexes.",
"venue": "Journal of the American Chemical Society",
"year": 2011
},
{
"abstract": "Organic light emitting diodes (OLEDs) based on thermally activated delayed fluorescence (TADF) materials are promising for the realization of highly efficient light emitters. However, such devices have so far suffered from efficiency roll off at high luminance. Here, we report the design and synthesis of two diboron based molecules, CzDBA and tBuCzDBA, which show excellent TADF properties and yield efficient OLEDs with very low efficiency roll off. These donor acceptor donor (D A D) type and rod like compounds concurrently generate TADF with a photoluminescence quantum yield of ~100% and an 84% horizontal dipole ratio in the thin film. A green OLED based on CzDBA exhibits a high external quantum efficiency of 37.8 0.6% a current efficiency of 139.6 2.8 cd A 1 and a power efficiency of 121.6 3.1 lm W 1 with an efficiency roll off of only 0.3% at 1,000 cd m 2. The device has a peak emission wavelength of 528 nm and colour coordinates of the Commission International de l'Eclairage (CIE) of (0.31, 0.61) making it attractive for colour display applications.Organic light emitting diodes featuring the compounds CzDBA and tBuCzBDA are shown to not only offer high external quantum efficiency, but also minimal reduction in performance at high brightness.",
"author_names": [
"Tien-Lin Wu",
"Min-Jie Huang",
"Chih-Chun Lin",
"Pei-Yun Huang",
"Tsu-Yu Chou",
"Ren-Wu Chen-Cheng",
"Hao-Wu Lin",
"Rai-Shung Liu",
"Chien-Hong Cheng"
],
"corpus_id": 125811738,
"doc_id": "125811738",
"n_citations": 331,
"n_key_citations": 0,
"score": 0,
"title": "Diboron compound based organic light emitting diodes with high efficiency and reduced efficiency roll off",
"venue": "",
"year": 2018
},
{
"abstract": "InGaN GaN multiquantum well (MQW) blue and green light emitting diodes (LEDs) were prepared by organometallic vapor phase epitaxy, and the properties of these LEDs were evaluated by photoluminescence (PL) double crystal X ray diffraction, and electroluminescence (EL) measurements. It was found that there were only small shifts observed in PL and EL peak positions of the blue MQW LEDs when the number of quantum well (QW) increased. However, significant shifts in PL and EL peak positions were observed in green MQW LEDs when the number of QW increased. It was also found that there was a large blue shift in EL peak position under high current injection in blue MQW LEDs. However, the blue shift in green MQW LEDs was negligibly small when the injection current was large. These observations could all be attributed to the rapid relaxation in green MQW LEDs since the In composition ratio in the InGaN well was high for the green MQW LEDs. The forward voltage V/sub f/ of green MQW LEDs was also found to be larger than that of blue MQW LEDs due to the same reason.",
"author_names": [
"Shoou Jinn Chang",
"Wei-Chih Lai",
"Yan Kuin Su",
"Jiann-Fuh Chen",
"C H Liu",
"Uang Heay Liaw"
],
"corpus_id": 123218364,
"doc_id": "123218364",
"n_citations": 236,
"n_key_citations": 2,
"score": 0,
"title": "InGaN GaN multiquantum well blue and green light emitting diodes",
"venue": "",
"year": 2002
}
] |
loop reflect mirror | [
{
"abstract": "In this paper, the development of loop mirror multi wavelength Brillouin fiber laser utilizing semiconductor optical amplifier and fiber Bragg grating is successfully demonstrated. A multi wavelength BFL structure employs a single mode fiber that acts as the gain medium, while the fiber Bragg grating act to reflect the signals and semiconductor optical amplifier is used to amplify the signal are employed to produce multiple channels. The implementation of difference parameter for semiconductor optical amplifier driven current, Brillouin pump power, Brillouin pump wavelength and length of single mode fiber, plays an important role in producing the multiple channels. The highest number of channels which is 15 achieved once 9 km of SMF length, semiconductor optical amplifier current at 800mA and low Brillouin pump power at 12 dBm are utilized in the laser structure. Furthermore, the implementation of semiconductor optical amplifier and reflectivity of fiber Bragg grating in Brillouin fiber laser structure gives a better performance in producing the multiple channels.",
"author_names": [
"N A Idris",
"Nor Azura Malini Ahmad Hambali",
"Mohamad Halim Abd Wahid",
"Nurul Assikin Ariffin",
"Mukhzeer Mohamad Shahimin",
"M A M Azidin"
],
"corpus_id": 55744203,
"doc_id": "55744203",
"n_citations": 1,
"n_key_citations": 0,
"score": 1,
"title": "Loop Mirror Multi wavelength Brillouin Fiber Laser Utilizing Semiconductor Optical Amplifier and Fiber Bragg Grating",
"venue": "",
"year": 2017
},
{
"abstract": "We use a fibre loop mirror to reflect the residual pump power (RPP) into the fibre for solving the problems of the RPP in Raman amplifiers. The experimental results show that the novel bi directional pumping scheme without adding extra pump lasers is effective, and higher gain and lower noise are obtained for both the distributed and the discrete Raman amplifiers.",
"author_names": [
"Chi Rong-Hua",
"Lu Kecheng",
"Yun Peng",
"Li Yi-gang",
"Dong Xiao-yi",
"Chen Wen-Zhao",
"Yang Guang-Ming",
"Li Zhao-bing"
],
"corpus_id": 123443335,
"doc_id": "123443335",
"n_citations": 2,
"n_key_citations": 0,
"score": 1,
"title": "Performance Improvement of Distributed and Discrete Raman Amplifiers with a Fibre Loop Mirror",
"venue": "",
"year": 2003
},
{
"abstract": "We use a fibre loop mirror to reflect the residual pump power (RPP) into the fibre for solving the problems of the RPP in Raman amplifiers. The experimental results show that the novel hi directional pumping scheme without adding extra pump lasers is effective, and higher gain and lower noise are obtained for both the distributed and the discrete Raman amplifiers.",
"author_names": [
""
],
"corpus_id": 108791544,
"doc_id": "108791544",
"n_citations": 0,
"n_key_citations": 0,
"score": 1,
"title": "Performance Improvement of Distributed and Discrete Raman Amplifiers with a Fibre Loop Mirror",
"venue": "",
"year": 2003
},
{
"abstract": "We experimentally demonstrate a micro Sagnac loop beat wave interferometer by twisting a micro tapered fiber with a diameter of the wavelength scale. The polarization dependent coupling for the guided lights occurs at the interknitted region repeatedly while the loop serves as a mirror to reflect the guided lights. A longer interaction length of the interknitted region can lead to a narrower free spectral range. The high order modes can be excited under a tightly twisted condition and the wavelength beating phenomenon can be more explicitly obtained after the multi cycle coupling.",
"author_names": [
"Nan-Kuang Chen",
"G J Cheng",
"Zhao-ying Chen"
],
"corpus_id": 33498517,
"doc_id": "33498517",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Micro Sagnac Loop Beat Wave Interferometer Based on High Order Modes Coupling in Tightly Twisted Micro Fiber Tapers",
"venue": "IEEE Photonics Technology Letters",
"year": 2013
},
{
"abstract": "We demonstrate an efficient double pass L band erbium doped fiber amplifier (EDFA) incorporating chirped fiber Bragg grating (CFBG) The amplifier structure exploits the characteristics of CFBG to reflect the amplified signal back into the gain medium, filter out the recycled forward amplified spontaneous emission and block the residual 1480 nm pump power. The amplifier configuration has high gain and low noise figures as compared to double pass EDFA using broadband mirror. The demonstrated amplifier has gain of more than 48 dB and low noise figure of less than 4 dB at low input signal power of 40 dBm.",
"author_names": [
"M Haleem",
"Mohammed Hayder Al-Mansoori",
"M Z Jamaludin",
"Fairuz Abdullah",
"Norashidah Md Din"
],
"corpus_id": 122871042,
"doc_id": "122871042",
"n_citations": 9,
"n_key_citations": 0,
"score": 0,
"title": "High gain double pass L band EDFA with dispersion compensation as feedback loop",
"venue": "",
"year": 2011
},
{
"abstract": "Fermi's theory of the motion of charged particles in a magnetic mirror has existed for several decades, often being cited and used in further studies in the field. The theory builds on the ability of astronomical bodies in space to generate a converging magnetic field, which simultaneously increases in strength. A particle colliding with such a field will either pass through or reflect back, depeding on the angle of attack i.e. from which direction it approaches the mirror. The theory also covers how particles can enter a loop between two such magnetic mirrors, bouncing back and forth. If these mirrors are advancing towards each other each collision slightly increasing the energy of the particle. This theorized phenomenon is also used to explain the observed exponential correlation between energy level and frequency of particle impacts on earth. This study examines the path of a particle when colliding with a simulized, generalized, magnetic mirror. It shows the path the particle takes during the reflection or while passing through. While past research exists on this topic, it has been done on mathematically proving the reflection effect. This study provides a novel model for showing the exact path of the particle up until, and even after the actual reflection, by providing a working computer simulation model. The model is both extendable and scalable for future researchon phenomena related to reflection, as well as for more complex simulations.",
"author_names": [
"Eyvind Niklasson"
],
"corpus_id": 202894096,
"doc_id": "202894096",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Modeling the Path of a Charged Particle in a Magnetic Mirror",
"venue": "",
"year": 2012
},
{
"abstract": "Most embed control system is working on the coordinates measurement and trajectory to track an object in the space need to a particular type of controller include specific algorithm. In this paper we explore designing optoelectronic system for intelligent and exact controlling of laser beam path depend on position sensor detector(PSD) in This paper presents a precise control system using a new and modern algorithm with a closed loop system to determine the ideal position of the laser beam path for measuring process, laser source is stable and fixed. We need to used mirrors to reflect ray to the laser beam path. It rotating by hybrid stepper motors. Field Oriented Control (FOC) method is presented to demonstrate effectiveness. For real time embedded controller. The system itself defines the situation and angle of each mirror. Series of experiments has been conducted to realize better transient response specifications.",
"author_names": [
"Nihad Abdalla",
"Shi Liu",
"Ahmed Abdelrahim"
],
"corpus_id": 213183142,
"doc_id": "213183142",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Laser Beam Path Control Using a PSD Fuzzy Controller",
"venue": "2019 4th International Conference on Power and Renewable Energy (ICPRE)",
"year": 2019
},
{
"abstract": "Abstract This work establishes a computationally efficient model of temperature and diffusion dynamics in ball milled bimetallic Ni Al particulates. Mechanical contact conditions between adjacent bimetallic domains and temperature fields generated upon impact define the diffusive fluxes through the domains' interfaces. The concentration distributions are studied via Green's function methods, by defining mirror images which reflect the boundary diffusive resistance conditions. Predictions of the model are validated against experimental open loop scanning electron micrographs and X ray diffraction spectra at steady state. Dynamic models of diffusion saturation and internal temperature are developed for the design of closed loop controller via simulation. This feedback controller is implemented on a laboratory device, equipped with infrared thermometry for external vial temperature measurement, as a self tuning regulator. The controller adapts an efficiency parameter of the model that thus serves as a real time observer for internal temperature and diffusion saturation, which are physically inaccessible during processing. Experimental open loop results are employed to set thresholds of diffusion penetration to avoid early exothermic reaction during fabrication. By controlling the process duration, the regulation system successfully reproduces the material composition of the open loop reference tests, to ensure desired thermodynamic properties of the ball milled products and safety of the operation.",
"author_names": [
"Matteo Aureli",
"Constantine C Doumanidis",
"I Emre Gunduz",
"Aseel Hussien",
"Yiliang Liao",
"Nikolaos Kostoglou",
"Claus Rebholz",
"Charalabos C Doumanidis"
],
"corpus_id": 139339968,
"doc_id": "139339968",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "Bimetallic diffusion modeling and temperature regulation during ball milling",
"venue": "",
"year": 2018
},
{
"abstract": "Methods for adjusting dither amplitude for MEMS mirrors in optical switches and optical switches employing such a method are disclosed. A dither amplitude of one or more MEMS mirrors may be adjusted in an optical switch having an input port, and an array of one or more MEMS mirrors that can be selectively optically coupled to one or more of N=3 optical input/output (I/O) ports. The MEMS mirrors are aligned mirrors to achieve nominal peak coupling at each of the N collimators. Digital to analog (DAC) settings for positioning mirrors in an open control loop as a function of the selected collimator are stored to a non volatile memory. The DAC settings are used to determine a dither amplitude DITHER(x) for one of the MEMS mirrors positioned to couple optical signals to an output port at a position x. The optical switch apparatus may comprise N=3 optical input/output (I/O) ports, an array of one or more MEMS mirrors that can selectively reflect one or more spectral channels to one or more of the N ports, and a servo control assembly in communication with said one or more of the MEMS mirrors. The servo control assembly includes memory containing digital to analog converter (DAC) settings for positioning each mirror in an open control loop as a function of a port position x. The servo control assembly is programmed to adjust dither amplitude of one or more of the MEMS mirrors using the stored DAC settings.",
"author_names": [
""
],
"corpus_id": 116424088,
"doc_id": "116424088",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Method of automatic adjustment of dither amplitude of MEMS mirror arrays",
"venue": "",
"year": 2006
},
{
"abstract": "This paper presents the development of a simplified and automatic heliostat positioning offset correction control system using artificial vision techniques and common CCD devices. The heliostats of a solar power plant reflect solar radiation onto a receiver (in this case, a volumetric receiver) placed at the top of a tower in order to provide a desired energy flux distribution correlated with the coolant flow (in this case air mass flow) through the receiver, usually in an open loop control configuration. There exist error sources that increase the complexity of the control system, some of which are systematic ones, mainly due to tolerances, wrong mirror facets alignment (optical errors) errors due to the approximations made when calculating the solar position, etc. that produce errors (offsets) in the heliostat orientation (aiming point) The approximation adopted in this paper is based on the use of a B/W CCD camera to correct these deviations in an automatic way imitating the same procedure followed by the operators. The obtained images are used to estimate the distance between the sunbeam centroid projected by the heliostats and a target placed on the tower, this distance thus is used for low accuracy offset correction purposes. Basic threshold based image processing techniques are used for automatic correction.",
"author_names": [
"Manuel Berenguel",
"Francisco R Rubio",
"A Valverde",
"P Lara",
"Manuel Ruiz Arahal",
"Eduardo Fernandez Camacho",
"Marco A Lopez"
],
"corpus_id": 121428489,
"doc_id": "121428489",
"n_citations": 113,
"n_key_citations": 5,
"score": 0,
"title": "An artificial vision based control system for automatic heliostat positioning offset correction in a central receiver solar power plant",
"venue": "",
"year": 2004
}
] |
Coherent branched flow in a two-dimensional electron gas | [
{
"abstract": "Semiconductor nanostructures based on two dimensional electron gases (2DEGs) could form the basis of future devices for sensing, information processing and quantum computation. Although electron transport in 2DEG nanostructures has been well studied, and many remarkable phenomena have already been discovered (for example, weak localization, quantum chaos, universal conductance fluctuations) fundamental aspects of the electron flow through these structures have so far not been clarified. However, it has recently become possible to image current directly through 2DEG devices using scanning probe microscope techniques. Here, we use such a technique to observe electron flow through a narrow constriction in a 2DEG a quantum point contact. The images show that the electron flow from the point contact forms narrow, branching strands instead of smoothly spreading fans. Our theoretical study of this flow indicates that this branching of current flux is due to focusing of the electron paths by ripples in the background potential. The strands are decorated by interference fringes separated by half the Fermi wavelength, indicating the persistence of quantum mechanical phase coherence in the electron flow. These findings may have important implications for a better understanding of electron transport in 2DEGs and for the design of future nanostructure devices.",
"author_names": [
"Mark A Topinka",
"Brian J LeRoy",
"Robert M Westervelt",
"Scot E J Shaw",
"Ragnar Fleischmann",
"Eric J Heller",
"Kevin D Maranowski",
"Arthur C Gossard"
],
"corpus_id": 4423605,
"doc_id": "4423605",
"n_citations": 276,
"n_key_citations": 3,
"score": 1,
"title": "Coherent branched flow in a two dimensional electron gas",
"venue": "Nature",
"year": 2001
},
{
"abstract": "Semiconductor nanostructures based on two dimensional electron gases (2DEGs) have the potential to provide new approaches to sensing, information processing, and quantum computation. Much is known about electron transport in 2DEG nanostructures and many remarkable phenomena have been discovered (e.g. weak localization, quantum chaos, universal conductance fluctuations)1,2 yet a fundamental aspect of these devices, namely how electrons move through them, has never been clarified. Important details about the actual pattern of electron flow are not specified by statistical measures such as the mean free path. Scanned probe microscope (SPM) measurements allow spatial investigations of nanostructures, and it has recently become possible to directly image electron flow through 2DEG devices using newly developed SPM techniques3 13. Here we present SPM images of electron flow from a quantum point contact (QPC) which show unexpected dynamical channeling the electron flow forms persistent, narrow, branching channels rather than smoothly spreading fans. Theoretical study of this flow, including electron scattering by impurities and donor atoms, shows that the channels are not due to deep valleys in the potential, but rather are caused by the indirect cumulative effect of small angle scattering. Surprisingly, the channels are decorated by interference fringes well beyond where the simplest thermal averaging arguments suggest they should be found. These findings may have important implications for 2DEG physics and for the design of future nanostructure devices.",
"author_names": [
""
],
"corpus_id": 118905128,
"doc_id": "118905128",
"n_citations": 82,
"n_key_citations": 5,
"score": 0,
"title": "Coherent Branched Flow in a Two Dimensional Electron Gas",
"venue": "",
"year": 2000
},
{
"abstract": "We find a uniform semiclassical (SC) wave function describing coherent branched flow through a two dimensional electron gas (2DEG) a phenomenon recently discovered by direct imaging of the current using scanned probed microscopy[1, 2] The formation of branches has been explained by classical arguments[2] but the SC simulations necessary to account for the coherence are made difficult by the proliferation of catastrophes in the phase space. In this paper, expansion in terms of \"replacement manifolds\" is used to find a uniform SC wave function for a cusp singularity. The method is then generalized and applied to calculate uniform wave functions for a quantum map model of coherent flow through a 2DEG. Finally, the quantum map approximation is dropped and the method is shown to work for a continuous time model as well.",
"author_names": [
"Jiri Vanicek",
"Eric J Heller"
],
"corpus_id": 221806640,
"doc_id": "221806640",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "C D 3 0 A ug 2 00 2 Uniform semiclassical wave function for coherent 2 D electron flow",
"venue": "",
"year": 2020
},
{
"abstract": "We find a uniform semiclassical (SC) wave function describing coherent branched flow through a two dimensional electron gas (2DEG) a phenomenon recently discovered by direct imaging of the current using scanned probed microscopy [M.A. Topinka, B.J. LeRoy, S.E.J. Shaw, E.J. Heller, R.M. Westervelt, K.D. Maranowski, and A.C. Gossard, Science 289, 2323 (2000) The formation of branches has been explained by classical arguments [M.A. Topinka, B.J. LeRoy, R.M. Westervelt, S.E.J. Shaw, R. Fleischmann, E.J. Heller, K.D. Maranowski, and A.C. Gossard, Nature (London) 410, 183 (2001) but the SC simulations necessary to account for the coherence are made difficult by the proliferation of catastrophes in the phase space. In this paper, expansion in terms of \"replacement manifolds\" is used to find a uniform SC wave function for a cusp singularity. The method is then generalized and applied to calculate uniform wave functions for a quantum map model of coherent flow through a 2DEG. Finally, the quantum map approximation is dropped and the method is shown to work for a continuous time model as well.",
"author_names": [
"Jiri Vanicek",
"Eric J Heller"
],
"corpus_id": 39468529,
"doc_id": "39468529",
"n_citations": 15,
"n_key_citations": 0,
"score": 0,
"title": "Uniform semiclassical wave function for coherent two dimensional electron flow.",
"venue": "Physical review. E, Statistical, nonlinear, and soft matter physics",
"year": 2003
},
{
"abstract": "The quantum and classical dynamics in a two dimensional (2D) periodic potential influenced by a constant force is discussed and compared. Classically, the dynamics is chaotic. A branched flow of the particles similar but more structured than the coherent branched flow in a 2D electron gas is observed. In the classical case, the formation of separate decay channels is explained by dynamical trapping. The quantum dynamics closely follows the classical one.",
"author_names": [
"Markus Gluck",
"F Keck",
"Andrey R Kolovsky",
"H J Korsch"
],
"corpus_id": 122383794,
"doc_id": "122383794",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "Branched classical and quantum flow in two dimensional Wannier Stark systems",
"venue": "",
"year": 2002
},
{
"abstract": "The magnetic focusing of electrons has proven its utility in fundamental studies of electron transport. Here we report the direct imaging of magnetic focusing of electron waves, specifically in a two dimensional electron gas (2DEG) We see the semicircular trajectories of electrons as they bounce along a boundary in the 2DEG, as well as fringes showing the coherent nature of the electron waves. Imaging flow in open systems is made possible by a cooled scanning probe microscope. Remarkable agreement between experiment and theory demonstrates our ability to see these trajectories and to use this system as an interferometer. We image branched electron flow as well as the interference of electron waves. This technique can visualize the motion of electron waves between two points in an open system, providing a straightforward way to study systems that may be useful for quantum information processing and spintronics.",
"author_names": [
"Katherine E Aidala",
"Roberta E Parrott",
"Tobias Kramer",
"Eric J Heller",
"Robert M Westervelt",
"Micah P Hanson",
"Arthur C Gossard"
],
"corpus_id": 119167355,
"doc_id": "119167355",
"n_citations": 106,
"n_key_citations": 4,
"score": 0,
"title": "Imaging magnetic focusing of coherent electron waves",
"venue": "",
"year": 2007
},
{
"abstract": "This thesis presents several uniform methods improving the accuracy of semiclassi cal approximations to quantum mechanics when the WKB approximation breaks down due to nonlinear underlying dynamics. In our alternative approach, the complicated Lagrangian manifold supporting a singular WKB wavefunction is replaced by a series of simpler La grangian manifolds, and the singular wavefunction is replaced by a convergent series of well behaved semiclassical wavefunctions. This method is successfully applied to a model of homoclinic tangle, for which the WKB wavefunction has an infinite number of singularities, and to the problem of wave scattering from a corrugated wall. A generalized replacement manifold method is employed to find a uniform wave function describing coherent branched flow through a two dimensional electron gas, a phenomenon recently discovered by direct imaging of the current using scanned probed mi croscopy. While the formation of branches has been explained by classical arguments, the semiclassical simulations necessary to account for the coherence are difficult due to the proliferation of catastrophes in phase space. The problem is solved here by using replacement manifolds with complex momenta. The method is first explained and tested on a single cusp catastrophe and then on a realistic model of the coherent branched flow. Another uniform method is used to evaluate the quantum fidelity (Loschmidt echo) This method, based on the classical perturbation approximation and the Initial Value Representation, is numerically tractable and gives remarkably accurate results. Our method explicitly contains the Fermi Golden Rule and Lyapunov regimes of fidelity decay as well as the \" building blocks \" of analytical theories of recent literature, and thus permits a direct test of the approximations made by other authors. The thesis ends with a discussion of what remains from the theory of the decay of survival probability and the parametric dependence of the local density of states in one dimensional Hamiltonian and disordered systems. We show that a remarkably accurate uniform approximation captures the physics of both perturbative and non perturbative regimes, though it cannot take into account the strong localization effect.",
"author_names": [
"Shanmuga Sundaram Devasundaram"
],
"corpus_id": 59387478,
"doc_id": "59387478",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "A Thesis Presented to",
"venue": "",
"year": 2003
},
{
"abstract": "Abstract We measure the energy distribution of electrons passing through a two dimensional electron gas using a scanning probe microscope (SPM) We present direct spatial images of coherent electron wave flow from a quantum point contact formed in a GaAs/AlGaAs two dimensional electron gas using a liquid He cooled SPM. A negative voltage is placed on the tip, which creates a small region of depleted electrons that backscatters electron waves. Oscillating the voltage on the tip and locking into this frequency gives the spatial derivative of electron flow perpendicular to the direction of current flow. We show images of electron flow using this method. By measuring the amount of electrons backscattered as a function of the voltage applied to the tip, the energy distribution of electrons is measured.",
"author_names": [
"Mark A Topinka",
"Brian J LeRoy",
"Robert M Westervelt",
"Kevin D Maranowski",
"Arthur C Gossard"
],
"corpus_id": 120778659,
"doc_id": "120778659",
"n_citations": 9,
"n_key_citations": 0,
"score": 0,
"title": "Imaging coherent electron wave flow in a two dimensional electron gas",
"venue": "",
"year": 2002
},
{
"abstract": "Abstract Images of coherent electron flow through a two dimensional electron gas in a GaAs/AlGaAs heterostructure from a quantum point contact (QPC) were obtained at liquid He temperatures by using a scanning probe microscope with a charged tip that backscatters electrons. Near the QPC, at distances less than 500 nm the images show angular lobes of electron flow in patterns determined by the quantum modes of the QPC. At greater distances, narrow branches of electron flow are observed, formed by the cumulative effects of small angle scattering. In addition, the images show fringes spaced by half the Fermi wavelength, evidence that the electron flow is coherent. These observations agree well with theoretical simulations of electron flow.",
"author_names": [
"Brian J LeRoy"
],
"corpus_id": 100257407,
"doc_id": "100257407",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Imaging coherent electron flow in a two dimensional electron gas",
"venue": "",
"year": 2003
},
{
"abstract": "We measure the energy distribution of electrons passing through a two dimensional electron gas using a scanning probe microscope. We present direct spatial images of coherent electron wave flow from a quantum point contact formed in a GaAs/AlGaAs two dimensional electron gas using a liquid He cooled SPM. A negative voltage is placed on the tip, which creates a small region of depleted electrons that backscatters electron waves. Oscillating the voltage on the tip and locking into this frequency gives the spatial derivative of electron flow perpendicular to the direction of current flow. We show images of electron flow using this method. By measuring the amount of electrons backscattered as a function of the voltage applied to the tip, the energy distribution of electrons is measured.",
"author_names": [
"Brian J LeRoy",
"Ania C Bleszynski",
"Mark A Topinka",
"Robert M Westervelt",
"Scot E J Shaw",
"Eric J Heller",
"Kevin D Maranowski",
"Arthur C Gossard"
],
"corpus_id": 118829542,
"doc_id": "118829542",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Imaging coherent electron wave flow in a two dimensional electron gas",
"venue": "",
"year": 2003
}
] |
Laser-assisted nickel deposition onto porous silicon | [
{
"abstract": "As a direct metal patterning method, local electrodeposition of Ni onto porous silicon (PS) is studied. The principle of the method in this research utilizes the photo excitation of semiconductor, in contrast to the previous studies of laser assisted metal deposition, in which the deposition is enhanced by heating effects or sensitization by laser irradiation. Electrochemical measurements show the different behaviors between PS and planar Si. The current is lower for PS than planar Si. The higher rate of charge recombination in PS is considered as a probable reason of this low current in PS. Also it was shown that the nucleation rate was low on PS.",
"author_names": [
"Junji Sasano",
"Patrik Schmuki",
"Tetsuo Sakka",
"Yukio H Ogata"
],
"corpus_id": 122897008,
"doc_id": "122897008",
"n_citations": 11,
"n_key_citations": 0,
"score": 2,
"title": "Laser assisted nickel deposition onto porous silicon",
"venue": "",
"year": 2003
},
{
"abstract": "Abstract Electroless nickel (nickel phosphorus alloy) plating onto a diamond surface prenucleated by a thin palladium layer via laser induced decomposition of palladium acetyl acetonate film was studied. The results on spatial selectivity and adherence of the nickel deposits are reported.",
"author_names": [
"V A Laptev",
"Sergej M Pimenov",
"Georgii A Shafeev"
],
"corpus_id": 97996155,
"doc_id": "97996155",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "Laser assisted nickel deposition onto synthetic diamonds",
"venue": "",
"year": 1994
},
{
"abstract": "Abstract In this paper, a simple method is presented for the fabrication of nickel (Ni) patterns on porous silicon (PS) substrates using a focused and scanned Ar laser beam. A commercially available electroless plating bath was operated as a precursor during the laser direct writing process. As a result, thin t =40 250 nm) narrow w =2 3 mm) uniform and conductive Ni deposits were formed on the surface of the PS. The deposits were characterized by profilometry, FESEM (equipped with EDX) FIB and resistance measurements.",
"author_names": [
"Krisztian Kordas",
"Janne Remes",
"Seppo Leppavuori",
"Laszlo Nanai"
],
"corpus_id": 136970614,
"doc_id": "136970614",
"n_citations": 21,
"n_key_citations": 0,
"score": 0,
"title": "Laser assisted selective deposition of nickel patterns on porous silicon substrates",
"venue": "",
"year": 2001
},
{
"abstract": "Nickel nanopillar arrays were electrodeposited onto silicon substrates using porous alumina membranes as a template. The characterization of the samples was done by scanning electron microscopy, X ray diffraction, and alternating force gradient magnetometry. Ni nanostructures were directly grown on Si by galvanostatic and potentiostatic electrodeposition techniques in three remarkable charge transfer configurations. Differences in the growth mechanisms of the nanopillars were observed, depending on the deposition method. A high correlation between the height of the nanopillars and the charge synthesis was observed irrespective of the electrochemical technique. The magnetization measurements demonstrated a main dependence with the height of the nanopillars. The synthesis of Ni nanosystems with a controllable aspect ratio provides an effective way to produce well ordered networks for wide scientific applications.",
"author_names": [
"Matias Bejide",
"Patricio Contreras",
"P'ia Homm",
"Boris Duran",
"Jose Antonio Garcia-Merino",
"Andreas Rosenkranz",
"Juliano Casagrande Denardin",
"Rodrigo Del Rio",
"Samuel Hevia"
],
"corpus_id": 227079508,
"doc_id": "227079508",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Nickel Nanopillar Arrays Electrodeposited on Silicon Substrates Using Porous Alumina Templates",
"venue": "Molecules",
"year": 2020
},
{
"abstract": "Abstract The multiwalled carbon nanotubes were successfully electrophoresis deposited onto porous silicon to form a multiwalled carbon nanotubes/porous silicon composite and were then fabricated into chemiresistive gas sensors. The morphology, microstructure and NO2 sensing characteristics of the composite were investigated by scanning electron microscopy, X ray diffraction, X ray photoelectron spectroscopy, Raman spectroscopy and gas sensing test. The results indicated that all the carbon nanotubes/porous silicon sensors showed typical p type semiconductor behavior at room temperature ~25degC) of the optimal working temperature. The carbon nanotubes/porous silicon sensor under the electrophoresis time of 5 min yielded the best NO2 sensing characteristics, including a high sensor response ~8.5) fast response recovery time ~37 s and ~34 s) good repeatability and selectivity toward 1 ppm NO2. The heterostructure effect as well as the high specific surface area and the unblocked porous structure was considered essential for the gas sensing performance.",
"author_names": [
"Dali Yan",
"Shuguang Xia",
"Shenyu Li",
"Shouyu Wang",
"Ming Tan",
"Shiyu Liu"
],
"corpus_id": 225181426,
"doc_id": "225181426",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Electrophoretic deposition of multiwalled carbon nanotubes onto porous silicon with enhanced NO2 sensing characteristics",
"venue": "",
"year": 2021
},
{
"abstract": "Abstract In this study, a low cost, simple, single step low voltage operation and a well controlled method for deposition of uniformed and unique size distributions of silver nanoparticles (AgNPs) on the porous silicon (PS) layer were achieved via controlling the drift velocity of electrons in an aqueous solution of AgNO3. The laser diode of 530 nm and 60 mW/cm2 laser wavelength and illumination power density was employed to prepare PS layer by a laser assisted etching process. The PS layer was incorporated on the platinum disk cathode electrode, and a stainless steel plate as an anode was employed. Low applied operating voltage of about 3V DC at different drift currents of 10, 20, 30 and 40 mA for 2 min was applied to sustain the drift motion of Ag2+ Structural properties of AgNPs layer were examined via the field emission scanning electron microscope (FE SEM) atomic force microscopy (AFM) and X ray diffraction (XRD) pattern. These measurements exposed that AgNPs were adjusted by controlling the drift current, and a uniform AgNPs with specific unique sizes were obtained. Grain size, specific surface area and nucleation sites of metallic AgNPs were intensely influenced by the drift current.",
"author_names": [
"M Zayer",
"Alwan M Alwan",
"Ahmed S Ahmed",
"Amer B Dheyab"
],
"corpus_id": 197192501,
"doc_id": "197192501",
"n_citations": 6,
"n_key_citations": 0,
"score": 0,
"title": "Accurate controlled deposition of silver nanoparticles on porous silicon by drifted ions in electrolytic solution",
"venue": "Current Applied Physics",
"year": 2019
},
{
"abstract": "Abstract Here we prepare a composite of tungsten oxide films/porous silicon (WO3/PS) hybrid structure synthesized by electrochemical deposition of WO3 films onto p type PS with subsequent annealing process in air. The obtained WO3/PS products were investigated by scanning electron microscopy (SEM) X ray diffraction (XRD) and Raman spectroscopy (RS) The gas sensing properties of WO3/PS composite to NO2 ranging from room temperature (RT, ~25 degC) to 200 degC were studied. The result indicated that all the WO3/PS gas sensors showed typical p type semiconductor behavior and had an optimal working temperature of RT. Furthermore, compared with PS, the proper deposited WO3/PS composite exhibited a higher gas response, shorter response recovery time, good repeatability and selectivity toward NO2 gas at RT. This improvement probably owing to the heterojunction effect and its unique microstructure properties. In addition, the possible NO2 sensing mechanisms were also discussed in this paper.",
"author_names": [
"Dali Yan",
"Shenyu Li",
"Shi-yu Liu",
"Ming Tan",
"Cao Meng"
],
"corpus_id": 102961141,
"doc_id": "102961141",
"n_citations": 13,
"n_key_citations": 0,
"score": 0,
"title": "Electrodeposited tungsten oxide films onto porous silicon for NO 2 detection at room temperature",
"venue": "",
"year": 2018
},
{
"abstract": "",
"author_names": [
"Gul Zeb",
"Thi Luong Duong",
"Marek Balazinski",
"Xuan Tuan Le"
],
"corpus_id": 224940588,
"doc_id": "224940588",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Direct Electroless Deposition of Nickel onto Silicon Nitride Ceramic: A Novel Approach for Copper Metallization of Micro /Nano fabricated Devices",
"venue": "",
"year": 2020
},
{
"abstract": "Herein, we report a rapid and highly effective methodology for the fabrication of active and stable surface enhanced Raman scattering (SERS) substrate by an electrochemical anodization and immersion plating routes. Porous silicon (PSi) layers were fabricated by the electrochemical anodization of a silicon wafer in ethanoic fluoride solution, followed by uniformly deposition of palladium nanoparticles (Pd NPs) via a simple immersion plating technique. The structural features and morphology of fabricated frameworks of PSi Pd NPs have been investigated by field emission scanning electron microscopy (FE SEM) with energy dispersive X ray (EDX) X ray diffraction (XRD) X ray photoelectron spectroscopy (XPS) and Fourier transform infrared (FT IR) spectra. The PSi substrate demonstrates a meso porous morphology with good distribution, good pore density and average pore sizes around 20 nm. The SERS performance of Si Pd NPs and PSi Pd NPs substrates has been examined taking imidacloprid (an insecticide) as a target analyte. The SERS signal of imidacloprid using PSi Pd NPs substrate exhibited immense enhancement compared to the Si Pd NPs substrate. The active substrate revealed excellent detectable performance with a concentration as low as 10 9 M imidacloprid and an enhancement factor (EF) of 1.2x105. This large EF is fundamentally ascribed to the combined effect of electromagnetic improvement and charge transfer mechanism. Additionally, no aging effect was observed for the present substrates kept in air for two weeks. Striking enhancement in Raman spectral signals obtained with the current PSi Pd NPs substrates provides a simple and smooth platform towards the sensitive detection of various target analytes.",
"author_names": [
"A M Al-Syadi",
"M A M Faisal",
"Farid A Harraz",
"Mohammed Jalalah",
"Mabkhoot A Alsaiari"
],
"corpus_id": 234549163,
"doc_id": "234549163",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Immersion plated Palladium Nanoparticles onto Meso porous Silicon Layer as Novel SERS Substrate for Sensitive Detection of Imidacloprid Pesticide",
"venue": "",
"year": 2020
},
{
"abstract": "The high price of silver has motivated the search for new paths in regard to the front metallization of crystalline silicon solar cells. Copper is a suitable material from the conductivity and cost point of view; however, contact resistivity and long term stability are still issues that must be addressed. The present work describes the results obtained in the improvement of frontal metallization of textured crystalline silicon solar cells. Laser chemical metal deposition has been used in the creation of nickel seed layers for subsequent copper plating. Conditions have been optimized to reduce the number of laser scan repetitions, and consequently the processing time per cell. The average finger width is below 80 microns, thus reducing the shaded area. In depth chemical and morphological characterization results of the seed layers are presented and related with laser settings and cell performance. The produced solar cells show viability of the process, leading to pseudoefficiencies exceeding 15%",
"author_names": [
"Efrain Ochoa-Martinez",
"Niklas Wehkamp",
"Jose Ramon Ramos-Barrado",
"Jonas Bartsch"
],
"corpus_id": 108525088,
"doc_id": "108525088",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Progress on laser assisted nickel seed layer deposition for front contact copper plating",
"venue": "",
"year": 2013
}
] |
intelligent power module | [
{
"abstract": "Due to practical limitations in the manufacturing of power semiconductor dies, high power modules are composed of several dies in parallel in order to meet the desired load current requirements. With careful attention to the design, modern insulated gate bipolar transistor (IGBT) based power modules feature relatively balanced current distribution amongst the parallel dies. However, owing to the increased switching speeds of wide bandgap devices, i.e. silicon carbide (SiC) it is challenging to design the package to achieve both low loss and balanced operation. In this article, a technique is proposed where the individual dies in a multidie power module can be selectively driven by a closely integrated gate buffer. Amongst the benefits achieved by selectively driving the die gates, a profiling of the power loss within the intelligent power module is enabled. Furthermore, a practical technique to estimate the individual die temperatures is presented, and using the same method, the on state voltage of the power module during load current conduction can be estimated. Finally, it is experimentally demonstrated that the combination of individual junction temperature estimation and the selective gate driving can be used to increase the power density of the power module by better utilizing the component dies.",
"author_names": [
"Julio Brandelero",
"Jeffrey Ewanchuk",
"Stefan V Mollov"
],
"corpus_id": 221592272,
"doc_id": "221592272",
"n_citations": 3,
"n_key_citations": 0,
"score": 1,
"title": "Selective Gate Driving in Intelligent Power Modules",
"venue": "IEEE Transactions on Power Electronics",
"year": 2021
},
{
"abstract": "Nonintrusive load monitoring (NILM) is the de facto technique for extracting device level power consumption fingerprints at (almost) no cost from only aggregated mains readings. Specifically, there is no need to install an individual meter for each appliance. However, a robust NILM system should incorporate a precise appliance identification module that can effectively discriminate between various devices. In this context, this paper proposes a powerful method to extract accurate power fingerprints for electrical appliance identification. Rather than relying solely on time domain (TD) analysis, this framework abstracts the phase encoding of the TD description of power signals using a two dimensional (2D) representation. This allows mapping power trajectories to a novel 2D binary representation space, and then performing a histogramming process after converting binary codes to new decimal representations. This yields the final histogram of 2D phase encoding of power signals, namely, 2D PEP. An empirical performance evaluation conducted with three realistic power consumption databases collected at distinct resolutions indicates that the proposed 2D PEP descriptor achieves outperformance for appliance identification in comparison with other recent techniques. Accordingly, high identification accuracies are attained on the GREEND, UK DALE, and WHITED data sets, where 99.54% 98.78% and 100% rates have been achieved, respectively, using the proposed 2D PEP descriptor.",
"author_names": [
"Yassine Himeur",
"Abdullah Alsalemi",
"Faycal Bensaali",
"Abbes Amira"
],
"corpus_id": 224918250,
"doc_id": "224918250",
"n_citations": 7,
"n_key_citations": 0,
"score": 0,
"title": "An intelligent nonintrusive load monitoring scheme based on 2D phase encoding of power signals",
"venue": "Int. J. Intell. Syst.",
"year": 2021
},
{
"abstract": "This paper investigates an intelligent reflecting surface (IRS) aided cooperative communication network, where the IRS exploits large reflecting elements to proactively steer the incident radio frequency wave towards destination terminals (DTs) As the number of reflecting elements increases, the reflection resource allocation (RRA) will become urgently needed in this context, which is due to the non ignorable energy consumption. The goal of this paper, therefore, is to realize the RRA besides the active passive beamforming design, where RRA is based on the introduced modular IRS architecture. The modular IRS consists with multiple modules, each of which has multiple reflecting elements and is equipped with a smart controller, all the controllers can communicate with each other in a point to point fashion via fiber links. Consequently, an optimization problem is formulated to maximize the minimum SINR at DTs, subject to the module size constraint and both individual source terminal (ST) transmit power and the reflecting coefficients constraints. Whereas this problem is NP hard due to the module size constraint, we develop an approximate solution by introducing the mixed row block \\ell_{1,F} norm to transform it into a suitable semidefinite relaxation. Finally, numerical results demonstrate the meaningfulness of the introduced modular IRS architecture.",
"author_names": [
"Yulan Gao",
"Chao Yong",
"Zehui Xiong",
"Dusit Tao Niyato",
"Yue Xiao",
"Jun Zhao"
],
"corpus_id": 229331736,
"doc_id": "229331736",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Resource Allocation for Intelligent Reflecting Surface Aided Cooperative Communications",
"venue": "GLOBECOM 2020 2020 IEEE Global Communications Conference",
"year": 2020
},
{
"abstract": "In this paper, a silicon carbide chip based dual side liquid cooling power module with integrated temperature sensors is designed. The designed power module has footprint of 40mm x 40mm. The major components of the designed power module include the silicon carbide dies and thin film type thermistor chips. To develop this new generation power module, the approach combining the thermal performance analysis, packaging material selection, cooling plate optimization and test sample realization is implemented. At first, a thermal model is constructed and the thermal simulation is performed to simulate the thermal characteristic of the proposed IPM. Effect of different packaging materials on the power module thermal resistance is investigated and analyzed. A group of materials which produce the lowest thermal resistance is recommended for the proposed SiC chip based IPM. Then the IPM sample is manufactured with the recommended materials. Furthermore, the liquid cooling cold plates with jet impingement technology and optimized parameters are designed and fabricated. Finally, the test samples for thermal performance characterization is assembled. The simulation results indicate that the thermal interface material (TIM) imposes the most remarkable effects to the thermal resistance of the proposed IPM, while the effect of the other packaging materials, such as die attach (DA) epoxy molding compound (MC) and interconnect joint material (JM) on the thermal resistance of the proposed IPM are insignificant. Meanwhile, nozzle length, jet to wall distance and flow channel width are critical parameters to be optimized for the cooling performance of the liquid cooling plate.",
"author_names": [
"Gongyue Tang",
"Leong Ching Wai",
"Siak Boon Lim",
"Boon Long Lau",
"Yamamoto Kazunori",
"Xiao Wu Zhang"
],
"corpus_id": 225844201,
"doc_id": "225844201",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Thermal Analysis, Characterization and and Material Selection for SiC Device Based Intelligent Power Module (IPM)",
"venue": "2020 IEEE 70th Electronic Components and Technology Conference (ECTC)",
"year": 2020
},
{
"abstract": "This paper presents a high scalability real time intelligent traffic monitoring system, based on Radio Frequency Identification (RFID) The main features of this system are low cost, low power consumption, traffic monitoring, and connectivity. The system's architecture includes an RFID reader, a passive tag, and a Raspberry Pi. Our solution collects vehicle information from the labels and stores the data into a database by employing only one antenna. The main challenge is that the RFID module is not robust enough to recognize the information in the vehicle's RFID tag on each information query, while the label is in the reading zone. This instability does not allow us to know precisely when and where a vehicle enters or leaves the sensing zone. What is more, the high random error in the power signal and the complexity of its characteristic curve pattern add difficulty to the speed calculation, when we reduce the number of antennas to one. For this reason, an innovative approach has been designed, using customized modular neural network (MNN) This method fits the collected data (power signal vs time) affected by acute random noise, to the characteristic correspondence function among the signal power and the position of the terminal, which domains are dimensionally different. As a result, we can estimate the vehicle speeds and obtain the whole vehicle information. Under this novel method, we are able to reduce the hardware, in comparison with previous approaches, making it cheaper and decreasing power consumption.",
"author_names": [
"Jose Luis Calderon Choy",
"Jinhua Wu",
"Chengnian Long",
"Yi-Bing Lin"
],
"corpus_id": 211267387,
"doc_id": "211267387",
"n_citations": 5,
"n_key_citations": 0,
"score": 0,
"title": "Ubiquitous and Low Power Vehicles Speed Monitoring for Intelligent Transport Systems",
"venue": "IEEE Sensors Journal",
"year": 2020
},
{
"abstract": "The increasing deployments of 5G mobile communication system is expected to bring more processing power and storage supplements to Internet of Things (IoT) and mobile devices. It is foreseeable the billions of devices will be connected and it is extremely likely that these devices receive compute supplements from Clouds and upload data to the back end datacentres for execution. Increasing number of workloads at the Cloud datacentres demand better and efficient strategies of resource management in such a way to boost the socio economic benefits of the service providers. To this end, this paper proposes an intelligent prediction framework named IGRU SD (Improved Gated Recurrent Unit with Stragglers Detection) based on state of art data analytics and Artificial Intelligence (AI) techniques, aimed at predicting the anticipated level of resource requests over a period of time into the future. Our proposed prediction framework exploits an improved GRU neural network integrated with a resource straggler detection module to classify tasks based on their resource intensity, and further predicts the expected level of resource requests. Performance evaluations conducted on real world Cloud trace logs demonstrate that the proposed IGRU SD prediction framework outperforms the existing predicting models based on ARIMA, RNN and LSTM in terms of the achieved prediction accuracy.",
"author_names": [
"Yao Lu",
"Lu Liu",
"John Panneerselvam",
"Bo Yuan",
"Jiayan Gu",
"Nick Antonopoulos"
],
"corpus_id": 212923452,
"doc_id": "212923452",
"n_citations": 6,
"n_key_citations": 2,
"score": 0,
"title": "A GRU Based Prediction Framework for Intelligent Resource Management at Cloud Data Centres in the Age of 5G",
"venue": "IEEE Transactions on Cognitive Communications and Networking",
"year": 2020
},
{
"abstract": "Abstract Combining the current development status of intelligent robots, obstacle avoidance and automatic tracking are the focus of robot travel problems.Based on the development status of domestic intelligent control systems, current technology, etc, this paper uses arduino as the core control system, combined with infrared tracking module. Four modules, such as ultrasonic obstacle avoidance module, motor drive module and power module, have designed a good control scheme, thus realizing the intelligent tracking and obstacle avoidance function of the wheeled robot.",
"author_names": [
"Zhen Li",
"Jun Yu Li",
"Xiao Fan Li",
"Y J Yang",
"Jie Xiao",
"Bowen Xu"
],
"corpus_id": 215835327,
"doc_id": "215835327",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Intelligent Tracking Obstacle Avoidance Wheel Robot Based on Arduino",
"venue": "",
"year": 2020
},
{
"abstract": "Abstract In this paper, an application of intelligent non linear discrete proportional integral derivative (N DPID) controller for the maximum power point tracking (MPPT) of a photovoltaic (PV) system is presented. The proposed N DPID MPPT method retains the conventional properties of the basic PID controller where the integral and derivative terms are discretized using Forward Euler formula and, its integral gain is varied over the simulation time according to the error. To obtain the value of controller gains is a challenge for N DPID controllers. An intelligent technique using Particle swarm optimization (PSO) and genetic algorithm (GA) may help in finding out the gains in a dynamic situation. These optimization techniques are analyzed for proposed N DPID MPPT to track the MPP. Performance analysis and comparison of the GA and PSO tuned N DPID MPPT method is presented for a commercially available PV module SunPower SPR 305E WHT D. Furthermore, the performance is also analyzed for the smooth operation of a wound field DC (WFDC) motor fed by a PV array. Finally, results for MPPT and WFDC motor operation are compared for P&O, IC, GA PID, GA N DPID, PSO PID, and PSO N DPID MPPT methods.",
"author_names": [
"Diwaker Pathak",
"Gautam Sagar",
"Prerna Gaur"
],
"corpus_id": 219141739,
"doc_id": "219141739",
"n_citations": 6,
"n_key_citations": 0,
"score": 0,
"title": "An Application of Intelligent Non linear Discrete PID Controller for MPPT of PV System",
"venue": "",
"year": 2020
},
{
"abstract": "Abstract In parallel to sustainable growth in solar fraction, continuous reductions in Photovoltaic (PV) module and installation costs fuelled a profound adoption of residential Rooftop Mounted PV (RMPV) installations already reaching grid parity. RMPVs are promoted for economic, social, and environmental factors, energy performance, reduced greenhouse effects and bill savings. RMPV modules and energy conversion units are subject to anomalies which compromise power quality and promote fire risk and safety hazards for which reliable protection is crucial. This article analyses historical data and presents a novel design that easily integrates with data storage units of RMPV systems to automatically process real time data streams for reliable supervision. Dominant Transformed Components (TCs) are online extracted through multiblock Principal Component Analysis (PCA) most sensitive components are selected and their time varying characteristics are recursively estimated in a moving window using smooth Kernel Density Estimation (KDE) Novel monitoring indices are developed as preventive alarms using Kullback Leibler Divergence (KLD) This work exploits data records during 2015 2017 from thin film, monocrystalline, and polycrystalline RMPV energy conversion systems. Fourteen test scenarios include array faults (line to line, line to ground, transient arc faults) DC side mismatches (shadings, open circuits) grid side anomalies (voltage sags, frequency variations) in addition to inverter anomalies and sensor faults.",
"author_names": [
"Azzeddine Bakdi",
"Wahiba Bounoua",
"Saad Mekhilef",
"Laith M Halabi"
],
"corpus_id": 208831189,
"doc_id": "208831189",
"n_citations": 17,
"n_key_citations": 1,
"score": 0,
"title": "Nonparametric Kullback divergence PCA for intelligent mismatch detection and power quality monitoring in grid connected rooftop PV",
"venue": "",
"year": 2019
},
{
"abstract": "Abstract To increase the power output of a PV module or a field of PV modules, an electronic controller is incorporated between the PV generator and the load, whose role and main objective is the continuous monitoring of the maximum power point of the PV generator commonly known as MPPT (Maximum Power Point Tracking) and this in general per action on a DC DC conversion device. The regulation and control techniques provide the impedance matching function, transferring to the load the maximum electrical power output from the PV generator in any the temperature and sunshine conditions. The development of a revolutionary method based on neural algorithms for the prediction of an instantaneous command is the main objective in our work. Indeed, the paper presents a new control strategy for the photovoltaic PV, it is a command based on Neuronal Network technique. It is the first time that this technique has been introduced, and proposed by the authors in synthesizing control laws for the converters of electronic power. The new technical algorithm based on Neural Networks, is designed to be more robust in performance with respect to tracking speed and precision. Moreover, this new successful technical research, provides a robust neural structure compared to the noisy empirical data used for the prediction of the command. Consequently a smooth control signal without oscillation, targeting exactly the expected optimal control with an independent control of the sampling frequency of the system. This study, which is followed by a simulation, has enabled us to consolidate the idea that the new Neural Network controller when compared to their classical counterparts, and obtains the best performances concerning the speed of tracking and precision. The robustness of the networks of neurons opposite the noise of measurements, like, the smoothness of the power signal of PV system generated during the application of the neuronal order, will qualify this command as a practical alternative to the disadvantages recorded on the levels of the classical methods.",
"author_names": [
"Salim Issaadi",
"Wassila Issaadi",
"Abdelkrim Khireddine"
],
"corpus_id": 201240939,
"doc_id": "201240939",
"n_citations": 9,
"n_key_citations": 0,
"score": 0,
"title": "New intelligent control strategy by robust neural network algorithm for real time detection of an optimized maximum power tracking control in photovoltaic systems",
"venue": "Energy",
"year": 2019
}
] |
metasurface guide mode resonance | [
{
"abstract": "A guide mode resonance based Indium Tin Oxide electrical tunable metasurface is proposed. By forming two metal oxide semiconductor capacitors in a single period, resonance wavelength can be tuned larger than 20nm in near IR spectral range.",
"author_names": [
"Xiaoming Qiu",
"Fan Yang",
"Yanping Li",
"Fan Zhang"
],
"corpus_id": 221719243,
"doc_id": "221719243",
"n_citations": 2,
"n_key_citations": 0,
"score": 1,
"title": "All Dielectric Tunable Metasurface Based on Guide Mode Resonance and ENZ Effects",
"venue": "2020 Conference on Lasers and Electro Optics (CLEO)",
"year": 2020
},
{
"abstract": "A novel integration strategy of microstrip antenna and metasurface is proposed to achieve low radar cross section (RCS) and improved radiation performance simultaneously. Aimed at the applications in stealth cylindrical carrier, the antenna has a circular configuration, and the metasurface cells are closely arranged around the radiation patch in a concentric ring type manner. Moreover, the mode analysis method is fully used to analyze the antenna's working mechanism and guide the optimization process. Owing to the addition of metallic vias, the original quasi TM30 mode is altered to three series TM10 modes and thus enhanced gain is achieved. Interestingly, the addition of vias also generates a new resonance which widen the antenna's impedance bandwidth. In another aspect, both in band and out of band RCS reductions are obtained simultaneously. Finally, two prototypes are fabricated to validate the proposed design strategy. Good agreements between measurements and simulations are obtained. Measured results show that the gain of the proposed antenna is at least 3 dB higher than the conventional antenna in the entire operating band, and obvious RCS reduction is achieved from 4.0 to 8.5 GHz concurrently.",
"author_names": [
"Tong Li",
"Qi Li",
"Liaori Jidi",
"Jun Gao"
],
"corpus_id": 233799001,
"doc_id": "233799001",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Broadband Low RCS and High Gain Microstrip Antenna Based on Concentric Ring Type Metasurface",
"venue": "IEEE Transactions on Antennas and Propagation",
"year": 2021
},
{
"abstract": "We perform a comprehensive analysis of multiband absorption properties in a metal dielectric metal dielectric (MDMD) nanostructure under TM wave illumination. The multiband absorption can be attributed to the hybridization of the surface plasmon resonance (SPR) and the guide mode resonance (GMR) and we identify the hybrid GMR/SPR by the dispersion relation equations of the SPR and GMR, respectively. More importantly, the MDMD nanostructure is very sensitive to the change of the dielectric environment for the special hybrid structure; thus, it can function as a good candidate for ultrasensitive biochemical sensing. The highest sensitivity of the MDMD nanostructure reaches 1087 nm/RIU with the figure of merit (FoM) of 23 and the new figure of merit (FoM* of 483; it is performed by the absorption peak at 1796.1 nm of the double surface plasmon polariton with the strongest field enhancement at the surface.",
"author_names": [
"La Wang",
"Tian Sang",
"Jian Min Gao",
"Xin Yin",
"Honglong Qi"
],
"corpus_id": 52154956,
"doc_id": "52154956",
"n_citations": 10,
"n_key_citations": 0,
"score": 0,
"title": "High performance sensor achieved by hybrid guide mode resonance/surface plasmon resonance platform.",
"venue": "Applied optics",
"year": 2018
},
{
"abstract": "Abstract We report an ultra narrowband absorber with a dielectric dielectric metal (DDM) tri layer structure which is composed of a dielectric grating as the top layer, a dielectric spacer and a metal substrate. The simulation results show that, we can get an ultra narrowband absorber with the absorption bandwidth less than 0.05 nm and the absorption rate more than 0.99 within an ultra narrow angle for TE polarization (electric field is parallel to grating grooves) The results also show that the ultra narrowband absorption for TE polarization is originated from guide mode resonance and low power loss in the metal substrate. This ultra narrowband absorber is a good candidate for application in coherent emission of light by thermal source.",
"author_names": [
"Yanlin Liao",
"Yan Zhao"
],
"corpus_id": 125699909,
"doc_id": "125699909",
"n_citations": 18,
"n_key_citations": 0,
"score": 0,
"title": "An ultra narrowband absorber with a dielectric dielectric metal structure based on guide mode resonance",
"venue": "",
"year": 2017
},
{
"abstract": "Colorimetric detection of target molecules with insensitivity to incident light polarization has attracted considerable attention in recent years. This resulted from the ability to provide rapid output and reduced assay times as a result of color changes upon altering the environment that are easily distinguishable by the naked eye. In this paper, we propose a highly sensitive refractive index sensor, utilizing the excitation of guided modes of a novel two dimensional periodically modulated dielectric grating waveguide structure. The optimized nanosensor can numerically excite guided mode resonances with an ultranarrow linewidth (full width at half maximum) of 0.58 nm. Sensitivity is numerically investigated by considering the deposition of dielectric layers on the structure. For a layer thickness of 30 nm, the maximum sensitivity reached as high as 110 nm/refractive index unit (RIU) resulting in a very high figure of merit of 190. The fabricated devices with 30 nm aluminum oxide and zinc oxide coatings",
"author_names": [
"Deniz Umut Yildirim",
"Amir Ghobadi",
"Mahmut Can Soydan",
"Murat Gokbayrak",
"A Emre Toprak",
"Bayram Butun",
"Ekmel Ozbay"
],
"corpus_id": 199069465,
"doc_id": "199069465",
"n_citations": 20,
"n_key_citations": 1,
"score": 0,
"title": "Colorimetric and Near Absolute Polarization Insensitive Refractive Index Sensing in All Dielectric Guided Mode Resonance Based Metasurface",
"venue": "The Journal of Physical Chemistry C",
"year": 2019
},
{
"abstract": "A wideband multi mode low profile cavity backed metasurface antenna is proposed. The metasurface is composed of mushroom cells which support the propagation of both surface wave and composite right/left handed (CRLH) guided wave. By combining multi mode resonances of the cavity backed metasurface, including the first negative resonance on the left handed dispersion branch, zeroth order resonance, the first positive resonance on the right handed dispersion branch, and the transverse electric (TE) surface wave resonance, the proposed antenna achieves a bandwidth of 44.7% from 4.67 GHz to 7.36 GHz for reflection coefficient less than 10 dB and boresight radiation with realized gain ranging from 6.7 dBi to 9.9 dBi. The cavity backed metasurface has a low profile of 3.25 mm, or 0.05$\\lambda$ at the lowest operating frequency.",
"author_names": [
"E I Wei Liu",
"Zhi Ning Chen",
"Xianming Qing"
],
"corpus_id": 59525111,
"doc_id": "59525111",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Wideband Cavity Backed Metasurface Antenna Under Multi Mode Resonance",
"venue": "2018 International Symposium on Antennas and Propagation (ISAP)",
"year": 2018
},
{
"abstract": "We investigate Fano resonances and sensing enhancements in a simple Au/TiO2 hybrid metasurface through the finite different time domain (FDTD) simulation and coupled mode theory (CMT) analysis. The results show that the Fano resonance in the proposed simple metasurface is caused by the destructive interaction between the surface plasmon polaritons (SPPs) and the local surface plasmon resonances (LSPRs) the quality factor and dephasing time for the Fano resonance can be effectively tuned by the thickness of Au and TiO2 structures, the length of each unit in x and y directions, as well as the structural defect. In particular, single Fano resonance splits into multiple Fano resonances caused by a stub shaped defect, and multiple Fano resonances can be tuned by the size and position of the stub shaped defect. Moreover, we also find that the sensitivity in the Au/TiO2 hybrid metasurface with the stub shaped defect can reach up to 330 nm/RIU and 535 nm/RIU at the Fano resonance 1 and Fano resonance 2, which is more than three times as sensitive in the Au/TiO2 hybrid metasurface without the stub shaped defect, and also higher than that in the TiO2 metasurface reported before. These results may provide further understanding of Fano resonances and guidance for designing ultra high sensitive refractive index sensors.",
"author_names": [
"Zhihui He",
"Weiwei Xue",
"Wei-wei Cui",
"Chunjiang Li",
"Zhenxiong Li",
"Lihui Pu",
"Jiaojiao Feng",
"Xintao Xiao",
"Xuyang Wang",
"Guangyi Li"
],
"corpus_id": 215408634,
"doc_id": "215408634",
"n_citations": 40,
"n_key_citations": 1,
"score": 0,
"title": "Tunable Fano Resonance and Enhanced Sensing in a Simple Au/TiO2 Hybrid Metasurface",
"venue": "Nanomaterials",
"year": 2020
},
{
"abstract": "We present the study based on rigorous coupled wave analysis and the S matrix method to evaluate guide mode resonance characteristics in the visible range of periodic subwavelength structure fabricated by holographic lithography. This structure consists of a single one dimensional grating dry etched in a diamond like carbon film on fused silica substrate. It is shown that reflection spectra of such structure have single peak at normal incidence and two peaks at oblique incidence. Peak positions strongly depend on the grating period and thickness of the waveguide layer. Moreover, the simulation results show that the shift of the second peak in the spectral reflectance is less sensitive to the change of certain structural parameters and is more sensitive to the change of the refractive index of the surrounding medium. It is shown that the simulation results can be employed for the interpretation of optical response obtained from guide mode resonance optical sensors.",
"author_names": [
"Iryna Yaremchuk",
"Tomas Tamulevicius",
"Volodymyr Fitio",
"I Grazuleviciute",
"Yaroslav Bobitski",
"Sigitas Tamulevicius"
],
"corpus_id": 120273718,
"doc_id": "120273718",
"n_citations": 27,
"n_key_citations": 1,
"score": 0,
"title": "Guide mode resonance characteristics of periodic structure on base of diamond like carbon film",
"venue": "",
"year": 2013
},
{
"abstract": "We experimentally and numerically investigate the multiple types of resonance modes that occur in a metal dielectric metal (MDM) fishnet metasurface, including magnetic plasmon polaritons, localized surface plasmon resonance, and surface plasmon polariton Bloch waves in the visible spectral range. The parametric dependences of the multiple resonant wavelengths are all carefully analyzed by varying the gap layer thickness, the period and the neck length of the fishnet structure. Using our knowledge of the geometric parameter dependences, we demonstrate the feasibility of shaping the transmission spectrum of the MDM fishnet metasurface based on control of the light polarization. Numerical simulations are performed and their results coincide perfectly with the experimental results, which indicate that the magnetic mode is more easily tunable than the other modes. Our research will be useful in the design of MDM fishnet structures for specific applications at visible frequencies, including color filters, sensors, color light emitting devices, and laser sources.",
"author_names": [
"Yuan Ren",
"Yonghua Lu",
"Tianyang Zang",
"Yong Wang",
"Yanqiu Dai",
"Pei Wang"
],
"corpus_id": 125128840,
"doc_id": "125128840",
"n_citations": 7,
"n_key_citations": 0,
"score": 0,
"title": "Multi mode resonance properties of two dimensional metal dielectric metal fishnet metasurface at visible wavelengths",
"venue": "",
"year": 2017
},
{
"abstract": "A two layer guide mode resonance structure made of shallow gratings deposited on the Kerr active guiding layer is used to realize high quality Fano resonance and step like transmission. The step like transmission is designed to work between the perfect transmission peak and the dip of the asymmetrical Fano spectrum. It is demonstrated that the steep transition in the transmission should be attributed to the strong field localization in cases of both high and low transmission states.",
"author_names": [
"Xiubao Kang",
"Hai-Dong Li",
"Jun Ding",
"Zhi-guo Wang"
],
"corpus_id": 41239151,
"doc_id": "41239151",
"n_citations": 6,
"n_key_citations": 0,
"score": 0,
"title": "Fano resonance and step like transmission via guide mode resonance structure.",
"venue": "Optics letters",
"year": 2013
}
] |
Power nanosecond semicon- ductor opening plasma switches | [
{
"abstract": "Opening switches (OS) with inductive storage system are very promising in pulsed power applications. The density of energy stored in an inductor is higher than in a capacitor. The pulsed voltage generated during a short time at the load may be many times (dozens) higher than the voltage at which the energy has been stored. In the early 1980s a new effect of super fast voltage restoration in high voltage silicon p n junctions, when the junction current is switched from forward to reverse direction, was discovered. This discovery gave rise to a new generation of solid state plasma opening switches, called drift step recovery diodes (DSRD) Being semiconductor devices, DSRD have unlimited life time, low jitter. A maximum repetition rate is limited mainly by heat and may be as high as megahertz. In this work the performance of DSRD and their limits are considered.",
"author_names": [
"V I Brylevsky",
"Vladimir M Efanov",
"A F Kardo-Sysyev",
"I G Tchashnicov"
],
"corpus_id": 110903285,
"doc_id": "110903285",
"n_citations": 11,
"n_key_citations": 1,
"score": 1,
"title": "Power nanosecond semiconductor opening plasma switches",
"venue": "Proceedings of 1996 International Power Modulator Symposium",
"year": 1996
},
{
"abstract": "The paper analyzes the penetration of a magnetic field into the plasma bridge of nanosecond and microsecond opening switches. For switches with a conduction time of 100 ns, simple formulae are derived to estimate the magnetic field velocity in collisionless and collisional plasmas. It is shown that in both cases this velocity is determined by the magnetic field rise rate to plasma density ratio raised to the 1/2 power. As the conduction time is increased to 1 us, the field velocity starts to depend on the plasma aggregation by a magnetic piston. At the same time, irrespective of the conduction time, the electron flow velocity is limited by the radial drift velocity in crossed magnetic and polarization electric fields. Such a limitation suppresses the current channel conductivity with respect to the Spitzer value by a factor equal to the electron magnetization parameter raised to one or another power. On completion of the conduction phase, the rate of rise of the switch resistance is proportional to the electron drift velocity. The peak switch voltage obtained in calculations is compared with its values recorded in experiments on mega ampere current switching. A procedure is also presented for calculating the switch parameters to obtain the maximum possible voltage in the phase of current cutoff.",
"author_names": [
"Sergey V Loginov"
],
"corpus_id": 226852039,
"doc_id": "226852039",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Self Magnetic Insulation in Plasma Opening Switches",
"venue": "2020 7th International Congress on Energy Fluxes and Radiation Effects (EFRE)",
"year": 2020
},
{
"abstract": "The paper analyses the penetration of a magnetic field into the plasma bridge of nanosecond and microsecond opening switches. For switches with a conduction time of ~100 ns, simple formulae are derived to estimate the magnetic field velocity in collisionless and collisional plasmas. It is shown that in both cases this velocity is determined by the magnetic field rise rate to plasma density ratio raised to the power of 1/2. As the conduction time is increased to ~1 \\rm \\mu}$s, the field velocity starts to depend on the plasma aggregation by a magnetic piston. At the same time, irrespective of the conduction time, the electron flow velocity is limited by the radial drift velocity in crossed magnetic and polarization electric fields. Such a limitation suppresses the current channel conductivity with respect to the Spitzer value by a factor equal to the electron magnetization parameter raised to one or another power. On completion of the conduction phase, the rate of rise of the switch resistance is proportional to the electron drift velocity. The peak switch voltage obtained in calculations is compared with its values recorded in experiments on mega ampere current switching. A procedure is also presented for calculating the switch parameters to obtain the maximum possible voltage in the phase of current cutoff.",
"author_names": [
"Sergey V Loginov"
],
"corpus_id": 229168314,
"doc_id": "229168314",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Self magnetic insulation in plasma opening switches",
"venue": "Journal of Plasma Physics",
"year": 2020
},
{
"abstract": "An analysis is made of the current break process in microsecond plasma opening switches and their possible application in high current generators. Necessary conditions are determined for generating megavolt pulses in the erosion mode of a plasma opening switch with the gap insulated by an external magnetic field. Under these conditions, efficient sharpening of high power submegampere current pulses can be achieved. The possibility of using plasma opening switches operating at voltages of 5 6 MV to generate X ray and gamma emission is discussed. The main operating and design parameters of a six module plasma opening switch with a current pulse amplitude of 3.7 MA and voltage of 4 6 MV for use in the MOL generator, which is the prototype of one of the 24 modules of the projected Baikal multimegajoule generator, are estimated by using the available scalings.",
"author_names": [
"Georgiy I Dolgachev",
"Dmitry D Maslennikov",
"Andrey G Ushakov"
],
"corpus_id": 120712107,
"doc_id": "120712107",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Outlook for the use of microsecond plasma opening switches to generate high power nanosecond current pulses",
"venue": "",
"year": 2006
},
{
"abstract": "Low temperature plasma technology is widely used in various industrial fields, which require the plasma to be of large volume, diffuse, and stable. Furthermore, previous studies have shown that better plasma performance has been obtained by using generators with a high voltage, a high repetition rate, a fast rise time, and a short pulse duration. In this paper, a novel topology is proposed for such generators, which is based on magnetic switches and diode opening switches. A prototype is developed, and its output characteristics are investigated by varying essential parameters, such as the load resistance and the power supply voltage. The experimental results show that it can generate pulses with a voltage of 30.6 kV, a rise time of 7.1 ns, a pulse duration of 8.2 ns, and a maximum repetition rate of 12 kHz on a 300 O resistive load. The prototype has been successfully used to drive uniform plasma in ambient air. In the proposed topology, a diode is added to make the magnetic cores independent of each other, significantly simplifying the design calculation. It may help develop nanosecond solid state generators.",
"author_names": [
"Zichen Deng",
"Zhenjie Ding",
"Qi Yuan",
"Weidong Ding",
"Lin-Mao Ren",
"Yanan Wang"
],
"corpus_id": 235785595,
"doc_id": "235785595",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "High voltage nanosecond pulse generator based on diode opening switch and magnetic switch.",
"venue": "The Review of scientific instruments",
"year": 2021
},
{
"abstract": "As a new method to enhance nanosecond pulsed power, aiming improvement of cold plasma applications, a type of circuit that is amplified by synchronization of double simple inductive energy storage (IES) circuits with a semiconductor opening switch (SOS) diode was designed and evaluated. The secondary parts of double simple IES circuits which consist of capacitors, a pulse transformer, MOS gated thyristors, and an SOS were connected in parallel and in series, and power amplification was attempted by synchronization of reverse currents of the SOS diodes in two circuits. As a result, when the load was also connected in parallel and in series according to circuit connection way, two times higher pulsed voltage and current were obtained at the load in cases of serial and parallel connections, respectively. When the load was a wire to a cylinder coaxial electrode, power of one pulsed discharge increased by the synchronization of the connected two circuits. The ratio of the power increase is expected by simple circuit calculations to be affected by the stray capacitance at the pulse transformer. This fact proves that the synchronization of the IES circuits is an available method for achievement of high power.",
"author_names": [
"Taichi Sugai",
"Kosuke Yawata",
"Akira Tokuchi",
"Weihua Jiang"
],
"corpus_id": 204208030,
"doc_id": "204208030",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Amplification of Nanosecond Pulsed Power by Synchronization of Double Inductive Energy Storage Circuit",
"venue": "IEEE Transactions on Plasma Science",
"year": 2019
},
{
"abstract": "High speed opening switches(OS) with inductive storage system are very promising in pulsed power applications.The pulsed voltage generated during a short time at the load may be many times higher than the voltage at which the energy has been stored.In the late 20th century a new effect of super fast voltage restoration in high voltage silicon PN junctions,when the junction current is switched from forward to reverse direction,was discovered.The discovery gave rise to a new generation of solid state plasma opening switches,called Drift Step Recovery Diodes(DSRD),which has the advantage of long life time,low jitter,and high repetition rate.The physical property of fast recovery was analyzed in detail and transmitters based on it were designed,which can be applied in GPR system.",
"author_names": [
"Fang Guangyou"
],
"corpus_id": 112372330,
"doc_id": "112372330",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Novel Power Nanosecond Switches for UWB Ground Penetrating Radar",
"venue": "",
"year": 2009
},
{
"abstract": "A new type of an opening switch which operates in vacuum and opens on a nanosecond timescale at voltages 1 MV is described. This Plasma Opening Switch (POS) is able to conduct several hundred kiloamperes for approx. 50 nsec while a vacuum inductor is charged, then to open in 10 nsec, delivering a large fraction of the stored energy to an electron beam load. Preliminary experiments are described and a simple model of the POS operations is presented.",
"author_names": [
"Robert A Meger",
"Robert J Commisso",
"Gerald Cooperstein",
"Shyke A Goldstein"
],
"corpus_id": 107632970,
"doc_id": "107632970",
"n_citations": 95,
"n_key_citations": 1,
"score": 0,
"title": "Vacuum inductive store/pulse compression experiments on a high power accelerator using plasma opening switches. Memorandum report",
"venue": "",
"year": 1983
},
{
"abstract": "A new type of semiconductor opening switch drift step recovery diodes (DSRD) gave rise to a new generation of all solid state nanosecond pulsers with peak power up to a hundred megawatts. The main advantages of these switches are: long life, very good time stability (low jitter) small sizes, and a respectively simple technology of manufacturing. They have a very important feature as well: the total length of both plasma pumping and plasma removing cycles are several hundreds (100 300) of nanoseconds even for high power pulse generation. After the end of the plasma removing cycle, DSRD is in an initial opening state and is ready for the next cycle. Generally speaking, it is possible to generate power pulses at megahertz pulse repetition rates. The presented work is devoted to this mode description.",
"author_names": [
"Alexei F Kardo-Sysoev",
"S V Zazulin",
"N M Efanov",
"O Lelitov",
"A V Kriklenko"
],
"corpus_id": 40835785,
"doc_id": "40835785",
"n_citations": 14,
"n_key_citations": 0,
"score": 0,
"title": "High repetition frequency power nanosecond pulse generation",
"venue": "Digest of Technical Papers. 11th IEEE International Pulsed Power Conference (Cat. No.97CH36127)",
"year": 1997
},
{
"abstract": "This paper is devoted to experimental studies of a short pulse (80 ns) inductive system with a coaxial plasma erosion opening switch (PEOS) operating at the 2 5 x 1010 W level. Scalings of the PEOS and ion diode characteristics with different parameters (PEOS plasma density and velocity, PEOS electrode geometry, load impedance, type and strength of an external magnetic field) were carried out. It was seen that for the most efficient energy and power switching to the load by the PEOS, the following conditions are preferable: high velocity and low density of the plasma flow, negative polarity of the inner PEOS electrode, coincidence of the switch current and injected plasma flow directions, the absence of an external magnetic field, and the presence of an additional self field in the PEOS region. Power enhancement of a factor of 3 and pulse shortening by a factor of 2 were obtained under optimal conditions.",
"author_names": [
"A I Arbuzov",
"Vitaly M Bystritskii",
"Ya E Krasik",
"A A Sinebryukhov",
"V I Podkatov"
],
"corpus_id": 38939994,
"doc_id": "38939994",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "The Application of a Plasma Erosion Opening Switch to a Nanosecond Generator at the Power Level of 1010 W",
"venue": "IEEE Transactions on Plasma Science",
"year": 1987
}
] |
A development nanocrystalline TiO2 based on dye sensitized solar cells with solid state electrolyte | [
{
"abstract": "The dye sensitized solar cell, based on a wide bandgap semiconductor photosensitized with an organic dye, is an attractive low cost alternative to conventional silicon based solar cells. Absorption of a photon by the dye results in the formation of a strongly bound electron hole pair, also referred to as an exciton. A key feature of the dye sensitized solar cell is that efficient exciton decay into separate charge carriers can only occur at the interface between the dye and the semiconductor, leading to injection of an electron into the conduction band of the semiconductor. Since O'Regan and Gratzel reported an efficiency over 10 for a cell based on an interpenetrating network of dye coated nanocrystalline TiO2 particles and a liquid electrolyte containing a iodide/ triiodide redox mediator, the use of nanostructured films in photovoltaic devices has been studied extensively. Due to complications involved in the use of a liquid electrolyte, there is presently great interest in the development of all solid state organic/inorganic solar cells. For these cells a maximum performance of 4 has been realized so far. The use of nanostructured networks avoids the necessity of long range exciton diffusion through the dye layer. However, in such systems electron transport is hampered by trapping at surface defects. The difficulties involved in electron transport can be avoided by using a bilayer configuration consisting of a bulk semiconductor and a relatively thick dye layer. In order to realize efficient charge separation in such a bilayer configuration, the distance excitons are able to cover by diffusion (exciton diffusion length) needs to be equal to or larger than the light penetration depth, which has a typical value of 50 100 nm. The exciton diffusion length in molecular organic dye layers is usually of the order of only a few nanometers, although Nature shows that it is possible to transport excitation energy efficiently over considerably longer distances. An example is found in the photosynthetic apparatus of purple bacteria, which consists of reaction centers and two types of lightharvesting complexes: LHI and LHII. The light harvesting complexes consist of chlorophyll and carotenoid molecules, kept in place by proteins. The presence of chlorophyll molecules leads to a strong light absorption. In addition, the structure of the light harvesting complexes provides a highly efficient pathway for exciton transport; 80 90 of the excitons formed on light absorption are transferred to the reaction center, where charge separation occurs. For a few molecular dye systems only, exciton diffusion lengths considerably longer than a few nanometers have been realized in vacuum thermal deposited layers. However, the expensive elaborate deposition technique makes these layers commercially less attractive and could only be applied for a few dye materials. Chlorophylls and their analogues are attractive candidates for application in dye sensitized solar cells, since these molecules strongly absorb light and they have the potential to provide pathways for long range exciton transport. Porphyrin derivatives are of particular interest because of their improved stability, as compared to chlorophylls. Of crucial importance is the realization of low cost dye layers in which photons are efficiently harvested. Recent studies have shown that the lifetime of the excited states and the mutual arrangement of porphyrin dye molecules play a key factor in realizing efficient light harvesting layers. In this paper we present the results of a study on the molecular arrangement and exciton diffusion in thin spin coated meso tetra(4 n butylphenyl)porphyrin (TnBuPP) films. It is shown that a bilayer of TnBuPP and TiO2 yields a light induced charge separation efficiency that largely exceeds previous findings. Steady state optical absorption and fluorescence spectroscopy, polarized optical microscopy, and X ray diffraction (XRD) are used to elucidate the arrangement of TnBuPP molecules. The light induced charge separation efficiency in 35 5 nm thick TnBuPP films spin coated on top of a smooth TiO2 layer is determined using the time resolved microwave conductivity (TRMC) technique. This technique is based on probing the formation of mobile charge carriers formed on pulsed illumination by a change in microwave power transmitted through the sample. The light induced charge separation efficiency is represented by the incident photon to charge C O M M U N IC A TI O N S",
"author_names": [
"Annemarie Huijser",
"Tom J Savenije",
"Arkadiusz Kotlewski",
"Stephen J Picken",
"Laurens D A Siebbeles"
],
"corpus_id": 55177048,
"doc_id": "55177048",
"n_citations": 42,
"n_key_citations": 0,
"score": 0,
"title": "Efficient Light Harvesting Layers of Homeotropically Aligned Porphyrin Derivatives",
"venue": "",
"year": 2006
},
{
"abstract": "Abstract A quasi solid state electrolytes can be done by adding potassium iodide (KI) and iodine (I 2 into quasi solid state electrolytes. Consisting 1,2,4,5 tetrakisbromomethyl benzene (TB) g butyrolactone (GBL) propylene carbonate (PC) and ethylene carbonate (EC) gelated with sample 1, 2, 3 and 4. Potassium iodide salt poorly soluble in liquid electrolyte, but can dissolve completely in quasi solid state electrolytes. The dye sensitized solar cell (DSSCs) with the quasi solid state electrolytes were fabricated. The results showed that the energy conversion efficiency of light to electricity were 0.052% 0.126% 0.121% and 0.125% respectively, under irradiation of 80 mW/cm 2 The performance of the dye sensitized solar cell with quasi solid state electrolytes is more stable than that of liquid electrolytes.",
"author_names": [
"Surasak Santhaveesuk",
"Teeratan Srimaha"
],
"corpus_id": 104290382,
"doc_id": "104290382",
"n_citations": 0,
"n_key_citations": 0,
"score": 1,
"title": "A study nanocrystalline TiO2 based on dye sensitized solar cells with quasi solid state electrolytes",
"venue": "",
"year": 2018
},
{
"abstract": "Abstract A solid state electrolyte can be done by adding potassium iodide (KI) and iodine (I2) into solid state electrolyte. Consisting propylene carbonate (PC) ethylene carbonate (EC) and 1 methyl 3 propylimidazodium iodide (MPI) and poly (styrene co acrylonitril) (P(S A) gelated with solid state electrolyte. Potassium iodide salt poorly soluble in liquid electrolyte, but can dissolve completely in solid state electrolyte. The dye sensitized solar cells (DSSCs) with the solid state electrolyte were fabricated. The results showed that the energy conversion efficiency of light to electricity were 2.56% under irradiation of 80 mW/cm2. The performance of the dye sensitized solar cells with solid state electrolyte is more stable than that of liquid electrolyte.",
"author_names": [
"Surasak Santhaveesuk",
"Supakorn Pukird",
"Chokchai Kahattha"
],
"corpus_id": 104088608,
"doc_id": "104088608",
"n_citations": 1,
"n_key_citations": 0,
"score": 1,
"title": "A development nanocrystalline TiO 2 based on dye sensitized solar cells with solid state electrolyte",
"venue": "",
"year": 2018
},
{
"abstract": "Abstract The present work reports on solvent free quasi solid state polymer electrolyte comprising of polyethyleneimine, polyethylene glycol, KI, I2 with newly synthesized N,O,S based organic compounds and application for nanocrystalline dye sensitized solar cell (DSSC) The synthesized compounds was confirmed by NMR and mass spectra the conductivity and the surface study were analysed by FTIR spectra, impedance spectra, CV, microscope image, UV and XRD. The performance of the fabricated solar cell and conductivity of polymer electrolyte was enhanced by the electron donicity of hetero atom in the synthesized organic compound such as tetraethylene glycol and O C C O units. Among all synthesized organic compound, the (4,4' (oxybis(ethane 2,1 diyl))bis(oxy))bis(ethane 2,1diyl))bis(sulfanediyl))dipyridine) dopant (I) showed a strong interaction with nano TiO2 electrode, redox couple and the electrolyte resulted with short circuit current (Jsc) open circuit voltage (Voc) fill factor (ff) and energy conversion (NG) values of about 12.9, 890, 0.56 and 9.2% under the sunlight irradiation of 70 mW/cm2.",
"author_names": [
"Pranavamoorthy Karthika",
"Shanmugam Ganesan",
"M Arthanareeswari"
],
"corpus_id": 125344427,
"doc_id": "125344427",
"n_citations": 20,
"n_key_citations": 0,
"score": 0,
"title": "Low cost synthesized organic compounds in solvent free quasi solid state polyethyleneimine, polyethylene glycol based polymer electrolyte for dye sensitized solar cells with high photovoltaic conversion efficiencies",
"venue": "",
"year": 2018
},
{
"abstract": "THE USE OF POLYMER GEL ELECTROLYTE CONTAINING I /I 3 REDOX COUPLE TO ASSEMBLY A SOLID STATE DYE SENSITIZED TiO2 SOLAR CELL A solid state dye sensitized TiO 2 nanocrystalline solar cells was assembled employing a polymer gel electrolyte contains of iodide/triiodide (I /I 3 redox couple. The use of solid electrolyte based on polymer matrix for redox 'couples is aimed to overcome several problems of liquid cell, such as leaks of electrolyte that result in degradation of solar cell. TiO2 nanocrystalline layer was grown on TCO glass substrate by doctor blade technique was stained by synthetic dye Ruthenium 535 for a day. To complete the cell, a polymer gel based on poly(ethylene glycol) (PEG) containing I /I 3 redox couple was sandwiched between a working electrode (nanoporous TiO 2 layer on conductive glass substrate) and a counter electrode (graphite sheet) The solid state cell does not need a clipp, so the gel polymer electrolyte also acts as a glue to join the electrodes. Two cells assembled with different amount of I /I 3 redox couple used in each cell, one of cell use 20 drops of Iodolyte (contains (I /I 3 redox couple) and another cell use 30 drops of Iodolyte solution (purchased fromSolaronix, SA) The cells were characterized their photovoltaic performances included: open circuit voltage (V oc short circuit current (I sc ,maximum power (P max fill factor (FF) and energy conversion efficiency.",
"author_names": [
"Akhiruddin Maddu",
"Irmansyah Irmansyah",
"Mahfuddin Zuhri"
],
"corpus_id": 97696694,
"doc_id": "97696694",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "THE USE OF POLYMER GEL ELECTROLYTE CONTAINING I /I3 REDOX COUPLE TO ASSEMBLY A SOLID STATE DYE SENSITIZED TiO2 SOLAR CELL",
"venue": "",
"year": 2019
},
{
"abstract": "Abstract This article describes a cell architecture that achieves enhanced light harvesting with less dye quantity while simultaneously improving the performance of the polysiloxane based solid state dye sensitized solar cells (DSSCs) We report the synthesis of bimodal mesoporous anatase TiO2 films by a dual templating approach, combining a block copolymer template (Pluronic P123) and polystyrene nanospheres (PS) as soft and hard templates, respectively. The AFM and TEM analysis of TiO2 films revealed a mixture of mesoporous and macroporous morphology in which dual porosity is generated by combustion of soft and hard templates. The size of the macropores was varied by employing PS beads with different diameters (62, 130 and 250 nm) The influence of the macropore size on the dye loading and pore infiltration is the main purpose of this article. The bimodal porosity leads to increased light scattering due to enhanced optical path length, and better pore infiltration of the polysiloxane electrolyte is achieved. The amount of dye uptake by the dual films is lower than that of soft films because the large pore size reduces the total surface area. The optimum bimodal structure was obtained when combining P123 surfactant and the 130 nm PS beads leading to the lowest charge transfer resistance and a high efficiency for DSSCs is reported with both liquid and polymer electrolytes. Even if the dye uptake was lower, the photovoltaic performance has been maintained and improved in some devices. The open circuit voltage and fill factor were improved, owing to a successful joining of different effects i.e. increased light harvesting, facile electrolyte penetration and reduced charge recombination.",
"author_names": [
"Anil Kumar Bharwal",
"Laura Maria Manceriu",
"Fannie Alloin",
"Cristina Iojoiu",
"Jennifer Dewalque",
"Thierry Toupance",
"Catherine Henrist"
],
"corpus_id": 106361735,
"doc_id": "106361735",
"n_citations": 9,
"n_key_citations": 0,
"score": 0,
"title": "Tuning bimodal porosity in TiO2 photoanodes towards efficient solid state dye sensitized solar cells comprising polysiloxane based polymer electrolyte",
"venue": "",
"year": 2019
},
{
"abstract": "DSSC is a 3rd generation photovoltaic technology with potential to economically harvest and efficiently convert photons to electricity. Full solid state DSSC based on solid polymer electrolyte prevents the solvent leaking and evaporation during cell fabrication and operation, which will effectively prolong the cell life time. However, it suffers from low ionic conductivity and poor pore infiltration.The present thesis is dedicated to the concomitant development of polysiloxane based polymer electrolytes on one side, and TiO2 photoanodes with tuned porosity on the other side, and their incorporation in solid state dye sensitised solar cell (ss DSSCs) with the aim to improve their photovoltaic efficiency and the long term stability. To best of our knowledge, DSSCs comprising bimodal TiO2 layers and polysiloxane electrolytes have never been reported.The ionic conductivity and tri iodide diffusion coefficient of the polysiloxane based poly(ionic) liquids (PILs) were largely improved by adding of ionic liquids (ILs) or ethylene carbonate (EC) achieving ionic conductivities of 10 4 10 3 Scm 1. The DSSCs fabricated with the optimized electrolytes showed efficiencies up to 6% with long term stability for 250 days.Bimodal TiO2 films with dual porosity (meso and macro porosity) were fabricated by spin coating, by using soft and hard templating. The dual templated films benefit from increased pore size while maintaining high surface area for dye adsorption. Bimodal films were shown to be more efficient when tested with polymer electrolytes, having comparable efficiencies with liquid electrolyte when in DSSCs, despite lower dye uptake.This thesis brings a significant contribution to the field of DSSCs as efficient and stable solar cells were prepared from newly synthesized polymer electrolytes and bimodal films.",
"author_names": [
"Anil Kumar Bharwal"
],
"corpus_id": 102746261,
"doc_id": "102746261",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Hierarchical porous TiO2 and ionic liquid like polysiloxane electrolyte for solid state Dye Sensitized Solar Cells",
"venue": "",
"year": 2018
},
{
"abstract": "Abstract The present work demonstrates a simple one pot synthesis method for the synthesis of NiO@TiO 2 nanocomposites as a working electrode in Dye Sensitized Solar Cells (DSSCs) i.e. the applicability of n type TiO 2 and p type NiO. These hybrid nanostructures which were, beneficial because of its increasing dye adsorption with suppressing electron hole recombination and were characterized by using X ray diffraction (XRD) Energy Dispersive X ray (EDX) and UV vis spectroscopy. The morphology and size of the NiO@TiO 2 hybrid nanoparticles were analyzed by scanning electron microscope (SEM) and transmission electron microscope (TEM) analysis. Graphene Oxide (GO) immobilized into gelatin hydrogel was used as the quasi solid state gel electrolyte. This study is concerned with the effects of different GO concentration in gelatin and effect of NiO content on the energy conversion efficiency of the quasi solid state DSSCs. DSSCs fabricated by using conventional TiO 2 shows maximum open circuit voltage (V oc of 0.68 V, short circuit current density (J sc of 3.06 mA cm 2 and power conversion efficiency (PCE) of 1.31% at an optimum concentration of 0.1% GO in gelatin, as graphene oxide forms some networks with gelatin matrix which enhances charge transportation through the gel electrolyte. The optimized NiO@TiO 2 photoanode (i.e. 5% NiO content) achieved a PCE of 4.02% J sc of 7.68 mA cm 2 and V oc of 0.75 V.",
"author_names": [
"Momina Khannam",
"Ratan Boruah",
"Swapan Kumar Dolui"
],
"corpus_id": 100111582,
"doc_id": "100111582",
"n_citations": 16,
"n_key_citations": 0,
"score": 0,
"title": "An efficient quasi solid state dye sensitized solar cells based on graphene oxide/gelatin gel electrolyte with NiO supported TiO2 photoanode",
"venue": "",
"year": 2017
},
{
"abstract": "Abstract We have synthesized rare earth element cerium (Ce 3+ doped TiO 2 nanoparticles by using hydrothermal method. This doped TiO 2 is used as photoanode in dye sensitized solar cells (DSSCs) The nanoparticles were characterized by using X ray diffraction (XRD) energy dispersive X ray (EDX) and UV visible spectroscopy. From XRD it was found that the anatase crystalline phase keeps unchanged after Ce 3+ doping while the crystallite size decreases. There is a decrease in the band gap of doped TiO 2 is observed, Ce 3+ positively changes the conduction band minimum of TiO 2 due to the introduction of unoccupied 4f states of Ce 3+ The gel electrolyte was prepared by in situ polymerization of aniline in the mixture of PEO and c MWCNT. The synthesized gel electrolyte was characterized by Fourier transform infrared spectroscopy (FTIR) SEM, and EDX analyses. The thermal stability of these gels also increased with the addition of c MWCNT. The present study is concerned with effect of c MWCNT and Ce 3+ on the conversion efficiency of the quasi solid state DSSCs. DSSCs fabricated with 0.1% c MWCNT c in PEO/PAni and TiO 2 as photoanode achieved maximum conversion efficiency of 1.62% The introduction of c MWCNT improved ionic conductivity of composite electrolytes and enhanced interfacial contact between electrode and electrolyte. The Ce 3+ @TiO 2 photoanode influences the performance of DSSCs due to the increased electron injection. 0.5 wt% Ce 3+ @TiO 2 photoanode gives a maximum PCE of 4.08% J sc of 7.36 mA cm 2 and V oc of 0.76 V.",
"author_names": [
"Momina Khannam",
"Swapan Kumar Dolui"
],
"corpus_id": 99102611,
"doc_id": "99102611",
"n_citations": 14,
"n_key_citations": 0,
"score": 0,
"title": "Cerium doped TiO2 photoanode for an efficient quasi solid state dye sensitized solar cells based on polyethylene oxide/multiwalled carbon nanotube/polyaniline gel electrolyte",
"venue": "",
"year": 2017
},
{
"abstract": "The quest for cost effective and efficient solid state electrolytes is a primary goal for dye sensitized solar cells (DSSCs) The present study describes an experimental approach of synthesizing simple composite electrolytes from iodide triiodide ions (I /I3 incorporated into a polyaniline/thiourea matrix for solid state DSSC applications. This electrolyte composition can be effectively used to decrease the probability of recombination at the TiO2/electrolyte interface and increase the catalytic process of I3 reduction at the electrolyte/counter electrode interface. The application of the optimized electrolyte in DSSC results in increased open circuit voltage as well as short circuit current density, thereby increasing the overall efficiency by 73% as compared to the reference electrolyte. The present composition can prove to be a promising redox medium for solid state DSSCs.",
"author_names": [
"Himanshi Jauhari",
"Rakhi Grover",
"Nidhi Gupta",
"Omita Nanda",
"Dalip Singh Mehta",
"Kanchan Saxena"
],
"corpus_id": 103571075,
"doc_id": "103571075",
"n_citations": 7,
"n_key_citations": 0,
"score": 0,
"title": "Solid state dye sensitized solar cells with polyaniline thiourea based polymer electrolyte composition",
"venue": "",
"year": 2018
}
] |
boosting photocatalytic oxidative coupling of amines | [
{
"abstract": "Abstract Visible light driven selective photocatalytic organic synthesis has recently become a topic of great interest due to its environmental friendliness and sustainability. It is demanding for photocatalysis to utilize the wider range of light, such as visible light, and its performance is often plagued by the sluggish separation of photogenerated charge carriers. An approach is now reported to address these issues by incorporating light harvesting RuII polypyridyl complexes into a semiconductor type metal organic framework (MIL 125) Delightedly, the obtained Ru(bpy)3@MIL 125 photocatalyst presents a remarkably stable and high photoactivity toward the selective oxidative coupling of amines under ambient air with visible light irradiation (l 440 nm) The mechanistic investigation unveiled that both effectively photoexcited electrons transfer from [Ru(bpy)3]Cl2 to MIL 125 and the interaction of C H bonds with superoxide radical (O2* play a critical role in photo catalyzing selective aerobic oxidative coupling of amines. This work highlights a significant role of MOFs as heterogeneous photocatalysts in photocatalytic organic transformations.",
"author_names": [
"X Yang",
"Tao Huang",
"Shui-Ying Gao",
"Rong Cao"
],
"corpus_id": 203939630,
"doc_id": "203939630",
"n_citations": 17,
"n_key_citations": 0,
"score": 1,
"title": "Boosting photocatalytic oxidative coupling of amines by a Ru complex sensitized metal organic framework",
"venue": "Journal of Catalysis",
"year": 2019
},
{
"abstract": "Adsorption and activation of molecules on a surface holds the key to heterogeneous catalysis toward aerobic oxidative reactions. To achieve high catalytic activities, a catalyst surface should be rationally tailored to interact with both organic substrates and oxygen molecules. Here, a facile bottom up approach to defective tungsten oxide hydrate (WO3 *H2 O) nanosheets that contain both surface defects and lattice water is reported. The defective WO3 *H2 O nanosheets exhibit excellent catalytic activity for aerobic coupling of amines to imines. The investigation indicates that the oxygen vacancies derived from surface defects supply coordinatively unsaturated sites to adsorb and activate oxygen molecules, producing superoxide radicals. More importantly, the Bronsted acid sites from lattice water can contribute to enhancing the adsorption and activation of alkaline amine molecules. The synergistic effect of oxygen vacancies and Bronsted acid sites eventually boosts the catalytic activity, which achieves a kinetic rate constant of 0.455 h 1 and a turnover frequency of 0.85 h 1 at 2 h, with the activation energy reduced to 35 kJ mol 1 This work provides a different angle for metal oxide catalyst design by maneuvering subtle structural features, and highlights the importance of synergistic effects to heterogeneous catalysts.",
"author_names": [
"Ning Zhang",
"Xiyu Li",
"Yifei Michelle Liu",
"Ran Long",
"Mengqiao Li",
"Shuangming Chen",
"Zeming Qi",
"Chengming Wang",
"Li Song",
"Jun Jiang",
"Yujie Xiong"
],
"corpus_id": 1952013,
"doc_id": "1952013",
"n_citations": 40,
"n_key_citations": 0,
"score": 0,
"title": "Defective Tungsten Oxide Hydrate Nanosheets for Boosting Aerobic Coupling of Amines: Synergistic Catalysis by Oxygen Vacancies and Bronsted Acid Sites.",
"venue": "Small",
"year": 2017
},
{
"abstract": "The plasmonic electron oscillations in optically excited metallic nanoparticles result in surface confinement of photon energy over much longer time scales in comparison to the unconfined photons traveling at the speed of light, thereby producing an enormous buildup of photon intensity and highly concentrated energetic hot electrons at the nanoparticle surfaces. While the plasmonic hot electrons can be harnessed to drive unconventional photocatalytic molecular transformations at the nanoparticle molecule interfaces, a set of fundamentally important issues concerning detailed reaction mechanisms still remain poorly understood. Here we use surface enhanced Raman scattering (SERS) as a unique time resolving and molecular finger printing tool to spectroscopically resolve the complex kinetics and underlying pathways of plasmon driven oxidative coupling of thiophenol derivatives chemisorbed on the surfaces of optically excited plasmonic Ag nanostructures. A hybrid suprananostructure composed of an SiO2 bead den.",
"author_names": [
"Qingfeng Zhang",
"Hui Wang"
],
"corpus_id": 104105332,
"doc_id": "104105332",
"n_citations": 18,
"n_key_citations": 0,
"score": 0,
"title": "Mechanistic Insights on Plasmon Driven Photocatalytic Oxidative Coupling of Thiophenol Derivatives: Evidence for Steady State Photoactivated Oxygen",
"venue": "",
"year": 2018
},
{
"abstract": "Diminishing fossil fuels and global warming issues have forced the scientists to look for alternative sources of energy to cater to the ever increasing demand. Artificial systems are being developed in order to mimic natural photosynthesis and directly harvest and convert solar energy into renewable energy and environmental remediation. Despite significant efforts, it has not been possible to design a single material which has sufficient efficiency, stability and low cost. To integrate the desired characteristics into a single component, heterogeneous photocatalysts are designed with multiple functional components which could combine the advantages of different components to overcome the drawbacks of single component photocatalysts. The present highlight gives a concise overview of heterogeneous catalysts that have been developed and studied in our group and some excellent works of others in recent years. The review focuses on the principles of photocatalytic and electrocatalytic activities followed by some key examples of oxide based materials. This includes a wide range of structural modification and crystal growth processes leading to composites, heterostructures, including insulator/semiconductor, semiconductor/semiconductor, and multi heteronanostructures, and core shell nanostructures which have been modified in order to improve the performance by increasing the light absorption, promoting the charge separation and transportation, and enhancing the redox catalytic activity and intrinsic electrocatalytic properties. The electrochemical processes like hydrogen evolution reaction (HER) oxygen evolution reaction (OER) and oxygen reduction reaction (ORR) have been discussed closely on the effects of size, shape, exposed facets and surface area of electrocatalysts (metal oxides)",
"author_names": [
"Aparna Ganguly",
"Oruganti Anjaneyulu",
"Kasinath Ojha",
"Ashok Kumar Ganguli"
],
"corpus_id": 93617944,
"doc_id": "93617944",
"n_citations": 41,
"n_key_citations": 0,
"score": 0,
"title": "Oxide based nanostructures for photocatalytic and electrocatalytic applications",
"venue": "",
"year": 2015
},
{
"abstract": "This work demonstrates the first molecular level conversion pathway of NO oxidation over a novel SrO clusters@amorphous carbon nitride (SCO ACN) photocatalyst, which is synthesized via copyrolysis of urea and SrCO3. The inclusion of SrCO3 is crucial in the formation of the amorphous carbon nitride (ACN) and SrO clusters by attacking the intralayer hydrogen bonds at the edge sites of graphitic carbon nitride (CN) The amorphous nature of ACN can promote the transportation, migration, and transformation of charge carriers on SCO ACN. And the SrO clusters are identified as the newly formed active centers to facilitate the activation of NO via the formation of Sr NOd( which essentially promotes the conversion of NO to the final products. The combined effects of the amorphous structure and SrO clusters impart outstanding photocatalytic NO removal efficiency to the SCO ACN under visible light irradiation. To reveal the photocatalytic mechanism, the adsorption and photocatalytic oxidation of NO over CN and SCO ACN are analyzed by in situ DRIFTS, and the intermediates and conversion pathways are elucidated and compared. This work presents a novel in situ DRIFTS based strategy to explore the photocatalytic reaction pathway of NO oxidation, which is quite beneficial to understand the mechanism underlying the photocatalytic reaction and advance the development of photocatalytic technology for environmental remediation.",
"author_names": [
"Wenquan Cui",
"Jieyuan Li",
"Fan Dong",
"Yanjuan Sun",
"Guangming Jiang",
"Wanglai Cen",
"Shun Cheng Lee",
"Zhongbiao Wu"
],
"corpus_id": 206568488,
"doc_id": "206568488",
"n_citations": 122,
"n_key_citations": 1,
"score": 0,
"title": "Highly Efficient Performance and Conversion Pathway of Photocatalytic NO Oxidation on SrO Clusters@Amorphous Carbon Nitride.",
"venue": "Environmental science technology",
"year": 2017
},
{
"abstract": "A green and efficient approach for efficient nanohybrid photocatalysts in extending the light response to the visible spectrum is a hot research topic in sustainable energy technologies. In this work, novel Au TiO2@m CN nanocomposite was synthesized using hard template of cubic ordered mesoporous KIT 6 via the nanocasting process. The as prepared Au TiO2@m CN nanohybrids exhibit enhanced photocatalytic activities with improved stability and reusability using methyl orange dye. The enhanced photocatalytic performance is a result of the conjugated effect of catalytic active Au and TiO2 nanoparticles supported on highly efficient visible light sensitizer, graphitic carbon nitride (m CN or g C3N4) and ordered mesoporous morphology. Besides, the sensing performance of Au TiO2@m CN nanohybrids was also tested for the detection of amine gases, wherein a significant response was reported for triethylamine at low operating temperatures. This study reveals a simple and scalable methodology to design and develop next generation of layered mesoporous materials for multifunctional applications.",
"author_names": [
"Ritu Malik",
"Vijay K Tomer",
"Nirav Joshi",
"Torben Dankwort",
"Liwei Lin",
"Lorenz Kienle"
],
"corpus_id": 206487501,
"doc_id": "206487501",
"n_citations": 70,
"n_key_citations": 0,
"score": 0,
"title": "Au TiO2 Loaded Cubic g C3N4 Nanohybrids for Photocatalytic and Volatile Organic Amine Sensing Applications.",
"venue": "ACS applied materials interfaces",
"year": 2018
},
{
"abstract": "Photocatalytic and photoelectrochemical water splitting under irradiation by sunlight has received much attention for production of renewable hydrogen from water on a large scale. Many challenges still remain in improving energy conversion efficiency, such as utilizing longer wavelength photons for hydrogen production, enhancing the reaction efficiency at any given wavelength, and increasing the lifetime of the semiconductor materials. This introductory review covers the fundamental aspects of photocatalytic and photoelectrochemical water splitting. Controlling the semiconducting properties of photocatalysts and photoelectrode materials is the primary concern in developing materials for solar water splitting, because they determine how much photoexcitation occurs in a semiconductor under solar illumination and how many photoexcited carriers reach the surface where water splitting takes place. Given a specific semiconductor material, surface modifications are important not only to activate the semiconductor for water splitting but also to facilitate charge separation and to upgrade the stability of the material under photoexcitation. In addition, reducing resistance loss and forming p n junction have a significant impact on the efficiency of photoelectrochemical water splitting. Correct evaluation of the photocatalytic and photoelectrochemical activity for water splitting is becoming more important in enabling an accurate comparison of a number of studies based on different systems. In the latter part, recent advances in the water splitting reaction under visible light will be presented with a focus on non oxide semiconductor materials to give an overview of the various problems and solutions.",
"author_names": [
"Takashi Hisatomi",
"Jun Kubota",
"Kazunari Domen"
],
"corpus_id": 11321291,
"doc_id": "11321291",
"n_citations": 2491,
"n_key_citations": 14,
"score": 0,
"title": "Recent advances in semiconductors for photocatalytic and photoelectrochemical water splitting.",
"venue": "Chemical Society reviews",
"year": 2014
},
{
"abstract": "Deuterium labeling is of great value in organic synthesis and the pharmaceutical industry. However, the state of the art C H/C D exchange using noble metal catalysts or strong bases/acids suffers from poor functional group tolerances, poor selectivity and lack of scope for generating molecular complexity. Herein, we demonstrate the deuteration of halides using heavy water as the deuteration reagent and porous CdSe nanosheets as the catalyst. The deuteration mechanism involves the generation of highly active carbon and deuterium radicals via photoinduced electron transfer from CdSe to the substrates, followed by tandem radicals coupling process, which is mechanistically distinct from the traditional methods involving deuterium cations or anions. Our deuteration strategy shows better selectivity and functional group tolerances than current C H/C D exchange methods. Extending the synthetic scope, deuterated boronic acids, halides, alkynes, and aldehydes can be used as synthons in Suzuki coupling, Click reaction, C H bond insertion reaction etc. for the synthesis of complex deuterated molecules.Developing convenient deuterium labeling procedures is important in organic synthesis and the pharmaceutical industry. Here, the authors report a mild photocatalytic strategy for controllable deuteration of halides using D2O as the reagent and porous CdSe nanosheets as the catalyst.",
"author_names": [
"Cuibo Liu",
"Zhongxin Chen",
"Chenliang Su",
"Xiaoxu Zhao",
"Qiang Gao",
"Guo-Hong Ning",
"Hai Zhu",
"Wei Tang",
"Kai Leng",
"Wei Fu",
"Bingbing Tian",
"Xinwen Peng",
"Jing Li",
"Qing-Hua Xu",
"Wu Zhou",
"Kian Ping Loh"
],
"corpus_id": 5507414,
"doc_id": "5507414",
"n_citations": 62,
"n_key_citations": 0,
"score": 0,
"title": "Controllable deuteration of halogenated compounds by photocatalytic D2O splitting",
"venue": "Nature Communications",
"year": 2017
},
{
"abstract": "Abstract Photocatalytic hydrogen production via solar water splitting is one of the most promising solutions for sustainable energy and environmental remedy issues. In the past few decades, photocatalytic water splitting has attracted increasing attention, and extensive efforts have been made to construct efficient heterogeneous water splitting systems. In this chapter, we review the fundamental scientific advances in photocatalytic water splitting using semiconductor based photocatalyst, especially in light absorbing materials, photogenerated charge separation, dual cocatalyst, and surface catalytic reactions. The chapter focuses on the advances achieved in particulate photocatalyst systems, Z scheme photocatalyst systems, and hybrid natural artificial photosynthesis systems. Additionally, technical and economic evaluation of hydrogen production via solar water splitting for potential applications is also briefly discussed. Finally, we present concluding remarks and future directions of photocatalytic water splitting for solar energy conversion.",
"author_names": [
"Rengui Li",
"Can Li"
],
"corpus_id": 102998742,
"doc_id": "102998742",
"n_citations": 51,
"n_key_citations": 2,
"score": 0,
"title": "Photocatalytic Water Splitting on Semiconductor Based Photocatalysts",
"venue": "",
"year": 2017
},
{
"abstract": "Processable, single layered graphene oxide (GO) is an intriguing nanomaterial with tremendous potential for electronic applications. We spin coated GO thin films on quartz and characterized their sheet resistance and optical transparency using different reduction treatments. A thermal graphitization procedure was most effective, producing films with sheet resistances as low as 10(2) 10(3) Omega/square with 80% transmittance for 550 nm light. Our experiments demonstrate solution processed GO films have potential as transparent electrodes.",
"author_names": [
"Hector A Becerril",
"Jie Mao",
"Zunfeng Liu",
"Randall M Stoltenberg",
"Zhenan Bao",
"Yongsheng Chen"
],
"corpus_id": 29227798,
"doc_id": "29227798",
"n_citations": 2676,
"n_key_citations": 37,
"score": 0,
"title": "Evaluation of solution processed reduced graphene oxide films as transparent conductors.",
"venue": "ACS nano",
"year": 2008
}
] |
research of photocatalyst | [
{
"abstract": "The creation of core shell nanocomposites (CSNs) has attracted considerable attention and developed into an increasingly important research area at the frontier of advanced materials chemistry. CSNs, which are nanoscaled assemblies with a chemical composition that is different on the surface compared to the core region, have found versatile applications in many fields, such as electrooptics, quantum dots, microscopy labels, drug delivery, chemical sensors, nanoreactors and catalysis. This review is primarily focused on the applications of metal core@semiconductor shell nanocomposites in heterogeneous photocatalysis, including photocatalytic nonselective processes for environmental remediation, selective organic transformations to fine chemicals and water splitting to clean hydrogen energy. It is hoped that this minireview can inspire multidisciplinary research interest in the precisely morphology controlled synthesis of a variety of metal core@semiconductor shell nanoassemblies and their wide applications in the realm of heterogeneous photocatalysis.",
"author_names": [
"Nan Zhang",
"Siqi Liu",
"Yi-Jun Xu"
],
"corpus_id": 205824961,
"doc_id": "205824961",
"n_citations": 355,
"n_key_citations": 2,
"score": 1,
"title": "Recent progress on metal core@semiconductor shell nanocomposites as a promising type of photocatalyst.",
"venue": "Nanoscale",
"year": 2012
},
{
"abstract": "Background: Antibiotics such as ciprofloxacin (CIP) are even more important in bacterial resistance, even at low concentrations. The aim of this research was to synthesize CuFe2 O4 @methylcellulose (MC) as a new nano photocatalyst for degradation of CIP from aqueous solution. Methods: The nano photocatalyst (CuFe2 O4 @MC) was characterized by FESEM, energy dispersive spectroscopy (EDS) X ray diffraction (XRD) and Fourier transform infrared (FTIR) thermogravimetric analysis (TGA) and vibrating sample magnetometer (VSM) Powder XRD and EDS analysis confirmed the formation of pure phase spinel ferrites. After CuFe2 O4 @MC characterization, the effective parameters in removal efficiency of CIP such as reaction time, initial antibiotic concentration, pH, photocatalyst loading, and degradation kinetic were investigated and conditions were optimized. Then, CIP degradation experiments were conducted on the real sample in the optimal conditions. The removal of chemical oxygen demand (COD) was determined under optimum conditions. Results: The structural characterization of the magnetic nanobiocomposite showed that it is in nanoscale, ferromagnetic property, and thermal stability. The optimal conditions were obtained at pH 7, irradiation time (90 minutes) photocatalyst loading (0.2 g) and initial concentration of CIP (3 mg/L) The removal efficiency of CIP in the optimal conditions was obtained as 80.74% and 72.87% from the synthetic and real samples, respectively. The removal of COD was obtained as 68.26% in this process. The evaluation of kinetic linear models showed that the photocatalytic degradation process was fitted by pseudo first order kinetic model and Langmuir Hinshelwood. CuFe2 O4 @MC photocatalyst had a good stability and reusability for the fourth runs. Conclusion: The photocatalytic degradation of CIP from aqueous media with CuFe2 O4 @MC photocatalyst has a high efficiency, which can be used in the treatment of pharmaceutical wastewaters.",
"author_names": [
"Alireza Nasiri",
"Fatemeh Tamaddon",
"Mohammad Hossein Mosslemin",
"Majid Amiri Gharaghani",
"Ali Asadipour"
],
"corpus_id": 115150424,
"doc_id": "115150424",
"n_citations": 14,
"n_key_citations": 0,
"score": 0,
"title": "Magnetic nano biocomposite CuFe2 O4 @methylcellulose (MC) prepared as a new nano photocatalyst for degradation of ciprofloxacin from aqueous solution",
"venue": "Environmental Health Engineering and Management",
"year": 2019
},
{
"abstract": "Indiscriminate consumption of antibiotics, their discharge into the environment, and the development of resistant genes in a natural ecosystem are ever increasing global threats. Metronidazole is applied to treat infection diseases caused by anaerobic bacteria and protozoa. In this research, TiO2/Fe+3 was used as a heterogeneous nano photocatalyst for the degradation of metronidazole with UV C radiation as the energy source. Parameters tested in the removal process were pH 3, 7, and 11; antibiotic concentration of 80 mg/L; contact times of 30, 60, 90, and 120 min; and nano photocatalyst of TiO2/Fe+3 with concentrations of 30, 60, 90, 250, 500, 750, and 1000 mg/L. The photocatalytic degradation kinetics of metronidazole was studied. Optimal conditions were achieved on synthetic solutions; then, all experiments were performed on wastewater from the pharmaceutical industry. Antibiotic concentrations were measured using an HPLC device. All tests were replicated three times according to the standard methods of water and wastewater experiments, the 20th edition. Data were analyzed using SPSS 19 and the statistical test ANOVA. The optimal conditions for removing metronidazole from synthetic solution included, 500 mg/L for nano photocatalyst concentration, pH 11 and 120 min contact time. Removal efficiency of antibiotic under optimal conditions was 97% from synthetic solutions and 69.85% from pharmaceutical wastewater. Finally, Fe+3 TiO2/UV C were identified as a promising technique for the removal of metronidazole with high efficiency from aqueous solutions.",
"author_names": [
"Mohammad Malakootian",
"Narges Olama",
"Alireza Nasiri"
],
"corpus_id": 103516620,
"doc_id": "103516620",
"n_citations": 43,
"n_key_citations": 1,
"score": 0,
"title": "Photocatalytic degradation of metronidazole from aquatic solution by TiO2 doped Fe3+ nano photocatalyst",
"venue": "International Journal of Environmental Science and Technology",
"year": 2018
},
{
"abstract": "Many reviews are written on this interesting visible light active polymeric semiconductor material, the graphitic carbon nitride (g C3N4) Yet the ever expanding volume of the ongoing research on this materials has inspired us to compile this review, especially on its nanoscale architectures of noble metal free photocatalyst systems. From the viewpoint of sustainable development, an economical photocatalyst which is made up of abundant elements e. g. C and N has a good prospect for large scale applications. Stability of the photocatalyst material under the experimental conditions is essential for its repeated usage, however, many semiconductors sought for visible light driven reaction, particularly sulfides and nitrides are in a compromising situation. However, g C3N4 has high chemical and photo stability besides its high activity under visible light irradiation. Furthermore, solely semiconductor materials have the intrinsic problem of recombination of photogenerated electron hole pairs. To overcome this problem, loading of the semiconductor with a co catalyst, usually a noble metal is a common practice for transfer of electron and thus avoiding the recombination. Development of a noble metal free photocatalyst systems is essentially important for sustainable applications. Hence, the construction of a hybrid composite structure is interesting in the separation of photogenerated charge carriers. Besides diminishing the rate of recombination, the heterostructures are constructed for harnessing a wider spectrum of sunlight. In contrast to bulk semiconductors, their nanoscale counterpart offers a larger number of active sites along with interesting electrical and optical properties. Importantly, construction of extensive junctions between nanomaterials greatly enhance the separation of charges and consequently improve their photocatalytic efficiency. Usually, the stability of materials is compromised with the reduction of size to nano level, however, g C3N4 and its nanomaterials demonstrate exceptional recycling in photocatalytic testing. One of the most important interests in controlling nanoparticle size, shape and composition is to develop noble metal free photocatalyst systems. Here in this review, we have compiled research on all the various applications of noble metal free nanoscale photocatalyst systems based on g C3N4. By the end, we conclude the research topic and put forward future perspectives for further developments in designing practicable photocatalyst systems.",
"author_names": [
"Dilshad Masih",
"Yuanyu Ma",
"Sohrab Rohani"
],
"corpus_id": 99740013,
"doc_id": "99740013",
"n_citations": 376,
"n_key_citations": 1,
"score": 0,
"title": "Graphitic C3N4 based noble metal free photocatalyst systems: A review",
"venue": "",
"year": 2017
},
{
"abstract": "Photocatalyst arrays of a number of metal oxides were prepared by dispensing metal precursor solutions onto fluorine doped tin oxide coated glass followed by annealing at 500 degC for 3 h. These were screened by scanning electrochemical microscopy with a scanning optical fiber, and the results showed that all Sn doped Cd In Bi, Zn In, and W Cd oxide photocatalysts produced enhanced photocurrents for specific metal ratios. The products of the photoelectrochemical (PEC) reaction could be detected electrochemically by a Au ring on the optical fiber. The PEC properties of larger electrodes were investigated, and the Zn In and W Cd oxide photocatalysts showed a much higher photoactivity than Sn doped Cd In Bi. Even without catalysts, they showed visible light response for water oxidation to oxygen.",
"author_names": [
"Wen Liu",
"Heechang Ye",
"Allen J Bard"
],
"corpus_id": 32348030,
"doc_id": "32348030",
"n_citations": 37,
"n_key_citations": 0,
"score": 0,
"title": "Screening of Novel Metal Oxide Photocatalysts by Scanning Electrochemical Microscopy and Research of Their Photoelectrochemical Properties",
"venue": "",
"year": 2010
},
{
"abstract": "Visible light driven water splitting using cheap and robust photocatalysts is one of the most exciting ways to produce clean and renewable energy for future generations. Cutting edge research within the field focuses on so called \"Z scheme\" systems, which are inspired by the photosystem II photosystem I (PSII/PSI) coupling from natural photosynthesis. A Z scheme system comprises two photocatalysts and generates two sets of charge carriers, splitting water into its constituent parts, hydrogen and oxygen, at separate locations. This is not only more efficient than using a single photocatalyst, but practically it could also be safer. Researchers within the field are constantly aiming to bring systems toward industrial level efficiencies by maximizing light absorption of the materials, engineering more stable redox couples, and also searching for new hydrogen and oxygen evolution cocatalysts. This review provides an in depth survey of relevant Z schemes from past to present, with particular focus on mechanistic breakthroughs, and highlights current state of the art systems which are at the forefront of the field.",
"author_names": [
"Yiou Wang",
"Hajime Suzuki",
"Jijia Xie",
"Osamu Tomita",
"David James Martin",
"Masanobu Higashi",
"Dan Kong",
"Ryu Abe",
"Junwang Tang"
],
"corpus_id": 4993119,
"doc_id": "4993119",
"n_citations": 345,
"n_key_citations": 1,
"score": 0,
"title": "Mimicking Natural Photosynthesis: Solar to Renewable H2 Fuel Synthesis by Z Scheme Water Splitting Systems",
"venue": "Chemical reviews",
"year": 2018
},
{
"abstract": "Today, a major issue about water pollution is the residual dyes from different sources (e.g. textile industries, paper and pulp industries, dye and dye intermediates industries, pharmaceutical industries, tannery and craft bleaching industries, etc. and a wide variety of persistent organic pollutants have been introduced into our natural water resources or wastewater treatment systems. In fact, it is highly toxic and hazardous to the living organism; thus, the removal of these organic contaminants prior to discharge into the environment is essential. Varieties of techniques have been employed to degrade those organic contaminants and advanced heterogeneous photocatalysis involving zinc oxide (ZnO) photocatalyst appears to be one of the most promising technology. In recent years, ZnO photocatalyst have attracted much attention due to their extraordinary characteristics. The high efficiency of ZnO photocatalyst in heterogeneous photocatalysis reaction requires a suitable architecture that minimizes electron loss during excitation state and maximizes photon absorption. In order to further improve the immigration of photo induced charge carriers during excitation state, considerable effort has to be exerted to further improve the heterogeneous photocatalysis under UV/visible/solar illumination. Lately, interesting and unique features of metal doping or binary oxide photocatalyst system have gained much attention and became favourite research matter among various groups of scientists. It was noted that the properties of this metal doping or binary oxide photocatalyst system primarily depend on the nature of the preparation method and the role of optimum dopants content incorporated into the ZnO photocatalyst. Therefore, this paper presents a critical review of recent achievements in the modification of ZnO photocatalyst for organic contaminants degradation.",
"author_names": [
"Kian Mun Lee",
"Chin Wei Lai",
"Koh Sing Ngai",
"Joon Ching Juan"
],
"corpus_id": 25442434,
"doc_id": "25442434",
"n_citations": 1148,
"n_key_citations": 16,
"score": 0,
"title": "Recent developments of zinc oxide based photocatalyst in water treatment technology: A review.",
"venue": "Water research",
"year": 2016
},
{
"abstract": "As a fascinating conjugated polymer, graphitic carbon nitride (g C3N4) has become a new research hotspot and drawn broad interdisciplinary attention as a metal free and visible light responsive photocatalyst in the arena of solar energy conversion and environmental remediation. This is due to its appealing electronic band structure, high physicochemical stability, and \"earth abundant\" nature. This critical review summarizes a panorama of the latest progress related to the design and construction of pristine g C3N4 and g C3N4 based nanocomposites, including (1) nanoarchitecture design of bare g C3N4, such as hard and soft templating approaches, supramolecular preorganization assembly, exfoliation, and template free synthesis routes, (2) functionalization of g C3N4 at an atomic level (elemental doping) and molecular level (copolymerization) and (3) modification of g C3N4 with well matched energy levels of another semiconductor or a metal as a cocatalyst to form heterojunction nanostructures. The construction and characteristics of each classification of the heterojunction system will be critically reviewed, namely metal g C3N4, semiconductor g C3N4, isotype g C3N4/g C3N4, graphitic carbon g C3N4, conducting polymer g C3N4, sensitizer g C3N4, and multicomponent heterojunctions. The band structures, electronic properties, optical absorption, and interfacial charge transfer of g C3N4 based heterostructured nanohybrids will also be theoretically discussed based on the first principles density functional theory (DFT) calculations to provide insightful outlooks on the charge carrier dynamics. Apart from that, the advancement of the versatile photoredox applications toward artificial photosynthesis (water splitting and photofixation of CO2) environmental decontamination, and bacteria disinfection will be presented in detail. Last but not least, this comprehensive review will conclude with a summary and some invigorating perspectives on the challenges and future directions at the forefront of this research platform. It is anticipated that this review can stimulate a new research doorway to facilitate the next generation of g C3N4 based photocatalysts with ameliorated performances by harnessing the outstanding structural, electronic, and optical properties for the development of a sustainable future without environmental detriment.",
"author_names": [
"Wee-Jun Ong",
"Lling-Lling Tan",
"Yun Hau Ng",
"Siek-Ting Yong",
"Siang-Piao Chai"
],
"corpus_id": 206536333,
"doc_id": "206536333",
"n_citations": 3155,
"n_key_citations": 8,
"score": 0,
"title": "Graphitic Carbon Nitride (g C3N4) Based Photocatalysts for Artificial Photosynthesis and Environmental Remediation: Are We a Step Closer To Achieving Sustainability?",
"venue": "Chemical reviews",
"year": 2016
},
{
"abstract": "Hydrogen from direct splitting of water molecules using photons is reckoned to be a sustainable and renewable energy solution for the post fossil fuel era. Efficient photocatalysts, including metal free photocatalysts, are key determinants of cost effective hydrogen generation at a large scale. The search for new materials that are metal free is therefore ongoing. Recently, 2D phosphorene, a phosphorus analogue of graphene, has been added as a new semiconductor to the family of monolayer flatland materials. In this review, we focus on analysing the fundamental electronic, optical and chemical properties of 2D phosphorene and assess its suitability as a metal free water splitting photocatalyst. We also critically analyse its stability against claims from environmental antagonists and attempt to predict its future as a photocatalyst. This review provides timely information for researchers, scientists and professionals devoted to materials research for photocatalysis.",
"author_names": [
"Mohammad Ziaur Rahman",
"Chi Wai Kwong",
"Kenneth Davey",
"Shizhang Qiao"
],
"corpus_id": 100851005,
"doc_id": "100851005",
"n_citations": 340,
"n_key_citations": 0,
"score": 0,
"title": "2D phosphorene as a water splitting photocatalyst: fundamentals to applications",
"venue": "",
"year": 2016
},
{
"abstract": "Among three polymorphs of TiO2, the brookite is the least known phase in many aspects of its properties and photoactivities (especially comparable to anatase and rutile) because it is the rarest phase to be synthesized in the standard environment among the TiO2 polymorphs. In this study, we address the unrevealed photocatalytic properties of pure brookite TiO2 film as an environmental photocatalyst. Highly crystalline brookite nanostructures were synthesized on titanium foil using a well designed hydrothermal reaction, without harmful precursors and selective etching of anatase, to afford pure brookite. The photocatalytic degradation of rhodamine B, tetramethylammonium chloride, and 4 chlorophenol on UV illuminated pure brookite were investigated and compared with those on anatase and rutile TiO2. The present research explores the generation of OH radicals as main oxidants on brookite. In addition, tetramethylammonium, as a mobile OH radical indicator, was degraded over both pure anatase and brookite phases, but not rutile. The brookite phase showed much higher photoactivity among TiO2 polymorphs, despite its smaller surface area compared with anatase. This result can be ascribed to the following properties of the brookite TiO2 film: (i) the higher driving force with more negative flat band potential, (ii) the efficient charge transfer kinetics with low resistance, and (iii) the generation of more hydroxyl radicals, including mobile OH radicals. The brookite nanostructured TiO2 electrode facilitates photocatalyst collection and recycling with excellent stability, and readily controls photocatalytic degradation rates with facile input of additional potential.",
"author_names": [
"Mingi Choi",
"Jonghun Lim",
"Minki Baek",
"Wonyong Choi",
"Wooyul Kim",
"Kijung Yong"
],
"corpus_id": 206449810,
"doc_id": "206449810",
"n_citations": 32,
"n_key_citations": 0,
"score": 0,
"title": "Investigating the Unrevealed Photocatalytic Activity and Stability of Nanostructured Brookite TiO2 Film as an Environmental Photocatalyst.",
"venue": "ACS applied materials interfaces",
"year": 2017
}
] |
High speed and efficient ALU using modified booth multiplier | [
{
"abstract": "Nowadays most progressive networks are organised through Boolean Implementation. Boolean Implementation helps in diminishing warmth dissipating, providing for almost essentialness free figuring, resulting in enhanced device sizes as well as engaging efficient evaluation of lacks. A modified structure for an 8 bit Arithmetic logic unit with modified Booth Multiplier is presented in this work. The 16 bit logic is arranged through a falling 1 bit arithmetic logic. The imperative modules of a 1 bit ALU are the module of power and the module of addition. This ALU arrangement has decreased door check and semiconductor count. Using a modified booth multiplier the arithmetic logic unit is implanted in this paper. The modified booth encoding method reduces the delay there by improving the speed of the overall device.",
"author_names": [
"B Supritha",
"Kiran Mannem",
"B Veera Reddy",
"K Jamal",
"Manchalla O V P Kumar"
],
"corpus_id": 226852939,
"doc_id": "226852939",
"n_citations": 0,
"n_key_citations": 0,
"score": 1,
"title": "High speed and efficient ALU using modified booth multiplier",
"venue": "2020 Fourth International Conference on I SMAC (IoT in Social, Mobile, Analytics and Cloud) (I SMAC)",
"year": 2020
},
{
"abstract": "Addition is one of the common and widely used fundamental arithmetic operation in many VLSI systems. The critical elements in general purpose and digital signal processing processors are High performance VLSI integer adders as they are employed in the design of Arithmetic Logic Units, in floating point arithmetic data paths and in address generation units. The performance parameters for any adder are area, speed and delay. By using Square Root Carry Select Adder (SQRT CSLA) speed can be achieved. In designing new architecture, the Tradeoff between those parameters plays the major role. We can reduce area by using Zero Finding Logic (ZFC) technique, from the structure of SQRT CSLA. By using the Modified architecture we can reduce area. We can implement Booth multiplier by using the CSLA and SQRT CSLA with Zero finding logic. Implementation of Booth multiplier by using CSLA and SQRT CSLA with Zero finding logic is proposed for better speed applications and efficient area applications.",
"author_names": [
"Pulakandla Sushma",
"J Priyanka",
"R V S Lalitha",
"Kumar Manoj",
"N Divya",
"V Suma"
],
"corpus_id": 58070356,
"doc_id": "58070356",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "High Speed and Area Efficient Booth Multiplier Using SQRT CSLA with Zero Finding Logic",
"venue": "",
"year": 2017
},
{
"abstract": "In this paper, we proposed a design methodology for high performance, efficient area, the lower power multiplier for signed unsigned number. In the first phase, for generating partial products, we proposed the Novel Modified Booth Encoder (NMBE) scheme using 28 transistors, compared to the conventional Modified Booth Encoder (MBE) multiplier of 46 transistors. In the second phase, for reducing several partial products rows into two rows, we have designed the Vertical Column Adder (VCA) with a minimum number of transistors compared to the conventional Partial Product Reduction Tree (PPRT) In the final phase, to obtain the product of multiplication, we have proposed Carry Look ahead and Carry Select Adder (CLCSA) technique, for high speed addition operation with minimum delay. Hence, the experimental results show that the proposed NMBE multiplier for signed unsigned number can achieve improvement in speed, area and power dissipation by 38 63 and 39 respectively.",
"author_names": [
"Ravindra P Rajput",
"M N Shanmukha Swamy"
],
"corpus_id": 33465622,
"doc_id": "33465622",
"n_citations": 2,
"n_key_citations": 1,
"score": 0,
"title": "High Speed, Efficient Area, Low Power Novel Modified Booth Encoder Multiplier for Signed Unsigned Number",
"venue": "CSOC",
"year": 2016
},
{
"abstract": "Many communication applications require multifaceted arithmetic operation are used in many digital signal processing (DSP) relevance. Mainly in the reduction of multiplier power and area consumption it can play an important role in high performance of any digital indication processing system. within this paper, mainly centre of attention on optimizing and increased performance by reduction in power consumption in propose of the fused Add Multiply (FAM) operator. This implements a new technique by straight recoding of sum two numbers in Modified Booth (MB) form. In this paper implemented a new and efficient structured technique by straight recoding of sum of two numbers by considering existing modified booth (MB) technique. The new technique is implemented by three new dissimilar schemes by integrating them within existing FAM plans. The performance of the proposed three different schemes with the implementation of new model carry select adder (K adders) gives reduction in conditions of critical delay, hardware complication and power utilization while comparing with the existing AM design.",
"author_names": [
"K Rajesh",
"Yerra Kanakaraju"
],
"corpus_id": 212481363,
"doc_id": "212481363",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Implementation of High Speed Area Efficient Modified Booth Recoder for Efficient Design of the Add Multiply Operator using VHDL",
"venue": "",
"year": 2016
},
{
"abstract": "In digital signal processing the speed of the processor is dependent on the processing speed of a multiplier used in it, which affects total processing of a circuit. Hence, when a normal multipliers are used they consumes most of the power also gives rise to a delay. So to overcome this problems the high speed digital multiplier used nowadays. This paper introduced a low power modified booth multiplier, which work on a partial product, shifted approximately and addition. For the design of low power circuit the Gate Diffusion Input technique used. This technique plays a key role in the low power reduction technique. The speed modified booth multiplier is depending upon the partial product. As the modified booth multiplier cuts the required partial product into half so the speed of partial product increase's. The booth multiplier consists of a three section encoder, partial product generation unit and adder circuit. Implementation of a booth multiplier takes place using a Tanner EDA 15.0 version. The result obtained is in term of average power and is compared with the performance of GDI to static CMOS technique at 45nm technology. The voltage used for the circuit varies from 0.1 to 0.7 volts.",
"author_names": [
"Surya Kumari",
"Ravi Srinivas",
"B Siva Hari Prasad"
],
"corpus_id": 212478162,
"doc_id": "212478162",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Low Power and Area Efficient GDI Based Modified Booth Multiplier",
"venue": "",
"year": 2018
},
{
"abstract": "Multiplier is one of the important elements in most of the digital processing system such as FIR filters, digital signal processors and microprocessors etc. The two important parameters of a multiplier design are its area and speed that are inversely proportional. The speed of a system depends on how a faster an arithmetic operations are performed. The main problem in designing of VLSI circuits are high power consumption, large area utilization and delay which affect the speed of the computation and also results in power dissipation. In general, speed and power are the two essential factors in VLSI design. For solving the issues, a new architecture has been design. In proposed design, two multipliers are used modified booth multiplier and Wallace tree multiplier with Ripple carry adder. Modified booth multiplier is used to reduce number of partial products whereas Wallace tree multiplier is used for fast addition and finally, ripple carry adder is used for final accumulation. This paper presents study of different booth algorithm and design of multiplier by using modified booth algorithm (MBA) Multiplier circuit will be design using Verilog and simulated using Xilinx ISE Simulator. Keyword: Modified booth algorithm, Wallace tree, and ripple carry adder",
"author_names": [
"Juili Borkar"
],
"corpus_id": 212590783,
"doc_id": "212590783",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Design Of Area Efficient Multiplier By Using Modified Booth Algorithm",
"venue": "",
"year": 2017
},
{
"abstract": "A Wallace tree multiplier using modified booth algorithm is proposed in this paper. It is an improved version of tree based Wallace tree multiplier (1) architecture. This paper aims at additional reduction of latency and power consumption of the Wallace tree multiplier. This is accomplished by the use of booth algorithm, 5:2, 4:2, and 3:2 compressor adders. An efficient VerilogHDL code has been written, successfully simulated and synthesized for Xilinx FPGA vertex 6 low power (Xc6vlx75tl 1Lff484) device, using Xilinx 12.2 ISE and XST. The result shows that the proposed architecture is around 67% faster than the existing Wallace tree multiplier. I. INTRODUCTION A multitude of various multiplier architectures have been published in the literature, during the past few decades. The multiplier is one of the key hardware blocks in most of the digital and high performance systems such as digital signal processors and microprocessors. With the recent advances in technology, many researchers have worked on the design of increasingly more efficient multipliers. They aim at offering higher speed and lower power consumption even while occupying reduced silicon area. This makes them compatible for various complex and portable VLSI circuit implementations. However, the fact remains that the area and speed are two conflicting performance constraints. Hence, innovating increased speed always results in larger area. In this paper, we arrive at a better trade off between the two, by realizing a marginally increased speed performance through a small rise in the number of transistors. The new architecture enhances the speed performance of the widely acknowledged Wallace tree multiplier. The structural optimization is performed on the conventional Wallace multiplier, in such a way that the latency of the total circuit reduces considerably. The Wallace tree basically multiplies two unsigned integers. The conventional Wallace tree multiplier architecture comprises of an AND array for computing the partial products, a carry save adder for adding the partial products so obtained and a carry propagate adder in the final stage of addition. In the proposed architecture, partial product generation and reduction is accomplished by the use of booth algorithm, 3:2, and 4:2, 5:2 compressor structures.",
"author_names": [
"M JagadeshwarRao",
"S Dubey"
],
"corpus_id": 6914175,
"doc_id": "6914175",
"n_citations": 26,
"n_key_citations": 2,
"score": 0,
"title": "A High Speed Wallace Tree Multiplier Using Modified Booth Algorithm for Fast Arithmetic Circuits",
"venue": "",
"year": 2012
},
{
"abstract": "There are different entities that one would like to optimize when designing a VLSI circuit. These entities can often not be optimized simultaneously, only improve one entity at the expense of one or more others. The design of an efficient integrated circuit in terms of power, area, and speed simultaneously, has become a very challenging problem. Power dissipation is recognized as a critical parameter in modern VLSI field. In Very Large Scale Integration, Low power VLSI design is necessary to meet MOORE 'S law and to produce consumer electronics with more back up and less processing systems. Multiplication occurs frequently in finite impulse response filters, fast Fourier transforms, discrete cosine transforms, convolution, and other important DSP and media processing took off. In the past multiplication were multimedia kernels. The objective of a good multiplier is to provide a physically compact, good speed and low power consuming chip. To save significant power consumption of a VLSI design, it is a good direction to reduce its dynamic power that is the major part of power dissipation. we proposed a new architecture of performance of the entire calculation. Because the multiplier and accumulator (MAC) for high speed arithmetic. By combining multiplication with accumulation and generate hybrid type of carry save adder (CSA) the performance was improved. Since the accumulator that has the largest delay in MAC was merged into CSA, the overall performance was elevated.",
"author_names": [
"V Sandeep Kumar",
"V Swathi"
],
"corpus_id": 14311410,
"doc_id": "14311410",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "A New High Speed Low Power Performance of 8 Bit Parallel Multiplier Accumulator Using Modified Radix 2 Booth Encoded Algorithm",
"venue": "",
"year": 2013
},
{
"abstract": "A 2 bit Booth encoder with Josephson Transmission Lines (JTLs) and Passive Transmission Lines (PTLs) is designed. The Booth encoding method is one of the algorithms to obtain partial products. With this method, the number of partial products decreases down to the half compared to the AND array method. The circuit area of the multiplier designed with the Booth encoder method is compared to that designed with the AND array method. The proposed 64 bit modified booth encoders are designed using Modified Booth Algorithm and Carry Look Ahead Adder. The efficiency of this project is verified by successive execution with different inputs.",
"author_names": [
"N V Patel",
"Vidyashri M Bastawadi",
"Suparna R Daddimani"
],
"corpus_id": 202736887,
"doc_id": "202736887",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "June 2017 ISSN 2230 9659 Design of High Speed Hardware Efficient Modified Booth Multiplier Using HDL",
"venue": "",
"year": null
},
{
"abstract": "Presently, the design of a compact multiplier is playing a vital role in the stream of VLSI signal processing, DSP, Modern wireless communication etc. The main goal of this proposal is to design a compact booth multiplier by using modified radix4 recoding and an efficient finite state machine (FSM) to achieve small chip size and low delay utilization. In the existing technique, compression based booth multiplier is designed by using carry look ahead adder, multiplexer, booth encoder and partial product generator (PPG) It requires more resource utilization (area) and the performance characteristics is very less in the existing booth multiplier. To come up with a solution to this problem, modified radix4 algorithm with an optimized FSM design is used to construct the compact booth multiplier. Simulation and synthesis is performed by applying the ModelSim and Xilinx 13.1 based on Verilog HDL. FPGA spartan6 LX9 board is used for implementation.",
"author_names": [
"Madhan Sivakumar",
"S Omkumar"
],
"corpus_id": 212468446,
"doc_id": "212468446",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Design and Implementation of Compact Booth Multiplier for Low power, Low Area High Speed Applications",
"venue": "",
"year": 2017
}
] |
Isotopically enhanced triple-quantum-dot qubit | [
{
"abstract": "Three coupled quantum dots in isotopically purified silicon enable all electrical qubit control with long coherence time. Like modern microprocessors today, future processors of quantum information may be implemented using all electrical control of silicon based devices. A semiconductor spin qubit may be controlled without the use of magnetic fields by using three electrons in three tunnel coupled quantum dots. Triple dots have previously been implemented in GaAs, but this material suffers from intrinsic nuclear magnetic noise. Reduction of this noise is possible by fabricating devices using isotopically purified silicon. We demonstrate universal coherent control of a triple quantum dot qubit implemented in an isotopically enhanced Si/SiGe heterostructure. Composite pulses are used to implement spin echo type sequences, and differential charge sensing enables single shot state readout. These experiments demonstrate sufficient control with sufficiently low noise to enable the long pulse sequences required for exchange only two qubit logic and randomized benchmarking.",
"author_names": [
"K Eng",
"Thaddeus D Ladd",
"Aaron Smith",
"Matthew G Borselli",
"Andrey A Kiselev",
"Bryan H Fong",
"Kevin S Holabird",
"Thomas M Hazard",
"Biqin Huang",
"Peter W Deelman",
"Ivan Milosavljevic",
"Adele E Schmitz",
"Richard S Ross",
"Mark F Gyure",
"Andrew T Hunter"
],
"corpus_id": 11775297,
"doc_id": "11775297",
"n_citations": 151,
"n_key_citations": 4,
"score": 1,
"title": "Isotopically enhanced triple quantum dot qubit",
"venue": "Science Advances",
"year": 2015
},
{
"abstract": "Quantum computation requires qubits that satisfy often conflicting criteria, which include long lasting coherence and scalable control1. One approach to creating a suitable qubit is to operate in an encoded subspace of several physical qubits. Although such encoded qubits may be particularly susceptible to leakage out of their computational subspace, they can be insensitive to certain noise processes2,3 and can also allow logical control with a single type of entangling interaction4 while maintaining favourable features of the underlying physical system. Here we demonstrate high fidelity operation of an exchange only qubit encoded in a subsystem of three coupled electron spins5 confined in gated, isotopically enhanced silicon quantum dots6. This encoding requires neither high frequency electric nor magnetic fields for control, and instead relies exclusively on the exchange interaction4,5, which is highly local and can be modulated with a large on off ratio using only fast voltage pulses. It is also compatible with very low and gradient free magnetic field environments, which simplifies integration with superconducting materials. We developed and employed a modified blind randomized benchmarking protocol that determines both computational and leakage errors7,8, and found that unitary operations have an average total error of 0.35% with half of that, 0.17% coming from leakage driven by interactions with substrate nuclear spins. The combination of this proven performance with complete control via gate voltages makes the exchange only qubit especially attractive for use in many qubit systems.Random sequences of unitary gate operations on an exchange only qubit encoded in three physical electron qubits are performed using only voltage pulses and exhibit an average total error of 0.35% where half of the error originates from leakage out of the computational subspace caused by interactions with substrate nuclear spins.",
"author_names": [
"Reed W Andrews",
"Matthew D Reed",
"Sieu D Ha",
"Michael P Jura",
"Joseph Kerckhoff",
"Mark P Levendorf",
"Se'an M Meenehan",
"Seth T Merkel",
"Aaron Smith",
"Aaron Weinstein",
"Matthew T Rakher",
"Thaddeus D Ladd",
"Matthew G Borselli"
],
"corpus_id": 116385977,
"doc_id": "116385977",
"n_citations": 38,
"n_key_citations": 0,
"score": 0,
"title": "Quantifying error and leakage in an encoded Si/SiGe triple dot qubit",
"venue": "Nature Nanotechnology",
"year": 2019
},
{
"abstract": "Charge qubits realized in the double quantum dot systems have been a subject of many theoretical and experimental studies due to their simplicity and fast operation speed [1] However they exhibit short decoherence times caused by charge noise and phonons. Hentschel et al. [2] followed by Vasiliev and Fedichkin [3] showed enhanced robustness to phonon induced decoherence in the triple quantum dot charge qubit in triangular and linear geometry, respectively. In this paper we develop the concept of triple quantum dot charge qubit. We show that leakage to a higher energy level, limiting the single qubit gate fidelities, can be eliminated by an appropriate modification of tunneling barriers and dot energies. Next we present studies for two capacitively coupled qubits and show a feasibility of implementing of two qubit operation gates for two different coupling topologies.",
"author_names": [
"Krzysztof Ptaszynski",
"Bogdan R Bulka"
],
"corpus_id": 102487591,
"doc_id": "102487591",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Logic operations of charge qubit in a triple quantum dot",
"venue": "",
"year": 2016
},
{
"abstract": "The isolation of qubits from noise sources, such as surrounding nuclear spins and spin electric susceptibility1 4, has enabled extensions of quantum coherence times in recent pivotal advances towards the concrete implementation of spin based quantum computation. In fact, the possibility of achieving enhanced quantum coherence has been substantially doubted for nanostructures due to the characteristic high degree of background charge fluctuations5 7. Still, a sizeable spin electric coupling will be needed in realistic multiple qubit systems to address single spin and spin spin manipulations8 10. Here, we realize a single electron spin qubit with an isotopically enriched phase coherence time (20 ms)11,12 and fast electrical control speed (up to 30 MHz) mediated by extrinsic spin electric coupling. Using rapid spin rotations, we reveal that the free evolution dephasing is caused by charge noise rather than conventional magnetic noise as highlighted by a 1/f spectrum extended over seven decades of frequency. The qubit exhibits superior performance with single qubit gate fidelities exceeding 99.9% on average, offering a promising route to large scale spin qubit systems with fault tolerant controllability.Quantum control on an isotopically enriched Si spin qubit is demonstrated with ultrahigh gate fidelities and long coherence times even in the presence of sizeable charge noise.",
"author_names": [
"Jun Yoneda",
"Kenta Takeda",
"Tomohiro Otsuka",
"Takashi Nakajima",
"Matthieu R Delbecq",
"Giles Allison",
"Takumu Honda",
"Tetsuo Kodera",
"Shunri Oda",
"Yusuke Hoshi",
"Noritaka Usami",
"Kohei M Itoh",
"Seigo Tarucha"
],
"corpus_id": 7423378,
"doc_id": "7423378",
"n_citations": 241,
"n_key_citations": 5,
"score": 0,
"title": "A quantum dot spin qubit with coherence limited by charge noise and fidelity higher than 99.9%",
"venue": "Nature Nanotechnology",
"year": 2017
},
{
"abstract": "",
"author_names": [
"Thaddeus D Ladd"
],
"corpus_id": 131921375,
"doc_id": "131921375",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Nuclear Dynamics in Isotopically Enhanced Silicon Spin Qubits",
"venue": "",
"year": 2019
},
{
"abstract": "The coherence of electron spin qubits in semiconductor quantum dots suffers mostly from low frequency noise. During the last decade, efforts have been devoted to mitigate such noise by material engineering, leading to substantial enhancement of the spin dephasing time for an idling qubit. However, the role of the environmental noise during spin manipulation, which determines the control fidelity, is less understood. We demonstrate an electron spin qubit whose coherence in the driven evolution is limited by high frequency charge noise rather than the quasi static noise inherent to any semiconductor device. We employed a feedback control technique to actively suppress the latter, demonstrating a \\pi$ flip gate fidelity as high as $99.04\\pm 0.23\\ in a gallium arsenide quantum dot. We show that the driven evolution coherence is limited by the longitudinal noise at the Rabi frequency, whose spectrum resembles the $1/f$ noise observed in isotopically purified silicon qubits.",
"author_names": [
"Takashi Nakajima",
"Akito Noiri",
"Kento Kawasaki",
"Jun Yoneda",
"Peter Stano",
"Shinichi Amaha",
"Tomohiro Otsuka",
"Kenta Takeda",
"Matthieu R Delbecq",
"Giles Allison",
"Arne Ludwig",
"Andreas Dirk Wieck",
"Daniel Loss",
"Seigo Tarucha"
],
"corpus_id": 210116578,
"doc_id": "210116578",
"n_citations": 7,
"n_key_citations": 0,
"score": 0,
"title": "Coherence of a Driven Electron Spin Qubit Actively Decoupled from Quasistatic Noise",
"venue": "",
"year": 2020
},
{
"abstract": "This thesis presents research on the initialization, control, and readout of electron spin states in gate defined GaAs quantum dots. The first three experiments were performed with Singlet Triplet spin qubits in double quantum dots, while the remaining two experiments were performed with an Exchange Only spin qubit in a triple quantum dot. The first experiment examines the relationship between a dynamic nuclear polarization (DNP) process and the increase in measured singlet return probability in a SingletTriplet qubit. We find that the DNP process creates an Overhauser field difference, Bz, between dots, which enhances the relaxation of triplet states during the measurement. We propose a model which explains this relaxation mechanism, and find it in good agreement with the data. The second and third experiments investigate the effects of dynamical decoupling sequences on the Singlet Triplet qubit. We demonstrate the preservation of singlet triplet superpositions by interlacing qubit rotations with Carr Purcell (CP) sequences. We test the preservation of a singlet state with different dynamical decoupling schemes, finding a maximum coherence time of T2 80ms for the CP sequence. The coherence time is studied for a number of p pulses in the CP sequence, yielding an exponential dependence on even numbers of p pulses. This allowed us to estimate the functional form of the noise spectrum influencing the qubit. The fourth experiment studied the initialization, complete electrical control, and readout of an Exchange only spin qubit in a triple quantum dot. We demonstrate over 75 qubit rotations at 47 GHz and create a method of measurement and state tomography,",
"author_names": [
"James Medford"
],
"corpus_id": 120943810,
"doc_id": "120943810",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Spin qubits in double and triple quantum dots",
"venue": "",
"year": 2013
},
{
"abstract": "BODY: Extremely long electron and nuclear spin coherence times have recently been demonstrated in isotopically pure Si 28 [1,2] making silicon one of the most promising semiconductor materials for spin based quantum information. The two level spin state of single electrons bound to shallow phosphorus donors in silicon in particular provide well defined, reproducible qubits [3] and represent a promising system for a scalable quantum computer in silicon. An important challenge in these systems is the realisation of an architecture, where we can position donors within a crystalline environment with approx. 20 50nm separation, individually address each donor, manipulate the electron spins using ESR techniques and read out their spin states. We have developed a unique fabrication strategy for a scalable quantum computer in silicon using scanning tunnelling microscope lithography to precisely position individual P donors in Si [4] aligned with nanoscale precision to local control gates [5] necessary to initialize, manipulate, and read out the spin states [6] During this talk I will focus on demonstrating single shot spin read out [8] and ESR control of preciselypositioned P donors in Si. I will also describe our approaches to scale up using rf reflectometry [9] and the investigation of 3D architectures for implementation of the surface code [10] and highlight that the device produced have the lowest noise characteristics of any silicon device to date [11] References [1] K. Saeedi et al. Science 342, 130 (2013) [2] J. T. Muhonen et al. Nature Nanotechnology 9, 986 (2014) [3] B.E. Kane, Nature 393, 133 (1998) [4] M. Fuechsle et al. Nature Nanotechnology 7, 242 (2012) [5] B. Weber et al. Science 335, 6064 (2012) [6] H. Buch et al. Nature Communications 4, 2017 (2013) [7] B. Weber et al. Nature Nanotechnology 9, 430 (2014) [8] T. F. Watson et al. Science Advances 3, e1602811 (2017) [9] M.G. House et al. Nature Communications 6, 8848 (2015) [10] C. Hill et al. Science Advances 1, e1500707 (2015) [11] S. Shamim et al. Nano Letters 16, 5779 (2016) Interlayer Excitons and Magneto Exciton Condensation in van der Waals Heterostructures A pair of electron and hole across the interface of semiconductor heterostructure can form a bound quantum state of the interlayer exciton. In a coupled interface between atomically thin van der Waals layers, the Coulomb interaction of the interlayer exciton increases further. Coulomb drag effect is a mesoscopic effect which manifests many body interactions between two low dimensional systems, which has served an extremely useful probe the strong correlation in quantum systems. In this presentation, we will first discuss observing interlayer exciton formation in semiconducting transition metal dichalcogenide (TMDC) layers. Unlike conventional semiconductor heterostructures, charge transport in of the devices is found to critically depend on the interlayer charge transport, electron hole recombination process mediated by tunneling across the interface. We demonstrate the enhanced electronic, optoelectronic performances in the vdW heterostructures, tuned by applying gate voltages, suggesting that these a few atom thick interfaces may provide a fundamental platform to realize novel physical phenomena. In addition, spatially confined quantum structures in TMDC can offer unique valley spin features, holding the promises for novel mesoscopic systems, such as valleyspin qubits. In the second part of the presentation, we will discuss magneto exciton condensation. In this electronic double layer subject to strong magnetic fields, filled Landau states in one layer bind with empty states of the other layer to form an exciton condensate. Driving current in one graphene layer generates a near quantized Hall voltage in the other layer, resulting in coherent exciton transport. In our experiment, capitalizing strong Coulomb interaction across the atomically thin hBN separation layer, we realize a superfluid condensation of magnetic field induced excitons. For small magnetic fields (the BEC limit) the counter flow resistance shows an activation behavior. On the contrary, for large magnetic fields limit where the inter exciton separation decreases (the BCS limit) the counter flow resistance exhibits sharp transitions in temperature showing characters of Berezinskii Kosterlitz Thouless (BKT) transition. Furthermore, complete experimental control of density, displacement and magnetic fields in our graphene double layer system enables us to explore the rich phase diagram of several superfluid exciton phases with the different internal quantum degrees of freedom. Franckeite: a naturally occurring superlattice Andres Castellanos Gomez 1 1 Materials Science Factory, Instituto de Ciencia de Materiales de Madrid (ICMM CSIC) Campus Universitario de Cantoblanco, 28049 Madrid, Spain In his Nobel lecture in 2010, Kostya Novoselov already anticipated that van der Waals heterostructures would have a strong impact on the scientific community. Nowadays, it is clearly one of the hottest areas of research in 2D materials. The assembly of these heterostructures is typically done by artificial stacking of individual 2D layers (manually) and thus with all the corresponding challenges and issues; mainly controlling the relative orientation of the stacked layers and avoiding interlayer adsorbates and contaminants. Thererfore, the manual stacking seems a cumbersome method that is hampering the fabrication of superlattices based on 2D materials. Here we discuss a radically approach to fabricate heterostructures based on 2D layers: one can isolate thin layers of a heterostructure based on alternating SnS2 based and PbS based 2D layers (with remarkably high crystalline and stacking order) by mechanical and liquid phase exfoliation of franckeite, a naturally occurring sulfosalt mineral.[1 4] As the bulk material is already composed of these alternating SnS2 and PbS layers, the exfoliation process minimizes stacking missorientation and avoids interlayer adsorbates in the isolated nanosheets of franckeite. Franckeite is then a naturally occurring atomic superlattice with high crystal and orientation stacking quality. These ultrathin van der Waals heterostructures have been thoroughly characterized by microscopy (SEM, TEM, AFM, STM) spectroscopy (Raman, UV VIS IR, synchrotron XPS) and electrical transport measurements. We found that the franckeite layers are highly stable in air and they show a p type semiconducting behaviour with a narrow gap of 0.5 0.7 eV.[1] Thus this material can be an interesting replacement for black phosphorus (the most studied narrow gap p type 2D semiconductor so far) which tends to degrade rapidly in air. [1] Molina Mendoza, Aday J. et al. \"Franckeite as a naturally occurring van der Waals heterostructure.\" Nature Communications 8 (2017) [2] Velicky, Matej, et al. \"Exfoliation of natural van der Waals heterostructures to a single unit cell thickness.\" Nature Communications 8 (2017) [3] Prando, Giacomo. \"Van der Waals heterostructures: The natural way.\" Nature Nanotechnology 12.3 (2017) 191 191. [4] Gant, Patricia, et al. \"Optical contrast and refractive index of natural van der Waals heterostructure nanosheets of franckeite.\" Beilstein journal of nanotechnology 8 (2017)",
"author_names": [
"Tony F Heinz"
],
"corpus_id": 186201933,
"doc_id": "186201933",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Versatile Spin Control with Triple Quantum Dots Hybrid Spin Qubit QND and Coherent Entanglement Transfer",
"venue": "",
"year": null
},
{
"abstract": "The nuclear spins in nanostructured semiconductors play a central role in quantum applications. The nuclear spins represent a useful resource for generating local magnetic fields but nuclear spin noise represents a major source of dephasing for spin qubits. Controlling the nuclear spins enhances the resource while suppressing the noise. NMR techniques are challenging: the group III and V isotopes have large spins with widely different gyromagnetic ratios; in strained material there are large atom dependent quadrupole shifts; and nanoscale NMR is hard to detect. We report NMR on 100,000 nuclear spins of a quantum dot using chirped radiofrequency pulses. Following polarization, we demonstrate a reversal of the nuclear spin. We can flip the nuclear spin back and forth a hundred times. We demonstrate that chirped NMR is a powerful way of determining the chemical composition, the initial nuclear spin temperatures and quadrupole frequency distributions for all the main isotopes. The key observation is a plateau in the NMR signal as a function of sweep rate: we achieve inversion at the first quantum transition for all isotopes simultaneously. These experiments represent a generic technique for manipulating nanoscale inhomogeneous nuclear spin ensembles and open the way to probe the coherence of such mesoscopic systems.",
"author_names": [
"Mathieu Munsch",
"Gunter Wust",
"A V Kuhlmann",
"Fei Xue",
"Arne Ludwig",
"Dirk Reuter",
"Andreas Dirk Wieck",
"Martino Poggio",
"Richard John Warburton"
],
"corpus_id": 205451071,
"doc_id": "205451071",
"n_citations": 28,
"n_key_citations": 2,
"score": 0,
"title": "Manipulation of the nuclear spin ensemble in a quantum dot with chirped magnetic resonance pulses.",
"venue": "Nature nanotechnology",
"year": 2014
},
{
"abstract": "A major challenge for realizing quantum computation is finding suitable systems to embody quantum bits (qubits) and quantum gates (qugates) in a robust and scalable architecture. An emerging bottom up approach uses the electronic spins of lanthanides. Universal qugates may then be engineered by arranging in a molecule two interacting and different lanthanide ions. Preparing heterometallic lanthanide species is, however, extremely challenging. We have discovered a method to obtain [LnLn' complexes with the appropriate requirements. Compound [CeEr] is deemed to represent an ideal situation. Both ions have a doubly degenerate magnetic ground state and can be addressed individually. Their isotopes have mainly zero nuclear spin, which enhances the electronic spin coherence. The analogues [Ce2] [Er2] [CeY] and [LaEr] have also been prepared to assist in showing that [CeEr] meets the qugate requirements, as revealed through magnetic susceptibility, specific heat, and EPR. Molecules could now be used for quantum information processing.",
"author_names": [
"David Aguila",
"Leoni A Barrios",
"Veronica Velasco",
"Olivier Roubeau",
"Ana Repolles",
"Pablo J Alonso",
"Javier Sese",
"Simon J Teat",
"Fernando Luis",
"Guillem Aromi"
],
"corpus_id": 17333415,
"doc_id": "17333415",
"n_citations": 122,
"n_key_citations": 0,
"score": 0,
"title": "Heterodimetallic [LnLn' Lanthanide Complexes: Toward a Chemical Design of Two Qubit Molecular Spin Quantum Gates",
"venue": "Journal of the American Chemical Society",
"year": 2014
}
] |
IGBT junction temperature | [
{
"abstract": "Active short circuit and locked rotor modes are common abnormal operations in new energy vehicles. The IGBT junction temperature measurement for these two operating conditions is a challenging problem due to the unexpected large current and the asymmetric operation of semiconductor chips. In addition, different cooling flow rates have a significant influence on the heat dissipation, which will also have an impact on the building of the thermal model. Based on these difficulties, a modified Foster thermal network under active short circuit and locked rotor modes has been presented considering different cooling conditions. The power loss models of the semiconductor chip under abnormal conditions are developed and a modified Foster thermal network based on the NTC temperature sensor is proposed. The model can be adapted to different cooling conditions since the thermal impedance fluctuates slightly at different cooling flow rates. The proposed thermal model is verified with inverter application under active short circuit and locked rotor modes and the experimental performance shows good accuracy compared with the infrared camera measurement results.",
"author_names": [
"Yuan Zhu",
"Mingkang Xiao",
"Xiezu Su",
"Ke Lu",
"Zhihong Wu",
"Gang Yang"
],
"corpus_id": 220314118,
"doc_id": "220314118",
"n_citations": 1,
"n_key_citations": 0,
"score": 1,
"title": "IGBT Junction Temperature Measurement Under Active Short Circuit and Locked Rotor Modes in New Energy Vehicles",
"venue": "IEEE Access",
"year": 2020
},
{
"abstract": "Infrared measurements are used to assess the measurement accuracy of the peak gate current <inline formula> <tex math notation=\"LaTeX\"$I_{\\rm{GPeak}/tex math>/inline formula> method for Insulated gate bipolar transistor (IGBT)junction temperature measurement. Single IGBT chips with the gate pad in both the center and the edge are investigated, along with paralleled chips, as well as chips suffering partial bondwire lift off. Results are also compared with a traditional electrical temperature measurement method: the voltage drop under low current <inline formula><tex math notation=\"LaTeX\"$V_{\\rm{CE(low)/tex math>/inline formula> In all cases, the <inline formula><tex math notation=\"LaTeX\"$I_{\\rm{GPeak}/tex math>/inline formula> method is found to provide a temperature slightly overestimating the temperature of the gate pad. Consequently, both the gate pad position and chip temperature distribution influence whether the measurement is representative of the mean junction temperature. These results remain consistent after chips are degraded through bondwire lift off. In a paralleled IGBT configuration with nonnegligible temperature disequilibrium between chips, the <inline formula><tex math notation=\"LaTeX\" $I_{\\rm{GPeak}/tex math>/inline formula> method delivers a measurement based on the average temperature of the gate pads.",
"author_names": [
"Nick Baker",
"Laurent Dupont",
"Stig Munk-Nielsen",
"Francesco Iannuzzo",
"Marco Liserre"
],
"corpus_id": 25412773,
"doc_id": "25412773",
"n_citations": 46,
"n_key_citations": 1,
"score": 0,
"title": "IR Camera Validation of IGBT Junction Temperature Measurement via Peak Gate Current",
"venue": "IEEE Transactions on Power Electronics",
"year": 2017
},
{
"abstract": "Based on the features of different timescales and according to the characteristics of loss and heat transfer under those cases, a multi timescale prediction model (MTPM) of insulated gate bipolar transistor (IGBT) junction temperature is presented in this paper, including a short duration transient microsecond prediction model based on the semiconductor physical model and the theorem of heat absorption and emission, an unsteady millisecond scale prediction model based on the equivalent switching loss set in databook and the order reduced thermal model, and a steady second scale prediction model based on the characteristics of a fundamental wave fluctuating periodically at junction temperature and the equivalent first order thermal model. An experimental system has been designed and established for the verification of the proposed MTPM of IGBT junction temperature according to a corresponding timescale. The comparison result shows that the error between simulation and experiment is less than 5% and simulation efficiency is significantly improved.",
"author_names": [
"Binli Liu",
"Fei Xiao",
"Yifei Luo",
"Yongle Huang",
"Youxing Xiong"
],
"corpus_id": 115294298,
"doc_id": "115294298",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "A Multi timescale Prediction Model of IGBT Junction Temperature",
"venue": "IEEE Journal of Emerging and Selected Topics in Power Electronics",
"year": 2019
},
{
"abstract": "Insulated gate bipolar transistor (IGBT) module is the most widely used power electronic device in converters. The junction temperature monitoring of IGBT is critical for avoiding sudden failures and health management of converters. However, the existing monitoring methods have some disadvantages including low feasibility of on line measurement, intrusiveness and slow response. A novel on line IGBT junction temperature measurement method based on on state voltage drop is proposed in this paper. IGBT junction temperature has a strong influence on IGBT on state voltage drop. This paper considered the influence of IGBT current and measurement circuit temperature. The method proposed can realize on line monitoring of IGBT junction temperature with low cost and simple circuit structure. The experimental results validate the feasibility of the proposed technique.",
"author_names": [
"Yanyong Yang",
"Qinghao Zhang",
"Pinjia Zhang"
],
"corpus_id": 208881803,
"doc_id": "208881803",
"n_citations": 4,
"n_key_citations": 1,
"score": 0,
"title": "A Novel On line IGBT Junction Temperature Measurement Method Based on On state Voltage Drop",
"venue": "2019 22nd International Conference on Electrical Machines and Systems (ICEMS)",
"year": 2019
},
{
"abstract": "A method for in situ high bandwidth junction temperature estimation of Insulated Gate Bipolar Transistors (IGBTs) is introduced. The method is based on the acquisition of the gate voltage plateau during turn on, which can be directly related to the junction temperature. This allows fast over temperature protection of the power device and thus enables operation at the boundary of the device safe operation area. Firstly, this paper discusses the underlying physical mechanisms. Secondly, the temperature sensitivity and the impact of interferences over the entire operation range of an IGBT. Finally, a sensing circuitry is presented, which allows accurate gate voltage plateau sensing every switching period as well as an easy integration into the gate driver. The performance of the proposed method is experimentally demonstrated over a wide range of temperatures and currents.",
"author_names": [
"Christoph H van der Broeck",
"Alexander Gospodinov",
"Rik W De Doncker"
],
"corpus_id": 5608364,
"doc_id": "5608364",
"n_citations": 47,
"n_key_citations": 2,
"score": 0,
"title": "IGBT junction temperature estimation via gate voltage plateau sensing",
"venue": "2017 IEEE Energy Conversion Congress and Exposition (ECCE)",
"year": 2017
},
{
"abstract": "In the article, a new method to improve the accuracy of the insulated gate bipolar transistor (IGBT) junction temperature computations in the piecewise linear electrical circuit simulation (PLECS) software is proposed and described in detail. This method allows computing the IGBT junction temperature using a nonlinear compact thermal model of this device in PLECS. In the method, a nonlinear compact thermal model of the IGBT is used, which considers the dependence of thermal resistance on the junction temperature. The usefulness of the method is experimentally verified, and it is confirmed that it increases the accuracy of the computations and shortens their time. The differences between the measured and computed characteristics are discussed. The application of the developed method for computations resulted in a significant reduction of their error to only a few percent. The developed method can be applied in the system level simulations of the power electronics converters.",
"author_names": [
"Pawel Gorecki",
"D Wojciechowski"
],
"corpus_id": 219447691,
"doc_id": "219447691",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Accurate Computation of IGBT Junction Temperature in PLECS",
"venue": "IEEE Transactions on Electron Devices",
"year": 2020
},
{
"abstract": "Distributed systematic grid connected inverter practice needs to improve insulated gate bipolar transistor (IGBT) stability to ensure the safe operation. This study is to ensure the safety and reliability operation of the IGBT module in symmetry to meet the reliable and stable distributed systematic grid connected inverter practice and the junction temperature is a parameter to assess its operating state. It is difficult to accurately acquire the IGBT junction temperature to be solved by a single method of combining the test and the modeling. The saturation voltage drop or collector current and module junction temperature data under different power cycles are measured by the power cycle test and the single pulse test. The improved chicken swarm optimization increases the chickens diversity and self learning ability. The prediction model of the improved chicken swarm optimization support vector machine is proposed to forecast the module junction temperature. The result showed to compare with the particle swarm optimization support vector machine model and chicken swarm optimization support vector machine model and showed the coincidence degree between the proposed model prediction value and the true value is higher. The mean absolute error ratio indicates the proposed model has a smaller error and a better prediction performance. The proposed model has a positive impact on improving the distributed systematic grid connected inverter industrial development and promotes the new energy usage.",
"author_names": [
"Zhengping Wang",
"Guoyi Li",
"Ming-Lang Tseng",
"Wai Peng Wong",
"Bo-Ying Liu"
],
"corpus_id": 219462104,
"doc_id": "219462104",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Distributed Systematic Grid Connected Inverter Using IGBT Junction Temperature Predictive Control Method: An Optimization Approach",
"venue": "Symmetry",
"year": 2020
},
{
"abstract": "The insulated gate bipolar transistor (IGBT) one of the most vulnerable component, is one of the most precious central component in the converter interior. High junction temperature will lead to device failure, which is the main reason of failure of power electronic system. Therefore, on line high precision measurement of IGBT module junction temperature is the basis of life prediction and reliability evaluation of high power power conversion equipment. In this paper, the principle of IGBT junction temperature extraction and the latest development of related technologies are summarized. In particular, the working principle and shortcomings of temperature sensitive electrical parameter (TSEP) method are summarized. The change of junction temperature will affect the inter electrode capacitance in the internal structure of IGBT, which will cause the change of temperature sensitive electrical parameters. The single temperature sensitive electrical parameter method is easily affected by IGBT structure and inter electrode capacitance. This paper presents an algorithm for high precision on line detection of IGBT junction temperature. The parameter types are optimized by stepwise regression and the model is established accordingly. In this paper, IGBT: FF50R12RT4 is used as the experimental equipment. By comparing the junction temperature model established based on multiple linear stepwise regression algorithm with the junction temperature model based on traditional temperature sensitive electrical parameters, it is proved that the algorithm has better fitting degree and precision, and the algorithm can be used for high precision online extraction of junction temperature.",
"author_names": [
"Lingfeng Shao",
"Y Hu",
"Guoqing Xu"
],
"corpus_id": 225050220,
"doc_id": "225050220",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "A High Precision On Line Detection Method for IGBT Junction Temperature Based on Stepwise Regression Algorithm",
"venue": "IEEE Access",
"year": 2020
},
{
"abstract": "An electrical method for junction temperature measurement of MOS gated power semiconductor devices is presented. The measurement method involves detecting the peak voltage over the external gate resistor of an insulated gate bipolar transistor or MOSFET during turn on. This voltage is directly proportional to the peak gate current, and fluctuates with temperature due to the temperature dependent resistance of the internal gate resistance. Primary advantages of the method include an immunity to load current variation, and a good linear relationship with temperature. A measurement circuit can be integrated into a gate driver with no disruption to operation and allows autonomous measurements controlled directly via the gate signal. Advantages and disadvantages of the method are discussed.",
"author_names": [
"Nick Baker",
"Stig Munk-Nielsen",
"Francesco Iannuzzo",
"Marco Liserre"
],
"corpus_id": 9547920,
"doc_id": "9547920",
"n_citations": 72,
"n_key_citations": 8,
"score": 0,
"title": "IGBT Junction Temperature Measurement via Peak Gate Current",
"venue": "IEEE Transactions on Power Electronics",
"year": 2016
},
{
"abstract": "In this paper, an online monitoring method of IGBT junction temperature based on Vce measurement is proposed. An on state voltage measurement circuit (OVMC) with high accuracy and high blocking voltage capability is designed. The relationships between Vce(on) Tj and Ic are found based on the method of solving regression problems and a three phase in vertor is designed to verify the temperature monitoring method. The method proposed in this paper can be easily extend to a complex system and can provide a novel approach for junction temperature monitor.",
"author_names": [
"Han Cao",
"Puqi Ning",
"Tianshu Yuan",
"Xuhui Wen"
],
"corpus_id": 208881434,
"doc_id": "208881434",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Online Monitoring of IGBT junction Temperature Based on Vce Measuremnt",
"venue": "2019 22nd International Conference on Electrical Machines and Systems (ICEMS)",
"year": 2019
}
] |
fluctuatuin Reconfigurable nanowire silicon | [
{
"abstract": "We report on ambipolar gate defined quantum dots in silicon on insulator (SOI) nanowires fabricated using a customised complementary metal oxide semiconductor (CMOS) process. The ambipolarity was achieved by extending a gate over an intrinsic silicon channel to both highly doped n type and p type terminals. We utilise the ability to supply ambipolar carrier reservoirs to the silicon channel to demonstrate an ability to reconfigurably define, with the same electrodes, double quantum dots with either holes or electrons. We use gate based reflectometry to sense the inter dot charge transition(IDT) of both electron and hole double quantum dots, achieving a minimum integration time of 160(100) \\mu$s for electrons (holes) Our results present the opportunity to combine, in a single device, the long coherence times of electron spins with the electrically controllable holes spins in silicon.",
"author_names": [
"Jingyu Duan",
"Janne Samuel Lehtinen",
"Michael A Fogarty",
"Simon Schaal",
"Michelle Lam",
"Alberto Ronzani",
"Andrey Shchepetov",
"P J Koppinen",
"Mika Prunnila",
"Fernando Gonzalez-Zalba",
"John J L Morton"
],
"corpus_id": 221995972,
"doc_id": "221995972",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Dispersive readout of reconfigurable ambipolar quantum dots in a silicon on insulator nanowire",
"venue": "",
"year": 2020
},
{
"abstract": "Silicon Nanowire (SiNW) based reconfigurable field effect transistors (RFETs) provide an additional gate terminal called the program gate which gives the freedom of programming p type or n type functionality for the same device at runtime. This enables the circuit designers to pack more functionality per computational unit. This saves processing costs as only one device type is required, and no doping and associated lithography steps are needed for this technology. In this paper, we present a complete design flow including both logic and physical synthesis for circuits based on SiNW RFETs. We propose layouts of logic gates, Liberty and LEF (Library Exchange Format) files to enable further research in the domain of these novel, functionally enhanced transistors. We show that in the first of its kind comparison, for these fully symmetrical reconfigurable transistors, the area after placement and routing for SiNW based circuits is 17% more than that of CMOS for MCNC benchmarks. Further, we discuss areas of improvement for obtaining better area results from the SiNW based RFETs from a fabrication and technology point of view. The future use of self aligned techniques to structure two independent gates within a smaller pitch holds the promise of substantial area reduction.",
"author_names": [
"Shubham Rai",
"Ansh Rupani",
"Dennis Walter",
"Michael Raitza",
"Andre Heinzig",
"Tim Baldauf",
"Jens Trommer",
"Christian Mayr",
"Walter M Weber",
"Akash Kumar"
],
"corpus_id": 5058969,
"doc_id": "5058969",
"n_citations": 18,
"n_key_citations": 2,
"score": 0,
"title": "A physical synthesis flow for early technology evaluation of silicon nanowire based reconfigurable FETs",
"venue": "2018 Design, Automation Test in Europe Conference Exhibition (DATE)",
"year": 2018
},
{
"abstract": "Efficient circuit designs can make use of ambipolar nature of silicon nanowire (SiNW) over CMOS. Conventional circuit Design Flow fails to use this inherent functional flexibility as CMOS based mapping considers a single logical output from logic gates. To address this, we propose an area optimized technology mapping which uses this innate reconfigurability, offered by SiNW transistors for efficient circuit designs. To enable this objective, we use higher order functions (HOF) to encapsulate this extended functionality. Additionally, the electrical properties of SiNW allow us to take advantage of the available inverted forms of fan ins for additional savings of area for XOR logic family. Experimental results using our technology mapping show that area of SiNW based logic design is less by an average of 18.38% as compared to CMOS flow for complete MCNC benchmarks suite. Further, we evaluate our flow for both reconfigurability aware and static layout for SiNW based logic gates. The whole flow including the new SiNW based genlib and the modified ABC tool is made available under open source license to enable further research for any kind of emerging ambipolar transistors.",
"author_names": [
"Shubham Rai",
"Michael Raitza",
"Akash Kumar"
],
"corpus_id": 5040992,
"doc_id": "5040992",
"n_citations": 12,
"n_key_citations": 2,
"score": 0,
"title": "Technology mapping flow for emerging reconfigurable silicon nanowire transistors",
"venue": "2018 Design, Automation Test in Europe Conference Exhibition (DATE)",
"year": 2018
},
{
"abstract": "In this article, the impact of intrinsic process fluctuations on reconfigurable field effect transistor (RFET) is investigated for the first time. Three kinds of process fluctuation sources, including line edge roughness (LER) gate edge roughness (GER) and work function variation (WFV) are performed in RFET using MATLAB and 3 D TCAD. The variation of ON state current <inline formula> <tex math notation=\"LaTeX\"{I}_{ \\mathrm{\\scriptscriptstyle ON} /tex math>/inline formula> due to LER presents a weak correlation with that of OFF state current <inline formula> <tex math notation=\"LaTeX\"{I}_{ \\mathrm{\\scriptscriptstyle OFF} /tex math>/inline formula> Performance variation caused by GER is mainly attributed to the control GER. WFV in control gate and source dominates the variations of ON state characteristics. The total overall performance fluctuations are primarily attributed to WFV. In the sight of <inline formula> <tex math notation=\"LaTeX\"{I}_{ \\mathrm{\\scriptscriptstyle ON} /tex math>/inline formula> WFV contributed up to 84.7% and 82.8% of the total fluctuations for n and p type, respectively.",
"author_names": [
"Xianglong Li",
"Xiaoqiao Yang",
"Zhe Zhang",
"Teng Wang",
"Yabin Sun",
"Ziyu Liu",
"Xiaojin Li",
"Yanling Shi",
"Jun Xu"
],
"corpus_id": 231715189,
"doc_id": "231715189",
"n_citations": 1,
"n_key_citations": 0,
"score": 1,
"title": "Impact of Process Fluctuations on Reconfigurable Silicon Nanowire Transistor",
"venue": "IEEE Transactions on Electron Devices",
"year": 2021
},
{
"abstract": "We present a novel reconfigurable metal oxide semiconductor multi gate transistor that can host a quadruple quantum dot in silicon. The device consist of an industrial quadruple gate silicon nanowire field effect transistor. Exploiting the corner effect, we study the versatility of the structure in the single quantum dot and the serial double quantum dot regimes and extract the relevant capacitance parameters. We address the fabrication variability of the quadruple gate approach which, paired with improved silicon fabrication techniques, makes the corner state quantum dot approach a promising candidate for a scalable quantum information architecture.",
"author_names": [
"Andreas C Betz",
"Marco Lorenzo Valerio Tagliaferri",
"Maud Vinet",
"Myles L Brostrom",
"Marc Sanquer",
"Andrew J Ferguson",
"Miguel Fernando Gonzalez-Zalba"
],
"corpus_id": 112131452,
"doc_id": "112131452",
"n_citations": 14,
"n_key_citations": 0,
"score": 0,
"title": "Reconfigurable quadruple quantum dots in a silicon nanowire transistor",
"venue": "",
"year": 2016
},
{
"abstract": "Mechanical stress has the potential to be an efficient performance booster for diverse emerging research devices based on tunneling phenomena, such as tunnel FETs, resonant tunnel FETs and reconfigurable FETs. The effect is highly dependent on the constellation between the stress source and the crystal orientation. Although stress engineering is well established for enhancement carrier mobility, it is rather unexplored for the control of tunneling. In this work stress profiles formed by four different sources are studied by device simulations of reconfigurable silicon nanowire transistor using two independently gated Schottky junctions. Self limited oxidation of the intrinsic silicon nanowire is used as an example to describe the effects of mechanical stress on the multi valley band structure applying the deformation potential theory and on the average effective tunneling mass. The transfer characteristics of strained n and p type transistors are analyzed with respect to the current ratio between electron and hole conduction which is important to implement reconfigurable CMOS circuits. It has been verified that mechanical stress formed by oxidation as well as stressed top layers are effective options to control the current injection through the Schottky junctions and thus to achieve symmetric operation of reconfigurable nanowire devices.",
"author_names": [
"Tim Baldauf",
"Andre Heinzig",
"Thomas Mikolajick",
"Walter M Weber",
"Jens Trommer"
],
"corpus_id": 40379581,
"doc_id": "40379581",
"n_citations": 5,
"n_key_citations": 0,
"score": 0,
"title": "Strain engineering for improved tunneling in reconfigurable silicon nanowire transistors",
"venue": "2016 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI ULIS)",
"year": 2016
},
{
"abstract": "",
"author_names": [
"Sangeeta Singh",
"Pravin Neminath Kondekar",
"Ruchir Sinha"
],
"corpus_id": 98953941,
"doc_id": "98953941",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Estimation of Analog/Radio Frequency Figures of Merits and Circuit Performance of Dynamically Reconfigurable Electrostatically Doped Silicon Nanowire Schottky Barrier FET",
"venue": "",
"year": 2017
},
{
"abstract": "Reconfigurable silicon nanowire field effect transistors (RFETs) combine the functionality of classical unipolar p type and n type FETs in one universal device. In this paper, we show devices exhibiting full symmetry between pand n functionality, while having identical geometry. Scaling trends and feasibility for digital circuit integration are evaluated based on TCAD simulations. The method of logical effort is applied to analyze fundamental differences in circuit topology using this unique type of multigate transistors. We introduce a set of multifunctional logic gates based on RFETs providing all basic Boolean functions, including NAND/NOR, AND/OR, and XOR/XNOR, and compared them with classical implementations. Two 1 bit full adders based on those gates are presented as an insightful example that RFETs are one possible solution to increase the system functionality. Moreover, it is shown that an asymmetric transistor layout with individual optimization of both top gates can be used to increase the speed of those circuits.",
"author_names": [
"Jens Trommer",
"Andre Heinzig",
"Tim Baldauf",
"Stefan Slesazeck",
"Thomas Mikolajick",
"Walter M Weber"
],
"corpus_id": 42781200,
"doc_id": "42781200",
"n_citations": 67,
"n_key_citations": 9,
"score": 0,
"title": "Functionality Enhanced Logic Gate Design Enabled by Symmetrical Reconfigurable Silicon Nanowire Transistors",
"venue": "IEEE Transactions on Nanotechnology",
"year": 2015
},
{
"abstract": "Abstract In this paper, an ultra steep, symmetric and dynamically configurable, electrostatically doped silicon nanowire Schottky FET (E SiNW SB FET) based on dopant free technology is investigated. It achieves the ultra steep sub threshold slope (SS) due to the cumulative effect of weak impact ionization induced positive feedback and electrostatic modulation of Schottky barrier heights at both source and drain terminals. It consists of axial nanowire heterostructure (silicide intrinsic silicon silicide) with three independent all around gates, two gates are polarity control gates for dynamically reconfiguring the device polarity by modulating the effective Schottky barrier heights and a control gate switches the device ON and OFF. The most interesting features of the proposed structure are simplified fabrication process as the state of the art for ion implantation and high thermal budget no more required for annealing. It is highly immune to process variations, doping control issues and random dopant fluctuations (RDF) and there are no mobility degradation issues related to high doping. A calibrated 3 D TCAD simulation results exhibit the SS of 2 mV/dec for n type E SiNW SB FET and 9 mV/dec for p type E SiNW SB FET for about five decades of current. Further, it resolves all the reliability related issues of IMOS as hot electron effects are no more limiting our device performance. It offers significant drive current of the order of 10 5 10 4 A and magnificently high I ON I OFF ratio of ~10 8 along with the inherent advantages of symmetric device structure for its circuit realization.",
"author_names": [
"Sangeeta Singh",
"Ruchir Sinha",
"Pravin Neminath Kondekar"
],
"corpus_id": 112811042,
"doc_id": "112811042",
"n_citations": 7,
"n_key_citations": 0,
"score": 0,
"title": "A novel ultra steep dynamically reconfigurable electrostatically doped silicon nanowire Schottky Barrier FET",
"venue": "",
"year": 2016
},
{
"abstract": "Device reconfigurability refers to the ability to choose N or P type for the same structure. Such reconfigurable operation is demonstrated herein for a silicon nanotube (SiNT) structure using three dimensional (3D) technology computer aided design (TCAD) numerical simulations. The reconfigurable field effect transistor (RFET) can exhibit N or P type operation via the application of an appropriate bias to a program gate. The regular (i.e. control) gate is also present in the RFET. In the planar nanowire structure, the program gate is placed beside the regular/control gate. Since the SiNT structure has both inner and outer gates, we propose to use the inner gate as the control gate and the outer gate as the program gate, to achieve device reconfigurability in the SiNT structure. Two approaches are presented to achieve such reconfigurability, based on a (1) single or (2) double program gate. The metrics considered in this work are the ON current I ON OFF current I OFF \\frac{{I_{\\text{ON} {I_{\\text{OFF} I ON I OFF ratio, and unity gain cutoff frequency f T The effects of the outer diameter, inner diameter, and tube wall thickness of the tube are also discussed. The outer and inner diameters of the tube are found to determine the above mentioned performance parameters. While a smaller inner diameter is preferred from the point of view of the \\frac{{I_{\\text{ON} {I_{\\text{OFF} I ON I OFF ratio, a larger inner diameter is desired from the radio frequency (RF) perspective.",
"author_names": [
"A Nisha Justeena",
"R Ambika",
"Priyamvada Sadagopan",
"R Srinivasan"
],
"corpus_id": 219764325,
"doc_id": "219764325",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Reconfigurable silicon nanotube using numerical simulations",
"venue": "",
"year": 2020
}
] |
Precision Laser Beam Path Tracking Control System for Position Measurement Using PSD Senso | [
{
"abstract": "Position sensitive detector (PSD) is an optical position sensor that can measure a position of the light spot in one or two dimensions on sensor surface. The PSD is precision semiconductor optical sensor that produces output currents related to the \"Centre of mass' of light incident on the surface of the device used in the measurement there are many advantages including a good positioning accuracy for fast response time and very simple signal conditioning circuits. A precise control system has been designed in this paper using a new and modern algorithm with a closed loop system to obtain accurate tracking of the position and speed of the radiation used in the measurement of the specific physical process. The proposed control model about laser beam path controlled using a new type of controller named PSD fuzzy controller with feedback through PSD sensor, also the FOC method was applied to the hybrid stepper motor to control the position and speed with four rings feedback. The algorithm will realize better rise time and less overshoot better and detailed analysis is carried out to confirm the viability of the proposed system.",
"author_names": [
"Nihad Abdalla",
"Shi Liu",
"Ahmed Abdelrahim"
],
"corpus_id": 214056656,
"doc_id": "214056656",
"n_citations": 0,
"n_key_citations": 0,
"score": 1,
"title": "Precision Laser Beam Path Tracking Control System for Position Measurement Using PSD Sensor",
"venue": "",
"year": 2020
},
{
"abstract": "This paper describes tracking optoelectronic system for intelligent and exact controlling of laser beam path depend on position sensor detector (PSD) as feedback backup, in this paper presents a precise control system using a new modern algorithm with a closed loop system to determine the ideal position and speed of the laser beam path for measuring process, laser beam source is stable and fixed. The feature of the control system proposed in this paper is that the design of the adaptive and repetitive control are independent because each design is based only on the model plant, the system itself defines the situation and angle rotating by a two hybrid stepper motor with specific mechanisms. Field oriented control (FOC) method is presented to demonstrate effectiveness. For real time embedded controller. A series of experiments have been conducted to realize better transient response specifications. Part of this paper proposed a nonlinear system predictive model strategy applying based on the local model and some optimization method to solve the control problem for a nonlinear part to obtain accurate results.",
"author_names": [
"Nihad Abdalla",
"Shi Liu",
"Ahmed Abdelrahim"
],
"corpus_id": 219990425,
"doc_id": "219990425",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Precision Laser Tracking For Beam Path Control With PSD Fuzzy Controller",
"venue": "2020 Asia Energy and Electrical Engineering Symposium (AEEES)",
"year": 2020
},
{
"abstract": "Most embed control system is working on the coordinates measurement and trajectory to track an object in the space need to a particular type of controller include specific algorithm. In this paper we explore designing optoelectronic system for intelligent and exact controlling of laser beam path depend on position sensor detector(PSD) in This paper presents a precise control system using a new and modern algorithm with a closed loop system to determine the ideal position of the laser beam path for measuring process, laser source is stable and fixed. We need to used mirrors to reflect ray to the laser beam path. It rotating by hybrid stepper motors. Field Oriented Control (FOC) method is presented to demonstrate effectiveness. For real time embedded controller. The system itself defines the situation and angle of each mirror. Series of experiments has been conducted to realize better transient response specifications.",
"author_names": [
"Nihad Abdalla",
"Shi Liu",
"Ahmed Abdelrahim"
],
"corpus_id": 213183142,
"doc_id": "213183142",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Laser Beam Path Control Using a PSD Fuzzy Controller",
"venue": "2019 4th International Conference on Power and Renewable Energy (ICPRE)",
"year": 2019
},
{
"abstract": "When related to a single and good contrast object or a laser spot, position sensing, or sensitive, detectors (PSDs) have a series of advantages over the classical camera sensors, including a good positioning accuracy for a fast response time and very simple signal conditioning circuits. To test the performance of this kind of sensor for microrobotics, we have made a comparative analysis between a precise but slow video camera and a custom made fast PSD system applied to the tracking of a diffuse reflectivity object transported by a pneumatic microconveyor called Smart Surface. Until now, the fast system dynamics prevented the full control of the smart surface by visual servoing, unless using a very expensive high frame rate camera. We have built and tested a custom and low cost PSD based embedded circuit, optically connected with a camera to a single objective by means of a beam splitter. A stroboscopic light source enhanced the resolution. The obtained results showed a good linearity and a fast (over 500 frames per second) response time which will enable future closed loop control by using PSD.",
"author_names": [
"Ioan Alexandru Ivan",
"Mihaita Nicolae Ardeleanu",
"Guillaume J Laurent"
],
"corpus_id": 7592967,
"doc_id": "7592967",
"n_citations": 18,
"n_key_citations": 0,
"score": 0,
"title": "High Dynamics and Precision Optical Measurement Using a Position Sensitive Detector (PSD) in Reflection Mode: Application to 2D Object Tracking over a Smart Surface",
"venue": "Sensors",
"year": 2012
},
{
"abstract": "A position sensitive detector/device (PSD) is a sensor that is capable of tracking the location of a laser beam on its surface. PSDs are used in many scientific instruments and technical applications including but not limited to atomic force microscopy, human eye movement monitoring, mirrors or machine tool alignment, vibration analysis, beam position control and so on. This work intends to propose a new application using the PSD. That is a new microscopy system called scanning PSD microscopy. The working mechanism is about putting an object on the surface of the PSD and fast scanning its area with a laser beam. To achieve a high degree of accuracy and precision, a reliable framework was designed using the PSD. In this work, we first tried to improve the PSD reading and its measurement performance. This was done by minimizing the effects of noise, distortion and other disturbing parameters. After achieving a high degree of confidence, the microscopy system can be implemented based on the improved PSD measurement performance. Later to improve the scanning efficiency, we developed an adaptive local scanning system to scan the whole area of the PSD in a short matter of time. It was validated that our comprehensive and adaptive local scanning method can shorten the scanning time in order of hundreds of times in comparison with the traditional raster scanning without losing any important information about the scanned 2D objects. Methods are also introduced to scan very complicated objects with bifurcations and crossings. By incorporating all these methods, the new microscopy system is capable of scanning very complicated objects in the matter of a few seconds with a resolution that is in order of a few micrometers.",
"author_names": [
"Mehdi Rahimi",
"Yantao Shen"
],
"corpus_id": 24901596,
"doc_id": "24901596",
"n_citations": 5,
"n_key_citations": 1,
"score": 0,
"title": "PSD microscopy: a new technique for adaptive local scanning of microscale objects",
"venue": "Robotics and biomimetics",
"year": 2017
},
{
"abstract": "NASA Tech Briefs, February 2010 A laser tracker (LT) is an important coordinate metrology tool that uses laser interferometry to determine precise distances to objects, points, or surfaces defined by an optical reference, such as a retroreflector. A retroreflector is a precision optic consisting of three orthogonal faces that returns an incident laser beam nearly exactly parallel to the incident beam. Commercial retroreflectors are designed for operation at room temperature and are specified by the divergence, or beam deviation, of the returning laser beam, usually a few arcseconds or less. When a retroreflector goes to extreme cold (35 K) however, it could be anticipated that the precision alignment between the three faces and the surface figure of each face would be compromised, resulting in wavefront errors and beam divergence, degrading the accuracy of the LT position determination. Controlled tests must be done beforehand to determine survivability and these LT coordinate errors. Since conventional interferometer systems and laser trackers do not operate in vacuum or at cold temperatures, measurements must be done through a vacuum window, and care must be taken to ensure window induced errors are negligible, or can be subtracted out. Retroreflector holders must be carefully designed to minimize thermally induced stresses. Changes in the path length and refractive index of the retroreflector have to be considered. Cryogenic vacuum testing was done on commercial solid glass retroreflectors for use on cryogenic metrology tasks. The capabilities to measure wavefront errors, measure beam deviations, and acquire laser tracker coordinate data were demonstrated. Measurable but relatively small increases in beam deviation were shown, and further tests are planned to make an accurate determination of coordinate errors. This work was done by Raymond G. Ohl and Bradley J. Frey of Goddard Space Flight Center, Joseph M. Stock of SGT, Inc. Joseph C. McMann of QinetiQ North America, and Tmitri J. Zukowski of Research Support Instruments. For further information, contact the Goddard Innovative Partnerships Office at (301) 286 5810. GSC 15702 1 Optical Testing of Retroreflectors for Cryogenic Applications Commercial uses include cryogenic metrology on aerospace structures and optical metrology instrumentation. Goddard Space Flight Center, Greenbelt, Maryland",
"author_names": [
"Alex Abramovici",
"Jacob Chap",
"Peter G Halverson"
],
"corpus_id": 49739507,
"doc_id": "49739507",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Optical Testing of Retroreflectors for Cryogenic Applications",
"venue": "",
"year": 2010
},
{
"abstract": "A laser tracker (LT) is an important coordinate metrology tool that uses laser interferometry to determine precise distances to objects, points, or surfaces defined by an optical reference, such as a retroreflector. A retroreflector is a precision optic consisting of three orthogonal faces that returns an incident laser beam nearly exactly parallel to the incident beam. Commercial retroreflectors are designed for operation at room temperature and are specified by the divergence, or beam deviation, of the returning laser beam, usually a few arcseconds or less. When a retroreflector goes to extreme cold .35 K) however, it could be anticipated that the precision alignment between the three faces and the surface figure of each face would be compromised, resulting in wavefront errors and beam divergence, degrading the accuracy of the LT position determination. Controlled tests must be done beforehand to determine survivability and these LT coordinate errors. Since conventional interferometer systems and laser trackers do not operate in vacuum or at cold temperatures, measurements must be done through a vacuum window, and care must be taken to ensure window induced errors are negligible, or can be subtracted out. Retroreflector holders must be carefully designed to minimize thermally induced stresses. Changes in the path length and refractive index of the retroreflector have to be considered. Cryogenic vacuum testing was done on commercial solid glass retroreflectors for use on cryogenic metrology tasks. The capabilities to measure wavefront errors, measure beam deviations, and acquire laser tracker coordinate data were demonstrated. Measurable but relatively small increases in beam deviation were shown, and further tests are planned to make an accurate determination of coordinate errors.",
"author_names": [
"Raymond G Ohl",
"Bradley J Frey",
"Joseph M Stock",
"Joseph C McMann",
"Tmitri J Zukowiski"
],
"corpus_id": 118301515,
"doc_id": "118301515",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Optical Testing of Retroreflectors for Cryogenic Applications",
"venue": "",
"year": 2010
},
{
"abstract": "This thesis presents optical metrology systems using laser and position sensitive detectors (PSDs) In this area, a new diffraction strain measurement technique is developed, implemented, analyzed and characterized. Related research work on the optical metrology components is also included, dealing with position sensitive detectors analysis and laser beam applications. The research in optical metrology systems using lasers and PSDs comprises development of a novel whole field strain measurement technique and its implementation as Multipoint Diffraction Strain and Tilt Sensor using Moire Interferometer and Multichannel PSD. All the different variations of diffraction strain sensors as developed by different researchers up to date, measure single point strain on the sample only. Concurrently, the whole field strain measurement techniques like Moire Inteiferometry and ESPI have their own shortcomings like lengthy fringe processing and image subtraction algorithms. Additionally, they face obvious degradation in their spatial resolution at lower strain values owing to the sparse fringes. On the contrary, the developed system has the unique feature of direct and near real time diffraction based strain and tilt measurement at a large array of points on the sample surface. The system uses simultaneous position tracking of smaller beamlets diffracted differently from different regions of the component surface under test. Using this setup, whole field strain and tilt distribution patterns over the component body can be obtained immediately without the need for fringe processing. Furthermore, the system also has capability of measuring rotation and shear strain, making it a truly versatile whole field strain sensing system. Simultaneous strain and tilt measurement at more than one thousand points is being reported in this research. Complete microcomputer based implementation of this system is described. Detailed characterization and analysis of the developed system is presented along with some important development decisions. The system offers a promising combination of features like direct and fast calculation of whole field strain with a fine spatial resolution and good sensitivity. These make this technique suitable for precision applications in structures, mechanics and micro mechanics, while offering a considerable saving in time and equipment cost. Work on Optical Metrology components starts with a unique analysis of position sensitive detectors used in noisy industrial environment, where several other light sources also coexist and thus produce unpredicted effects on PSD output. Many researchers of other photo sensors have analyzed their performance in presence of these stray noises, while this problem has many times been outlined in context of PSDs too. Nonetheless, detailed performance analysis of PSDs with stray optical noises is very much needed. For this purpose, these stray noises are described and modeled with respect to the operation of PSDs. Then the response of the detectors is analyzed in the presence of these spurious signals. The experimental results are compared with the results from the proposed mathematical model and it is observed that the measured performance is within a fraction of a percent of the calculated one. The analysis of systematic errors encountered during data collection is also presented. The study is expected to be very useful for the accurate and precise use of PSDs based sensors in the industrial units like production lines, workshops and others. Following this, the work on laser beams analyzes their use in a novel material processing technique. Laser welding of galvanized steel sheets in lap configuration is the challenging problem being investigated for more than two decades, originating due to the difference in the melting points of steel and zinc. Earlier solutions are either insufficient for desired results or too cumbersome to be realized in practice. For this purpose, dual laser beams method is being discussed modld and analyzed, involving a precursor beam and a higher power welding beam. The first beam cuts a slot, thus making an exit path for the zinc vapours, while the second beam performs the needed welding. The work also presents some experiments performed on the shop floor using this method, along with the metallurgical analysis from laboratory showing successful absence of zinc in the weld area. Owing to its simpler approach and lesser time consumption, this technique is expected to be very attractive in terms of workshop implementation and welding throughput.",
"author_names": [
"Iqbal Salman"
],
"corpus_id": 117277416,
"doc_id": "117277416",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "OPTICAL METROLOGY WITH LASERS AND POSITIONS SENSITIVE DETECTORS",
"venue": "",
"year": 2007
},
{
"abstract": "This paper describes the development of a miniature assembly cell for micro electromechanical systems (MEMS) Potential applications of the developed assembly cell are assembly of miniature optical systems, integration of optoelectronics, such as laser diodes with CMOS, and epitaxial lift off (ELO) of thin films used in optoelectronic devices. The cell utilizes a transparent electrostatic gripper and uses several disparate sensing modalities for position control: computer vision for part alignment with respect to the gripper, a fiber coupled laser, and a position sensitive detector (PSD) for part to assembly alignment. Assembly experiments indicate that the gripping force and stage positioning accuracy are sufficient for insertion of 500mm wide parts in 550 mm wide slots etched in silicon wafers. Details on the cell operation, the control algorithm used and their limitations are also provided. INTRODUCTION Although MEMS devices are usually fabricated via massively parallel photolithographic techniques, in some instances, sequential assembly is required. For example, heterogeneous integration for vertical cavity surface emitting lasers (VCSEL s) with silicon based CMOS circuitry requires placement of the laser die onto a silicon substrate containing the electronic circuitry. Further applications include the assembly of dense arrays of high aspect ratio structures such as electrode arrays in IC probe cards. These assembly and packaging operations are costly and usually constitute the largest portion of the device's total cost. In order to increase the manufacturing throughput and reduce the re tooling costs, it is desirable to develop flexible assembly schemes, allowing for quick adaptation to various part geometries and configurations. Responding to this need, a considerable effort from the private sector and government investments led the development of visually servoed robotic systems, which utilized computer vision to generate knowledge about the position of objects in the robot's work space [1 3] Research focused on microassembly with visual servoing [4,5] has developed excellent image processing techniques for robot control in real time [6] Two dimensional limitations of the imaging systems has also been addressed with research on the extraction of three dimensional position information using techniques known as \"depth from focus\" or multiple CCD arrays [2,7] In parallel with the software improvement several research groups have also developed micro grippers actuated with electrostatic comb drives, thermal actuators or piezo actuators for use under computer vision controlled robotic systems. In most cases these grippers are application specific, and thus require retooling when parts with variable geometry are used. Further, the gripping force is applied point or edge wise, increasing the possibility of local surface damage due to stress concentrations. The present work describes our effort to implement a micro assembly cell based on a previously developed optically transparent electrostatic micro gripper for visual servoing. When compared to other approaches such as vacuum gripping [8] this technique has the advantages of applying a uniform and controllable clamping force, uses a transparent gripper, which allows complete observation of the part and can accommodate parts with different planar geometry. Thus pick and place of Vertical Cavity Surface Emitting Lasers (VCSELS) [9] lift off of thin fragile films are a few of the potentially relevant applications of this assembly technique. II. SYSTEM LAYOUT AND OPERATION The layout of the system is graphically represented in Figure 1below. The system is controlled by personal computer equipped with frame grabber board (Sensoray Inc. USA) and motion control board (PCI 7344 Controller, National Instruments Inc. USA) CCD camera provides the signal for the frame grabber (L 902K Watec Inc. USA) A servo amplifier (MC 4SA, National Aperture Inc. USA) transfers the signal from the PCI 7344 to two motorized linear stages (MM4 MX, National Aperture Inc. USA) One of the stages is attached to platform, designed to support the electrostatic gripper. A PSD (S5990 01, Hamamatsu Inc, Japan) and a 653 nm laser (FIB 635 1SM LaserMax, Inc) are attached to the gripper platform. The laser is coupled to a GRIN collimated lens. The gripper platform is attached to one of the linear stages. A xyz translational stage (Newport, Inc, USA) supports a gimbal mirror mount (Edmund Industrial Optics, USA) The mount is situated in front of the platform and supports a slotted Si wafer. The laser beam reaches the surface of the wafer at an angle. The reflected beam is directed to the 1 Author to whom the correspondence should be addressed ([email protected]) PSD by using optical prism. Motions of the platform in the direction of the stage carry out linear scans over the surface of the wafer. Figure 1. Block diagram of the assembly cell. The gripper consists of a glass substrate with transparent thin film electrodes on top. The electrodes are coated with an insulator [10] The optical transparency of the gripper makes possible the use of real time visual servoing to align the part with respect to the gripper using the CCD camera. To achieve this the pattern of the gripper itself is used. Figures 2 (a) and (b) show the gripper and gripper/part assembly respectively. Figure 2. (a) Figure 2. (b) (a) Gripper Electrodes. (b) A part clamped to the gripper. Both the ITO electrodes and the part are clearly seen. Figure 3. Stage with gripper platform mounted. Figure 3 shows the laser, the collimator, the PSD and the gripper integrated into a \"gripper platform\" Fine adjustment of the collimator's optical axis was achieved by two compressed o rings threaded over the collimator housing. First alignment of a part with respect to the gripper is performed, through the use of an image based visual servoing. After pick up of a part, the surface of the receptacle wafer is scanned for a slot. Upon alignment part insertion is fulfilled via the manual x y z stage. Image based visual servoing is implemented by employing the Sum of Square Differences (SSD) search algorithm [11] Two SSD algorithms are used: spiral SSD algorithm and sequential SSD algorithm. The spiral search starts from the last known feature position and probes for a match in a spiral manner. The sequential search performs consecutive line scanning. Spiral mode is used for detection of parts with known initial location. Sequential mode is used when no estimate for the initial location of the tracked feature is available. Figure 4 shows a screen capture of the software interface developed for visual servoing. In this particular example three features marked with rectangles are selected for tracking. Two features (chess pattern) belong to the gripper and one feature (edge of the part) is selected for centering between the other two. Feature 1 x x Part to be picked up Feature 2 Figure 4. Screen capture of part/gripper alignment. Optical servoing aligns the part with respect to a slot on the wafer. Successful alignment is indicated by a minimum in the total reflected light intensity measured by the PSD detector. Real time alignment is achieved through an intensity minimizing procedure, which utilizes measurements from the PSD sensors and from the magnetic encoder of the linear stage servomotor. Encoder information is also used for feed forward position control of the linear stage. Two control algorithms for intensity minimization were tested spatial derivative method and hill climbing algorithm. The first one is based on a real time spatial derivative estimation of the PSD signal. The second one is based on intensity minimum search with a predefined step size. II. SYSTEM IDENTIFICATION A simplified block diagram of the main components is shown in Figure 5 using first order holder equivalents of the continuous signals with sampling time ms Ts 5 2 2.1 LINEAR STAGE AND ENCODER The linear stage/motor is modeled as a second order system containing a single time constant due to the inertial load of the system s s a s V s X t 1 0 (1) (s V and (s X are the Laplace transform of the motor input voltage and the linear position of the stage respectively. 138 0 0 Vms m a m and ms 6 13 t were determined experimentally by applying a step voltage to the motor and recording the velocity output (t x& The servo amplifier gain is 25 1 G Lag in the feedback path of the system is caused by the processing time required for analog to digital and digital to analog signal conversion, performing floating point calculations and feature tracking. Among these, the latter is most significant and ranges between 36 ms and 111ms for a 64 x 64 pixels feature in a 128 x 128 pixels search window. The time delay during optical feedback (PSD) with 5 point sliding differentiation rule (see section 3.2) was significantly shorter ms T 5 2 Figure 5 depicts the system using first order holder equivalents of the continuous signals with sampling time ms T 5 2 Figure 5. Block diagram of the SIMULINK model. 2.2 Reflected Intensity Distribution Mathematical model has been developed to describe the intensity of the reflected laser beam as a function of the position of the slot in the receptacle wafer. The intensity of the laser is modeled using the Gaussian distribution. It is assumed to be symmetric: 2 0 2 0 2 0 2 max w y y x x e I y x I (2) 0 w is the beam waist, 0 x and 0 y are the coordinates of the center of the beam. To model the power acquired by the reflected intensity y x I ref the y x I distribution was convoluted with a characteristic function of the slot otherwise 1 2 2 and 2 2 x 0 h y h y y w x w x y x H H H H H (3) H x and H y are the coordinates of the centroid and w h are the width and the height of the slot. The power of the si",
"author_names": [
"Eniko T Enikov",
"Kalin V Lazarov",
"Lyubomir L Minkov",
"N C Mountain"
],
"corpus_id": 14643419,
"doc_id": "14643419",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Transparent Electrostatic Clamp For Visual Assembly and Packaging",
"venue": "",
"year": 2004
},
{
"abstract": "At the Forschungszentrum Dresden Rossendorf a new neutron time of flight [Klug07] facility has been set up. Fast neutrons in the energy range from 0.1 MeV to 10 MeV are produced using pulsed electron beams from a superconducting electron linear accelerator[Gabriel00] Short beam pulses of less than 10 ps allow high resolution time of flight experiments with the aim to determine interaction cross sections of neutrons with reactor structural materials and actinides at energies matching the neutron energies in fast reactors [Salvatores08] Following experiments using a thermionic electron injector a new superconducting radiofrequency injector [Arnold07] has been built which will allow average beam currents of 0.5 mA at a repetition rate of 500 kHz. Meanwhile, first experiments on inelastic neutron scattering cross sections on Fe and total neutron cross sections on aluminium and tantalum have been performed as benchmark experiments. While photons from the de exciting transitions are being detected using a 16element BaF2 scintillator array, neutrons are measured with five low threshold plastic scintillation detectors [Beyer07] Beam normalisation is done using a calibrated U fission chamber. The preparation of actinide targets for neutron induced fission cross section measurements is under way. Experimental Setup The radiation source ELBE (Electron Linear Accelerator with High Brilliance and Low Emittance) at Forschungszentrum Dresden Rossendorf (FZD) makes use of a superconducting continuous wave electron linear accelerator delivering beams with energies up to 50 MeV. The high intensity beam with average beam currents of up to 1 mA at pulse repetition rates up to 26 MHz serves as a driver for the production of various secondary beams. Figure1 shows the layout of the accelerator facility and the beam lines for secondary radiations. Table 1 lists the available secondary beams and their primary applications. As one of the secondary beams, an intense neutron beam is being produced by bremsstrahlung photons via the (g,n) process inside a liquid lead circuit. The lead acts threefold as the electron to bremsstrahlung convertor, as the source of evaporation neutrons, as well as the heat removal medium in order to cope with the designed average electron beam power of Corresponding author: [email protected] Fig. 1: Layout of the accelerator facility ELBE. Two superconducting accelerator structures are located inside the accelerator hall and the liquid lead loop is situated on the upper right side inside the neutron hall. A new superconducting radio frequency injector is already installed (SRF gun) which will allow for increase in electron bunch charge by a factor of 15 as compared to the standard thermoionic injector. Recently, a 100 TW laser systems has been set up which serves for laser Thomson electron scattering experiments and laser plasma particle acceleration experiments. about 50 kW. Monte Carlo simulations using MCNP and GEANT4 were performed to characterise the neutron and photon intensities as well as time and energy distributions, and to optimise the neutron transport and shielding of the experimental setup. The design of the liquid lead loop is shown in Fig. 2. The design focuses on combining a small active volume of neutron production with a correspondingly high local heat load of about 5 kW g. As one of the possible solutions, a liquid lead loop operated at a temperature of about 630 K has been selected which is also favourable by means of induced radioactivity caused by various ,xn)and ,p) reactions as compared to e.g. liquid mercury. Nevertheless, the liquid lead loop has to be stored during shutdown phases inside a lead shielding of 200 mm thickness in order to grant access to the vault for maintenance and other experiments [Seidel07] While using lead as material for efficient neutron production (neutron separation energies Sn from 6.7 to 8.1 MeV) the beam dump is made from pure aluminium >99.9% resulting in low induced activities and low neutron generation (neutron separation energy of Al: Sn=13.1 MeV) The short beam pulses (about 5 ps FWHM) delivered by the superconducting electron accelerator provide the basis for an excellent time resolution for neutron time of flight experiments while the pulse repetition rates can be varied between 100 kHz and 26 MHz according to the demands of the experiments. With the existing electronically pulsed thermionic electron source electron pulse charges of up to 80 pC are realized allowing for a moderate average electron beam current of 16 mA at a repetition rate of 200 kHz. Fig. 2: Three dimensional rendering of the liquid lead loop installed at the ELBE facility. For reasons of radiation protection the platform containing the lead loop can be lowered into a lead housing by means of a remotely controlled spindle lifter. The intense electron beam impinges from the front left while the beam transport system is not shown here. The lead flow is driven by means of a magneto hydrodynamic pump thus avoiding mechanical contact with the fluid and avoiding mechanical feedthroughs. Thermal insulation, heating units, and support systems are not shown. The beam dump is made from aluminium surrounded by lead in backward and radial directions. A new superconducting radio frequency injector is already installed which in the near future will allow for an increase in electron bunch charge by a factor of 15 and repetition rates of 500 kHz. The short beam pulses together with the small neutron production volume in the lead circuit allow for an energy resolution of about 1% with a flight path of 6 m when using a fast detector stop signal (e.g. 1 ns for 1.5 MeV neutrons) Neutrons emerging from the liquid lead radiator are shaped into a beam using a 240 cm long cylindrically symmetric collimator made from borated polyethylene and lead, greatly reducing scattered neutron background and photons at the target position. Around the target, an array of 16 BaF2 scintillation detectors for the detection of secondary photons has been installed. Each detector consists of two 190 mm long prisms with hexagonal bases of 53 mm inner radius glued together and read out on both ends using fast photomultiplier tubes (PMT) The double sided readout helps to reduce background signals stemming from anode dark currents, it improves the energy resolution, and it permits the determination of the longitudinal hit position. The signals from the PMTs are processed in Hamamatsu Photonics K.K. http:/www.hamamatsu.com, PMT: R2059 01 selected with quartz windows. dedicated VME based readout units allowing for pulse shape discrimination of charged particles and photons. Fig. 3: Sketch of the setup used in neutron inelastic scattering experiments. Part a) shows the top view, and part b) shows the side view of the detector and target arrangements. Solid (dashed) lines refer to neutron (photon) tracks. Electron optical elements are not shown. Drawing is not to scale. Scattered neutrons are detected using proton recoil plastic scintillation detectors at a distance of about 1 m from the target. The plastic scintillation detectors are strips of 1000 mm length and 42 mm x 11 mm cross section read out double sided using the same PMTs as described above. The trigger threshold is selected to detect single photo electrons permitting detection limits for neutrons as low as 30 keV for detection efficiencies above 10% The design, operation, and calibration of these detectors are described in a previous publication [Beyer07] The system is optimized for high time resolution of the time of flight detectors reaching about 600 ps FWHM for the BaF2 detectors and about 860 ps FWHM for the plastic scintillation detectors in order to allow a compact setup ensuring high beam repetition rates with low pulse to pulse overlap. Scattered neutrons and photons originating from the BaF2 array are being suppressed by borated polyethylene absorbers in the direction of the neutron detectors. The distribution of random background has been determined in measurements without target. Neutron flux determination is done using a calibrated U 235 fission chamber [Gayther90] The time resolution obtained using photo induced fission amounts to about 4 ns. The data acquisition system is controlled by a VME based computer running the real time operating system LynxOS and the versatile data acquisition system MBS. The readout of the double sided BaF2 detectors is done using CAEN V874b calorimeter units providing t energy information, while the plastic scintillation neutron detector information is processed by in house made constant fraction discriminators. CAEN V1190a time to digital converters provide the timing information for both detectors. he Eljen Technology, http:/www.eljentechnology.com, EJ 200 scintillator. SS Creative Electronics Systems, http:/www.ces.ch, RIO3 8064 single board computer. GSI Multi Branch System, http:/daq.gsi.de. CAEN s.p.A. http:/www.caen.it Experiments Several experiments on interactions of fast neutrons with materials of interest for fast reactors have been performed. As a preliminary example, the inelastic scattering on iron with natural isotopic composition has been studied. Although iron is not a material of interest for transmutation studies, its importance as structural material demands high precision data for the interaction of fast neutrons occurring in fast reactors, transmutation facilities, or accelerator driven systems [Salvatores08] The detector setup is shown in Figure 3. List mode data are taken for coincident signals in the BaF2 array and the neutron detector array selecting primarily reactions with at least one photon and one neutron in the exit channel. Time of flight calibration is done using the photon flash stemming from scattered bremsstrahlung inside the radiator. The target consisted of a cylindrical slab of natural iron (isotopic composition: 5.85% Fe, 91.75% Fe, 2.12% Fe, 0.28% Fe) w",
"author_names": [
"Andreas Wagner",
"Daniel Bemmerer",
"Roland Beyer",
"Evert Birgersson",
"Anna Ferrari",
"Eckart Grosse",
"Roland Hannaske",
"A R Junghans",
"Mathias Kempe",
"Toni Kogler",
"Michele Marta",
"Andrija Matic",
"Ralf Nolte",
"Klaus Schilling",
"Georg Schramm",
"Ronald Schwengner",
"F P Weiss",
"Dmitry Yakorev"
],
"corpus_id": 4619617,
"doc_id": "4619617",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "FAST NEUTRON CROSS SECTION MEASUREMENTS WITH THE NELBE NEUTRON TIME OF FLIGHT FACILITY",
"venue": "",
"year": 2010
}
] |
First-principles determination of defect energy levels through hybrid density functionals and GW | [
{
"abstract": "In this topical review, we discuss recent progress in electronic structure methods for calculating defect energy levels in semiconductors and insulators. We concentrate mainly on two advanced electronic structure schemes, namely hybrid density functional theory and many body perturbation theory in the GW approximation. These two schemes go beyond standard density functional theory in the semilocal approximation providing a more realistic description of band gaps. In particular, we address important aspects underlying the GW scheme and highlight the correspondence between the defect levels as obtained in the various schemes. We further assess the quality of the band edge positions determined with hybrid functionals and GW through the calculation of band offsets at semiconductor heterojunctions and of ionization potentials at semiconductor surfaces.",
"author_names": [
"Wei Chen",
"Alfredo Pasquarello"
],
"corpus_id": 206044370,
"doc_id": "206044370",
"n_citations": 38,
"n_key_citations": 0,
"score": 1,
"title": "First principles determination of defect energy levels through hybrid density functionals and GW.",
"venue": "Journal of physics. Condensed matter an Institute of Physics journal",
"year": 2015
},
{
"abstract": "This work presents an in depth investigation of the properties of complexes composed of hydrogen, silicon, or oxygen with carbon, which are the major unintentional impurities in undoped GaN. This manuscript is a complement to our previous work on carbon carbon and carbon vacancy complexes. We have employed a first principles method using Heyd Scuseria Ernzerhof hybrid functionals within the framework of generalized Kohn Sham density functional theory. Two H C, four Si C, and five O C complexes in different charge states have been considered. After full geometry relaxations, formation energies, binding energies, and both thermal and optical transition levels were obtained. The calculated energy levels have been systematically compared with the experimentally observed carbon related trap levels. Furthermore, we computed vibrational frequencies for selected defect complexes and defect concentrations were estimated in the low, mid, and high carbon doping scenarios considering two different cases where electri.",
"author_names": [
"Masahiko Matsubara",
"Enrico Bellotti"
],
"corpus_id": 119383969,
"doc_id": "119383969",
"n_citations": 28,
"n_key_citations": 0,
"score": 0,
"title": "A first principles study of carbon related energy levels in GaN. II. Complexes formed by carbon and hydrogen, silicon or oxygen",
"venue": "",
"year": 2017
},
{
"abstract": "I demonstrate that the ionization energy (IE) and the electron affinity (EA) of organic molecular crystals can be predicted from first principles. Here, I describe the induced electronic polarization and the electrostatic effects upon crystalline IE and EA. I also demonstrate that the electronic polarization mainly originates from the screened coulomb interaction inside the crystalline bulk phase, and that the electrostatic contribution to IE and EA crucially depends on the orientation of the molecule at the surface. The former is well described by the GW approximation, while the latter is reasonably estimated by the difference in frontier orbital energy between the gas phase and the surface at the level of a generalized gradient approximation to the density functional theory. The present methodology enables to demonstrate the impact of the electrostatic effect upon the energy level of the injected charge at a multi monolayer surface of an organic semiconductor thin film.",
"author_names": [
"Susumu Yanagisawa"
],
"corpus_id": 208812968,
"doc_id": "208812968",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Determination of the ionization energy and the electron affinity of organic molecular crystals from first principles: dependence on the molecular orientation at the surface",
"venue": "",
"year": 2020
},
{
"abstract": "Abstract Zinc sulfide (ZnS) based materials are widely used in many applications. Yet, due to a lack of detailed knowledge of defect energy levels, the electrical properties and luminescence mechanisms in the materials still give rise to debate. Here, we report a first principles study of native point defects and impurities in zincblende ZnS using hybrid density functional calculations. We find that cation and anion vacancies and antisite defects introduce deep defect levels in the band gap and can act as donors or acceptors depending on the position of the Fermi level. The substitutional impurity Cu Zn acts as a deep acceptor and thus does not contribute to p type conductivity. Substitutional impurities Al Zn and Cl S on the other hand, are shallow donors. More importantly, we identify the isolated (i.e. unassociated) Cu Zn as a source of the green luminescence observed in ZnS based phosphors and Cu Zn Al Zn and Cu Zn Cl S defect complexes as sources of blue luminescence. The materials may have both green and blue emissions with the relative intensity dependent on the ratio between the unassociated defect and defect complex concentrations, which is also consistent with experimental observations.",
"author_names": [
"Khang Hoang",
"Camille Latouche",
"Stephane Jobic"
],
"corpus_id": 53127375,
"doc_id": "53127375",
"n_citations": 7,
"n_key_citations": 0,
"score": 0,
"title": "Defect energy levels and persistent luminescence in Cu doped ZnS",
"venue": "Computational Materials Science",
"year": 2019
},
{
"abstract": "Calculations of formation energies and charge transition levels of defects routinely rely on density functional theory (DFT) for describing the electronic structure. Since bulk band gaps of semiconductors and insulators are not well described in semilocal approximations to DFT, band gap correction schemes or advanced theoretical models, which properly describe band gaps, need to be employed. However, it has become apparent that different methods that reproduce the experimental band gap can yield substantially different results regarding charge transition levels of point defects. We investigate this problem in the case of the +2/0) charge transition level of the O vacancy in ZnO, which has attracted considerable attention as a benchmark case. For this purpose, we first perform calculations based on nonscreened hybrid density functionals, and then compare our results with those of other methods. While our results agree very well with those obtained with screened hybrid functionals, they are strikingly different compared to those obtained with other band gap corrected schemes. Nevertheless, we show that all the different methods agree well with each other and with our calculations when a suitable alignment procedure is adopted. The proposed procedure consists in aligning the electron band structure through an external potential, such as the vacuum level. When the electron densities are well reproduced, this procedure is equivalent to an alignment through the average electrostatic potential in a calculation subject to periodic boundary conditions. We stress that, in order to give accurate defect levels, a theoretical scheme is required to yield not only band gaps in agreement with experiment, but also band edges correctly positioned with respect to such a reference potential.",
"author_names": [
"Audrius Alkauskas",
"Alfredo Pasquarello"
],
"corpus_id": 119220805,
"doc_id": "119220805",
"n_citations": 91,
"n_key_citations": 0,
"score": 0,
"title": "Band edge problem in the theoretical determination of defect energy levels: The O vacancy in ZnO as a benchmark case",
"venue": "",
"year": 2011
},
{
"abstract": "Owing to the increasing popularity of lead based hybrid perovskites for photovoltaic (PV) applications, it is crucial to understand their defect physics and its influence on their optoelectronic properties. In this work, we simulate various point defects in pseudo cubic structures of mixed iodide bromide and bromide chloride methylammonium lead perovskites with the general formula MAPbI_{3 y}Br_{y} or MAPbBr_{3 y}Cl_{y} (where y is between 0 and 3) and use first principles based density functional theory computations to study their relative formation energies and charge transition levels. We identify vacancy defects and Pb on MA anti site defect as the lowest energy native defects in each perovskite. We observe that while the low energy defects in all MAPbI_{3 y}Br_{y} systems only create shallow transition levels, the Br or Cl vacancy defects in the Cl containing pervoskites have low energy and form deep levels which become deeper for higher Cl content. Further, we study extrinsic substitution by different elements at the Pb site in MAPbBr_{3} MAPbCl_{3} and the 50 50 mixed halide perovskite, MAPbBr_{1.5}Cl_{1.5} and identify some transition metals that create lower energy defects than the dominant intrinsic defects and also create mid gap charge transition levels.",
"author_names": [
"Arun Mannodi-Kanakkithodi",
"Ji-Sang Park",
"Alex B F Martinson",
"Maria K Y Chan"
],
"corpus_id": 199669006,
"doc_id": "199669006",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Defect Physics of Pseudo cubic Mixed Halide Lead Perovskites from First Principles.",
"venue": "",
"year": 2019
},
{
"abstract": "The accuracy of $GW$ in the determination of defect energy levels is assessed through calculations on a set of well characterized point defects in semiconductors: the As antisite in GaAs, the substitutional Mg in GaN, the interstitial C in Si, the Si dangling bond, and the Si split vacancy complex in diamond. We show that the $GW$ scheme achieves a reliable description of charge transition levels, but the overall accuracy is comparable to that of hybrid functional calculations.",
"author_names": [
"Wei Chen",
"Alfredo Pasquarello"
],
"corpus_id": 125740942,
"doc_id": "125740942",
"n_citations": 13,
"n_key_citations": 0,
"score": 0,
"title": "Accuracy of G W for calculating defect energy levels in solids",
"venue": "",
"year": 2017
},
{
"abstract": "Hybrid density functional calculations applied to defect charge transition levels are explored in the attempt to overcome the band gap problem of semilocal density functionals. Charge transition levels of a large set of point defects calculated with semilocal and hybrid density functionals are found to correspond closely when aligned with respect to the average electrostatic potential. This strongly suggests that the defect levels defined in this way are already accurately described at these levels of theory. In particular, this then also applies to the energy separation between different defect levels, which is directly accessible experimentally. At variance, within the same alignment scheme, the band edges obtained with hybrid functionals are found to undergo significant shifts with respect to those obtained with semilocal functionals. While these shifts systematically give larger band gaps, the agreement with experiment is not always satisfactory when a fixed fraction of exact exchange is admixed. This describes a current limitation of hybrid functional schemes. In the attempt of identifying a viable theoretical description within the class of one parameter hybrid functionals based on bare exchange, we explore the validity of the empirical procedure which consists in tuning the fraction of nonlocal exchange to a value which gives a theoretical band gap reproducing the experimental one. Comparisons with experiment for band offsets and specific defect levels record very encouraging results. Despite its inherent limitations, such an empirical scheme based on hybrid functionals represents a definite improvement with respect to semilocal functionals.",
"author_names": [
"Audrius Alkauskas",
"Peter Broqvist",
"Alfredo Pasquarello"
],
"corpus_id": 123676678,
"doc_id": "123676678",
"n_citations": 187,
"n_key_citations": 1,
"score": 0,
"title": "Defect Levels Through Hybrid Density Functionals: Insights and Applications",
"venue": "",
"year": 2011
},
{
"abstract": "Abstract First principles calculations based on density functional theory (DFT) have been performed for layered 2H tungsten disulphide (WS2) with the aim to find its possible applications in opto electronic and hydrogen storage devices. To study opto electronic features of WS2, we solve the Bethe Salpeter Equation (BSE) on top of the standard self consistent GW quasiparticle (QP) calculations. These calculations capture the excitonic effects which originate near the edge of conduction band, shows good agreement with the available measured data. The suitability of WS2 as a prospective material for hydrogen storage were predicted by using the Heyd Scuseria Ernzerhof (HSE06) hybrid functional. We have explored the effect of interstitial hydrogen and H2 molecules insertion on the structural stability of WS2 in detail. The hydrogen atom charge states dependent stability was studied in the context of formation energy. Our calculations suggest that interstitial hydrogen can act as a deep donor whereas H2 molecule exhibits more stability. The diffusion energy of H2 molecule from one hollow site to the nearest in plane hollow site has been calculated using transition state theory. Finally, ab initio molecular dynamics (AIMD) calculations are carried out for WS2 consisting of 16H2 molecules that ensures its structural stability at temperatures 300, 500, and 1000 K. Present predictions show that this material may be utilize for hydrogen storage due to expected high hydrogen density.",
"author_names": [
"D K Sharma",
"Surajit Kumar",
"Sushil Auluck"
],
"corpus_id": 106231590,
"doc_id": "106231590",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "Electronic structure, defect properties, and hydrogen storage capacity of 2H WS2: A first principles study",
"venue": "",
"year": 2018
},
{
"abstract": "We have employed first principles total energy calculations in the framework of density functional theory, with plane wave basis sets and screened exchange hybrid functionals to study the incorporation of intrinsic defects in bulk b In2S3. The results are obtained for In rich and S rich experimental growth conditions. The charge transition level is discussed for all native defects, including VIn, VS, Ini, Si, SIn, and InS, and a comparison between the theoretically calculated charge transition levels and the available experimental findings is presented. The results imply that b In2S3 shows n type conductivity under both In rich and S rich growth conditions. The indium antiisite (InS) the indium interstitial (Ini) and the sulfur vacancy VS' are found to be the leading sources of sample's n type conductivity. When going from the In rich to the S rich condition, the conductivity of the material decreases; however, the type of conductivity remains unchanged.",
"author_names": [
"Elaheh Ghorbani",
"Karsten Albe"
],
"corpus_id": 125786668,
"doc_id": "125786668",
"n_citations": 17,
"n_key_citations": 0,
"score": 0,
"title": "Intrinsic point defects in b In2S3 studied by means of hybrid density functional theory",
"venue": "",
"year": 2018
}
] |
common drain in cmos | [
{
"abstract": "This research paper presents a design and study of a common source/drain active balun circuit implemented in a standard 90 nm complementary metal oxide semiconductor (CMOS) technology. The active balun design is intended for worldwide interoperability for microwave access (WiMAX) application, with operating frequency of 5.8GHz and supply voltage of 1V. Measurements are taken for parameters namely gain difference, phase difference, and noise figure. The common source active balun design achieved a minimal gain difference of 0.016dB, phase difference of 180deg 7.1deg, and noise figure of 7.42 9.85dB, which are comparable to past active balun designs and researches. The design eventually achieved a low power consumption of 2.56mW.",
"author_names": [
"Frederick Ray I Gomez",
"Maria Theresa Gusad de Leon",
"John Richard E Hizon"
],
"corpus_id": 164587364,
"doc_id": "164587364",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Design of Common Source/Drain Active Balun Using 90nm CMOS Technology",
"venue": "Journal of Engineering Research and Reports",
"year": 2019
},
{
"abstract": "In this paper, a power amplifier implemented with a common drain structure is introduced. With proper input matching, this structure is shown to provide a reasonable power gain and superior linearity and efficiency in comparison to other low power topologies. This is shown to be due to the low dependency of the power gain to the transistor transconductance and the low voltage variations across the gate source capacitance. This power amplifier is suitable for low power and short range applications such as Bluetooth Low Energy (BLE) Based on the calculated S parameters, the operation frequency of this amplifier and its design trade offs are presented, along with a comparison with competitive topologies. The design is simulated in a 0.13 mm CMOS technology, operates with a 1.2 V supply, and provides a power gain of 8.5 dB with a DC power consumption of 3.6 mW. The input 1 dB compression point is 2.2 dBm, yielding a power added efficiency of 43%",
"author_names": [
"Parvaneh Saffari",
"Mohammad Taherzadeh-Sani",
"Ali Basaligheh",
"Frederic Nabki",
"Mohamad Sawan"
],
"corpus_id": 10010226,
"doc_id": "10010226",
"n_citations": 4,
"n_key_citations": 0,
"score": 1,
"title": "Low energy CMOS common drain power amplifier for short range applications",
"venue": "2015 IEEE 13th International New Circuits and Systems Conference (NEWCAS)",
"year": 2015
},
{
"abstract": "The Low Noise Amplifier (LNA) is the first stage in RF CMOS receivers. The Common Gate (CG) LNA and Inductively Degenerated Common Source (CS) LNA are one of the widely used topologies for realizing RF CMOS receivers. The present work emphasizes a simple and exhaustive search procedure for the synthesis and analysis of CMOS CG and Inductively Degenerated CS LNA circuits. The width (W) gate source voltage V_{gs} $Vgs) and drain source voltage V_{ds} $Vds) of the transistors constitute the design space in the circuit design. The design first involves the use of a circuit simulator (HSPICE) to obtain the small signal parameters of the circuit for various W, V_{gs}$Vgs, and V_{ds} $Vdsof the transistors and then to generate a Look Up Table (LUT) for all design points using the obtained values. This LUT is used to meet the target performance specifications along with appropriate analytical expressions derived from the circuit in a numerical simulator (MATLAB) This will enable one to explore the whole design space quickly and fastly for arriving at the optimal values for the device dimensions, bias voltages and bias currents of the two LNA circuits. The design methodology is demonstrated by designing CG and Inductively Degenerated CS LNA circuits using 90 nm CMOS technology library in which Inductively Degenerated CS LNA gets high gain and low noise figure than CG LNA.",
"author_names": [
"D Malathi",
"M P Gomathi"
],
"corpus_id": 125103208,
"doc_id": "125103208",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Design of inductively degenerated common source RF CMOS Low Noise Amplifier",
"venue": "",
"year": 2018
},
{
"abstract": "This letter proposes a differential common drain Colpitts VCO circuit suitable for low phase noise oscillation at the sub 1V supply voltage. Oscillation with low phase noise at the sub 1V supply voltage is facilitated by employing inductors as the current sources of the proposed circuit. One of the two feedback capacitors in the half circuit of the proposed VCO circuit is replaced by a MOS varactor in order to further increase negative resistance. Post layout simulation results using a 0.18um RF CMOS technology show that the phase noise performances of the proposed circuit at the sub 1V supply voltages are much better than those of the well known cross coupled VCO at the same supply voltages.",
"author_names": [
"Man-Young Jeon",
"Haeng-Woo Lee"
],
"corpus_id": 207229757,
"doc_id": "207229757",
"n_citations": 2,
"n_key_citations": 1,
"score": 0,
"title": "A differential common drain Colpitts VCO circuit suitable for sub 1V low phase noise",
"venue": "IEICE Electron. Express",
"year": 2011
},
{
"abstract": "This paper presents a CMOS W band amplifier adopting a novel neutralization technique for high gain and stability. The W band amplifier consists of four common source differential gain cells that are neutralized by a cross coupled MOS varactor pair. Contrary to conventional neutralizations, the proposed technique enables tunable neutralization, so that the gate to drain capacitance of transistors is accurately tracked and neutralized as the varactor voltage is adjusted. This makes the neutralization tolerant of capacitance change caused by process voltage temperature (PVT) variation or transistor model inaccuracy, which commonly occurs at mm wave frequencies. The proposed tunable neutralization is experimentally confirmed by measuring gain and stability of the W band amplifier fabricated in a 65 nm CMOS process. The amplifier achieves a measured gain of 17.5 dB at 79 GHz and a 3 dB bandwidth from 77.5 to 84 GHz without any stability issue. The DC power consumption is 56.7 mW and the chip area is 0.85 mm2.",
"author_names": [
"Byungho Yook",
"Kwangwon Park",
"Seung-Won Park",
"Hyunkyu Lee",
"Taehoon Kim",
"Jong Sung Park",
"Sanggeun Jeon"
],
"corpus_id": 157061584,
"doc_id": "157061584",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "A CMOS W Band Amplifier with Tunable Neutralization Using a Cross Coupled MOS varactor Pair",
"venue": "Electronics",
"year": 2019
},
{
"abstract": "An ultra wideband (UWB) low noise amplifier (LNA) for 3.3 13.0 GHz wireless applications using 90 nm CMOS is proposed in this paper. The proposed LNA uses an improved common gate (CG) topology utilizing feedback body biasing (FBB) which improves noise figure (NF) by a considerable amount. Parallel series tuned LC network was used between the common gate first stage and the cascoded common source (CS) stage to achieve the maximum signal flow from CG to CS stage. Improved CS topology with a series inductor at the drain terminal in the second stage connected and cascoded CS third stage provides high power gain (S21) and bandwidth enhancement throughout the complete UWB. A common drain buffer stage at the output provides high output reflection coefficient (S22) It achieves an average power gain (S21) of 14.7 0.5 dB with a noise figure (NF) of 3.0 3.7 dB. It has an input reflection coefficient (S11) less than 11.7 dB for 3.3 13.0 GHz frequency and output reflection coefficient (S22) of less than 10.6 dB with a very high reversion isolation (S12) of less than 72.4 dB. It consumes only 5.2 mW from a 0.7 V power supply.",
"author_names": [
"Vikram Singh",
"Sandeep Kumar Arya",
"M Kumar"
],
"corpus_id": 69586969,
"doc_id": "69586969",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "A 0.7 V, Ultra Wideband Common Gate LNA with Feedback Body Bias Topology for Wireless Applications",
"venue": "",
"year": 2018
},
{
"abstract": "In this paper three types of 3.1 10.6GHz low noise amplifiers are analysed for RF receivers. Common gate LNA with differential active inductor is used capacitor cross coupled technique to improve nonlinear distortions, noise performance, inband gain flatness, linearity, frequency response and derivative super position technique is used to overcome capacitor cross coupled induced linearity deterioration. UWB CMOS LNA with presence of active inductor basis input matched network is presented. UWB CMOS LNA has 3 stages; a gm boosted CG input stage, a common source stage, and a common drain stage. Cascode CS LNA is designed in the absence of active inductor. These LNA's were designing under 1.8v supply.",
"author_names": [
"Vajrala Venkata Santosh Krishna"
],
"corpus_id": 55169031,
"doc_id": "55169031",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Design of an Active Inductor based Low Noise Amplifier using 180nm Cmos Technology for RF Receivers",
"venue": "",
"year": 2017
},
{
"abstract": "This paper presents a simple but accurate semi empirical model especially focused on 65 nm MOST (MOS transistor) technologies and radio frequency (RF) applications. It is obtained by means of simple dc and noise simulations extracted over a constrained set of MOSTs. The fundamental variable of the model is the MOST transconductance to current drain ratio gm/ID. Specifically it comprises the large signal DC normalized current, all conductances and transconductances and the normalized intrinsic capacitances. As well, noise MOST characteristics of flicker noise, white noise and MOST corner frequency description are provided. To validate the referred model the widely utilized cascoded common source low noise amplifier (CS LNA) in 2.5 GHz and 5.3 GHz RF applications is picked. For the presented set of designs different gm/ID ratios are considered. Finally, the model, circuit and validation results are done by computing and electrical simulations.",
"author_names": [
"Rafaella Fiorelli",
"Eduardo J Peralias"
],
"corpus_id": 30821855,
"doc_id": "30821855",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Semi empirical RF MOST model for CMOS 65 nm technologies: Theory, extraction method and validation",
"venue": "Integr.",
"year": 2016
},
{
"abstract": "This brief presents the design and analysis of a 5.5 V class D stage used in two fully integrated watt level, +32.0 and 29.7 dBm, outphasing RF power amplifiers (PAs) in standard 130 and 65 nm CMOS technologies. The class D stage utilizes a cascode configuration, driven by an ac coupled low voltage driver, to allow a 5.5 V supply in the 1.2 /2.5 V technologies without excessive device voltage stress. The rms electric fields (E) across the gate oxides and the optimal bias point, where the voltage stress is equally divided between the transistors, are computed. At the optimal bias point, the rms E, the power dissipation of the parasitic drain capacitance of the common source transistors, and the equivalent on resistances are reduced by approximately 25% 50% and 25% compared to a conventional cascode (inverter) stage. To the authors' best knowledge, the class D PAs presented are among the first fully integrated CMOS outphasing PAs reaching +30 dBm and demonstrate state of the art output power and bandwidth.",
"author_names": [
"Jonas Fritzin",
"Christer Svensson",
"Atila Alvandpour"
],
"corpus_id": 9355109,
"doc_id": "9355109",
"n_citations": 6,
"n_key_citations": 0,
"score": 0,
"title": "Analysis of a 5.5 V Class D Stage Used in 30 dBm Outphasing RF PAs in 130 and 65 nm CMOS",
"venue": "IEEE Transactions on Circuits and Systems II: Express Briefs",
"year": 2012
},
{
"abstract": "ABSTRACT This paper presents a design of a high speed Comparator design using 65nm digital CMOS technology on Cadence Virtuoso Design Tool. The proposed FLASH ADC Design consists of fully differential topology. The first stage provides a Voltage Divider circuit and the second stage is Comparator Design having high sampling frequency tolerance, and the high efficient common drain circuit provides high driving capability with relatively low power dissipation. It is used in more application for bandwidth and power and a high resolution is available for analog to digital converters (ADCs) Under 1 V supply voltage, the simulation results show that the proposed FLASH ADC Design is having a differential topology along with latching circuit.",
"author_names": [
"M AbhilashKumar",
"Ajay Kumar Dadoria",
"Kavita Khare"
],
"corpus_id": 14638473,
"doc_id": "14638473",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Power and Area Efficient FLASH ADC Design using 65nm CMOS Technology",
"venue": "",
"year": 2014
}
] |
High Order Bragg grating | [
{
"abstract": "Abstract In order to obtain narrow linewidth semiconductor laser around 1564 nm, we design a distributed Bragg reflector (DBR) laser with high order Bragg gratings (HOBGs) using butterfly encapsulation. The DBR laser is fabricated only by i line lithography technology with grating period of 4. 84 m m groove width of 1. 5 m m and grating length of 72 m m on a strip width of 4 m m The 1mm long devices achieved an output power of 9.9 mW and a side mode suppression ratio (SMSR) more than 30 dB without facet coating at an injection current of 80 mA. The lasers showed ultra narrow Lorentz linewidth of 70 kHz. This paper provides a simple method for large scale production of narrow linewidth semiconductor lasers.",
"author_names": [
"Hong Chen",
"Peng Jia",
"Chao Chen",
"Li Qin",
"Yongyi Chen",
"Youwen Huang",
"Yongqiang Ning",
"Lijun Wang"
],
"corpus_id": 146087549,
"doc_id": "146087549",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Narrow linewidth DBR laser based on high order Bragg grating defined by i line lithography",
"venue": "Optics Communications",
"year": 2019
},
{
"abstract": "We present a single mode, single polarization, distributed feedback liquid dye laser, based on a short high order Bragg grating defined in a single polymer layer between two glass substrates. In this device we obtain single mode operation in a multimode structure by means of transverse mode discrimination with antiguiding segments. The laser is fabricated using microfabrication technology, is pumped by a pulsed frequency doubled Nd:YAG laser, and emits narrow line width light in the chip plane at 577 nm. The output from the laser is coupled into integrated planar waveguides defined in the same polymer _lm. The laser device is thus well suited for integration, for example, into polymer based lab on a chip microsystems.",
"author_names": [
"Soren Balslev",
"Anders Kristensen"
],
"corpus_id": 43902326,
"doc_id": "43902326",
"n_citations": 112,
"n_key_citations": 3,
"score": 1,
"title": "Microfluidic single mode laser using high order Bragg grating and antiguiding segments.",
"venue": "Optics express",
"year": 2005
},
{
"abstract": "A convenient method using a commercially available ruled grating for precise and overall diameter measurement of optical nanofibers (ONFs) is presented. We form a composite Bragg reflector with a micronscale period by dissolving aluminum coating, slicing the grating along ruling lines, and mounting it on an ONF. The resonant wavelengths of high order Bragg reflections possess fiber diameter dependence, enabling nondestructive measurement of the ONF diameter profile. This method provides an easy and economic diagnostic tool for wide varieties of ONF based applications.",
"author_names": [
"Ming Zhu",
"Yaoke Wang",
"Yi-zhi Sun",
"Lijian Zhang",
"Wei Ding"
],
"corpus_id": 46757548,
"doc_id": "46757548",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Diameter measurement of optical nanofiber based on high order Bragg reflections using a ruled grating.",
"venue": "Optics letters",
"year": 2018
},
{
"abstract": "Aiming for structural health monitoring applications in harsh environments, a high order sapphire fiber Bragg grating (HO SFBG) written with a femtosecond (fs) laser in a large diameter sapphire fiber is demonstrated. The radial refractive index modulation area induced by scanning exposure is greater than 60% of the fiber cross section area. The large mode overlapping area leads to a transmission resonance strength of approximately 3.0 dB. As demonstrated by numerical simulations of mode excitation and multimode resonance, each mode resonance is significantly superposed when the coupling coefficient is above 0.0005. A study of the high temperature and strain sensing characteristics of the HO SFBG shows that each high order resonance has different response characteristics. This type of large diameter SFBG has important application potential for high temperature smart materials with embedded fiber sensors.",
"author_names": [
"Chao Chen",
"Xuan-yu Zhang",
"Yong-Sen Yu",
"Wei-Hua Wei",
"Qi Guo",
"Li Qin",
"Yongqiang Ning",
"Li-jun Wang",
"Hong-Bo Sun"
],
"corpus_id": 49357019,
"doc_id": "49357019",
"n_citations": 18,
"n_key_citations": 0,
"score": 0,
"title": "Femtosecond Laser Inscribed High Order Bragg Gratings in Large Diameter Sapphire Fibers for High Temperature and Strain Sensing",
"venue": "Journal of Lightwave Technology",
"year": 2018
},
{
"abstract": "In order to obtain narrow linewidth semiconductor laser around 1564 nm, we design a distributed Bragg reflector (DBR) laser based on surface etched high order Bragg gratings (SE HOBGs) To achieve C band Bragg resonance wavelength selection, the laser is designed as a grating period of 4.84 mm, an etched groove depth of 1.2 mm, a grating duty cycle of 69% a total grating length of 72 mm, and a ridge waveguide width of 4.0 mm. For the DBR laser with cavity length of 1 mm, the output power reaches to 9.9 mW/facet and a 1564 nm laser output with a side mode suppression ratio (SMSR) more than 30 dB at an injection current of 80 mA. We measured and analyzed the phase/frequency noise, linewidth characteristics and the relative intensity noise (RIN) characteristics of the laser in detail. The lasers showed narrow Lorentz linewidth of 70 kHz. This paper provides a simple method for large scale production of narrow linewidth semiconductor lasers.",
"author_names": [
"Chao Chen",
"Peng Jia",
"Li Qin",
"Yongyi Chen",
"Hong Chen",
"Yongqiang Ning",
"Li-jun Wang"
],
"corpus_id": 209654776,
"doc_id": "209654776",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Noise and linewidth characterizations of DBR laser based on surface etched high order Bragg gratings",
"venue": "Applied Optics and Photonics China",
"year": 2019
},
{
"abstract": "We demonstrate a single mode distributed feedback liquid dye laser, based on a short 133 'rd order Bragg grating defined in a single polymer layer between two glass substrates.",
"author_names": [
"Soren Balslev",
"Anders Kristensen"
],
"corpus_id": 19848952,
"doc_id": "19848952",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "High order Bragg grating microfluidic dye laser",
"venue": "Conference on Lasers and Electro Optics, 2004. (CLEO)",
"year": 2004
},
{
"abstract": "A corrugated high order Bragg grating was fabricated on a silicon on insulator(SOI) ridge waveguide,with the width of 2 mm and the ridge height of 935 nm,by photolithography.The core thickness of the SOI wafers is 2 mm.The etching depth of the grating is 565 nm and 935 nm,respectively.The extinction ratio of the device is above 10 dB in the wavelength range 1 540~1 640 nm,demonstrating the filterability of high order Bragg grating on SOI ridge waveguides.Experimentally and theoretically,the coupling coefficient of high order Bragg grating was proved to increase with the etching depth and a higher grating loss would be coused by the increase of the periodicity of grating.",
"author_names": [
"Zhao Chun-hua"
],
"corpus_id": 138052419,
"doc_id": "138052419",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Corrugated High order Bragg Grating on Silicon on insulator Ridge Waveguides Fabricated by Photolithography",
"venue": "",
"year": 2009
},
{
"abstract": "An integrated passband optical filter based on a high order phase shifted Bragg grating (BG) realized in siliconon insulator technology is presented. Five half wave cavities are placed between six BG mirrors defined by laterally corrugating a strip silicon waveguide through electron beam lithographic process. The BG mirrors are dimensioned in order to provide the proper reflectivity at Bragg wavelength such to tailor the spectral transmission of the multi cavity filter. A passband window within a 1 THz stopband region having nearly Gaussian transfer function with a 3dB bandwidth of ~25 GHz, low insertion loss of less than 1 dB, minimum stopband attenuation of ~35 dB, and a passband to stopband transition bandwidth of ~30 GHz is observed. These features, which are unreported for such class of structures, illustrate the potentials of this approach for realizing FSR free bandpass optical filters with arbitrary bandwidth, strong out of band rejection, and fast roll off. Effects of waveguide corrugation depth on the stopband width has also been investigated.",
"author_names": [
"Claudio Porzi",
"Antonella Bogoni"
],
"corpus_id": 195184803,
"doc_id": "195184803",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Integrated Passband Optical Filter with High Order Phase Shifted Bragg Grating in Silicon on Insulator Technology",
"venue": "",
"year": 2018
},
{
"abstract": "An integrated high order phase shifted Bragg grating, comprising six quarter wave sections between Bragg grating mirrors in a laterally corrugated strip waveguide has been realized in silicon on insulator technology. A box like transmission window is created within the 10 nm wide grating reflection band, realizing a sharp bandpass optical filter with out of band rejection exceeding 40 dB and a steep roll off of ~300 dB/nm in the transition band. The sharp optical filter has been experimentally tested in microwave photonics (MWP) signal processing applications, namely spectral separation of an optical sideband comprising 1.25 Gb/s data from a 15 GHz spaced carrier, and sideband suppression for dispersion compensation in a radio over fiber link. The results of the characterizations indicate negligible power penalty in terms of bit error rate for the sideband separation and robust mitigation of dispersion induced transmission impairment. The device has an ultrasmall footprint of ~450 x 0.5 mm2, and can be monolithically integrated with germanium photodiodes or silicon modulators as well as other passive subsystems to implement advanced on chip MWP signal processing functionalities.",
"author_names": [
"Claudio Porzi",
"Giovanni Serafino",
"Philippe Velha",
"Paolo Ghelfi",
"Antonella Bogoni"
],
"corpus_id": 36650902,
"doc_id": "36650902",
"n_citations": 16,
"n_key_citations": 1,
"score": 0,
"title": "Integrated SOI High Order Phase Shifted Bragg Grating for Microwave Photonics Signal Processing",
"venue": "Journal of Lightwave Technology",
"year": 2017
},
{
"abstract": "This paper presents a novel framework for probabilistic crack size quantification using fiber Bragg grating (FBG) sensors. The key idea is to use a high order extended finite element method (XFEM) together with a transfer (T) matrix method to analyze the reflection intensity spectra of FBG sensors, for various crack sizes. Compared with the standard FEM, the XFEM offers two superior capabilities: (i) a more accurate representation of fields in the vicinity of the crack tip singularity and (ii) alleviation of the need for costly re meshing as the crack size changes. Apart from the classical four term asymptotic enrichment functions in XFEM, we also propose to incorporate higher order functions, aiming to further improve the accuracy of strain fields upon which the reflection intensity spectra are based. The wavelength of the reflection intensity spectra is extracted as a damage sensitive quantity, and a baseline model with five parameters is established to quantify its correlation with the crack size. In order to test the feasibility of the predictive model, we design FBG sensor based experiments to detect fatigue crack growth in structures. Furthermore, a Bayesian method is proposed to update the parameters of the baseline model using only a few available experimental data points (wavelength versus crack size) measured by one of the FBG sensors and an optical microscope, respectively. Given the remaining data points of wavelengths, even measured by FBG sensors at different positions, the updated model is shown to give crack size predictions that match well with the experimental observations.",
"author_names": [
"Jingjing He",
"Jinsong Yang",
"Yongxiang Wang",
"Haim Waisman",
"Weifang Zhang"
],
"corpus_id": 15109421,
"doc_id": "15109421",
"n_citations": 17,
"n_key_citations": 0,
"score": 0,
"title": "Probabilistic Model Updating for Sizing of Hole Edge Crack Using Fiber Bragg Grating Sensors and the High Order Extended Finite Element Method",
"venue": "Sensors",
"year": 2016
}
] |
Optimisation of preventive maintenance scheduling | [
{
"abstract": "Preventive maintenance (PM) scheduling is a very challenging task in semiconductor manufacturing due to the complexity of highly integrated fab tools and systems, the interdependence between PM tasks, and the balancing of work in process (WIP) with demand/throughput requirements. In this paper, we propose a two level hierarchical modeling framework. At the higher level is a model for long term planning, and at the lower level is a model for short term PM scheduling. Solving the lower level problem is the focus of this paper. We develop mixed integer programming (MIP) models for scheduling all due PM tasks for a group of tools, over a planning horizon. Interdependence among different PM tasks, production planning data such as projected WIP levels, manpower constraints, and associated PM time windows and costs, are incorporated in the model. Results of a simulation study comparing the performance of the model based PM schedule with that of a baseline reference schedule are also presented.",
"author_names": [
"",
"Emmanuel Fernandez-Gaucherand",
"Michael C Fu",
"Steven I Marcus"
],
"corpus_id": 10490112,
"doc_id": "10490112",
"n_citations": 132,
"n_key_citations": 11,
"score": 0,
"title": "Optimal preventive maintenance scheduling in semiconductor manufacturing",
"venue": "IEEE Transactions on Semiconductor Manufacturing",
"year": 2004
},
{
"abstract": "A railway system needs a substantial amount of maintenance. To prevent unexpected breakdowns as much as possible, preventive maintenance is required. In this paper we discuss the preventive maintenance scheduling problem (PMSP) where (short) routine activities and (long) unique projects have to be scheduled in a certain period. To reduce costs and inconvenience for the travellers and operators, these activities should be scheduled together as much as possible. We present two versions of the PMSP, one with fixed intervals between two consecutive executions of the same routine work, and one with only a maximum interval. Apart from giving a math programming formulation for the PMSP and for its extension we also present some heuristics. In addition, we compare the performance of these heuristics with the optimal solution using some randomly generated instances.",
"author_names": [
"Gabriella Budai",
"Dennis Huisman",
"Rommert Dekker"
],
"corpus_id": 14646839,
"doc_id": "14646839",
"n_citations": 216,
"n_key_citations": 12,
"score": 0,
"title": "Scheduling preventive railway maintenance activities",
"venue": "2004 IEEE International Conference on Systems, Man and Cybernetics (IEEE Cat. No.04CH37583)",
"year": 2004
},
{
"abstract": "Two types of preventive maintenance policies are considered. A policy is defined to be optimum if it maximizes \"limiting efficiency,\" i.e. fractional amount of up time over long intervals. Elementary renewal theory is used to obtain optimum policies. The optimum policies are determined, in each case, as unique solutions of certain integral equations depending on the failure distribution. It is shown that both solutions are also minimum cost solutions when the proper identifications are made. The two optimum policies are compared under certain restrictions.",
"author_names": [
"Richard E Barlow",
"Larry C Hunter"
],
"corpus_id": 123458478,
"doc_id": "123458478",
"n_citations": 1176,
"n_key_citations": 105,
"score": 1,
"title": "Optimum Preventive Maintenance Policies",
"venue": "",
"year": 1960
},
{
"abstract": "The simultaneous scheduling of resource constrained maintenance and operations is an emerging theory that is attracting the attention of both researchers and practitioners. The purpose of this paper is to capture the uncertainty in the development of a model that schedules both preventive maintenance and operational activities. Fuzzy logic is employed to transform the human expertise into IF THEN rules. The approach has the advantage of revealing semantic uncertainty with the associated non specifying measures. The methodology applied tracks the error values in terms of results in linguistic variable. The result obtained indicates the feasibility of tracking the uncertain measures in the model discussed. Thus, the study may be applicable to both production system and transportation organizations that are engaged in both maintenance and operational activities. The research has serious implication in terms of the ability to monitor the imprecision that were introduced in the previous models. This obviously provides a more reliable framework for researchers and practitioners interested in maintenance scheduling operation. The paper is new in that, it demonstrates the application of fuzzy logic in a form that was never documented.",
"author_names": [
"Sunday Ayoola Oke"
],
"corpus_id": 110035484,
"doc_id": "110035484",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "A FUZZY LINGUISTIC APPROACH OF PREVENTIVE MAINTENANCE SCHEDULING COST OPTIMISATION",
"venue": "",
"year": 2007
},
{
"abstract": "The simultaneous scheduling of resource constrained maintenance and operations is an emerging theory that is attracting the attention of both researchers and practitioners. The purpose of this paper is to capture the uncertainty in the development of a model that schedules both preventive maintenance and operational activities. Fuzzy logic is employed to transform the human expertise into IF THEN rules. The approach has the advantage of revealing semantic uncertainty with the associated non specifying measures. The methodology applied tracks the error values in terms of results in linguistic variable. The result obtained indicates the feasibility of tracking the uncertain measures in the model discussed. Thus, the study may be applicable to both production system and transportation organizations that are engaged in both maintenance and operational activities. The research has serious implication in terms of the ability to monitor the imprecision that were introduced in the previous models. This obviously provides a more reliable framework for researchers and practitioners interested in maintenance scheduling operation. The paper is new in that, it demonstrates the application of fuzzy logic in a form that was never documented. INTRODUCTION Many organization worldwide are shifting their focus from the traditional way of running the business to a modern and pro active approach that guarantees the continued existence of the business. Traditionally, businesses are managed with the sole aim of making profit. This viewpoint is fading away in view of the emerging business improvement concepts that has dominated organizational practices worldwide. Such concepts include business process reengineering, total quality management, etc. As such the customer is at the central focus of the company's objective with the aim of satisfying and exceeding customers' requirements. This condition has created intense competition among rival organizations. Therefore, for businesses to stay afloat, customer satisfaction should be the central focus. While satisfying the customer, the profit maximization objective of company would automatically be achieved since an increased customer patronage is envisaged. In an effort to continue in business, company managers are re engineering their processes for efficiency and effectiveness. The maintenance culture is one of the subsystems of the organization that has received an increased attention in recent times. Fortunately the viewpoint of the maintenance function as a \"bottomless pit of expenses\" is fading away. Maintenance is now viewed as a \"value adding activity\" to the organization. In other to improve efficiency of operations, proper scheduling of facilities should be done. In scheduling facilities, optimal approaches are sought for such that minimum cost is expended on activities and maximum results obtained. Until recently most approaches utilized in maintenance scheduling are near optimal (see Anily et al. 1998, 1999) This is largely due to the non polynomial problem that usually exists in problem formulation. KATHMANDU UNIVERSITY JOURNAL OF SCIENCE, ENGINEERING AND TECHNOLOGY VOL.I, No.III, JANUARY, 2007. 2 Fortunately, Charles Owaba (2002) has recently proposed a breakthrough methodology that uses the basic principles of Gantt Charting. Since the development of the original model numerous efforts have been made by authors in extending its framework. Such extensions have incorporated a reviewed cost dimensions, and alternative consideration without the use of Gantt charting principles. Unfortunately, none of the articles have considered the uncertain nature of the problem semantic uncertainty in the model framework is an important concern that is worthy of analytical investigation (Oke, 2004a,b) This important gap in the maintenance scheduling literature is addressed in the current work. In particular, the fuzzy logic concept is applied to the simultaneous scheduling of resourceconstrained maintenance and operations. The linguistic variables are used to substitute for the key parameters and variable of the Gantt charting model. The model that evolves from the current research is of immense benefits both to the academic community and the industry. With the model, the real value of measure could be easily captured while the decisions based on the modeling information will be more reliable than the former model that does not contain fuzzy logic concepts. Literature review: The maintenance scheduling literature has a variety of applications (Zurn and Quintana, 1975; Wang et al. 2002; Walker et al. 2001a,b) These diverse applications include aircraft maintenance, process industry, vehicle fleet maintenance, railway track maintenance, power generation, pavement maintenance, highway maintenance, refinery and production facilities (Alfares, 1999; Ashayeri, et al. 1996; de Campos and Belhot, 1994; Gunn and Lee, 1991; Lee, 1991; Lake et al. 2000, 2001; Lake and Ferreira, 2001; Creemers et al. 1994) An attempt to codify the maintenance scheduling literature portrays a line of inquiry that has grown in volume and in depth. Despite the immense resources allocated to the study of maintenance scheduling during the past 30 years, a more intensive study into some deep structures is still required. Subsequently, a number of theoreticians proposed models for scheduling maintenance (Zurn and Quintana, 1977; Stremel and Jenkins, 1981; Stremel, 1981; Ram and Olumolade, 1987; Duffuaa and Al Sltan, 1997) Duffuaa and Ben Daya (1994) proposed a model, which is similar to that of Hariga in that it focused on non identical production units (Duffuaa and Ben Daya, 1994) The model is developed for the joint overhaul problem by incorporating the cost of production, and the cost of coordination. The model obtains lower costs of two examples. Among the deficiencies of these models is the fact that no evidence of machine dominance concept is considered. Cheung and Hui (2004) investigated a chemical production site from the perspective of loss minimization. The paper proposes a multi period mixed integer linear programming (MILP) model as an aid to optimize short term maintenance schedule. In a study by El Sharkh and El keib (2003) the concept of a fuzzy evolutionary programming based solution methodology for security constrained generator maintenance scheduling was explored. The paper presents the fuzzy model with uncertainties in the load and fuel and maintenance costs. The technique results are fuzzy optimal cost range that reflects the problem uncertainties. It solves a decomposed maintenance model of two interrelated subproblems, namely the maintenance and the security constrained economic dispatch problem. Dieulle et. al. (2003) focused on the development of a new probabilistic method based on the semi regenerative property of the evolution process. In order to calculate the long time expected cost per unit of time, the authors use a recent result generalizing the well known theorem that expresses KATHMANDU UNIVERSITY JOURNAL OF SCIENCE, ENGINEERING AND TECHNOLOGY VOL.I, No.III, JANUARY, 2007. 3 the cost criterion as equal to the ratio of the expected cost on renewal cycle over the expected cycle duration. Numerical experiments show that there exists a set of parameters (the critical threshold and the parameters of maintenance scheduling function) which lead to a minimal cost. El Sharkh and El Keib (2000) considered an evolutionary programming (EP) based technique to the unified model of the maintenance scheduling (MS) problem of power generation and transmission systems. The Hill climbing technique (HCT) is used in conjunction with the EP to find a feasible solution in the neighbourhood of the new feasible solutions during the solution process. The work by Kobbacy et al. (1997) is concerned with the development of a realistic preventive maintenance (PM) scheduling model. A heuristic approach for implementing the semi parametric proportional hazard model (PHM) to schedule the next preventive maintenance interval on the basis of the equipment's full condition history was introduced. These models are then used within a simulation framework to schedule the next preventive maintenance interval. The results indicate a higher availability for the recommended schedule than the availability resulting from applying the optimal PM intervals as suggested by using the conventional stationary models. Olorunniwo and Izuchukwu (1991) applied the concept of maintenance improvement factors to preventive and overhaul maintenance. The authors developed mathematical models that are used to generate preventive and overhaul maintenance schedules. Examples are provided to demonstrate the sensitivity of the schedules to model parameters. Ashayeri et al. (1996) reported on simultaneous planning of preventive maintenance and production in a process industry environment. The authors developed a mixed integer linear programming model. The model scheduling production jobs and preventive jobs, while minimizing costs associated with production back orders, corrective maintenance and preventive maintenance. The model takes into account the probability of a breakdown given by the last maintenance period. The formulation of the model is flexible so that it can be adapted for several production situations. However, the deterministic model discussed does not afford insight into the nature of deterioration of machines. A common form of machine deterioration is stochastic but many studies have assumed linear deterioration for ease of modeling. Model framework: From the original proposal by Charles Owaba (2002) the problem is defined as follows: Given a set of machines for preventive maintenance and operations in T contiguous periods, limited periodic maintenance capacity (Aj) limited budgetary allocation (Ba) limited manpower resources (Mr) duration (Bir) per maintenance visit, arrival period Kij) and the number of visits per machine",
"author_names": [
""
],
"corpus_id": 10630091,
"doc_id": "10630091",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "A FUZZY LINGUISTIC APPROACH OF PREVENTIVE MAINTENANCE SCHEDULING COST OPTIMISATION",
"venue": "",
"year": 2007
},
{
"abstract": "Abstract A new methodology designed to optimize both the planning of preventive maintenance and the amount of resources needed to perform maintenance in a process plant is presented. The methodology is based on the use of a Montecarlo simulation to evaluate the expected cost of maintenance as well as the expected economic loss, an economical indicator for maintenance performance. The Montecarlo simulation describes different failure modes of equipment and uses the prioritization of maintenance supplied, the availability of labour and spare parts. A Genetic algorithm is used for optimisation. The well known Tennessee Eastman Plant problem is used to illustrate the results.",
"author_names": [
"DuyQuang Nguyen",
"Miguel J Bagajewicz"
],
"corpus_id": 109225968,
"doc_id": "109225968",
"n_citations": 30,
"n_key_citations": 0,
"score": 0,
"title": "Optimization of preventive maintenance scheduling in processing plants",
"venue": "",
"year": 2008
},
{
"abstract": "Abstract Offshore wind farm is an emerging source of renewable energy, which has been shown to have tremendous potential in recent years. In this blooming area, a key challenge is that the preventive maintenance of offshore turbines should be scheduled reasonably to satisfy the power supply without failure. In this direction, two significant goals should be considered simultaneously as a trade off. One is to maximise the system reliability and the other is to minimise the maintenance related cost. Thus, a non linear multi objective programming model is proposed including two newly defined objectives with thirteen families of constraints suitable for the preventive maintenance of offshore wind farms. In order to solve our model effectively, the nondominated sorting genetic algorithm II, especially for the multi objective optimisation is utilised and Pareto optimal solutions of schedules can be obtained to offer adequate support to decision makers. Finally, an example is given to illustrate the performances of the devised model and algorithm, and explore the relationships of the two targets with the help of a contrast model.",
"author_names": [
"Shuya Zhong",
"Athanasios A Pantelous",
"Michael Beer",
"Jian Zhou"
],
"corpus_id": 53338860,
"doc_id": "53338860",
"n_citations": 23,
"n_key_citations": 0,
"score": 0,
"title": "Constrained non linear multi objective optimisation of preventive maintenance scheduling for offshore wind farms",
"venue": "",
"year": 2018
},
{
"abstract": "Preventive maintenance planning, and production scheduling are two activities that are inter dependent but most often performed independently. Considering that preventive maintenance, and repair affect both available production time, and the probability of machine failure, we are surprised that this inter dependency seems to be overlooked in the literature. We propose an integrated model that coordinates preventive maintenance planning decisions with single machine scheduling decisions so that the total expected weighted completion time of jobs is minimized. Note that the machine of interest is subject to minimal repair upon failure, and can be renewed by preventive maintenance. We investigate the value of integrating production scheduling with preventive maintenance planning by conducting an extensive experimental study using small scheduling problems. We compare the performance of the integrated solution with the solutions obtained from solving the preventive maintenance planning, and job scheduling problems independently. For the problems studied, integrating the two decision making processes resulted in an average improvement of approximately 2% and occasional improvements of as much as 20% Depending on the nature of the manufacturing system, an average savings of 2% may be significant. Certainly, savings in this range indicate that integrated preventive maintenance planning, and production scheduling should be focused on critical (bottleneck) machines. Because we use total enumeration to solve the integrated model for small problems, we propose a heuristic approach for solving larger problems. Our analysis is based on minimizing total weighted completion time; thus, both the scheduling, and maintenance problems favor processing shorter jobs in the beginning of the schedule. Given that due date based objectives, such as minimizing total weighted job tardiness, present more apparent trade offs conflicts between preventive maintenance planning, and job scheduling, we believe that integrated preventive maintenance planning production scheduling is a worthwhile area of study.",
"author_names": [
"C Richard Cassady",
"Erhan Kutanoglu"
],
"corpus_id": 12095589,
"doc_id": "12095589",
"n_citations": 256,
"n_key_citations": 23,
"score": 0,
"title": "Integrating preventive maintenance planning and production scheduling for a single machine",
"venue": "IEEE Transactions on Reliability",
"year": 2005
},
{
"abstract": "recent offerings by competing publishers. There are numerous and thoughtprovoking exercises 457 by my count. Many are based on the example cases from Chapter 2. The basic statistical groundwork for reliability textbooks has been well laid by Leemis (1995) Lawless (1982) and Nelson (1982) among others. Meeker and Escobar (1998) have, in my opinion, the best general survey. Recent books like that by Modarres, Kaminskiy, and Kritsov (1999) are extending the material into new, focused applications. The reviewed book does not compete with the 1998 book by Meeker and Escobar, which it cites often and which covers the statistical issues completely. Instead, it places reliability analysis in a much broader and more complete framework. It is so comprehensive that it would provide material for more than a two semester graduate sequence in reliability. It integrates several threads of operations research statistics, probability, stochastic processes, and optimization and it will find a warm welcome in the best graduate programs. I strongly recommend it.",
"author_names": [
"Michael Tortorella"
],
"corpus_id": 21392022,
"doc_id": "21392022",
"n_citations": 205,
"n_key_citations": 18,
"score": 0,
"title": "Reliability Theory: With Applications to Preventive Maintenance",
"venue": "Technometrics",
"year": 2001
},
{
"abstract": "Maintenance engineers have been applying treatments to both flexible and rigid pavements ever since there have been these types of pavements. The types and application of various treatments for both corrective and preventive maintenance have been the subject of research studies over a number of years, and many publications have reported these findings. FHWA recently initiated an effort to encourage departments of transportation at all levels to begin, or extend, the practice of preventive maintenance, since there simply is not enough money available to continue the types of maintenance currently employed. Flexible pavement preventive maintenance is specifically addressed, including the types of pavements that are candidates for preventive maintenance, the available treatments, where and when they should be used, their cost effectiveness, factors to be considered in selecting the appropriate treatment strategy, and a methodology to determine the most effective treatment for a particular distress.",
"author_names": [
"R Gary Hicks",
"James S Moulthrop",
"Jerome F Daleiden"
],
"corpus_id": 109664347,
"doc_id": "109664347",
"n_citations": 144,
"n_key_citations": 18,
"score": 0,
"title": "Selecting a Preventive Maintenance Treatment for Flexible Pavements",
"venue": "",
"year": 1999
}
] |
Subsets and Splits