query
stringlengths
3
298
candidates
listlengths
10
10
Individual cell voltage equalizer for lithium ion battery
[ { "abstract": "Now a days, lithium ion (Li ion) battery is the primary choice for the Electric Vehicle's (EV) power supply. Energy density in lithium ion battery is very high. In a battery pack, thousands of Li ion cell are placed in series parallel combination. Some issues like overcharging and undercharging are always associated with series connected battery. To overcome this problem, each cell voltage is equalized by using a non dissipative technique. This paper describes the technique which equalizes the cell in very short time. The individual cell of a battery pack will be quickly, efficiently and simultaneously balanced by triggering the MOSFET (metal oxide semiconductor field effect transistor) associated with each cell. Using MATLAB/Simulink balancing circuit with equalization technique is modelled and outputs are produced with series connected 4 Li ion battery cells. In order to interface the developed model in real time MicroAutoBoxII is used.", "author_names": [ "Monojit Goswami", "T B Isha" ], "corpus_id": 52118231, "doc_id": "52118231", "n_citations": 1, "n_key_citations": 0, "score": 1, "title": "Individual Cell Voltage Equalizer for Lithium Ion Battery", "venue": "2018 4th International Conference on Electrical Energy Systems (ICEES)", "year": 2018 }, { "abstract": "This digest proposes an individual cell voltage equalizer using selective two current paths for series connected lithium ion battery strings. In the proposed equalizer, a central equalization converter is shared by every battery cells through the cell selection switch, instead of a dedicated charge equalizer for each cell. The central converter is utilized as a controllable current source by a modified rectifier. With this configuration, although the proposed equalizer has a central dc dc converter, individual charge equalization can be effectively achieved for the each cell in the strings. Furthermore, great size reduction and low cost can be obtained for an industrial battery equalizer. In this digest, an optimal power rating design guide is also employed to obtain a minimal balancing size while satisfying equalization requirements. A prototype for eight lithium ion battery cells is optimally designed and implemented. Experimental results verify that the proposed equalization method has good cell balancing performance.", "author_names": [ "Chol-Ho Kim", "Young-do Kim", "Gun-Woo Moon", "Hong-Sun Park" ], "corpus_id": 8888317, "doc_id": "8888317", "n_citations": 22, "n_key_citations": 1, "score": 0, "title": "Individual cell voltage equalizer using selective two current paths for series connected li ion battery strings", "venue": "2009 IEEE Energy Conversion Congress and Exposition", "year": 2009 }, { "abstract": "In lithium ion battery system for hybrid electric vehicle, charge equalizer is essential to enhance the battery life cycle and safety. However, for a large number of battery cells, a conventional equalizer has the difficulty of individual cell balancing and the implementation size problem as well as the cost. Moreover, it shows high voltage stress of electrical elements in the equalization converter due to the high voltage of battery pack. To improve these drawbacks, this paper proposes a modularized two stage charge equalizer with cell selection switches. The proposed circuit employs the two stage dc dc converter to reduce the voltage stress of equalization converter. Contrary to conventional method, the proposed equalizer can achieve the individual cell balancing only through the cell selection switches. With the two stage converter and the cell selection switches, the proposed equalizer leads to the great size reduction with lower cost which brings advancement of individual cell balancing in a large number of battery cells. In this paper, a prototype for 88 lithium ion battery cells is optimally designed and implemented. Experimental results are presented to verify that the proposed equalization method has a good cell balancing performance showing the low voltage stress and small size with the lower cost.", "author_names": [ "Chol-Ho Kim", "Moon-Young Kim", "Hong-Sun Park", "Gun-Woo Moon" ], "corpus_id": 19351188, "doc_id": "19351188", "n_citations": 170, "n_key_citations": 7, "score": 0, "title": "A Modularized Two Stage Charge Equalizer With Cell Selection Switches for Series Connected Lithium Ion Battery String in an HEV", "venue": "IEEE Transactions on Power Electronics", "year": 2012 }, { "abstract": "Lithium ion(Li ion)batteries have a higher power density and can operate at a higher state of charge(SOC)compared with the Nickel Hydrogen(NiH2)batteries commonly used on spacecraft.This reduces the launch mass of the EPS(Electrical Power System).This paper describes a Lithium ion battery cell Equalization method,which employs bi directional forward DC AC converters,plus a unique common node \"Share Bus\"to provide autonomous charge distribution,until all the cells of the battery are balanced at the same voltage.It also includes individual cell voltage monitoring,telemetry data.Multisim is used to analyses the function and performance,simulation result proves that the method is effective for Lithium ion battery equalization.", "author_names": [ "Song Din" ], "corpus_id": 113184117, "doc_id": "113184117", "n_citations": 1, "n_key_citations": 1, "score": 0, "title": "Research on Non dissipative Cell Equalizer for Space Lithium ion Battery", "venue": "", "year": 2014 }, { "abstract": "The necessity of a charge equalizer is increasing for series connected lithium ion cells in electric vehicles. It is used to enhance the battery's safety and life cycle. For these reasons, the cell voltage levels should be individually monitored and effectively balanced in the battery string. However, individual cell balancing has the problem of size as well as the control complexity for a large number of battery cells. To alleviate these drawbacks, this paper proposes an individual cell equalizer with simple structure. In the proposed equalizer, each cell has its own active clamp flyback DC DC converter. A main switch and clamp switch in the converter are shared by every separated transformer through parallel primary connection. This converter is utilized as a selectable current source by using selection switches in the primary winding of transformer. With this configuration, the simple structure and easy control can be effectively achieved for each cell even if the proposed equalizer has separated transformers as for the counts of battery cells. Furthermore, high equalization efficiency and outstanding equalization performance can be obtained for a high capacity battery. In this paper, a prototype for eight lithium ion battery cells is optimally designed and implemented. Experimental results verify that the proposed equalization method has a good cell balanced performance.", "author_names": [ "Chol-Ho Kim", "Moon-Young Kim", "Gun-Woo Moon" ], "corpus_id": 44225034, "doc_id": "44225034", "n_citations": 14, "n_key_citations": 0, "score": 0, "title": "Individual cell equalizer using active clamp flyback converter for li ion battery strings in an electric vehicle", "venue": "2012 IEEE Vehicle Power and Propulsion Conference", "year": 2012 }, { "abstract": "A fuzzy logic control battery equalizing controller (FLCBEC) is adopted to control the cell voltage balancing process for a series connected Li ion battery string. The proposed individual cell equalizer (ICE) is based on the bidirectional Cuk converter operated in the discontinuous capacitor voltage mode (DCVM) to reduce the switching loss and improve equalization efficiency. The ICE with the proposed FLCBEC can reduce the equalizing time, maintain safe operations during the charge/discharge state and increase the battery string capacity.", "author_names": [ "Yuang-Shung Lee", "Ming-Wang Cheng", "Shun-Ching Yang" ], "corpus_id": 12171558, "doc_id": "12171558", "n_citations": 8, "n_key_citations": 0, "score": 0, "title": "Fuzzy Controlled Individual Cell Equalizers for Lithium Ion Batteries", "venue": "IEICE Trans. Commun.", "year": 2008 }, { "abstract": "A systematic approach to the analysis and design of a bi directional Cuk converter for the cell voltage balancing control of a series connected lithium ion battery string is presented in this paper. The proposed individual cell equalizers (ICE) are designed to operate at discontinuous capacitor voltage mode (DCVM) to achieve the zero voltage switching (ZVS) for reducing the switching loss of the bi directional DC/DC converters. Simulation and experimental results show that the proposed battery equalization scheme can not only enhance the bi directional battery equalization performance, but also can reduce the switching loss during the equalization period. Two designed examples are demonstrated, the switch power losses are significantly reduced by 52.8% from the MOSFETs and the equalization efficiency can be improved by 68 86.9% using the proposed DCVM ZVS battery equalizer under the specified cell equalization process. The charged/discharged capacity of the lithium ion battery string is increased by using the proposed ICEs equipped in the battery string.", "author_names": [ "Yuang-Shung Lee", "Ming-Wang Cheng", "Shun-Ching Yang", "Co-Lin Hsu" ], "corpus_id": 14494731, "doc_id": "14494731", "n_citations": 51, "n_key_citations": 1, "score": 0, "title": "Individual Cell Equalization for Series Connected Lithium Ion Batteries", "venue": "IEICE Trans. Commun.", "year": 2006 }, { "abstract": "A systematic approach to reform and analyze a soft switching bidirectional dc to dc converter is proposed for cell voltage balancing control in a series connected battery string. quasi resonant converter circuits have been designed to achieve the zero current switching (ZCS) to reduce the switching loss in bidirectional battery equalizers. The results indicate that the switching loss and energy transfer efficiency can be substantially improved using the quasi resonant ZCS (QRZCS) technology in a battery charging system with an individual cell equalizer (ICE) The validity of the battery equalization is further verified using an experimental installation involving a battery string of three lithium ion cells. The simulation and experimental results show that the proposed QRZCS battery equalization schemes can achieve bidirectional battery equalization performance and reduce the MOSFET transistor switch power losses by more than 96% and increase the efficiency by around 20%~30% compared with the conventional battery equalizer during an identical equalization process", "author_names": [ "Yuang-Shung Lee", "Guo-Tian Cheng" ], "corpus_id": 45212810, "doc_id": "45212810", "n_citations": 342, "n_key_citations": 12, "score": 0, "title": "Quasi Resonant Zero Current Switching Bidirectional Converter for Battery Equalization Applications", "venue": "IEEE Transactions on Power Electronics", "year": 2006 }, { "abstract": "One of the most commonly used cell equalizers, the shunting equalizer, exhibits interactive performance with series connected energy storage cells. Experimental charging tests were carried out on series connected supercapacitors or lithium ion cells to investigate this interactive performance. This paper presents and analyzes the charging performance of series connected cells with shunting equalizers to provide a qualitative understanding of the interaction between the charge and shunt currents. The experimental results indicated that the inflection points in voltage and current profiles produced by the equalizers may be useful in detecting voltage imbalances without measuring individual cell voltages.", "author_names": [ "Masatoshi Uno" ], "corpus_id": 37207731, "doc_id": "37207731", "n_citations": 18, "n_key_citations": 0, "score": 0, "title": "Interactive charging performance of a series connected battery with shunting equalizers", "venue": "INTELEC 2009 31st International Telecommunications Energy Conference", "year": 2009 }, { "abstract": "The variations in the manufacturing process and non uniform stress during usage makes the Li ion cells in a battery pack to have difference in parameters such as internal resistance, cell capacity, self discharge rate, etc. These variations aid the battery ageing process and limit the performance of the battery pack. To achieve higher performance and uniform ageing, a smart cell equalizer is proposed in this paper. Apart from the normal cell equalizer which causes further stress to the cells, this paper proposes a smart and dynamic cell equalizer using the forward converter to assist the individual cells in the battery pack. The equalizer tries to keep SoC levels of all cells near equal levels by dynamically supporting each cell. The proposed method is validated using MATLAB/Simulink simulations. The results show that the proposed scheme is able to balance SoC in all dynamic conditions and module level SoC balancing is also achieved without using additional circuits.", "author_names": [ "C Vishnu", "Abdul Saleem" ], "corpus_id": 236188884, "doc_id": "236188884", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Smart Cell Equalizer for Lithium ion Battery Packs", "venue": "2021 International Conference on Communication, Control and Information Sciences (ICCISc)", "year": 2021 } ]
VLSI analogs of neuronal visual processing: a synthesis of form and function
[ { "abstract": "This thesis describes the development and testing of a simple visual system fabricated using complementary metal oxide semiconductor (CMOS) very large scale integration (VLSI) technology. This visual system is composed of three subsystems. A silicon retina, fabricated on a single chip, transduces light and performs signal processing in a manner similar to a simple vertebrate retina. A stereocorrespondence chip uses bilateral retinal input to estimate the location of objects in depth. A silicon optic nerve allows communication between chips by a method that preserves the idiom of action potential transmission in the nervous system. Each of these subsystems illuminates various aspects of the relationship between VLSI analogs and their neurobiological counterparts. The overall synthetic visual system demonstrates that analog VLSI can capture a significant portion of the function of neural structures at a systems level, and concomitantly, that incorporating neural architectures leads to new engineering approaches to computation in VLSI. The relationship between neural systems and VLSI is rooted in the shared limitations imposed by computing in similar physical media. The systems discussed in this text support the belief that the physical limitations imposed by the computational medium significantly affect the evolving algorithm. Since circuits are essentially physical structures, I advocate the use of analog VLSI as powerful medium of abstraction, suitable for understanding and expressing the function of real neural systems. The working chip elevates the circuit description to a kind of synthetic formalism. The behaving physical circuit provides a formal test of theories of function that can be expressed in the language of circuits.", "author_names": [ "Misha A Mahowald" ], "corpus_id": 1776032, "doc_id": "1776032", "n_citations": 313, "n_key_citations": 27, "score": 1, "title": "VLSI analogs of neuronal visual processing: a synthesis of form and function", "venue": "", "year": 1992 }, { "abstract": "We have done integration and differentiation with simple, single timeconstant circuits that had ts 1 in the denominator of their transfer functions. These systems gave an exponentially damped response to step or impulse inputs. In Chapter 8, we showed how a second order system can give rise to a sinusoidal response. In this chapter, we will discuss a simple circuit that can generate a sinusoidal response. We call this circuit the second order section; we can use it to generate any response that can be represented by two poles in the complex plane, where the two poles have both real and imaginary parts. With this circuit, we can adjust the positions of the complex conjugate poles anywhere in the plane. The second order circuit is shown in Figure 11.1; it contains two cascaded follower integrator circuits and an extra amplifier. The capacitance C is the same for both stages (C1 C2 C) and the transconductance of the two feed forward amplifiers, A1 and A2, are the same: G1 G2 G (approximately if G is defined as the average of G1 and G2, small differences will have no first order effect on the parameters of the response) We obtain an oscillatory response by adding the feedback amplifier A3. This amplifier has transconductance G3, and its output current is proportional to the difference between V2 and V3, but the sign of the feedback is positive; for small signals, I3 is equal to G3(V2 V3) If we reduce the feedback to zero by shutting off the bias current in A3, each follower integrator circuit will have the transfer function given", "author_names": [ "Carver Mead" ], "corpus_id": 5147503, "doc_id": "5147503", "n_citations": 3032, "n_key_citations": 191, "score": 0, "title": "Analog VLSI and neural systems", "venue": "", "year": 1989 }, { "abstract": "From the Publisher: Neuromorphic engineers work to improve the performance of artificial systems through the development of chips and systems that process information collectively using primarily analog circuits. This book presents the central concepts required for the creative and successful design of analog VLSI circuits. The discussion is weighted toward novel circuits that emulate natural signal processing. Unlike most circuits in commercial or industrial applications, these circuits operate mainly in the subthreshold or weak inversion region. Moreover, their functionality is not limited to linear operations, but also encompasses many interesting nonlinear operations similar to those occurring in natural systems. Topics include device physics, linear and nonlinear circuit forms, translinear circuits, photodetectors, floating gate devices, noise analysis, and process technology.", "author_names": [ "Shih-Chii Liu", "Tobi Delbruck", "Jorg Kramer", "Giacomo Indiveri", "Rodney J Douglas" ], "corpus_id": 62387800, "doc_id": "62387800", "n_citations": 275, "n_key_citations": 24, "score": 0, "title": "Analog VLSI: Circuits and Principles", "venue": "", "year": 2002 }, { "abstract": "A two dimensional object based analog VLSI model of selective attentional processing has been implemented using a standard 1.2 /spl mu/m CMOS process. This chip extends previous work modeling object based selection and scanning by incorporating the circuity and architectural changes necessary for two dimensional focal plane processing. To balance the need for closely spaced large photodetectors with the space requirements of complex in pixel processing, the chip implements a multiresolution architecture. The system has he ability to group pixels into objects; this grouping is dynamic, driven solely by the segmentation criterion at the input. In the demonstration system, image intensity has been chosen for the input saliency map and the segmentation is based on spatial lowpass filtering followed by an intensity threshold. We present experimental results.", "author_names": [ "Charles S Wilson", "Tonia G Morris", "Stephen P DeWeerth" ], "corpus_id": 10102494, "doc_id": "10102494", "n_citations": 15, "n_key_citations": 0, "score": 0, "title": "A two dimensional, object based analog VLSI visual attention system", "venue": "Proceedings 20th Anniversary Conference on Advanced Research in VLSI", "year": 1999 }, { "abstract": "1. A Neural Processor for Maze Solving. 2 Resistive Fuses: Analog Hardware for Detecting Discontinuities in Early Vision. 3 CMOS Integration of Herault Jutten Cells for Separation of Sources. 4 Circuit Models of Sensory Transduction in the Cochlea. 5 Issues in Analog VLSI and MOS Techniques for Neural Computing. 6 Design and Fabrication of VLSI Components for a General Purpose Analog Neural Computer. 7 A Chip that Focuses an Image on Itself. 8 A Foveated Retina Like Sensor Using CCD Technology. 9 Cooperative Stereo Matching Using Static and Dynamic Image Features. 10 Adaptive Retina.", "author_names": [ "Carver Mead", "Mohammed Ismail" ], "corpus_id": 58823636, "doc_id": "58823636", "n_citations": 326, "n_key_citations": 14, "score": 0, "title": "Analog VLSI Implementation of Neural Systems", "venue": "The Kluwer International Series in Engineering and Computer Science", "year": 1989 }, { "abstract": "An object based analog very large scale integration (VLSI) model of selective attentional processing has been implemented using a standard 2.0 /spl mu/m CMOS process. This chip extends previous work on modeling a saliency map based selection and scanning mechanism to incorporate the ability to group pixels into objects. This grouping, or segmentation, couples the circuitry of the object's pixels to act as a single, larger pixel. The grouping of pixels is dynamic, driven solely by the segmentation criterion at the input. In this demonstration circuit, image intensity has been chosen for the input saliency map and the segmentation is based on spatial low pass filtering followed by an intensity threshold. We present experimental results from a one dimensional implementation of the object based analog VLSI selective attention system.", "author_names": [ "Tonia G Morris", "Timothy K Horiuchi", "Stephen P DeWeerth" ], "corpus_id": 837200, "doc_id": "837200", "n_citations": 60, "n_key_citations": 1, "score": 0, "title": "Object Based Selection Within an Analog VLSI Visual Attention System", "venue": "", "year": 1998 }, { "abstract": "Most models of visual search, whether involving overt eye movements or covert shifts of attention, are based on the concept of a saliency map, that is, an explicit two dimensional map that encodes the saliency or conspicuity of objects in the visual environment. Competition among neurons in this map gives rise to a single winning location that corresponds to the next attended target. Inhibiting this location automatically allows the system to attend to the next most salient location. We describe a detailed computer implementation of such a scheme, focusing on the problem of combining information across modalities, here orientation, intensity and color information, in a purely stimulus driven manner. The model is applied to common psychophysical stimuli as well as to a very demanding visual search task. Its successful performance is used to address the extent to which the primate visual system carries out visual search via one or more such saliency maps and how this can be tested.", "author_names": [ "Laurent Itti", "Christof Koch" ], "corpus_id": 192077, "doc_id": "192077", "n_citations": 2919, "n_key_citations": 279, "score": 0, "title": "A saliency based search mechanism for overt and covert shifts of visual attention", "venue": "Vision Research", "year": 2000 }, { "abstract": "We describe a modification to our recent model of the action potential which introduces two additional equilibrium points. By using stability analysis we show that one of these equilibrium points is a saddle point from which there are two separatrices which divide the phase plane into two regions. In one region all phase paths approach a limit cycle and in the other all phase paths approach a stable equilibrium point. A consequence of this is that a short depolarizing current pulse will change an initially silent model neuron into one that fires repetitively. Addition of a third equation limits this firing to either an isolated burst or a depolarizing afterpotential. When steady depolarizing current was applied to this model it resulted in periodic bursting. The equations, which were initially developed to explain isolated triggered bursts, therefore provide one of the simplest models of the more general phenomenon of oscillatory burst discharge.", "author_names": [ "J L Hindmarsh", "Robert M Rose" ], "corpus_id": 117149, "doc_id": "117149", "n_citations": 1389, "n_key_citations": 72, "score": 0, "title": "A model of neuronal bursting using three coupled first order differential equations", "venue": "Proceedings of the Royal Society of London. Series B. Biological Sciences", "year": 1984 }, { "abstract": "Introduction to a Transient World. Fourier Kingdom. Discrete Revolution. Time Meets Frequency. Frames. Wavelet Zoom. Wavelet Bases. Wavelet Packet and Local Cosine Bases. An Approximation Tour. Estimations are Approximations. Transform Coding. Appendix A: Mathematical Complements. Appendix B: Software Toolboxes.", "author_names": [ "Stephane Mallat" ], "corpus_id": 122523543, "doc_id": "122523543", "n_citations": 17649, "n_key_citations": 1689, "score": 0, "title": "A wavelet tour of signal processing", "venue": "", "year": 1998 }, { "abstract": "After explaining the advantages expected from analog collective computation in solving perceptive tasks, this paper reviews various circuit techniques which are particularly well suited for such an approach. Several examples of working VLSI chips, some of them already used in industrial products, are then briefly presented.", "author_names": [ "Eric A Vittoz" ], "corpus_id": 60465668, "doc_id": "60465668", "n_citations": 10, "n_key_citations": 0, "score": 0, "title": "Analog VLSI for collective computation", "venue": "1998 IEEE International Conference on Electronics, Circuits and Systems. Surfing the Waves of Science and Technology (Cat. No.98EX196)", "year": 1998 } ]
Edfa fiber optik
[ { "abstract": "Penguat hybrid adalah suatu cara untuk memberikan performansi yang lebih baik karena dapat mengoptimalkan peningkatan spektrum lebar bandwidth dari sistem DWDM, mengurangi kerugian karena induksi non linearitas dan mencegah penggunaan biaya tinggi. Tugas Akhir ini menganalisis penguat optik Hybrid SOA EDFA sebagai power amplifier (Booster) inline amplifier, preamplifier dengan menggunakan sebuah pulsa soliton. Serta akan menganalisis pengaruh bitrate dan panjang link terhadap BER, Q factor. Simulasi ini dirancang dengan jumlah 16 buah kanal, panjang gelombang pada windows daerah C Band (1510nm 1560nm) pompa laser 980 nm, power input 3 dBm, bandwidth 20 Gbps dan akan disimulasikan menggunakan software OptiSystem 7.0. Dari hasil analisis yang dilakukan bahwa penguat Hybrid SOA EDFA ini memiliki korelasi terhadap kinerja sistem DWDM ini, dimana didapatkan skema preamplifier yang terbaik diantara keempat skema Hybrid SOA EDFA yang dirancang karena pada skema preamplifier nilai Q faktor bernilai paling maksimal yaitu sebesar 9.7024 atau 1.46494x10 22 pada BER dengan panjang link 50 km dan bitrate 2.5 Gbps. Sedangkan nilai Q faktor yang bernilai paling minimum yaitu sebesar 0 atau 1 untuk nilai BER yang terjadi pada skema sistem tanpa penguatan yaitu kondisi panjang link 100 km hingga 200 km dengan bitrate 2.5 Gbps, 5 Gbps, dan 10 Gbps. Sehingga Skema preamplifier sangat cocok digunakan untuk link jauh. Skema Inline Amplifier dan Booster amplifier bisa bekerja untuk link jauh namun perfomansinya buruk karena nilai Q faktor di bawah standart kelayakan. Dan skema tanpa penguatan tidak layak digunakan karena performansinya sangat buruk. Kata kunci DWDM, Hybrid, EDFA, SOA, Soliton", "author_names": [ "Arumadina Islamiq" ], "corpus_id": 115568481, "doc_id": "115568481", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Analisis Perbandingan Performansi Posisi Penguat Optik Hybrid Soa Edfa (semiconductor Optical Amplifier Erbium Doped Fiber Amplifier) Pada Sistem Dwdm (dense Wavelength Division Multiplexing) Berbasis Soliton", "venue": "", "year": 2017 }, { "abstract": "Abstrak Penguat hybrid merupakan sebuah teknologi yang menjanjikan dan memberikan performansi yang lebih baik karena dapat menangani jaringan dengan beban yang besar. Penguat hybrid Erbium Doped Fiber Amplifier (EDFA) dan Fiber Raman Amplifier (FRA) digunakan untuk mengoptimalkan peningkatan gain bandwidth dari sistem berbasis Wavelength Dense Multiplexing (WDM) Meningkatkan Gain Bandwidth penguat optik adalah cara yang paling efektif untuk pemanfaatan optimal bandwidth serat secara efisien dalam peningkatan jumlah saluran berbasis WDM. Pada penelitian ini dilakukan perbandingan sistem Dense Wavelength Division Multiplexing (DWDM) pada jarak maksimum 250 km tanpa penguat, menggunakan penguat FRA, penguat EDFA, dan menggunakan penguat Hybrid Optical Amplifier (HOA) yaitu penggabungan FRA dan EDFA. Kemudian melakukan perbandingan pada jarak berapa maksimal penguatan masih bisa dilakukan, simulasi sistem dilakukan menggunakan perangkat lunak Optisystem 7.0 dan membandingkan nilai Bit Error Rate (BER) yang paling baik yaitu BER 10 9 Hasil yang diperoleh dari serangkaian simulasi sistem dengan konfigurasi paling optimal yaitu konfigurasi HOA FRA EDFA secara parallel in line pada jarak 210 km dengan nilai Q factor terendah 6.10417 dan nilai BER sebesar 5.08 sehingga masih layak digunakan pada jarak tersebut. Kata Kunci: EDFA, FRA, HOA,DWDM, Optical amplifier. 1.1 Abstract It is a promising technology and provides better performance as it can handle a large load of network, which is very good. The Erbium Doped Fiber Amplifier (EDFA) and Fiber Raman Amplifier (FRA) amplifier are used to optimize the increase in gain bandwidth from the Wavelength Dense Multipexing (WDM) based system. Improving gain bandwidth optical amplifier is the most effective way to efficiently utilization the optimal bandwidth fibers in an increase in the number of WDM based channels. In this research conducted a comparison of Dense Wavelength Division Multiplexing (DWDM) system at a maximum distance of 250 km without amplifier, using FRA amplifier, EDFA amplifier, and use of Hybrid Optical Amplifier (HOA) amplifier of the FRA and EDFA merger. Then do a comparison of how much maximum gain can still be done, system simulation is done using the software Optisystem 7.0 and comparing the best value Bit Error Rate (BER) 109 Result obtained from a series of simulation system with the most optimal configuration of HOA FRA EDFA in parallel in line at a distance 210 km with the lowest Q factor value of 6.10417 and the BER value 5.0810 so that is still worth to use at this distances. Keyword EDFA, FRA, HOA, U DWDM, Optical amplifier.", "author_names": [ "Taufik Akbar" ], "corpus_id": 209972924, "doc_id": "209972924", "n_citations": 0, "n_key_citations": 0, "score": 1, "title": "ANALISIS PERFORMANSI BER PADA JARINGAN OPTIK DENSE WAVELENGTH DIVISION MULTIPLEXING MENGGUNAKAN PENGUAT HYBRID RAMAN EDFA", "venue": "", "year": 2019 }, { "abstract": "Solitonlar, fiber optik iletisim sistemlerinde bozulmaya ugramadan yuzlerce kilometre gidebilen ozel tip optik darbelerdir. Erbiyum Katkili Fiber Optik Yukseltecler (EDFA) ise, silika fiberlerin zayiflamasinin minimum oldugu 1550 nm dalga boyu civarinda 40 50 nm aralikta calisabilen ve ticari olarak da tercih edilen optik yukselteclerdir. Optik yukselteclerin fiber zayiflamasini dengeleyerek kayipsiz bir optik iletisim sistemini efektif olarak saglayabildikleri dusunuldugunde, soliton tabanli haberlesme sistemlerinin performansi zaman kaymasi etkileri tarafindan sinirlanir. Bu calismada, soliton tabanli bir fiber optik linkinin iletim mesafesini artirmak icin zaman kaymasinin nasil kontrol edilecegi uzerine daha once matematiksel modellemesi yapilan ve iki EDFA araliginda sistemi inceleyen [1 14]'deki calisma esas alinarak, bu sisteme pratik uygulamalardaki parametreleri iceren EDFA'larin yerlestirildigi yeni bir modelleme yapilmistir. Zaman kaymasi etkilerine karsi EDFA'larin davranislari ile kazanc ve gurultu performanslari incelenerek simulasyon yolu ile gosterilmistir. Gordon Haus ve soliton etkilesimlerinden kaynaklanan sinirlayici zaman kaymasi etkilerinin birbirleri ile dengelenmesinde [1 14]'deki yaklasima gore alinan, uygun faz farkli soliton dizilerinin, EDFA kullanilan uzun mesafe soliton sistemlerinde de kayma etkisini minimize ederek sistem performansini artirdigi sonucuna ulasilmistir.", "author_names": [ "Murat Ari" ], "corpus_id": 122844732, "doc_id": "122844732", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "ERBIYUM KATKILI FIBER OPTIK YUKSELTECLERIN (EDFA) KULLANILDIGI UZUN MESAFE SOLITON ILETISIM SISTEMLERININ OPTIMIZASYONU", "venue": "", "year": 2006 }, { "abstract": "Komunikasi optik jarak jauh menyebabkan berkurangnya daya yang diterima pada sisi penerima, hal ini disebabkan oleh adanya dispersi dan redaman lainnya. Oleh karena itu, untuk mengoptimalisasi daya yang diterima pada sisi penerima digunakan sebuah penguat agar pada sisi penerima sinyal yang dikirimkan dapat diterima dengan baik. Pada Tugas Akhir ini, akan dilakukan pemodelan dan simulasi link DWDM menggunakan software Optisystem 7.0, kemudian akan dilakukan tiga skema yang ada pada erbium doped fiber amplifier (EDFA) tanpa menggunakan Dispersion Compensation Fiber. Tiga skema EDFA yaitu, booster amplifier, In line amplifier, dan pre amplifier. EDFA dipilih pada Tugas Akhir ini karena EDFA dapat menguatkan sinyal optic tanpa mengubahnya menjadi sinyal elektrik terlebih dahulu. Pada Tugas Akhir ini, serat optik akan diatur panjangnya setiap 2 Km. Panjang link yang digunakan yaitu 72 Km, 142 Km dan 396 Km, bitrate yang digunakan yaitu 10 Gbps dan 40 Gbps, format modulasi yang digunakan yaitu NRZ dan RZ. Selanjutnya, hasil dari simulasi akan dilihat nilai dari Q faktor dan BER masing masing skema EDFA, sehingga didapatkan nilai yang terbaik dari ketiga skema EDFA yang digunakan Dari hasil analisa yang dilakukan, penguat (EDFA) memiliki korelasi terhadap kinerja sistem DWDM ini, dimana didapatkan skema booster amplifier yang terbaik diantara ketiga skema EDFA yang ada karena pada skema booster amplifier Q faktor bernilai paling maksimal yaitu sebesar 7.70079 dan BER yang bernilai paling optimal yaitu sebesar 5.58823x10 15 yang terjadi pada saat kondisi panjang link 72 Km, bitrate 10 Gbps dan line coding RZ. Kata Kunci: DWDM, EDFA, Q Faktor, BER.", "author_names": [ "Winda Friandawa" ], "corpus_id": 64245429, "doc_id": "64245429", "n_citations": 1, "n_key_citations": 0, "score": 1, "title": "ANALISA KINERJA SISTEM KOMUNIKASI OPTIK JARAK JAUH DENGAN TEKNOLOGI DWDM DAN PENGUAT (EDFA)", "venue": "", "year": 2017 }, { "abstract": "Telah dilakukan penelitian terhadap sistem komunikasi serat optik dengan penerapan erbium doped fiber amplifier EDFA didalam perangkat optical amplifier unit OAU sebagai repeater optik yang terdapat di Terminal Telkom Cerme. Sumber yang digunakan adalah laser diode yang terdapat pada Light Terminal Unit LTU dengan 2 panjang gelombang yaitu 1558.5 nm dan 1559 nm. Penelitian ini dapat dilakukan dengan meng offkan OAU di Telkom Cerme sehingga saluran transmisi dipindahkan ke Telkom Lamongan. Pengukuran ini dilakukan dengan peningkatan variasi redaman sebesar 0.5 dBm dengan menggunakan attenuator pada level daya input. Tujuan menvariasi redaman adalah untuk mengetahui besar penguatan maksimum dan minimum yang mampu dihasilkan oleh OAU tersebut. Pengukuran sistem kerja erbium doped fiber amplifier pada OAU menggunakan power meter. Pengukuran dilakukan dari 2 arah yaitu dari darat SBY LMG BU ke laut dan dari laut ke darat BU LMG SBY Ketika hasil pengukuran muncul dari power meter, kemudian dicatat dan diamati. Hasil dari gain penguatan antara level daya input dengan level daya output yang terukur dari alat power meter dibandingkan dengan hasil perhitungan. Selain itu data yang didapat saat ini dibanding juga dengan data awal pada saat tahun 1998 sehingga dapat dianalisa bagaimana sistem kerja komunikasi serat optik yang menerapkan erbium doped fiber amplifier dan kondisi juga OAU pada saat ini.", "author_names": [ "Ratna Yuliawati" ], "corpus_id": 109447077, "doc_id": "109447077", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "ANALISA KINERJA SISTEM KOMUNIKASI SERAT OPTIK DENGAN PENERAPAN ERBIUM DOPED FIBER AMPLIFIER EDFA DIDALAM PERANGKAT OPTICAL AMPLIFIER UNIT OAU", "venue": "", "year": 2009 }, { "abstract": "Telah dilakukan penelitian terhadap sistem komunikasi serat optik dengan penerapan erbium doped fiber amplifier EDFA didalam perangkat optical amplifier unit OAU sebagai repeater optik yang terdapat di Terminal Telkom Cerme. Sumber yang digunakan adalah laser diode yang terdapat pada Light Terminal Unit LTU dengan 2 panjang gelombang yaitu 1558.5 nm dan 1559 nm. Penelitian ini dapat dilakukan dengan meng offkan OAU di Telkom Cerme sehingga saluran transmisi dipindahkan ke Telkom Lamongan. Pengukuran ini dilakukan dengan peningkatan variasi redaman sebesar 0.5 dBm dengan menggunakan attenuator pada level daya input. Tujuan menvariasi redaman adalah untuk mengetahui besar penguatan maksimum dan minimum yang mampu dihasilkan oleh OAU tersebut. Pengukuran sistem kerja erbium doped fiber amplifier pada OAU menggunakan power meter. Pengukuran dilakukan dari 2 arah yaitu dari darat SBY LMG BU ke laut dan dari laut ke darat BU LMG SBY Ketika hasil pengukuran muncul dari power meter, kemudian dicatat dan diamati. Hasil dari gain penguatan antara level daya input dengan level daya output yang terukur dari alat power meter dibandingkan dengan hasil perhitungan. Selain itu data yang didapat saat ini dibanding juga dengan data awal pada saat tahun 1998 sehingga dapat dianalisa bagaimana sistem kerja komunikasi serat optik yang menerapkan erbium doped fiber amplifier dan kondisi juga OAU pada saat ini.", "author_names": [ "M Si Drs Gontjang Prajitno" ], "corpus_id": 114850170, "doc_id": "114850170", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "ANALISA KINERJA SISTEM KOMUNIKASI SERAT OPTIK DENGAN PENERAPAN ERBIUM DOPED FIBER AMPLIFIER EDFA DIDALAM PERANGKAT OPTICAL AMPLIFIER UNIT OAU", "venue": "", "year": 2009 }, { "abstract": "", "author_names": [ "A W Wilman" ], "corpus_id": 116519228, "doc_id": "116519228", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "ANALISIS PERBANDINGAN KARAKTERISTIK PENGUAT OPTIK ANTARA EDFA (Erbium Doped Fiber Amplifier) DAN ROA (Raman Optical Amplifier) PADA SISTEM KOMUNIKASI SERAT OPTIK", "venue": "", "year": 2010 }, { "abstract": "Abstract Optical fiber transmission is generally used when the channel capacity (40 Gbit s) Some of the key factors in achieving successful performance of this system include the implementation of Apol DPSK modulation technique (Alternate Polarization Return to Zero Differential Phase Shift Keying) which accurately able to power up the canal, placement of optical amplifier (repeater) is recommended to increase the transmission range (the average gain for the entire wavelength range, low noise figure) and the use of optical fiber characteristics. To complement performance optical gain is selected fiber types NZDSF (Non Zero Dispersion shifted fiber) it certainly can provide significant gains at the same time to reduce the widening pulse narrowing occurs due to chromatic dispersion and non linear fiber effects. Keywords: Apol RZDPSK, EDFA, RFA, DRA, NZDSF, PMD", "author_names": [ "Harumi Yuniarti", "S BambangCholis" ], "corpus_id": 113936588, "doc_id": "113936588", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "PENGGUNAAN NON ZERO DISPERSION SHIFTED FIBER PADA TRANSMISI KOMUNIKASI SERAT OPTIK KANAL 40 Gbit/s", "venue": "", "year": 2017 }, { "abstract": "The increasing demand for telecommunication services nowadays, particularly in optical fiber transmission, has necessitated the optimization of optical amplifying device, such as Erbium Doped Fiber Amplifier (EDFA) The latest development of technology has enabled the implementation of Raman Amplifier in the long haul system (300 800 km) and ultra long haul system >900 km) The Raman Fiber Amplifier (RFA) is recommended for several advantages, such as flat gain for all wavelengths, low noise figure, and applicable to any kind of optical fibers. It is quite possible that by using C L Band approach, much higher raise in the band Hybrid EDFA/RFA's amplification factor can be achieved. Keywords Optical Amplifier, EDFA, Raman Fiber Amplifier (RFA) Hybrid amplifier, Noise Figure, Gain, Optical Communication.", "author_names": [ "Harumi Yuniarti", "Bambang Cholis Su'udi" ], "corpus_id": 112828466, "doc_id": "112828466", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "HYBRID EDFA RFA (GABUNGAN PENGUAT OPTIK PADA TRANSMISI SERAT OPTIK)", "venue": "", "year": 2013 }, { "abstract": "", "author_names": [ "Sholeh Hadi Pramono", "Ary Syahriar", "Sar Sardy" ], "corpus_id": 116366806, "doc_id": "116366806", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Analisis Kinerja Sistem Ko1viuniicasi Serat Optik Dengan Penerapan Erbium Doped Fiber Amplifier (EDFA)", "venue": "", "year": 2004 } ]
indole schiff base
[ { "abstract": "Objective: A set of different nanocomposite hybrid materials of Sr0.5 Y0.1 Cu0.3 has been synthesized through co precipitation synthetic method using Cetyl Trimethyl Ammonium Bromide (CTAB) and Tri ethyl amine (TEA) as surfactants. The different derivatives of indole Schiff base compounds (5a 5e) were doped to the nanocomposites in molar ratio of 1 2% The entire hybrid material isolated by forming gelatinous network and calcinated. The hybrid nanomaterials were investigated for their semiconductor and dielectric properties. Method: Nanocompositional hybrid materials were prepared by co precipitation method with the composition of Sr0.5 Y0.1 Cu0.3 with doping of indole Schiff base derivative (ISB) of molar ratio 1 2% and the reduction is done using surface reduction methods gelated precipitate of hybrid nanomaterials were calcinated, characterized by XRD, FT IR, UV Vis and SEM spectroscopic techniques. The semiconductor and dielectric properties of pelletalized samples were measured with respect to various temperatures and frequency. The precursor material used for the synthesis is strontium carbonate, yttrium oxide and cuprous chloride which are reduced in presence of CTAB and urea. After isolating the hybrid materials ISB derivatives (5a 5e) were doped and the aqueous mixture of bluish reddish precipitate was filtered, washed with ethyl alcohol and octanol mixture to remove any impurities present with the precipitate, dried at 50 120 degC, heat treated at 650 750 degC and obtained pure nanocomposite. Findings: Initial spectroscopic studies showed that grain size of copper was 20 30 nm in diameter. XRD pattern demonstrated the formation of trigonal copper and dopant addition considerably affects the crystal structure. The dielectric constant were measured and compared with undoped hybrid material. The band alignment and band gap obtained from the ISB material was further felicitating the fissile ejection of the electrons and ensuring the semiconductor properties of the materials.", "author_names": [ "Vinayak Adimule", "P Vageesha", "Gangadhar B Bagihalli", "Debdas Bowmik", "H J Adarsha" ], "corpus_id": 149799981, "doc_id": "149799981", "n_citations": 1, "n_key_citations": 0, "score": 1, "title": "Synthesis, Characterization of Hybrid Nanomaterials of Strontium, Yttrium, Copper Doped with Indole Schiff Base Derivatives Possessing Dielectric and Semiconductor Properties", "venue": "", "year": 2019 }, { "abstract": "Breast cancer is the most frequently diagnosed cancer among women worldwide. Recently, increasing attention has been paid to the anticancer effects of transition metal complexes of indole Schiff bases. b diiminato ManganeseIII complex has shown promising cell cycle arrest and apoptosis induction against MCF 7 and MDA MB 231 breast cancer cells. In this study, time and dose dependent inhibitory activity were evaluated using MTT assay after 48 h and 72 h exposure time. In addition, median effect analysis was conducted according to Chou Talalay method to investigate whether MnIII complex has synergistic effect in combination with chemotherapeutic drugs on inhibiting breast cancer cell growth. The molecular mechanisms underlying its potent antiproliferative effect was determined through bioluminescent caspase 3/7, 8 and 9 activity assays and quantitative expression analysis of cell cycle and apoptosis related genes. Furthermore, safety evaluation of MnIII complex was assessed through the acute oral toxicity test in in vivo model. The MTT assay results revealed that it potently reduced the viability of MCF 7 (IC50 of 0.63 0.07 ug/mL for 48 h and 0.39 0.08 ug/mL for 72 h) and MDA MB 231 (1.17 0.06 ug/mL for 48 h, 1.03 0.15 ug/mL for 72 h) cells in dose and time dependent manner. Combination treatment also enhanced the cytotoxic effects of doxorubicin but not tamoxifen on inhibiting breast cancer cell growth. The involvement of intrinsic and extrinsic pathway in apoptosis induction was exhibited through the increased activity of caspase 9 and caspase 8, respectively, leading to enhanced downstream executioner caspase 3/7 activity in treated MCF 7 and MDA MB 231 cells. In addition, gene expression analysis revealed that MnIII complex exerts its antiproliferative effect via up and down regulation of p21 and cyclin D1, respectively, along with increased expression of Bax/Bcl 2 ratio, TNF a, initiator caspase 8 and 10 and effector caspase 3 in MCF 7 and MDA MB 231 cells. However, the results did not show increased caspase 8 activity in treated MCF 7 cells. Furthermore, in vivo acute oral toxicity test revealed no signs of toxicity and mortality in treated animal models compared to the control group. Collectively, the promising inhibitory effect and molecular and mechanistic evidence of antiproliferative activity of MnIII complex and its safety characterization have demonstrated that it may have therapeutic value in breast cancer treatment worthy of further investigation and development.", "author_names": [ "Reyhaneh Farghadani", "Maryam Seifaddinipour", "Jayakumar Rajarajeswaran", "Mahmood Ameen Abdulla", "Najihah Mohd Hashim", "Si Lay Khaing", "Nur'ain Salehen" ], "corpus_id": 204242165, "doc_id": "204242165", "n_citations": 7, "n_key_citations": 0, "score": 0, "title": "In vivo acute toxicity evaluation and in vitro molecular mechanism study of antiproliferative activity of a novel indole Schiff base b diiminato manganeseIII complex in hormone dependent and triple negative breast cancer cells", "venue": "PeerJ", "year": 2019 }, { "abstract": "Two novel fluorescence probes based on conjugated Schiff base for the detection of Zn(2+ were developed. Corresponding molecular geometries, orbital energies, electron contributions and absorption properties of the fluorescence probes were calculated at B3LYP/6 31G( by density functional theory. The fluorescence properties of the probes were investigated by UV vis and fluorescence spectrometer. Results indicate that the probes exhibit excellent sensitivity and selectivity for Zn(2+ compared with metal ions examined. For example, the enhancement efficiency of the compound 2 for Zn(2+ is up to 846% The detection limit of the sensor toward Zn(2+ could low to 1.0x10( 7)M. Moreover, mechanisms for the high selectivity and sensitivity of the probes to Zn(2+ were studied.", "author_names": [ "Ting Xu", "Hongdong Duan", "Xingjian Wang", "Xia Meng", "Juan Bu" ], "corpus_id": 41677559, "doc_id": "41677559", "n_citations": 17, "n_key_citations": 0, "score": 0, "title": "Fluorescence sensors for Zn(2+ based on conjugated indole Schiff base.", "venue": "Spectrochimica acta. Part A, Molecular and biomolecular spectroscopy", "year": 2015 }, { "abstract": "Two new conjugated Schiff bases were prepared by condensation reactions between indole derivatives and o phenylenediamine, respectively. The structures of the synthetic compounds were characterized by IR, 1H NMR, and elemental analysis. And the melting point determination revealed that both compounds have good thermal stability. Their fluorescence measurements indicated that both compounds in solution have excellent performance on fluorescence emission, and the intensity of fluorescence emissions was quenched sharply by adding copper (II) nitrate. And the spectral changes were examined upon addition of various metal ions, such as Cu2+ Cd2+ Co2+ Ni2+ and Zn2+ The results indicated that the fluorescence intensity of two compounds quenched dramatically, and the quenching efficiency of Cu2+ was the highest.", "author_names": [ "Juan Bu", "Hongdong Duan", "Xingjian Wang" ], "corpus_id": 96987320, "doc_id": "96987320", "n_citations": 12, "n_key_citations": 0, "score": 0, "title": "Fluorescence sensors for Cu2+ based on conjugated indole Schiff base", "venue": "Research on Chemical Intermediates", "year": 2013 }, { "abstract": "Abstract An efficient triazole Schiff base fluorescent Al3+ probe 4 (2 hydroxybenzylidene) amino) 5 (1H indol 3 yl) 4H 1,2,4 triazole 3 thiol (H2L) was designed and synthesized under microwave irradiation. The structure of fluorescent probe H2L was characterized by spectral data and elemental analysis. The probe H2L displays excellent chemo selectivity towards Al3+ over other metal ions, which can directly inspect with the naked eye under UV lamp. The limit of detection of the probe H2L for Al3+ could reach 29.9 nM. The binding stoichiometry between H2L and Al3+ was determined from the Job's plot to be 1:1, the association constant (Ka) of 9.31 x 104 M 1, and further verified with fluorescence titration, ESI MS and 1H NMR study.", "author_names": [ "Zhichuan Shi", "Zhigang Zhao" ], "corpus_id": 202878647, "doc_id": "202878647", "n_citations": 7, "n_key_citations": 0, "score": 0, "title": "Microwave irradiation synthesis of novel indole triazole Schiff base fluorescent probe for Al3+ ion", "venue": "", "year": 2019 }, { "abstract": "Schiff base complexes of N 2 O 2 type derived from indole 3 carboxaldehyde(ind) and amino acids such as glycine(gly) L valine(val) and L tryptophan(try) with Cu(II) Ni(II) Zn(II) Co(II) and Mn(II) were synthesized and characterized by physical and analytical techniques. The complexes are of non electrolytic nature having 1:2 (metal:ligand) ratio. The geometry of the complexes was predicted with the combined data obtained from electronic spectral and magnetic susceptibility measurements. The mode of coordination of the Schiff base ligands with the metal ions is through the carboxylate oxygen and the azomethine nitrogen as confirmed by FT IR spectra. The cyclic voltammogram of the Cu(II)complexes exhibit Cu(II)(r)Cu(I) peak in the cathodic side and the corresponding quasi reversible type peak is obtained in the anodic side. The thermal behavior (TG/DTA) of the synthesized complexes shows that the complexes loose water molecules in the first step followed by decomposition of the ligand, which follows first order kinetics. The SEM analysis reveals the particle size and the morphology of the complexes. The X band EPR spectrum of Cu indgly complex in DMSO solution at 300 and 77 K was recorded. The calculated g tensor values agree with the geometry proposed by the electronic absorption spectral measurements. The M indval complexes were studied for their cleaving ability with calf thymus DNA by gel electrophoresis method. The antibacterial activity of the Schiff base metal complexes was tested in vitro against some common bacteria using ampicillin as control. Antifungal activities were determined using nystatin as control. The results are compared with control; most of the metal chelates exhibited higher biological activities.", "author_names": [ "Rusal Raj Francis", "Mallanpillai Anathakrishnan Neelakantan" ], "corpus_id": 204115870, "doc_id": "204115870", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Synthesis, Structural Characterization and Biological Studies of Schiff Base Complexes Bearing Indole Moiety", "venue": "", "year": 2019 }, { "abstract": "The invention relates to a nitrobenzaldehyde indole schiff base, a preparation method and application thereof. The schiff base has a structural formula shown as (I) (II) or (III) and a substituent R is NO2. The preparation method comprises steps of: placing a solvent of ethanol in a reactor and adding nitrobenzaldehyde; adding amino indole compound with a same molar amount as the nitrobenzaldehyde; dissolving completely and controlling a temperature at 60 80 DEG C, heating for reflux and reacting for 4 8 h; and evaporating solution in the reactor to obtain a crude product and recrystallizing to obtain a finished product. The product of the invention can effectively inhibit propagation of a plurality of tumor cell strains and has good water solubility.", "author_names": [ "" ], "corpus_id": 104030571, "doc_id": "104030571", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Nitrobenzaldehyde indole schiff base, preparation method and application thereof", "venue": "", "year": 2011 }, { "abstract": "3 (2 aminophenyl)imino] 1,3 dihydro 2H indol 2one, (Lo) 1,3 phenylenediazanylylidene di (1,3 dihydro 2Hindol 2 one) (Lm) and 1,4 phenylenediazanylylidene di(1,3dihydro 2H indol 2 one) (Lp) were synthesized by the reaction of 1H indole 2,3 dione with benzene 1,2 diamine, benzene 1,3diamine and benzene 1,4 diamine respectively. The reaction of Lo, Lm and Lp with Co(II) and Ni(II) halides gave the corresponding coordination complexes which were characterized by elemental analysis, molar conductance, infrared, GC MS and electronic spectral studies. Docking of the 1Hindole 2,3 diones toward the binding sites of penicillin binding protein and DNA gyrase showed they interacted favourably with the test antibacterial targets at Gs range of 2.51 to 5.48 kcal/mol. In accordance to literature report, coordination of cobalt and nickel to the ligands yielded metal complexes which exhibited improved interaction with the protein targets (at Gs range of 8.70 to 10.20 kcal/mol) In vitro antimicrobial studies against some microorganisms showed that some of the compounds were active against few Gram negative and Gram positive bacteria. The Lo, Lm and Lp had no activity against any of the test microorganisms but the Co(II) and Ni(II) complexes, showed antibacterial activity. The [Co(Lo)2] and [Ni(Lo)2] complexes generated the least antibacterial response. [Co(Lo)2] was ineffective against E. coli 6 and Staphylococcus sciuri subsp sciuri while Bacillus subtilis was resistant to [Ni(Lo)2] which moderately inhibited E. coli 14 (7 mm) Both compounds indicated zero activity against Pseudomonas aeruginosa. The complex that evoked the highest bactericidal activity were [CoLm]Cl2 and [NiLp]Cl2. The antibiogram activity of [CoLm]Cl2 was found between 20 and 30 mm with E. coli 6 displaying greater sensitivity (30 mm) and S. sciuri the least (20 mm) The activity of [NiLp]Cl2 complex indicate that the activity spectrum of the organisms occurred within 29 and 45 mm range; the least Received January 23, 2019 Accepted April 24, 2019 Released April 30, 2019", "author_names": [ "Helen O Echekwube", "Pius Onyeoziri Ukoha", "Oguejiofo Theophilus Ujam", "Charles O Nwuche", "Jonnie N Asegbeloyin", "Akachukwu Ibezim" ], "corpus_id": 181394219, "doc_id": "181394219", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Synthesis and in silico investigation of Schiff base derivatives of 1H indole 2,3 diones and their Co(II) and Ni(II) complexes as antimicrobial agents", "venue": "", "year": 2019 }, { "abstract": "Abstract This research work presents the structural features and biological activities of a novel series of Mn/Fe/Co/Ni/Cu/Zn(II) (indal L his) complexes obtained from indole 3 carboxaldehyde (indal) and L histidine (L his) The prepared compounds were characterized by elemental analysis, molar conductivity, magnetic, IR, UV vis, 1H NMR, mass and ESR spectroscopies, powder XRD and TGA studies. Electronic spectra and magnetic moment data suggest an octahedral geometry for [Mn(II) (indal L his)2(H2O)2] and [Fe(II) (indal L his)2(H2O)2] tetrahedral geometry for [Co(II) (indal L his)2] and [Zn(II) (indal L his)2] and square planar geometry were assigned for [Ni(II) (indal L his)2] and [Cu(II) (indal L his)2] The photocatalytic efficiency was explored for the synthesized compounds. In vitro antimicrobial activity of synthesized compounds was analyzed against some selected Gram positive and Gram negative bacterial and fungal species by disc diffusion technique. The compounds have shown to reveal excellent antibacterial and antifungal activity. Graphical Abstract", "author_names": [ "R Reshma", "Raphael Selwin Joseyphus", "Arish Dasan", "Liji John" ], "corpus_id": 213511841, "doc_id": "213511841", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Synthesis and spectral characterization of metal complexes of Schiff base derived from indole 3 carboxaldehyde and L histidine as potent biocides", "venue": "Journal of Coordination Chemistry", "year": 2019 }, { "abstract": "Two Schiff base derivatives (S1 and S2) containing triphenylamine and indole fluorophores groups have been synthesized via a one pot reaction and utilized as fluorescence turn off sensors towards Fe3+ ions in THF. S1 and S2 demonstrated fluorescence turn off sensing towards Fe3+ ions, via photoinduced electron transfer (PET) The 2 1 stoichiometries of the sensor complexes [S1, S2] Fe3+ were calculated from Job plots based on UV vis absorption titrations. The detection limits (LODs) of [S1, S2] Fe3+ sensor responses were calculated by their standard deviation, linear fitting and from their fluorescence binding isotherms. More importantly, [S1, S2] Fe3+ sensors were found to be active in aqueous media within a wide range of pH values. In addition, biological imaging and membrane permeability demonstrated that S1 could act as a turn off fluorescence chemosensor for Fe3+ in living cells.", "author_names": [ "Chengqiang Pan", "Wang Kai", "Shaomin Ji", "Huaqian Wang", "Li Zongzhi", "Huahong He", "Yanping Huo" ], "corpus_id": 103515994, "doc_id": "103515994", "n_citations": 22, "n_key_citations": 0, "score": 0, "title": "Schiff base derived Fe3+ selective fluorescence turn off chemsensors based on triphenylamine and indole: synthesis, properties and application in living cells", "venue": "", "year": 2017 } ]
Making nonmagnetic semiconductors ferromagnetic,
[ { "abstract": "REVIEW Semiconductor devices generally take advantage of the charge of electrons, whereas magnetic materials are used for recording information involving electron spin. To make use of both charge and spin of electrons in semiconductors, a high concentration of magnetic elements can be introduced in nonmagnetic III V semiconductors currently in use for devices. Low solubility of magnetic elements was overcome by low temperature nonequilibrium molecular beam epitaxial growth, and ferromagnetic (Ga,Mn)As was realized. Magnetotransport measurements revealed that the magnetic transition temperature can be as high as 110 kelvin. The origin of the ferromagnetic interaction is discussed. Multilayer heterostructures including resonant tunneling diodes (RTDs) have also successfully been fabricated. The magnetic coupling between two ferromagnetic (Ga,Mn)As films separated by a nonmagnetic layer indicated the critical role of the holes in the magnetic coupling. The magnetic coupling in all semiconductor ferromagnetic/nonmagnetic layered structures, together with the possibility of spin filtering in RTDs, shows the potential of the present material system for exploring new physics and for developing new functionality toward future electronics.", "author_names": [ "" ], "corpus_id": 13501790, "doc_id": "13501790", "n_citations": 3958, "n_key_citations": 38, "score": 1, "title": "Making nonmagnetic semiconductors ferromagnetic", "venue": "Science", "year": 1998 }, { "abstract": "clicking here colleagues, clients, or customers by you can order high quality copies for your If you wish to distribute this article to others here following the guidelines can be obtained by Permission to republish or repurpose articles or portions of articles (this information is current as of May 6, 2010 The following resources related to this article are available online at www.sciencemag.org http:/www.sciencemag.org/cgi/content/full/281/5379/951 version of this article at: including high resolution figures, can be found in the online Updated information and services, http:/www.sciencemag.org/cgi/content/full/281/5379/951#otherarticles 2 of which can be accessed for free: cites 25 articles This article 2070 article(s) on the ISI Web of Science. cited by This article has been http:/www.sciencemag.org/cgi/content/full/281/5379/951#otherarticles 9 articles hosted by HighWire Press; see: cited by This article has been http:/www.sciencemag.org/cgi/collection/mat_sci Materials Science subject collections This article appears in the following", "author_names": [ "Hideo Ohno" ], "corpus_id": 84178389, "doc_id": "84178389", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Making Nonmagnetic Semiconductors Ferromagnetic H", "venue": "", "year": 2010 }, { "abstract": "Proximity effects in two dimensional (2D) van der Waals heterostructures offer controllable ways to tailor the electronic band structure of adjacent materials. Exchange proximity in particular is important for making materials magnetic without hosting magnetic ions. Such synthetic magnets could be used for studying magnetotransport in high mobility 2D materials, or magneto optics in highly absorptive nominally nonmagnetic semiconductors. Using first principles calculations, we show that the proximity exchange in monolayer MoSe$_2$ and WSe$_2$ due to ferromagnetic monolayer CrI$_3$ can be tuned (even qualitatively) by twisting and gating. Remarkably, the proximity exchange remains the same when using antiferromagnetic CrI$_3$ bilayer, paving the way for optical and electrical detection of layered antiferromagnets. Interestingly, the proximity exchange is opposite to the exchange of the adjacent antiferromagnetic layer. Finally, we show that the exchange proximity is confined to the layer adjacent to CrI$_3$ and that adding a separating hBN barrier drastically reduces the proximity effect. We complement our it ab initio results with tight binding modeling and solve the Bethe Salpeter equation to provide experimentally verifiable optical signatures (in the exciton spectra) of the proximity exchange effects.", "author_names": [ "Klaus Zollner", "Paulo E Faria Junior", "Jaroslav Fabian" ], "corpus_id": 189762504, "doc_id": "189762504", "n_citations": 60, "n_key_citations": 0, "score": 0, "title": "Proximity exchange effects in MoSe2 and WSe2 heterostructures with CrI3 Twist angle, layer, and gate dependence", "venue": "Physical Review B", "year": 2019 }, { "abstract": "We study the photoinduced ferromagnetism in the dilute magnetic semiconductors by solving a Hamiltonian model that consists of localized magnetic moments interacting with the photoexcited itinerant carriers. The spin states of the itinerant carriers are split due to the interaction with the localized magnetic moments, which are assumed to be in thermal equilibrium in the local magnetic field due to the carriers. The time dependence of the light matter interaction term is eliminated by a unitary transformation and the resulting Hamiltonian is solved by making a Bogoliubov Valatin transformation or by a variational approach using a Bardeen Cooper Schrieffer type wave function. Without incident light, there are no carriers present to mediate magnetic interaction between the localized spins, so that the system is nonmagnetic. When light is present, the photoexcited carriers mediate a ferromagnetic interaction between the localized moments resulting in a ferromagnetic state, with a transition to a paramagnetic state as temperature is increased beyond {T}_{c} The magnitude of {T}_{c} is determined by the parameters of the system such as the strength of the light matter coupling, the frequency of light, interaction strength of the carriers with the localized moments, etc. Even for a sub band gap light frequency, there are induced carriers, primarily due to the Rabi oscillations, leading to a small but nonzero {T}_{c} We find that for typical parameters, {T}_{c} is about a fraction of a Kelvin or so, which is sizable. In systems which are already ferromagnetic such as GaAs(Mn) the incident light would enhance the {T}_{c} by this amount, an effect which has been recently observed.", "author_names": [ "Subodha Kumar Mishra", "Gouri S Tripathi", "Sashi Satpathy" ], "corpus_id": 121155652, "doc_id": "121155652", "n_citations": 11, "n_key_citations": 0, "score": 0, "title": "Theory of photoinduced ferromagnetism in dilute magnetic semiconductors", "venue": "", "year": 2008 }, { "abstract": "Abstract We present two approaches to integrate magnetic materials with III V semiconductors. One is epitaxial ferromagnetic metallic films and heterostructures on GaAs (0 0 1) substrates. Although crystal structure, lattice constant, chemical bonding and other properties are dissimilar, ferromagnetic hexagonal MnAs thin films and MnAs/NiAs ferromagnet/nonmagnet heterostructures (HSs) are grown on GaAs by molecular beam epitaxy (MBE) Multi stepped magnetic hysteresis are controllably realized in MnAs/NiAs HSs, making this material promising for the application to multi level nonvolatile recording on semiconductors. The other approach is to prepare a new class of GaAs based magnetic semiconductor, GaMnAs, by low temperature molecular beam epitaxy (LT MBE) on GaAs (0 0 1) New III V based superlattices consisting of ferromagnetic semiconductor GaMnAs and nonmagnetic semiconductor AlAs are also successfully grown. Structural and magnetic properties of these new heterostructures are presented.", "author_names": [ "Masaaki Tanaka" ], "corpus_id": 119682820, "doc_id": "119682820", "n_citations": 33, "n_key_citations": 0, "score": 0, "title": "Epitaxial ferromagnetic thin films and heterostructures of Mn based metallic and semiconducting compounds on GaAs", "venue": "", "year": 1997 }, { "abstract": "The electronic and magnetic properties of ZrS2 nanoribbons (NRs) are investigated based on first principles calculations. It is found that the ZrS2 NRs with armchair edges are all indirect band gap semiconductors without magnetism, and the band gap exhibits odd even oscillation behavior with the increase of the ribbon width. For the NRs with zigzag edges, those with both edges S terminated are nonmagnetic direct band gap semiconductors, and the gap decreases monotonically as a function of the ribbon width. However, the NRs with one edge S terminated and the other edge Zr terminated are ferromagnetic half metals, while those with both edges Zr terminated tend to be ferromagnetic half metals when the width N 9. The magnetism of both systems mainly originates from the unsaturated edge Zr atoms. Depending on the different edge configurations and ribbon widths, the ZrS2 NRs exhibit versatile electronic and magnetic properties, making them promising candidates for applications in electronics and spintronics.", "author_names": [ "Hui Lv", "Wensheng Lu", "Junguo Li", "R C Xiao", "Mengying Wei", "P Tong", "Xiaolian Zhu", "Ya-bing Sun" ], "corpus_id": 103679628, "doc_id": "103679628", "n_citations": 5, "n_key_citations": 0, "score": 0, "title": "Edge controlled half metallic ferromagnetism and direct gap semiconductivity in ZrS2 nanoribbons", "venue": "", "year": 2017 }, { "abstract": "The electronic and magnetic properties of ZrS2 nanoribbons (NRs) are investigated based on the first principles calculations. It is found that the ZrS2 NRs with armchair edges are all indirect band gap semiconductors without magnetism and the band gap exhibits odd even oscillation behavior with the increase of the ribbon width. For the NRs with zigzag edges, those with both edges S terminated are nonmagnetic direct band gap semiconductors and the gap decreases monotonically as a function of the ribbon width. However, the NRs with one edge S terminated and the other edge Zr terminated are ferromagnetic half metal, while those with both edges Zr terminated tend to be ferromagnetic half metal when the width $N\\geq9$ The magnetism of both systems mainly originates from the unsaturated edge Zr atoms. Depending on the different edge configurations and ribbon widths, the ZrS2 NRs exhibit versatile electronic and magnetic properties, making them promising candidates for the applications of electronics and spintronics.", "author_names": [ "Hongying Lv", "W -J Lu", "J Y Li", "R C Xiao", "Mengying Wei", "P Tong", "X B Zhu", "Ya Ping Sun" ], "corpus_id": 119088220, "doc_id": "119088220", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Edge controlled half metallic ferromagnetism and direct gap in ZrS2 nanoribbons", "venue": "", "year": 2017 }, { "abstract": "Abstract We have created a new class of epitaxial magnetic multilayers, consisting of ferromagnetic MnAs and nonmagnetic NiAs, on (001)GaAs substrates by molecular beam epitaxy. It was found that the growth plane of MnAs and NiAs is the 1 100) of hexagonal crystal structure, and that the easy axis of magnetization of MnAs is in plane, along the 1 1 20] axis, which is parallel to the [110] of GaAs. Multi stepped magnetic hysteresis are controllably realized, making this material promising for the application to multi level non volatile recording on semiconductors.", "author_names": [ "Masaaki Tanaka", "James P Harbison", "G M Rothberg" ], "corpus_id": 120124621, "doc_id": "120124621", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "MnAs/NiAs magnetic multilayers on GaAs grown by molecular beam epitaxy", "venue": "", "year": 1996 }, { "abstract": "Abstract In this work, we analyze the nonmagnetic random disorder leading to a formation of ferromagnetic clusters in diluted magnetic semiconductors. The nonmagnetic random disorder arises from randomness in the host lattice. Including the disorder to the Kondo lattice model with random distribution of magnetic dopants, the ferromagnetic paramagnetic transition in the system is investigated in the framework of dynamical mean field theory. At a certain low temperature one finds a fraction of ferromagnetic sites transiting to the paramagnetic state. Enlarging the nonmagnetic random disorder strength, the paramagnetic regimes expand resulting in the formation of the ferromagnetic clusters.", "author_names": [ "Dinh-Hoi Bui", "Van-Nham Phan" ], "corpus_id": 126404043, "doc_id": "126404043", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Ferromagnetic clusters induced by a nonmagnetic random disorder in diluted magnetic semiconductors", "venue": "", "year": 2016 }, { "abstract": "", "author_names": [ "Srijan Kumar Saha", "Piotr Ku 'swik", "Pedro Lana Gastelois", "Marek Przybylski", "Valeri S Stepanyuk", "J urgen Kirschner" ], "corpus_id": 101914976, "doc_id": "101914976", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Making Nonmagnetic Palladium Ferromagnetic by Antiferromagnetic CoO", "venue": "", "year": 2014 } ]
Photoluminescent semiconductor nanocrystals for fingerprint detection
[ { "abstract": "The concept of utilizing photoluminescent semiconductor nanocrystals for latent fingerprint detection, especially in concert with phase resolved imaging for background fluorescence suppression, is reduced to practice with CdS nanocrystals that are capped with dioctyl sulfosuccinate. The nanocrystals are dissolved in heptane or hexane and are applied in much the same way as staining with fluorescent dye, on articles that have been pre fumed with cyanoacrylate ester and also on the sticky side of electrical tape without pre fuming. Since CdS can form a photoluminescent nanocomposite with dendrimers, a feasibility examination of dendrimer tagging of fingerprints has also been conducted.", "author_names": [ "E Roland Menzel", "Steven M Savoy", "Sydney J Ulvick", "Kwan H Cheng", "Russell H Murdock", "Mark R Sudduth" ], "corpus_id": 12707839, "doc_id": "12707839", "n_citations": 69, "n_key_citations": 1, "score": 1, "title": "Photoluminescent semiconductor nanocrystals for fingerprint detection.", "venue": "Journal of forensic sciences", "year": 2000 }, { "abstract": "CdS/dendrimer nanocomposites with a range of concentrations of the photoluminescent semiconductor nanocluster and the dendrimer are prepared in methanol and 1:9 methanol:water solutions. The solutions are utilized for detection of cyanoacrylate ester fumed and unfumed fingerprints on polyethylene and aluminum foil.", "author_names": [ "E Roland Menzel", "Masahisa Takatsu", "Russell H Murdock", "Kimberly K Bouldin", "Kwan H Cheng" ], "corpus_id": 37741136, "doc_id": "37741136", "n_citations": 91, "n_key_citations": 2, "score": 0, "title": "Photoluminescent CdS/dendrimer nanocomposites for fingerprint detection.", "venue": "Journal of forensic sciences", "year": 2000 }, { "abstract": "Uniform and well dispersed photoluminescent semiconductor CdSe (Cadmium selenium) QDs (Quantum dots) were in situ prepared by taking G4.0 NH2 PAMAM(polyamidoamine) dendrimers as inner templates in water and methanol respectively. The prepared solutions containing photoluminescent semiconductor CdSe QDs were utilized for detection of unfumed oil fingerprints on tinfoil. The results show that unfumed latent fingerprints treated with prepared CdSe/PAMAM nanocomposites both displayed yellow emission and the latent fingerprints were detected clearly, while treated with CdSe/PAMAM composites in water manifested stronger emission compared with those treated with CdSe/PAMAM composites in methanol; Also, the veins of fingerprints treated with CdSe/PAMAM nanocomposites in methanol became slim because of the dissolution of methanol to oil components in fingerprints.", "author_names": [ "Yu-juan Jin", "Yunjun Luo", "Yuan Feng Wang", "Hui Sun", "Hao Zhang", "Di Jin" ], "corpus_id": 97856310, "doc_id": "97856310", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Effect of Solvent to Fingerprint Detection by Photoluminescent CdSe/PAMAM Nanocomposites", "venue": "", "year": 2013 }, { "abstract": "Fluorescent sensors benefit from high signal to noise and multiple measurement modalities, enabling a multitude of applications and flexibility of design. Semiconductor nanocrystal quantum dots (QDs) are excellent fluorophores for sensors because of their extraordinary optical properties. They have high thermal and photochemical stability compared to organic dyes or fluorescent proteins and are extremely bright due to their large molar cross sections. In contrast to organic dyes, QD emission profiles are symmetric, with relatively narrow bandwidths. In addition, the size tunability of their emission color, which is a result of quantum confinement, make QDs exceptional emitters with high color purity from the ultra violet to near infrared wavelength range. The role of QDs in sensors ranges from simple fluorescent tags, as used in immunoassays, to intrinsic sensors that utilize the inherent photophysical response of QDs to fluctuations in temperature, electric field, or ion concentration. In more complex configurations, QDs and biomolecular recognition moieties like antibodies are combined with a third component to modulate the optical signal via energy transfer. QDs can act as donors, acceptors, or both in energy transfer based sensors using Forster resonance energy transfer (FRET) nanometal surface energy transfer (NSET) or charge or electron transfer. The changes in both spectral response and photoluminescent lifetimes have been successfully harnessed to produce sensitive sensors and multiplexed devices. While technical challenges related to biofunctionalization and the high cost of laboratory grade fluorimeters have thus far prevented broad implementation of QD based sensing in clinical or commercial settings, improvements in bioconjugation methods and detection schemes, including using simple consumer devices like cell phone cameras, are lowering the barrier to broad use of more sensitive QD based devices.", "author_names": [ "Margaret Chern", "Joshua C Kays", "Shashi Bhuckory", "Allison M Dennis" ], "corpus_id": 54475913, "doc_id": "54475913", "n_citations": 27, "n_key_citations": 0, "score": 0, "title": "Sensing with photoluminescent semiconductor quantum dots.", "venue": "Methods and applications in fluorescence", "year": 2019 }, { "abstract": "Uniform and well dispersed photoluminescent semiconductor CdS (cadmium sulfide) QDs (quantum dots) were in situ prepared inside Generation 4.0 NH(2) PAMAM (polyamidoamine) dendrimers in methanol, methanol and water mixed solutions of volume ratio 1:9, respectively. The prepared solutions containing photoluminescent semiconductor CdS QDs were utilized for detection of cyanoacrylate ester fumed fingerprints on tinfoil. The results show that fumed latent fingerprints treated with prepared CdS/PAMAM nanocomposites in methanol, 1:9 methanol:water mixed solutions emit pale yellow green and orange luminescence respectively under ultraviolet excitation of 365 nm from an UV LED. Fumed fingerprints were successfully detected with good resolving rate and the mechanism was studied in detail.", "author_names": [ "Yu-juan Jin", "Yunjun Luo", "Guoping Li", "Jie Li", "Yuan-Feng Wang", "Rui-qin Yang", "Wenting Lu" ], "corpus_id": 20733455, "doc_id": "20733455", "n_citations": 71, "n_key_citations": 1, "score": 0, "title": "Application of photoluminescent CdS/PAMAM nanocomposites in fingerprint detection.", "venue": "Forensic science international", "year": 2008 }, { "abstract": "Uniform and well dispersed photoluminescent semiconductor CdSe(Cadmium selenium) QDs(Quantum dots) were in situ prepared stabilized by G4.0 NH2 PAMAM dendrimers in water and effects of metallic ions such as Zn2+ Cd2+ Cu2+ Ag+ Pb2+ K+ Na+ on the photoluminescence(PL) properties of CdSe QDs were studied using spectrophotometric titration. The results show that Zn2+ and Cd2+ ions enhance the PL intensity of CdSe QDs, Cu2+ Ag+ and Pb2+ ions quench the PL intensity, while K+ and Na+ ions have no obvious effects. Those are attributed to the surface modification of CdSe QDs. Excess Cd2+ ions can reduce the non combination centers, increase the passivation of PAMAM on the surface of CdSe QDs, and form a Schottky like barrier which enhanced the PL efficiency. Excess Zn2+ ions can also increase the PL intensity of CdSe QDs in the same way as Cd2+ ions because of the much similar lattice parameters of CdSe and ZnSe. However, a CuSe, Ag2Se or PbSe shell on CdSe QDs emerges due to the substitution of Cd2+ ions, which leads to the decrease of band edge emmiting of CdSe QDs. And the quenching effect of Ag+ is stonger than Cu2+ and Pb2+ because of the lower solubility of Ag2Se formed on the surface of CdSe QDs. Oil latent fingerprints deposited on tinfoil surface treated with CdSe/dendrimer NCs(Nanocomposites) Cd2+ CdSe/ PAMAM NCs and Zn2+ CdSe /PAMAM NCs emitted bright yellow photoluminescence under ultraviolet excitation of 365nm from an UV LED in the dark. among, fingerprint treated with Cd2+ CdSe/dendrimer NCs and Zn2+ CdSe /PAMAM NCs was detected with better resolving rate.", "author_names": [ "Yu-juan Jin", "Yunjun Luo", "Guo Zhi Xu", "Biao Yang" ], "corpus_id": 137210942, "doc_id": "137210942", "n_citations": 8, "n_key_citations": 0, "score": 0, "title": "Effects of Metallic Ions on Photoluminescence Properties of CdSe/PAMAM Nanocomposites and their Application in Fingerprint Detection", "venue": "", "year": 2011 }, { "abstract": "The potential of using water soluble photoluminescent nanoparticles of different sizes for latent fingerprint detection has been explored. In this pilot study, green (582 nm) and red (755 nm) CdTe nanocrystals coated with thioglycolic acid were used. Latent fingerprints on aluminum and glass surfaces were successfully labeled with these nanoparticles for time periods ranging from 30 min to 24 h. The labeling is probably due to the amidation reaction between the surface carboxylic groups of the nanoparticles with the amine groups of the biomaterials present in the fingerprint residues. The 582 nm emitting nanoparticles appeared to better label the fingerprint ridges than did the 755 nm emitting ones for both surfaces. However, the 755 nm emitting nanoparticles were able to target the sweat pores within the ridges of the fingerprints. Other than high quantum yield and photostability, the tunable emission wavelength, the narrow bandwidth, the customizable surface characteristics, and the relatively long fluorescence decay lifetime of these nanoparticles are useful and necessary features for the future development of ultra sensitive, target specific, background suppressed latent fingerprint detection for forensic applications.", "author_names": [ "Kwan Hon Cheng", "Jacob Ajimo", "Wei Chen" ], "corpus_id": 37702982, "doc_id": "37702982", "n_citations": 28, "n_key_citations": 0, "score": 0, "title": "Exploration of functionalized CdTe nanoparticles for latent fingerprint detection.", "venue": "Journal of nanoscience and nanotechnology", "year": 2008 }, { "abstract": "Abstract Non cadimum photoluminescent I III VI semiconductor nanocrystals have attracted increasing attention due to their low toxicity, extraordinary optical and electronic properties. Herein, water soluble quaternary Cu In Zn S quantum dots (CIZS QDs) with tunable emission from 535 nm to 645 nm were successfully synthesized via ecofriendly one pot aqueous method. The full width at half maximum (FWHM) of the emissions are about 68 nm 88 nm, which are rather narrow among Cu based semiconductors and preferred to facilitate improving color purity. The photoluminescence of water soluble QDs was enhanced by ZnS coating with the absolute quantum yield (QY) up to 30.8% Further, a novel fluorescent sensor for Cu2+ detection was developed based on the alteration of intrinsic optical properties of the CIZS/ZnS QDs after cation exchange at room temperature within 10 min, presenting a linear range of 0.020 20 mM and a detection limit down to 6.7 nM. Mechanism studies revealed that the QDs served as the template for cation exchange, through UV vis absorption and fluorescence spectra in combination with the chemical composition and time resolved photoluminescence decay analysis. Ions interference experiments demonstrated the good selectivity of the QDs towards Cu2+ and the sensor showed comparable accuracy to ICP AES method as well as good performance in real sample detection, manifesting the reliability of the current strategy for sensing Cu2+ mildly and rapidly.", "author_names": [ "Mingxia Jiao", "Yun Li", "Yuxiu Jia", "Zhen Yang", "Xiliang Luo" ], "corpus_id": 164275797, "doc_id": "164275797", "n_citations": 9, "n_key_citations": 0, "score": 0, "title": "Aqueously synthesized color tunable quaternary Cu In Zn S quantum dots for Cu(II) detection via mild and rapid cation exchange", "venue": "Sensors and Actuators B: Chemical", "year": 2019 }, { "abstract": "Carbon nanomaterials, including carbon nanotubes, fullerenes, graphene, and porous carbons, have attracted tremendous attention owing to their unique properties and potential applications, including adsorption, separation, catalysis, gas storage, and electrode materials, among others. More recently, carbon nanomaterials with photoluminescent properties have presented exciting opportunities in the search for benign \"nanolanterns\" that are highly desired in bioimaging, disease detection, and drug delivery. Compared to organic dyes and fluorescent semiconductor nanocrystals (quantum dots) photoluminescent carbon nanomaterials are superior in chemical inertness and possess distinct benefits, such as no optical blinking, low photobleaching, low cytotoxicity, and excellent biocompatibility. Thus far, numerous approaches, including arc discharge, laser irradiation, electrochemical synthesis, pyrolysis, and hydrothermal methods have been developed to prepare these versatile materials. However, there is still a bottleneck for progress in understanding and controlling the morphology, size, and surface chemistry of the resultant products with high quantum yields (QYs) which impede their practical applications. Moreover, exploration of photoluminescent carbon nanomaterials with high QYs 30 for use as fluorescent chemosensors to monitor and image biological processes, still remains in an early stage. It has been demonstrated that functionalizing fluorescent carbon nanomaterials with nitrogen groups can significantly enhance their properties and expand their novel applications. Despite several successes in this area, it is still of great urgency to develop simple and effective methods to synthesize novel nitrogen doped photoluminescent carbon nanomaterials with uniform morphology and high QYs. Recently, there has been a trend to synthesize carbonbased materials from biomass materials, as these are inexpensive, easy to obtain, and nontoxic, among other properties. A typical biomass material, more than 480 000 tons per year of cocoon silk produced by the Bombyx mori silkworm is cultivated all over the world. It is composed of fibrous proteins (fibroin) and sericin, which surrounds the fibroin fibers and cements them together. Moreover, silk fibroin can be easily dissolved and then regenerated into new materials. Herein, we present a simple hydrothermal treatment of cocoon silk to prepare water soluble, nitrogendoped, photoluminescent polymer like carbonaceous nanospheres (CNSs) in water, without any additives, such as salts, acids, or bases. Our results show that the resultant CNSs possess a uniform morphology, with a size of ca. 70 nm, plentiful oxygen and nitrogen functional groups, and excellent and stable fluorescent properties with a QY of ca. 38 Moreover, the CNSs can be applied as a fluorescent probe for the detection of Hg and Fe ions. Mostly important, we further demonstrate that such photoluminescent CNSs exhibit low toxicits and are biocompatible for use with in vivo imaging and as biosensors in living cells, as well as in tissues at a depth of 60 120 mm. Hydrothermal treatment of cocoon silk at 200 8C leads to a yellow dispersion of the CNSs. A transmission electron microscopy (TEM) image (Figure 1a) shows that the CNSs are uniform in size (an average of ca. 70 nm) and welldispersed. A dynamic light scattering (DLS) plot (Supporting Information, Figure S1) further demonstrates the monodis", "author_names": [ "Wei Qin Li", "Zehui Zhang", "Biao Kong", "Shan-shan Feng", "Jinxiu Wang", "Lingzhi Wang", "Jianping Yang", "Fengyu Zhang", "Peiyi Wu", "Dongyuan Zhao" ], "corpus_id": 11308528, "doc_id": "11308528", "n_citations": 379, "n_key_citations": 3, "score": 0, "title": "Simple and green synthesis of nitrogen doped photoluminescent carbonaceous nanospheres for bioimaging.", "venue": "Angewandte Chemie", "year": 2013 }, { "abstract": "Abstract High throughput determination of trace contaminants has attracted a great deal of attention due to their high toxicity, hyperstability and ultra low level. Among various analytical methods developed during the past few decades, nanosensoring techniques have become increasingly popular due to their potential for portable, rapid and real time detection. Quantum dots (QDs) are colloidal semiconductor nanocrystals with excellent photoluminescent properties, high quantum yields and high resistance to photobleaching. Recently, QD based photoluminescent nanosensing (plnanosensing) techniques have provided new advances in the field of contaminant determination for environmental monitoring and food quality control. This review focuses on the improvements in the analytical performances of QD based plnanosensing techniques in order to achieve on site rapid screening of multiple contaminants. Critical factors along with some difficulties in high throughput determination of trace contaminants are summarized. Furthermore, the main strategies for enhancing the analytical performance of QD based plnanosensors based on the characteristics and difficulties of trace analysis are highlighted. Finally, we discuss the difficulties in association with the use of QD based optosensors in trace analysis and the prospective applications of this novel analytical technique in the future.", "author_names": [ "Weijun Kong", "Xihui Yang", "Meihua Yang", "Haosen Zhou", "Zhen Ouyang", "Ming Zhao" ], "corpus_id": 98128508, "doc_id": "98128508", "n_citations": 14, "n_key_citations": 0, "score": 0, "title": "Photoluminescent nanosensors capped with quantum dots for high throughput determination of trace contaminants: Strategies for enhancing analytical performance", "venue": "", "year": 2016 } ]
Polymer and organic nonvolatile memory devices
[ { "abstract": "Organic molecules and semiconductors have been proposed as active part of a large variety of nonvolatile memory devices, including resistors, diodes and transistors. In this review, we focus on electrically reprogrammable nonvolatile memories. We classify several possible devices according to their operation principle and critically review the role of the p conjugated materials in the device operation. We propose specifications for applications for organic nonvolatile memory and review the state of the art with respect to these target specifications. Conclusions are drawn regarding further work on materials and device architectures.", "author_names": [ "Paul Heremans", "Gerwin H Gelinck", "Robert Muller", "Kang-Jun Baeg", "Dong-Yu Kim", "Yong-Young Noh" ], "corpus_id": 97871965, "doc_id": "97871965", "n_citations": 452, "n_key_citations": 2, "score": 2, "title": "Polymer and Organic Nonvolatile Memory Devices", "venue": "", "year": 2011 }, { "abstract": "Abstract Charge trapping is an undesirable phenomenon and a common challenge in the operation of n channel organic field effect transistors. Herein, we exploit charge trapping in an n type semiconducting poly (naphthalene diimide alt biselenophene) (PNDIBS) as the key operational mechanism to develop high performance, nonvolatile, electronic memory devices. The PNDIBS based field effect transistor memory devices were programmed at 60 V and they showed excellent charge trapping and de trapping characteristics, which could be cycled more than 200 times with a current ratio of 103 between the two binary states. Programmed data could be retained for 103 s with a memory window of 28 V. This is a record performance for n channel organic transistor with inherent charge trapping capability without using external charge trapping agents. However, the memory device performance was greatly reduced, as expected, when the n type polymer semiconductor was end capped with phenyl groups to reduce the trap density. These results show that the trap density of n type semiconducting polymers could be engineered to control the inherent charge trapping capability and device performance for developing high performance low cost memory devices.", "author_names": [ "Nishit M Murari", "Ye-Jin Hwang", "Felix Sunjoo Kim", "Samson A Jenekhe" ], "corpus_id": 101489741, "doc_id": "101489741", "n_citations": 28, "n_key_citations": 0, "score": 0, "title": "Organic nonvolatile memory devices utilizing intrinsic charge trapping phenomena in an n type polymer semiconductor", "venue": "", "year": 2016 }, { "abstract": "A molecular nano floating gate (NFG) of pentacene based transistor memory devices is developed using conjugated polymer nanoparticles (CPN) as the discrete trapping sites embedded in an insulating polymer, poly (methacrylic acid) (PMAA) The nanoparticles of polyfluorene (PF) and poly(fluorene alt benzo[2,1,3]thiadiazole (PFBT) with average diameters of around 50 70 nm are used as charge trapping sites, while hydrophilic PMAA serves as a matrix and a tunneling layer. By inserting PF nanoparticles as the floating gate, the transistor memory device reveals a controllable threshold voltage shift, indicating effectively electron trapping by the PF CPN. The electron storage capability can be further improved using the PFBT based NFG since their lower unoccupied molecular orbital level is beneficial for stabilization of the trapped charges, leading a large memory window (35 V) retention time longer than 104 s with a high ON/OFF ratio of >104. In addition, the memory device performance using conjugated polymer nanoparticle NFG is much higher than that of the corresponding polymer blend thin films of PF/polystyrene. It suggests that the discrete polymer nanoparticles can be effectively covered by the tunneling layer, PMAA, to achieve the superior memory characteristics.", "author_names": [ "Chien-Chung Shih", "Yu-Cheng Chiu", "Wen-Ya Lee", "Jung-Yao Chen", "Wen-Chang Chen" ], "corpus_id": 95657666, "doc_id": "95657666", "n_citations": 116, "n_key_citations": 0, "score": 0, "title": "Conjugated Polymer Nanoparticles as Nano Floating Gate Electrets for High Performance Nonvolatile Organic Transistor Memory Devices", "venue": "", "year": 2015 }, { "abstract": "By means of the limited conjugation length, the intrinsic 3 dimensional conformations and the potential nanoporous structures, p conjugation interrupted hyperbranched polymers (CIHPs) were demonstrated as polymer electrets for the application of organic transistor memory devices. As models of CIHPs, PPF and PPF8 were synthesized via Friedel Crafts C H polymerization for investigation of the structure performance relationship according to four element theory. They exhibited good solubility in organic solvents, excellent thermal stability and film forming ability. The preliminary as fabricated transistors showed memory effects with large hysteresis windows and reliable programming/erasing cycles. Furthermore, devices based on PPF exhibited higher mobility, larger ON/OFF ratio and better data retention capability than those based on PPF8. The negative effect of the substitution of alkoxyl groups on the device performance suggests that charge trapping and storage are highly sensitive with electrets' molecular orbital energy levels, vibration relaxation mode, chain aggregates, and surface energy. Soluble organic framework polymers will be potential advanced organic nanomaterials for plastic electronics and mechatronics.", "author_names": [ "Jin-yi Lin", "Wen Zhi Li", "Zhen Yu", "Ming-dong Yi", "Haifeng Ling", "Linghai Xie", "Sheng-biao Li", "Wei Huang" ], "corpus_id": 98337067, "doc_id": "98337067", "n_citations": 27, "n_key_citations": 0, "score": 0, "title": "p Conjugation interrupted hyperbranched polymer electrets for organic nonvolatile transistor memory devices", "venue": "", "year": 2014 }, { "abstract": "We report the synthesis of poly(5 hexyl 2 vinylthiophene) (PVT) and poly(5 hexyl 5' vinyl 2,2':5,2' terthiophene) (PVTT) as charge storage electrets for nonvolatile organic field effect transistor (OFET) memory devices of n type semiconducting N,N' bis(2 phenylethyl)perylene 3,4,9,10 bis(dicarboximide) (BPE PTCDI) The effects of the conjugated thiophene chain length on the morphology, OFET mobility and memory characteristics are explored and compared to those of the styrene or fluorene side chain. The mobility of the OFET memory device using PVTT as an electret is significantly smaller compared with that of PVT because its large torsional angle hinders the molecular packing of BPE PTCDI. However, the OFET memory device using the PVTT electret has the largest hysteresis window of 81 V, compared to PVT, polystyrene (PS) and poly(styrene) para substituted with fluorene (PSt Fl) The highest HOMO energy level of PVTT facilitates the charge transfer from BPE PTCDI and leads to the largest memory window. The backbone non coplanarity prevents the back transfer of the charge for the nonvolatile memory characteristics. The device shows excellent nonvolatile behavior for bistable switching and the write read erase read (WRER) cycles are operated over 100 cycles. The shifted threshold voltages of the OFET memory devices using PVTT are stable over 104 s, and the ON and OFF states could maintain 104 s with the Ion/Ioff current ratios of 103. This study suggests that the pendent conjugation length and the backbone coplanarity of polymer electrets significantly affect the charge mobility and electrical characteristics of OFET memory devices.", "author_names": [ "Ying-Hsuan Chou", "Sanae Takasugi", "Raita Goseki", "Takashi Ishizone", "Wen-Chang Chen" ], "corpus_id": 95658325, "doc_id": "95658325", "n_citations": 47, "n_key_citations": 0, "score": 0, "title": "Nonvolatile organic field effect transistor memory devices using polymer electrets with different thiophene chain lengths", "venue": "", "year": 2014 }, { "abstract": "Polymer ferroelectric laser induced periodic surface structures (LIPSS) have been prepared on ferroelectric thin films of a poly(vinylidene fluoride trifluoroethylene) copolymer. Although this copolymer does not absorb light at the laser wavelength, LIPSS on the copolymer can be obtained by forming a bilayer with other light absorbing polymers. The ferroelectric nature of the structured bilayer was proven by piezoresponse force microscopy measurements. Ferroelectric hysteresis was found on both the bilayer and the laser structured bilayer. We show that it is possible to write ferroelectric information at the nanoscale. The laser structured ferroelectric bilayer showed an increase in the information storage density of an order of magnitude, in comparison to the original bilayer.", "author_names": [ "Daniel E Martinez-Tong", "Alvaro Rodriguez-Rodriguez", "Aurora Nogales", "Mari Cruz Garcia-Gutierrez", "Francesc Perez-Murano", "Jordi Llobet", "Tiberio A Ezquerra", "Esther Rebollar" ], "corpus_id": 206397970, "doc_id": "206397970", "n_citations": 20, "n_key_citations": 1, "score": 0, "title": "Laser Fabrication of Polymer Ferroelectric Nanostructures for Nonvolatile Organic Memory Devices.", "venue": "ACS applied materials interfaces", "year": 2015 }, { "abstract": "", "author_names": [ "Fumiya Shiono", "Takashi Nagase", "Takashi Kobayashi", "Hiroyoshi Naito" ], "corpus_id": 197609791, "doc_id": "197609791", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Solution processed Top gate Nonvolatile Organic Transistor Memory Devices with Soluble Fullerene polymer Composite as Charge Storage Layers", "venue": "", "year": 2016 }, { "abstract": "", "author_names": [ "Chien-Chung Shih", "Yu-Cheng Chiu", "Wen-Ya Lee", "Jung-Yao Chen", "Wen-Chang Chen" ], "corpus_id": 97826073, "doc_id": "97826073", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Organic Electronics: Conjugated Polymer Nanoparticles as Nano Floating Gate Electrets for High Performance Nonvolatile Organic Transistor Memory Devices (Adv. Funct. Mater. 10/2015)", "venue": "", "year": 2015 }, { "abstract": "Nonvolatile memory devices based on the pentacene film and controlled gold nanoparticle (Au np /polymer composite layers were developed. Organic field effect transistor based nonvolatile memory devices having self assembled Au np exhibited controllable and reliable current level differences (on and off states) according to the applied gate voltages (programming/erasing operations) The memory devices showed large memory windows as well as good data retention properties by adopting charge storage layers of controlled Au np separated by insulating polymer layers. The process for fabricating nonvolatile memory devices was based on the solution processes and organic semiconductor layers, so it has a potential use in flexible nonvolatile memory applications.", "author_names": [ "Yong-mu Kim", "Young-Su Park", "and Josephine P O'Reilly", "Jang-Sik Lee" ], "corpus_id": 110574076, "doc_id": "110574076", "n_citations": 14, "n_key_citations": 0, "score": 0, "title": "Organic Field Effect Transistor Based Nonvolatile Memory Devices Having Controlled Metallic Nanoparticle/Polymer Composite Layers", "venue": "", "year": 2010 }, { "abstract": "We present data, which were obtained before bending and after bending, for the electrical bistabilities, memory stabilities, and memory mechanisms of three layer structured flexible bistable organic memory (BOM) devices, which were fabricated utilizing the ultrathin graphite sheets (UGS) sandwiched between insulating poly(methylmethacrylate) (PMMA) polymer layers. The UGS were formed by transferring UGS (about 30 layers) and using a simple spin coating technique. Transmission electron microscopy (TEM) measurements were performed to investigate the microstructural properties of the PMMA/UGS/PMMA films. Current voltage (I V) measurements were carried out to investigate the electrical properties of the BOM devices containing the UGS embedded in the PMMA polymer. Current time (I t) and current cycle measurements under flat and bent conditions were performed to investigate the memory stabilities of the BOM devices. The memory characteristics of the BOM maintained similar device efficiencies after bending and were stable during repeated bendings of the BOM devices. The mechanisms for these characteristics of the fabricated BOM are described on the basis of the I V results.", "author_names": [ "Dong Ick Son", "Jae Ho Shim", "Dong Hee Park", "Jae Hun Jung", "Jung Min Lee", "Won Il Park", "Tae Whan Kim", "Won Kook Choi" ], "corpus_id": 24913379, "doc_id": "24913379", "n_citations": 33, "n_key_citations": 1, "score": 0, "title": "Polymer ultrathin graphite sheet polymer composite structured flexible nonvolatile bistable organic memory devices.", "venue": "Nanotechnology", "year": 2011 } ]
Resistive random access memory (reram) based on metal oxide
[ { "abstract": "In this paper, we review the recent progress in the resistive random access memory (ReRAM) technology, one of the most promising emerging nonvolatile memories, in which both electronic and electrochemical effects play important roles in the nonvolatile functionalities. First, we provide a brief historical overview of the research in this field. We also provide a technological overview and the epoch making achievements, followed by an account of the current understanding of both bipolar and unipolar ReRAM operations. Finally, we summarize the challenges facing the ReRAM technology as it moves toward the beyond 2X nm generation of nonvolatile memories and the so called beyond complementary metal oxide semiconductor (CMOS) device.", "author_names": [ "Hiroyuki Akinaga", "Hisashi Shima" ], "corpus_id": 10082831, "doc_id": "10082831", "n_citations": 752, "n_key_citations": 25, "score": 1, "title": "Resistive Random Access Memory (ReRAM) Based on Metal Oxides", "venue": "Proceedings of the IEEE", "year": 2010 }, { "abstract": "Transition Metal Oxide (TMO) Based Resistive Random Access Memory (ReRAM) devices have gathered significant research attention for non volatile data storage applications. The major advantages lie in terms of scalability, low switching voltages, and process compatibility with the CMOS technologies [1, 2] However, to take the complete benefit of this enabling technology there are several challenges that need to be addressed. This talk will present our work towards addressing these challenges for ReRAM devices.", "author_names": [ "Rashmi Jha" ], "corpus_id": 17817653, "doc_id": "17817653", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Analog and Digital Switching Characteristics of Transition Metal Oxide Based Resistive Random Access Memory ReRAM Devices", "venue": "", "year": 2013 }, { "abstract": "In this work, we have inspected the theoretical resistive switching properties of two ReRAM models based on heterojunction structures of Cu/SiOx nanoparticles (NPs)/Si and Si/SiOx NPs/Si, in which dielectric layers of the silica nanoparticles present dislocations at bicrystal interfaces. To validate the theoretical model, Cu/SiOx/Si was experimentally done and their ReRAM properties were elucidated. Our examinations on the electrical, thermal and structural aspects of resistive switching uncovered the switching behavior relies upon the material properties and electrical characteristics of the switching layers, as well as the metal electrodes and the interfacial structure of grains within the dielectric materials. We also determined that the application of an external electric field at Grain Boundaries (GB) is crucial to resistive switching behavior. Moreover, we have demonstrated that the switching behavior is influenced by variations in the atomic structure and electronic properties, at the atomic length scale and picosecond timescale. Our findings furnish a useful reference for the future development and optimization of materials used in this technology.", "author_names": [ "Yu-Li Chen", "Mon-Shu Ho", "Wen-Jay Lee", "Pei-Fang Chung", "Babu Balraj", "Chandrasekar Sivakumar" ], "corpus_id": 209409460, "doc_id": "209409460", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "The mechanism underlying silicon oxide based resistive random access memory (ReRAM)", "venue": "Nanotechnology", "year": 2019 }, { "abstract": "Optimization and performance enhancement of low cost and solution processed InGaZnO (IGZO) resistance random access memory (ReRAM) device was demonstrated on the basis of manipulation of global and local oxygen vacancy (Vo) stoichiometry in metal oxide thin films. Controlled overall Ga composition within IGZO thin film reduced the excessive formation of oxygen vacancy for reproducible resistance switching mechanism. Furthermore, local sophisticated control of stoichiometric Vousing 5 nm Ni layer at the interface of IGZO layer consequently serves as an oxygen capturing layer by forming NiOx, consequently facilitating the formation of conductive filaments and also preventing the abrupt degradation of device performance. Additionally, reducing the cell dimension of IGZO based ReRAMs using a cross bar electrode structure appeared to drastically improve their performances such as the operation voltage and resistance distribution due to suppression of excessive conductive filament formation. Optimized ReRAM devices exhibit a stable unipolar resistive switching behavior with an endurance >200 cycles, retention time for 104sec at 85 degC and on/off ratio higher than about 102. Therefore, it can be claimed that our findings address the demanding issues of low cost memory devices with high stability and endurance for next generation data storage technology.", "author_names": [ "Dongyun Lee", "Min Chul Chun", "Hyungduk Ko", "Bo Soo Kang", "Jaekyun Kim" ], "corpus_id": 212664231, "doc_id": "212664231", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Highly stable, solution processed quaternary oxide thin film based resistive switching random access memory devices via global and socal stoichiometric manipulation strategy.", "venue": "Nanotechnology", "year": 2020 }, { "abstract": "Abstract In this paper, flexible resistive random access memory (ReRAM) operating at 4 V with sol gel based zirconium oxide (ZrO2) film at 200 degC is demonstrated. The memory performance of solution processed ReRAM can be improved by utilizing oxygen annealing, which results in high quality metal oxide dielectric films with suppressed oxygen vacancies. The effects of oxygen annealing on metal oxide bonding states are investigated to explain the origin of the improved switching performance in ReRAM. In addition, the conduction mechanism underlying the charge transport in solution processed ReRAM by oxygen annealing at 200 degC is investigated in a comparison to that of ReRAM annealed at 400 degC in air. The activation energy of solution processed ReRAM is determined by an Arrhenius plot from temperature dependent measurements in the range of 120 300 K. Finally, highly stable and robust flexible ReRAM without a passivation film against cyclic bending tests with curvature radiuses of 10 to 5 mm is demonstrated. We believe that this work provides a practical and effective approach by which to improve the device performance of ReRAM with sol gel based metal oxide dielectrics through oxygen annealing at low temperature, compatible with flexible substrates.", "author_names": [ "Sangjoon Park", "Byoung-Soo Yu", "Jun-Young Jeon", "Byoung-Cheol Kang", "Tae-Jun Ha" ], "corpus_id": 213882406, "doc_id": "213882406", "n_citations": 5, "n_key_citations": 0, "score": 0, "title": "Sol gel based zirconium dioxide dielectrics by oxygen annealing at low temperature for highly stable and robust flexible resistive random access memory", "venue": "", "year": 2020 }, { "abstract": "The sparsity in the deep neural networks (DNNs) can be leveraged by methods such as pruning and quantization to assist the energy efficient deployment of large scale deep neural networks onto hardware platforms, such as GPU and ASIC, for better performance and power efficiency. However, for the metal oxide resistive random access memory (ReRAM) architecture, the study of energy efficient methods still shrink the model size or constrain the precision of DNN by leveraging the DNN sparsity. Due to the circuit features of ReRAM, reading bit 0 naturally consumes less energy than reading bit 1. In this paper, we exploit the fine grained tuning method on the bit level to reduce energy consumption of ReRAM. Specifically, we present the gradient search and the weight group update algorithm, which can significantly unbalance the proportion of bit 1 and bit 0 inside the weights of DNN with negligible NN accuracy loss. Experiments demonstrate that the percentage of bit 0, in some typical convolutional neural networks (CNNs) increases to 33.8% with less than 0.5% degradation in NN accuracy. The energy reduction can be up to 65%", "author_names": [ "Zhuoran Song", "Yilong Zhao", "Yanan Sun", "Xiaoyao Liang", "Li Jiang" ], "corpus_id": 221499008, "doc_id": "221499008", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "ESNreram: An Energy Efficient Sparse Neural Network Based on Resistive Random Access Memory", "venue": "ACM Great Lakes Symposium on VLSI", "year": 2020 }, { "abstract": "The traditional Boolean computing paradigm based on the von Neumann architecture is facing great challenges for future information technology applications such as big data, the Internet of Things (IoT) and wearable devices, due to the limited processing capability issues such as binary data storage and computing, non parallel data processing, and the buses requirement between memory units and logic units. The brain inspired neuromorphic computing paradigm is believed to be one of the promising solutions for realizing more complex functions with a lower cost. To perform such brain inspired computing with a low cost and low power consumption, novel devices for use as electronic synapses are needed. Metal oxide resistive random access memory (ReRAM) devices have emerged as the leading candidate for electronic synapses. This paper comprehensively addresses the recent work on the design and optimization of metal oxide ReRAM based synaptic devices. A performance enhancement methodology and optimized operation scheme to achieve analog resistive switching and low energy training behavior are provided. A three dimensional vertical synapse network architecture is proposed for high density integration and low cost fabrication. The impacts of the ReRAM synaptic device features on the performances of neuromorphic systems are also discussed on the basis of a constructed neuromorphic visual system with a pattern recognition function. Possible solutions to achieve the high recognition accuracy and efficiency of neuromorphic systems are presented.", "author_names": [ "Bin Gao", "Jinfeng Kang", "Zheng Zhou", "Zhe Chen", "Peng Huang", "Lifeng Liu", "Xiaohui Liu" ], "corpus_id": 32876911, "doc_id": "32876911", "n_citations": 21, "n_key_citations": 0, "score": 0, "title": "Metal oxide resistive random access memory based synaptic devices for brain inspired computing", "venue": "", "year": 2016 }, { "abstract": "Display Omitted HighlightsThe leakage current of ReRAM was reduced by the non linear behavior.The influence of the band gap energy of oxides on the non linearity of the device.The non linearity was determined by barrier height between oxide and electrode.Optimized non linearity of ReRAM is applicable for memory cross point array. In this paper, the influence of the band gap energy of metal oxide layers on the non linearity of the device had been investigated. The band gap energy of the metal oxide layer determines barrier height of tunneling between metal oxides and electrodes for tunneling mechanisms. The optimum barrier height between the metal oxides and electrodes exhibits high non linear characteristics of the device for low leakage current of the cross point array applications with excellent switching uniformity.", "author_names": [ "Sangheon Lee", "Daeseok Lee", "Jiyong Woo", "Euijun Cha", "Jaesung Park", "Kibong Moon", "Jeonghwan Song", "Hyunsang Hwang" ], "corpus_id": 135636116, "doc_id": "135636116", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "The band gap energy dependence of metal oxides on non linear characteristics in the HfO2 based resistive random access memory", "venue": "", "year": 2015 }, { "abstract": "Graph algorithms such as graph traversal have been gaining ever increasing importance in the era of big data. However, graph processing on traditional architectures issues many random and irregular memory accesses, leading to a huge number of data movements and the consumption of very large amounts of energy. To minimize the waste of memory bandwidth, we investigate utilizing processing in memory (PIM) combined with non volatile metal oxide resistive random access memory (ReRAM) to improve both computation and I/O performance. We propose a new ReRAM based processing in memory architecture called RPBFS, in which graph data can be persistently stored and processed in place. We study the problem of graph traversal, and we design an efficient graph traversal algorithm in RPBFS. Benefiting from low data movement overhead and high bank level parallel computation, RPBFS shows a significant performance improvement compared with both the CPU based and the GPU based BFS implementations. On a suite of real world graphs, our architecture yields a speedup in graph traversal performance of up to 33.8x, and achieves a reduction in energy over conventional systems of up to 142.8x.", "author_names": [ "Lei Han", "Zhaoyan Shen", "Duo Liu", "Zili Shao", "H Howie Huang", "Tao Li" ], "corpus_id": 195345764, "doc_id": "195345764", "n_citations": 17, "n_key_citations": 1, "score": 0, "title": "A Novel ReRAM Based Processing in Memory Architecture for Graph Traversal", "venue": "ACM Trans. Storage", "year": 2018 }, { "abstract": "The stochastic neuron is a key for event based probabilistic neural networks. We propose a stochastic neuron using a metal oxide resistive random access memory (ReRAM) The ReRAM's conducting filament with built in stochasticity is used to mimic the neuron's membrane capacitor, which temporally integrates input spikes. A capacitor less neuron circuit is designed, laid out, and simulated. The output spiking train of the neuron obeys the Poisson distribution. Using the 65 nm CMOS technology node, the area of the neuron is <inline formula> <tex math notation=\"LaTeX\"\\text{14} \\times \\text{5} \\mu\\text{m}^2$/tex math>/inline formula> which is one ninth the size of a 1 pF capacitor. The average power consumption of the neuron is 1.289 <inline formula> <tex math notation=\"LaTeX\"\\mu$/tex math>/inline formula>W. We introduce the neural array A modified one transistor one ReRAM (1T1R) crossbar that integrates the ReRAM neurons with ReRAM synapses to form a compact and energy efficient in memory spiking neural network. A spiking deep belief network (DBN) with a noisy rectified linear unit (NReLU) is trained and mapped to the spiking DBN using the proposed ReRAM neurons. Simulation results show that the ReRAM neuron based DBN is able to recognize the handwritten digits with 94.7% accuracy and is robust against the ReRAM process variation effect.", "author_names": [ "Jie Lin", "Jiann-Shiun Yuan" ], "corpus_id": 51626920, "doc_id": "51626920", "n_citations": 12, "n_key_citations": 0, "score": 0, "title": "Analysis and Simulation of Capacitor Less ReRAM Based Stochastic Neurons for the in Memory Spiking Neural Network", "venue": "IEEE Transactions on Biomedical Circuits and Systems", "year": 2018 } ]
Reliability assessment of sintered nano-silver die attachment for power semiconductors
[ { "abstract": "For decades soldering has been the technology of choice in die bonding. However, due to worldwide health protection regulations, the most common solder alloys, which contain lead, have been banned. Furthermore, standard solders cannot fulfil the reliability requirements of future power electronic devices. New interconnection technologies have to be developed. One of them is pressure sintering (p=30..50 MPa) of silver flakes below 300 degC. It forms a strong, highly electrically and thermally conductive bond. In order to lower the level of pressure, silver nanoparticles can be used. Shear tests have shown that even 5 s of sintering, a temperature of 225 degC, or a pressure as low as 2 MPa is sufficient to generate bonds comparable to solder and high pressure sinter joints if the remaining parameters (p, t and T, respectively) are set correctly. However, strength is only a necessary criterion as aging comes into play. Therefore, reliability tests using thermal cycling and power cycling were run. These returned superior reliability of the sintered samples. 160 million of the power cycles between +45 and +175 degC run in this work can be extrapolated using a Coffin Manson model. Solder joints failed at about 40,000 cycles.", "author_names": [ "Matthias Knoerr", "Silke Kraft", "Andreas Schletz" ], "corpus_id": 246262, "doc_id": "246262", "n_citations": 124, "n_key_citations": 3, "score": 1, "title": "Reliability assessment of sintered nano silver die attachment for power semiconductors", "venue": "2010 12th Electronics Packaging Technology Conference", "year": 2010 }, { "abstract": "Low temperature Ag sintering provides a lead free die attachment method that is compatible with high temperature (300 degC) power electronics applications. The reliability of sintered Ag die attach for Si and SiC die has been studied on both thick film substrates for lower current power applications and direct bond copper (DBC) substrates for higher current power applications. Pressureless and low pressure sintering were evaluated. Sintering with low pressure yielded lower porosity (15 17% versus pressureless sintering ~30% Reliability was evaluated with thermal aging (300 degC) and thermal cycling 55 degC to 300 degC) tests. Reliable Ag sintered die attach was achieved with assemblies having Ag bearing surface finishes on both the die and the substrate. In contrast, the shear strength after 300 degC aging was greatly reduced when Au metallization was used either on the die or on substrate surface. In some cases, low pressure sintering delayed the failure of the sintered Ag die attach to Au surfaces when aged at 300 degC compared to the pressureless sintering. The reliability with Pd containing substrate metallizations was intermediate between Ag and Au metallizations. The thermal cycle reliability on DBC substrates was limited by failure at the Cu to alumina interface over the wide temperature range, while on the thick film substrates high adhesion was maintained after 1000 thermal cycles.", "author_names": [ "Fang Yu", "Jinzi Cui", "Zhangming Zhou", "Kun Fang", "R Wayne Johnson", "Michael C Hamilton" ], "corpus_id": 8478975, "doc_id": "8478975", "n_citations": 64, "n_key_citations": 0, "score": 0, "title": "Reliability of Ag Sintering for Power Semiconductor Die Attach in High Temperature Applications", "venue": "IEEE Transactions on Power Electronics", "year": 2017 }, { "abstract": "This research has developed a lead free semiconductor device interconnect technology by studying the processing microstructure property relationships of lowtemperature sintering of nanoscale silver pastes. The nanoscale silver pastes have been formulated by adding organic components (dispersant, binder and thinner) into nano silver particles. The selected organic components have the nano particle polymeric stabilization, paste processing quality adjustment, and non densifying diffusion retarding functions and thus help the pastes sinter to ~80% bulk density at temperatures no more than 300degC. It has been found that the low temperature sintered silver has better electrical, thermal and overall thermomechanical properties compared with the existing semiconductor device interconnecting materials such as solder alloys and conductive epoxies. After solving the organic burnout problems associated with the covered sintering, a lead free semiconductor device interconnect technology has been designed to be compatible with the existing surface mounting techniques with potentially low cost. It has been found that the low temperature sintered silver joints have high electrical, thermal, and mechanical performance. The reliability of the silver joints has also been studied by the 50 250degC thermal cycling experiment. Finally, the bonging strength drop of the silver joints has been suggested to be ductile fracture in the silver joints as micro voids nucleated at microscale grain boundaries during the temperature cycling. The low temperature silver sintering technology has enabled some benchmark packaging concepts and substantial advantages in future applications.", "author_names": [ "G Bai" ], "corpus_id": 137488300, "doc_id": "137488300", "n_citations": 104, "n_key_citations": 6, "score": 0, "title": "Low temperature sintering of nanoscale silver paste for semiconductor device interconnection", "venue": "", "year": 2005 }, { "abstract": "In terms of reliability standard power electronic modules are at their limit regarding robustness aspects. As a high reliable alternative for assembly processes such as Sn based soldering and aluminum wire bonding, the assembly with nano silver sintering technology on different substrate materials has been investigated in this work. The reliability of silver sintered top and bottom side interconnects has been determined by end of life active power cycling testing with 50 mm(exp 2) commercial diodes from Infineon sintered on DBC (direct bonded copper) and DBA (direct bonded aluminum) substrates with a sintered silver ribbon for the top side connect. The setup with DBC substrate showed a 17 times, the one with DBA substrates a 2.7 times higher statistical lifetime than the soldered and wire bonded reference. Delamination of the sintered layers and with a progressing aging in active temperature cycles also showed oxidized cracks in the Cu metallization of the substrate as new failure mechanisms of the DBC samples with double sided sinter technology. For DBA assemblies a formation of hillocks on the Al surface underneath the diode could be detected next to delamination of the sinter layer.", "author_names": [ "Silke Kraft", "Andreas Schletz", "Martin Maerz" ], "corpus_id": 18284200, "doc_id": "18284200", "n_citations": 47, "n_key_citations": 1, "score": 0, "title": "Reliability of Silver Sintering on DBC and DBA Substrates for Power Electronic Applications", "venue": "2012 7th International Conference on Integrated Power Electronics Systems (CIPS)", "year": 2012 }, { "abstract": "Abstract The last several years have seen the advent of silicon carbide (SiC) power devices operating at temperatures well above 125 degC. These devices have the potential to provide higher switching speed and lower on state losses with higher thermal conductivity. Developing reliable technologies for packaging is now the main hurdle to successful operation of SiC based power electronics at high temperature. This paper evaluates a novel silver nano particle colloid material that has been suggested for use as a die attachment for high temperature environments. The material synthesis together with fundamental mechanical and electrical properties is presented relative to the low temperature sintering process. Using thermal fatigue data measured for this material, a low cycle fatigue curve for the silver nano particle colloid was developed. A Coffin Manson relationship was derived for the solder; which together with calculated strains in the joint, allows the low cycle fatigue life of the die attachment to be predicted.", "author_names": [ "P Quintero", "F Patrick McCluskey", "B Koene" ], "corpus_id": 37194739, "doc_id": "37194739", "n_citations": 8, "n_key_citations": 0, "score": 0, "title": "Thermomechanical reliability of a silver nano colloid die attach for high temperature applications", "venue": "Microelectron. Reliab.", "year": 2014 }, { "abstract": "Silver sintering die attach is a promising technology for high temperature power electronics packaging. In this paper, we evaluate its performances in terms of thermal resistance and high temperature stability. The thermal resistance is measured on test vehicles assembled under different conditions, using silver pastes from NBETech and Heraeus, with different process parameters. The stability test is performed by storing samples at an elevated temperature (300degC) in air, with a strong voltage bias (1100 V) for several hours.", "author_names": [ "Wissam Sabbah", "Raphael Riva", "Stanislavs Hascoet", "Cyril Buttay", "Stephane Azzopardi", "Eric Woirgard", "Dominique Planson", "Bruno Allard", "Regis Meuret" ], "corpus_id": 16364468, "doc_id": "16364468", "n_citations": 13, "n_key_citations": 0, "score": 0, "title": "Evaluation of silver sintering die attach", "venue": "2012 7th International Conference on Integrated Power Electronics Systems (CIPS)", "year": 2012 }, { "abstract": "This paper discusses the design for reliability of a sintered silver structure in a power electronic module based on the computational approach that composed of high fidelity analysis, reduced order modelling, numerical risk analysis, and optimisation. The methodology was demonstrated on sintered silver interconnect sandwiched between silicon carbide chip and copper substrate in a power electronic module. In particular, sintered silver reliability due to thermal fatigue material degradation is one of the main concerns. Thermo mechanical behaviour of the power module sintered silver joint structure is simulated by finite element analysis for cyclic temperature loading profile in order to capture the strain distribution. The discussion was on methods for approximate reduced order modelling based on interpolation techniques using Kriging and radial basis functions. The reduced order modelling approach uses prediction data for the thermo mechanical behaviour. The fatigue lifetime of the sintered silver interconnect and the warpage of the interconnect layer was particular interest in this study. The reduced order models were used for the analysis of the effect of design uncertainties on the reliability of the sintered silver layer. To assess the effect of uncertain design data, a method for estimating the variation of reliability related metrics namely Latin Hypercube sampling was utilised. The product capability indices are evaluated from the distributions fitted to the histogram resulting from Latin Hypercube sampling technique. A reliability based design optimisation was demonstrated using Particle Swarm Optimisation algorithm for constraint optimisation task consists of optimising two different characteristic performance metrics such as the thermo mechanical plastic strain accumulation per cycle on the sintered layer and the thermally induced warpage.", "author_names": [ "Pushparajah Rajaguru", "Hua Lu", "Chris Bailey" ], "corpus_id": 11125716, "doc_id": "11125716", "n_citations": 29, "n_key_citations": 1, "score": 0, "title": "Sintered silver finite element modelling and reliability based design optimisation in power electronic module", "venue": "Microelectron. Reliab.", "year": 2015 }, { "abstract": "Die attach by low temperature silver sintering has been widely used in power electronics packaging. Most of the reported work was done on direct bond copper (DBC) substrates metallized with silver or gold. There is a lack of studies of sintered silver bonding on nickel (Ni) a low cost metallization on DBC. In this study, we fabricated a power module using pressureless in air sintered silver die attach on a Ni plated DBC substrate. Strong die shear strength of over 40 MPa was achieved. It was also found the static and switching characteristics, and the transient and steady state thermal performance of the modules using Ni metallization was as good as that using Au metallization. Cross sectional microstructure and chemistry analyses of the sintered Ag/Ni interface revealed limited Ni oxidation. We believed that the high density packing of silver particles and outgassing of the organic molecules from the paste during the bonding process helped to lower the partial pressure of oxygen in the bondline, which, in turn, prevented rapid Ni oxidation and gave rise to extensive formation of strong Ag Ni metallic bonds at the interface. The findings of this study show the low cost potential of the die attach technology for power module packaging since the bonding process can be done pressureless in air on low cost Ni metallization.", "author_names": [ "Meiyu Wang", "Yunhui Mei", "Xin Li", "Rolando Burgos", "Dushan Boroyevich", "Guo-Quan Lu" ], "corpus_id": 117470141, "doc_id": "117470141", "n_citations": 10, "n_key_citations": 0, "score": 0, "title": "Pressureless Silver Sintering on Nickel for Power Module Packaging", "venue": "IEEE Transactions on Power Electronics", "year": 2019 }, { "abstract": "In order to take the full advantage of the high temperature SiC and GaN operating devices, package materials able to withstand high temperature storage and large thermal cycles have been investigated. The temperature under consideration here are higher than 200 degC. Such temperatures are required for several potential applications such as down hole oil and gas industry for well logging, aircrafts, automotive, and space exploration. This review focuses on the reliability of a selection of potential components or materials used in the package assembly as the substrates, the die attaches, the interconnections, and the encapsulation materials. It reveals that, substrates with low coefficient of thermal expansion (CTE) conductors or with higher fracture resistant ceramics are potential candidates for high temperatures. Die attaches and interconnections reliable solutions are also available with the use of compatible metallization schemes. At this level, the reliability can also be improved by reducing the CTE mismatch between assembled materials. The encapsulation remains the most limiting packaging component since hard materials present thermomechanical reliability issues, while soft materials have low degradation temperatures. The review allows identifying reliable components and materials for high temperature wide bandgap semiconductors and is expected to be very useful for researchers working for the development on high temperature electronics.", "author_names": [ "Rami Khazaka", "Laurent Mendizabal", "D Henry", "Rachelle Hanna" ], "corpus_id": 33089987, "doc_id": "33089987", "n_citations": 157, "n_key_citations": 1, "score": 0, "title": "Survey of High Temperature Reliability of Power Electronics Packaging Components", "venue": "IEEE Transactions on Power Electronics", "year": 2015 }, { "abstract": "Sintered silver is a very promising option in the field of automotive power electronics for die attach and substrate attach material as it offers many benefits compared to conventional solders. One main advantage is the higher and therefore better thermal and electrical conductivity, which is necessary due to the usage of SiC and GaN as a basic material for semiconductors. Another one is the higher melting point of sintered silver in comparison to solder, making it possible to use power electronics at higher temperatures. Especially for higher temperatures, it is assumed that this results in an improved reliability. Whereas a lot of information about the behavior of sinter layers is known, e.g. thermal properties, material characterization of mechanical properties are still not understood in detail as the results are highly scattered. A reliable test method has to be developed, and this has to be done for cyclic testing, too, which is essential for determining reliability. As mechanical properties are strongly dependent on process parameters, their influence has to be analyzed as well. For example, the influence of the porosity distribution of a sintered layer in between the semiconductor and the upper side of the DBC on the mechanical properties and their reliability is hardly investigated by now. It can be observed that the porosity varies, and that it often increases from the middle of the plane towards the edges. The influence on the stresses and strains and on reliability has to be determined and if required, improvements have to be developed. In the field of FEM simulation, too, a lot of work has to be done. Therefore, the right input material data, which has to be characterized as already described, is absolutely essential. It is also necessary to implement a material model which describes all of the occurring phenomena like primary and secondary creep. This paper will give an overview on the current status and state of the art of silver sintering, focusing on the issues of material characterization and reliability testing.", "author_names": [ "Marco Schaal", "Markus Klingler", "Bernhard Wunderle" ], "corpus_id": 54225439, "doc_id": "54225439", "n_citations": 5, "n_key_citations": 0, "score": 0, "title": "Silver Sintering in Power Electronics: The State of the Art in Material Characterization and Reliability Testing", "venue": "2018 7th Electronic System Integration Technology Conference (ESTC)", "year": 2018 } ]
2009 Nano . 9 1636
[ { "abstract": "Based on a comparative study between the piezoelectric outputs of n type nanowires (NWs) and n core/p shell NWs along with the previous study (Lu et al 2009 Nano. Lett. 9 1223) we demonstrate a one step technique for identifying the conductivity type of individual ZnO nanowires (NWs) based on the output of a piezoelectric nanogenerator without destroying the sample. A negative piezoelectric output voltage indicates an NW is n type and it appears after the tip scans across the center of the NW, while a positive output voltage reveals p type conductivity and it appears before the tip scans across the central line of the NW. This atomic force microscopy based technique is reliable for statistically mapping the majority carrier type in ZnO NWs arrays. The technique may also be applied to other wurtzite semiconductors, such as GaN, CdS and ZnS.", "author_names": [ "S S Lin", "J H Song", "Y F Lu", "Zhong Lin Wang" ], "corpus_id": 17268321, "doc_id": "17268321", "n_citations": 37, "n_key_citations": 0, "score": 1, "title": "Identifying individual n and p type ZnO nanowires by the output voltage sign of piezoelectric nanogenerator.", "venue": "Nanotechnology", "year": 2009 }, { "abstract": "We give a concise introduction into the radiative heat transfer at the nanoscale discussing the contribution of propagating, frustrated and coupled surface modes [1] Especially, the latter contribution results in a heat flux, which can exceed the heat flux between two black bodies by several orders of magnitude for distances in the nanometer regime [1] The prediction of such an enormous heat flux enhancement is usually based on Rytov's fluctuational electrodynamics [2] and has been verified in some very recent experiments [3,4,5] Our aim is to show how the theoretical expression describing the nanoscale heat flux can be interpreted in terms of transmission coefficients and the universal quantum of thermal conductance by means of concepts of mesoscopic physics [6] Such a formulation allows for studying the fundamental limits of radiative heat transfer [7,8] emphasizing the trade off between the number of contributing modes and their transmission coefficient. [1] K. Joulain, J. P. Mulet, F. Marquier, R. Carminati, and J. J. Greffet, Surface Science Reports 57, 59 (2005) [2] S. M. Rytov, Y. A. Kravtsov, and V. I. Tatarskii, Principles of Statistical Radiophyics (Springer, New York) Vol. 3. (1989) [3] S. Shen, A. Narayanaswamy, and G. Chen, Nano Lett. 9, 2909 (2009) [4] E. Rousseau, A. Siria, G. Jourdan, S. Volz, F. Comin, J. Chevrier, and J. J. Greffet, Nature Photonics 3, 514 (2009) [5] R. Ottens, V. Quetschke, S. Wise, A. Alemi, R. Lundock, G. Mueller, D. H. Reitze, D. B. Tanner, B. F. Whiting, Phys. Rev. Lett. 107, 014301 (2011) [6] S. A. Biehs, E. Rousseau, and J. J. Greffet, Phys. Rev. Lett. 105, 234301 (2010) [7] P. Ben Abdallah and K. Joulain, Phys. Rev. B 82, 121419 (R) (2010) [8] S. Basu and Z. M. Zhang, J. Appl. Phys. 105, 093535 (2009)", "author_names": [ "Emmanuel Rousseau", "Alessandro Siria", "Guillaume Jourdan", "Sebastian Volz", "Fabio Comin", "Joel Chevrier", "Jean-Jacques Greffet" ], "corpus_id": 122942733, "doc_id": "122942733", "n_citations": 370, "n_key_citations": 6, "score": 0, "title": "Radiative heat transfer at the nanoscale", "venue": "", "year": 2009 }, { "abstract": "Financial support from the Spanish MICINN \"MAGPLAS\" Grants No. MAT2008 06765 C02 01/NAN and No.NAN2004 09195 C04 02, Funcoat Consolider Ingenio Grant No. 2010 CSD2008 00023) Comunidad de Madrid (References No. S 0505/MAT/0194 and No. S 0505/TIC/0191) CSIC (E. Ferreiro Vila acknowledges JAE) and (Reference No. 2005MX0040) and European Comission (Grant No.NMP3 SL 2008 214107 Nanomagma) is acknowledged. Authors are grateful to D. Ramirez y G. Ramirez for technical assistance. This work was partially supported by CONACYT Mexico under Grants No. 48300 S 3907 and No. J110.474/2006 S 3904. 1 J. R. Sambles, G. W. Bradbery, and F. Yang, Contemp. Phys. 32, 173 1991 2H. Raether, Surface Plasmons on Smooth and Rough Surfaces and on Gratings, Springer Tracts in Modern Physics, Vol. 111 Springer Verlag, Berlin, 1988 3 S. A. Maier, Plasmonics: Fundamentals and Applications Springer, Berlin, 2007 4 J. Homola, Chem. Rev. 108, 462 2008 5 J. N. Anker, W. P. Hall, O. Lyandres, N. C. Shah, J. Zhao, and R. P. Van Duyne, Nature Mater. 7, 442 2008 6B. Sepulveda, A. Calle, L. M. Lechuga, and G. Armelles, Opt. Lett. 31, 1085 2006 7 J. C. Weeber, Y. Lacroute, and A. Dereux, Phys. Rev. B 68, 115401 2003 8B. Steinberger, A. Hohenau, H. Ditlbacher, A. L. Stepanov, A. Drezet, F. R. Aussenegg, A. Leitner, and J. R. Krenn, Appl. Phys. Lett. 88, 094104 2006 9 S. I. Bozhevolnyi, V. S. Volkov, E. Devaux, J. Y. Laluet, and T. W. Ebbesen, Nature London 440, 508 2006 10 I. I. Smolyaninov, J. Elliott, A. V. Zayats, and C. C. Davis, Phys. Rev. Lett. 94, 057401 2005 11 Z. Liu, S. Durant, H. Lee, Y. Pikus, N. Fang, Y. Xiong, C. Sun, and X. Zhang, Nano Lett. 7, 403 2007 12T. Nikolajsen, K. Leosson, and S. I. Bozhevolnyi, Appl. Phys. Lett. 85, 5833 2004 13 J. Gomez Rivas, J. A. Sanchez Gil, M. Kuttge, P. H. Bolivar, and H. Kurz, Phys. Rev. B 74, 245324 2006 14D. Pacifici, H. J. Lezec, and H. A. Atwater, Nat. Photonics 1, 402 2007 15B. Sepulveda, L. M. Lechuga, and G. Armelles, J. Lightwave Technol. 24, 945 2006 16 J. B. Gonzalez Diaz, A. Garcia Martin, G. Armelles, J. M. Garcia Martin, C. Clavero, A. Cebollada, R. A. Lukaszew, J. R. Skuza, D. P. Kumah, and R. Clarke, Phys. Rev. B 76, 153402 FERREIRO VILA et al. PHYSICAL REVIEW B 80, 125132 2009 125132 8", "author_names": [ "Elias Ferreiro-Vila", "Juan B Gonzalez-Diaz", "R Fermento", "Maria Ujue Gonzalez", "Antonio Garcia-Martin", "Jose Miguel Garcia-Martin", "Alfonso Cebollada", "Gaspar Armelles", "David Meneses-Rodriguez", "Emilio Munoz Sandoval" ], "corpus_id": 53643530, "doc_id": "53643530", "n_citations": 89, "n_key_citations": 1, "score": 0, "title": "Intertwined magneto optical and plasmonic effects in Ag/Co/Ag layered structures", "venue": "", "year": 2009 }, { "abstract": "Coaxial manganese oxide/carbon nanotube (CNT) arrays deposited inside porous alumina templates were used as cathodes in a lithium battery. Excellent cyclic stability and capacity of MnO2/CNT coaxial nanotube electrodes resulted from the hybrid nature of the electrodes with improved electronic conductivity and dual mechanism of lithium storage. The reversible capacity of the battery was increased by an order compared to template grown MnO2 nanotubes, making them suitable electrodes for advanced Li ion batteries. A nanoscale approach to electrochemical energy storage applications such as in lithium batteries and supercapacitors has been of great interest because of their unique properties leading to improved performances.1 5 Innovative materials chemistry has been the key to various advancements in lithium rechargeable batteries.6,7 Various transition metal oxides have been widely studied as electrode materials for rechargeable lithium ion batteries because of their high theoretical capacity, safety, environmental benignity, and low cost.8 15 However, poor electronic conductivity of metal oxides limits them from use in high performance lithium ion batteries. One of the other challenging issues is to tackle their capacity decay with cycling, leading from large volume expansion during the lithium uptake/release process. Extensive research efforts are presently devoted to overcome these problems by using electronically conductive additives and carbon coatings.16 19 Among transition metal oxides, manganese oxide (MnO2) has been studied as an electrode for lithium batteries with a high storage capacity, in addition to its low cost, environmental friendliness, and natural abundance.20 23 However its potential applications in practical Li ion batteries are limited due to its poor electrical conductivity and large volume expansion during repeated lithium cycling processes.21 One dimensional (1D) nanostructured morphologies of these electrodes with controlled size, crystallinity, and chemical composition have been designed to overcome some of these challenges.24,25 However, conductivity of these 1D nanowires remains an existing issue. Coaxial 1D nanowires of tin oxide core and indium oxide shell nanostructures have been shown to be promising Liion battery electrodes.26 Coaxial nanowires/nanotubes will lead to multiple functionalities by combining the physical properties of different materials. In order to build Li batteries with improved capacity and power capabilities, coaxial nanowires/nanotubes of multiple materials with specific electrochemical and physicochemical properties need to be fabricated. Here, we demonstrate the fabrication of hybrid coaxial nanotubes of MnO2 and carbon nanotubes (CNTs) as high performance electrodes of lithium batteries. CNTs due to their outstanding electrical properties apart from their high chemical stability, high aspect ratio, strong mechanical strength, and high activated surface area, are attractive electrode materials in energy storage devices, such as electrochemical capacitors, fuel cells, and lithium batteries.27 33 Careful engineering of hybrid coaxial electrode material having both high storage capacity metal oxide and highly conducting CNTs will lead to enhanced Li storage properties. The tubular morphology offers a unique combination of high porosity and low internal resistance. The work is aimed to increase the electronic conductivity and lithium storage capacity of MnO2 nanotubes by using the coaxial nanotubes with MnO2 nanotubes in the outer shell and highly conducting CNT in the inner core. The coaxial electrode configuration will have (i) improved electronic conductivity due to the presence of CNT, (ii) homogeneous electrochemical accessibility and high ionic conductivity by avoiding agglomerative binder and other conductive additives, (iii) To whom correspondence should be addressed, [email protected]. Department of Mechanical Engineering Materials Science. Department of Chemical Biomolecular Engineering. NANO LETTERS 2009 Vol. 9, No. 3 1002 1006 10.1021/nl803081j CCC: $40.75 2009 American Chemical Society Published on Web 02/02/2009 well directed 1D conductive paths due to perfect coaxial alignment, and (iv) a dual lithium storage mechanism (insertion/deinsertion in the case of CNTs and formation and decomposition of Li2O in the case of MnO2 nanotubes) The coaxial nanotube structures have been prepared by a combination of simple vacuum infiltration and chemical vapor deposition techniques through a template approach (Figure 1) MnO2 nanotubes are first fabricated by vacuum infiltration inside the channels of commercially available AAO templates (nanopore diameter of ~200 nm and length of ~50 mm) which is followed by the growth of CNTs using chemical vapor deposition (see Supporting Information for method and synthesis, section 1S) The sample was plasma etched for 30 min to remove the amorphous carbon layer that forms during chemical vapor deposition. A layer of Au film ~100 nm) was sputtered onto one side of the template which serves as the current collector for the electrode. The MnO2/CNT hybrid coaxial structures are then released from the alumina templates by dissolving the templates in 3 M NaOH solution for 1 h. The presence of Au film holds the coaxial hybrid structures from collapsing, after the removal of templates. The morphology of the prepared MnO2/CNT Figure 1. Schematic diagram showing the fabrication of MnO2/CNT hybrid coaxial nanotube arrays inside AAO template using a combination of simple vacuum infiltration and chemical vapor deposition techniques. A thin layer of gold ~100 nm) was sputter coated to act as current collector for the electrodes. Figure 2. (a) X ray diffraction patterns of MnO2/CNT coaxial nanotubes, MnO2 nanotubes, and CNTs. XPS spectra of MnO2/CNT coaxial nanotubes (b) before and after (c) first lithium discharge process. Formation of manganese metal and Li2O during the initial lithiation process has been confirmed using XPS. Nano Lett. Vol. 9, No. 3, 2009 1003 coaxial nanotubes was characterized by scanning electron microscopy (FEI Quanta 400 ESEM FEG) and energydispersive X ray spectroscopy (EDX) The powder X ray diffraction patterns were obtained by a Rigaku D/Max Ultima II using Cu KR radiation. For the X ray photoelectron spectroscopy (XPS) analysis, PHI Quantera XPS was used. Flexible films of MnO2/CNT coaxial nanotubes obtained after the removal of templates were directly used as electrode in the lithium battery. Electrochemical measurements were performed in a Swagelok type cell using AUTOLAB PGSTAT 302N potentiostat/galvanostat (see Supporting Information for details, section 2S) An electrochemical test cell was assembled in argon filled glovebox using the active material (MnO2/CNT coaxial nanotubes) as working electrode, lithium metal foil as the counter/reference electrode, and 1 M solution of LiPF6 in 1:1 (v/v) mixture of ethylene carbonate (EC) and dimethyl carbonate (DMC) as electrolyte. A glass microfiber filter was used as separator. The cells were charged and discharged galvanostatically at a rate of 50 mA/g between 3.2 and 0.2 V vs Li/Li+ The crystallinity of the coaxial nanotubes was confirmed by X ray diffraction (XRD) XRD patterns of the MnO2/ CNT coaxial nanotubes show three well resolved peaks that can be indexed as (100) (110) and (211) reflections associated with tetragonal symmetry (Figure 2a) An additional peak at 26.4deg attributed to the (002) plane of hexagonal graphite structure, indicates the presence of CNTs. XRD patterns taken from MnO2 nanotubes and CNTs are in good agreement with the reported literature (PDF#01 0714824(RDB) Elemental analysis by energy dispersive X ray spectroscopy (EDX) revealed the presence of Mn and O (see Supporting Information, Figure 1S) The additional reflections of Al and Au in the EDX spectra are due to AAO template and gold back coating, respectively. MnO2/CNT coaxial nanotubes before and after Li storage were characterized by X ray photoelectron spectroscopy (XPS) XPS profiles of MnO2/CNT hybrid coaxial nanotubes before Li storage (Figure 2b) and after Li storage (Figure 2c) are analyzed by focusing on the regions where the signals of Mn 2p3/2, Mn 2p1/2, C 1s, and Li 1s are expected. The peaks of Mn 2p3/2 and 2p1/2 which are centered at 642 and 653.8 eV, respectively, with a spin energy separation of 11.8 eV are in good agreement with reported data of Mn 2p3/2 and Mn 2p1/2 in MnO2 (Figure 2b).34 Scanning electron microscopy (SEM) images of MnO2/CNT hybrid nanostructures clearly shows uniform coaxial nanostructure (Figure 3) Electrodes are highly porous as revealed from SEM images of individual MnO2 nanotubes and CNTs (see Supporting Information, Figures 1S and 2S) MnO2 nanotube walls were found to be ~10 nm thick with good crystallinity and smooth surface. The structure of coaxial nanotubes such as MnO2 shell thickness and nanotube length could be easily controlled by varying the infiltration time, which will enable us to tune the electrochemical properties of the coaxial nanotubes. CNTs protrude on both ends of the nanotubes, enabling an effective contact with the gold thin film current collector. Recently, coaxial nanowires of MnO2/PEDOT have been synthesized by the coelectrodeposition method.35 However, in the present study, presence of a CNT core has superior advantages in terms of electrical conductivity, mechanical stability, and electrochemical performance. Also, hightemperature annealing results in high crystallinity of the coaxial nanostructure. The growth process of the coaxial nanotubes follows a typical template synthesis, wherein the manganese precursor initially combines with the template by impregnation and results in the nucleation and growth following annealing treatment. This forms the MnO2 shell. The chemical vapor deposition process allows CNTs to grow in the inner cores left by the MnO2 shells. Upon removal of templates, coa", "author_names": [ "Leela Mohana Reddy", "Manikoth M Shaijumon", "Sanketh R Gowda", "Pulickel M Ajayan" ], "corpus_id": 53678769, "doc_id": "53678769", "n_citations": 22, "n_key_citations": 0, "score": 0, "title": "Coaxial MnO 2 Carbon Nanotube Array Electrodes for High Performance Lithium Batteries Arava", "venue": "", "year": 2009 }, { "abstract": "Biologically functional cationic phospholipid gold nanoplasmonic carriers have been designed to simultaneously exhibit carrier capabilities, demonstrate improved colloidal stability, and show no cytotoxicity under physiological conditions. These carriers are able to retain their unique nanoscale optical properties under physiological conditions, making them particularly useful in a wide range of imaging, therapeutic, and gene delivery applications that utilize selective nanoplasmonic properties. Introduction Gold nanoparticles (GNPs) in the near infrared (NIR) spectral region, due to their size and core material, display unique optical properties that make them attractive candidates for drug delivery, gene delivery, biomedical and molecular imaging, and therapeutics. Because of their large surface area, GNPs are ideal carriers of biomolecules for these applications. While attached to carriers, biomolecules are in an inactive state. When GNP carriers are specifically used to convert light into heat, otherwise known as photothermal conversion, released biomolecules enter an active state to freely interact with the environment. Such optically activated GNP carriers are referred to as nanoplasmonic carriers. In particular, the NIR wavelength regime is well suited for biomedical applications because tissues and cells are essentially transparent at 800 1300 nm. It is possible to obtain very efficient photothermal conversion of energy when the NIR light is matched to the plasmon resonance wavelength of the GNP. Additionally, heat transfer from the surface of GNPs to the surrounding cellular environment is highly localized, decaying exponentially within a few nanometers, and therefore is thought to have minimal adverse effects on cells. Among the GNPs, rod shaped GNPs, known as nanorods, are of particular interest due to their large absorption cross section, a narrow spectral width of the longitudinal plasmon resonance band, and tunability of the longitudinal plasmon resonance wavelength based on aspect ratio. The unique optical properties of gold nanorods arise due to their nanoscale asymmetric geometry and gold core material. University of California Berkeley. Sandia National Laboratories. (1) Sershen, S. R. Westcott, S. L. Halas, N. J. West, J. L. J. Biomed. Mater. Res. 2000, 51, 293 298. (2) Ren, L. Chow, G. M. Mater. Sci. Eng. 2003, C23, 113 116. (3) Skirtach, A. G. Javier, A. M. Kreft, O. Kohler, K. Alberola, A. P. Mohwald, H. Parak, W. J. Sukhorukov, G. B. Angew. Chem. Int. Ed. 2006, 45, 4612 4617. (4) Wijaya, A. Schaffer, S. B. Pallares, I. G. Hamad Schifferli, K. ACS Nano 2009, 3, 80 86. (5) Chen, C. Lin, Y. Wang, C. Tzeng, H. Wu, C. Chen, Y. Chen, C. Chen, L. Wu, Y. J. Am. Chem. Soc. 2006, 128, 3709 3715. (6) Horiguchi, Y. Niidome, T. Yamada, S. Nakashima, N. Niidome, Y. Chem. Lett. 2007, 36, 952 953. (7) Lee, S. E. Liu, G. L. Kim, F. Lee, L. P. Nano Lett. 2009, 9, 562 570. (8) Copland, J. A. Eghtedari, M. Popov, V. L. Kotov, N. Mamedova, N. Motamedi, M. Oraevsky, A. A. Mol. Imaging Biol. 2004, 6, 341 349. (9) Javier, D. J. Nitin, N. Roblyer, D. M. Richards Kortum, R. J. Nanophotonics 2008, 2, 1 12. (10) Choi, Y. Kang, T. Lee, L. P. Nano Lett. 2009, 9, 85 90. (11) Nallathamby, P. D. Lee, K. J. Xu, X. N. ACS Nano 2008, 2, 1371 1380. (12) Huang, X. El Sayed, I. H. Qian, W. El Sayed, M. A. J. Am. Chem. Soc. 2006, 128, 2115 2120. (13) Huang, Y. F. Sefah, K. Bamrungsap, S. Chang, H. Tan, W. Langmuir, in press. (14) Pissuwan, D. Valenzuela, S. M. Killingsworth, M. C. Xu, X. J. Nanopart. Res. 2007, 9, 1109 1124. (15) Choi, M. Stanton Maxey, K. J. Stanley, J. K. Levin, C. S. Bardhan, R. Akin, D. Badve, S. Sturgis, J. Robinson, J. R. Bashir, R. Halas, N. J. Clare, S. E. Nano Lett. 2007, 7, 3759 3765. (16) Loo, C. Hirsch, L. Lee, M. Chang, E. West, J. Halas, N. Drezek, R. Opt. Lett. 2005, 30, 1012 1014. (17) Skabalak, S. E. Chen, J. Au, L. Lu, X. Li, X. Xia, Y. AdV. Mater. 2007, 19, 3177 3184. (18) Gobin, A. M. O'Neal, D. P. Watkins, D. M. Halas, N. J. Drezek, R. A. West, J. L. Laser Surg. Med. 2005, 37, 123 129. (19) Hauck, T. S. Jennings, T. L. Yatsenko, T. Kumaradas, J. C. Chan, W. C. AdV. Mater. 2008, 1 7. (20) Norman, R. S. Stone, J. W. Gole, A. Murphy, C. J. Sabo Attwood, T. L. Nano Lett. 2008, 8, 302 306. (21) Cortie, M. Xu, X. Chowdhury, H. Zareie, H. Smith, G. Proc. SPIE 2005, 5649, 565 573. (22) Khlebtsov, B. Zharov, V. Melnikov, A. Tuchin, V. Khlebtsov, N. Nanotechnology 2006, 17, 5167 5179. (23) Liu, G. L. Kim, J. Lu, Y. Lee, L. P. Nat. Methods 2006, 5, 27 32. (24) Svoboda, K. Block, S. M. Annu. ReV. Biomol. Struct. 1994, 23, 247 285. (25) Hirsch, L. Stafford, R. Bankson, J. Sershen, S. Price, R. Hazle, J. Halas, N. West, J. Proc. Second Joint EMBS BMES Conf. 2002, 1, 530. (26) Skirtach, A. Dejugnat, C. Braun, D. Susha, A. Rogach, A. Parak, W. Mohwald, H. Sukhorukov, G. Nano Lett. 2005, 5, 1371 1377. (27) Lu, Y. Liu, G. L. Kim, J. Mejia, Y. X. Lee, L. P. Nano Lett. 2005, 5, 119 124. ohio2/yja yja/yja yja/yja99907/yja1740d07z xppws 23:ver.3 8/27/09 21:20 Msc: ja 2009 04326j TEID: dmadmin BATID: 00000 10.1021/ja904326j CCC: $40.75 XXXX American Chemical Society J. AM. CHEM. SOC. XXXX, xxx, 000 9 A", "author_names": [ "Somin Eunice Lee", "Darryl Y Sasaki", "Thomas D Perroud", "Daniel Yoo", "Kamlesh D Patel", "Luke P Lee" ], "corpus_id": 165153217, "doc_id": "165153217", "n_citations": 5, "n_key_citations": 1, "score": 0, "title": "Biologically Functional Cationic Phospholipid Gold Nanoplasmonic Carriers", "venue": "", "year": 2009 }, { "abstract": "Semiconductor nanocrystals have received significant attention for their potential as low cost materials in electronic devices. Nanocrystals may be processed with many of the inexpensive techniques that make organic materials attractive, yet they are expected to have superior mobilities and resistance to degradation. In addition, new opportunities arise at the nanoscale such as tunable absorption and emission via quantum confinement, and multiple exciton generation that may allow for entirely new device architectures. However, in order to be integrated into devices, films of nanocrystals must be developed and the films would ideally have the same properties as their constituent crystals. Significant headway has been made with II VI and VI IV solutionsynthesized nanocrystals such as CdSe and PbSe, but progress with the popular group IV materials Si and Ge has lagged behind because of a lack of a satisfactory synthesis route. We present on plasma synthesized Si and Ge nanocrystals, thin films of these crystals, and electronic devices based on the films. Nanocrystals are synthesized using a nonthermal plasma approach in which precursor gases are dissociated in a radiofrequency discharge and atomic clustering leads to nanoparticle nucleation [1] The plasma environment is uniquely suited to synthesizing nanocrystals since a unipolar negative charge is dispensed on the particles, suppressing agglomeration, and the particles are selectively heated, allowing for crystallization of high melting point materials. Silicon and Ge nanocrystals are produced with respectable mass yields, yet retain a narrow size distribution as is necessary to avoid diluting the tunability of their optical properties. Additionally, the nanocrystals are synthesized as a powder with hydrogen terminated surfaces, which makes this process particularly clean and allows for flexibility in subsequent processing. Several methods have been developed for depositing thin films of nanocrystals. In one technique, Si or Ge nanocrystalline powder is transferred into solution and films are spun onto substrates. The nanocrystals are not solubilized by most solvents, leading to flocculation and poor film morphology. However, solvents with similar dielectric constants as Si and Ge suppress the van der Waals attraction between nanocrystals, resulting in a stable colloid. Films cast from these solutions are particularly smooth and have been used for thin film field effect transistors. Germanium nanocrystal transistors exhibit n type behavior with electron mobilities as large as 7x10 cm/Vs and on off ratios of 10. We have also investigated inorganic organic hybrid devices based on Si nanocrystals and poly3(hexylthiophene) (P3HT) P3HT was added to Si nanocrystal solutions and blend films were spun as the active layer in photovoltaic devices. These devices, in which the Si nanocrystals act as the electron conductor and the P3HT acts as the hole conductor, have achieved open circuit voltages of 0.8 V, short circuit currents of 3.9 mA/cm, and power conversion efficiencies of 1.5 under solar irradiation [2] References [1] L. Mangolini, E. Thimsen, and U. Kortshagen, Nano Lett. 5, 655 (2005) [2] C.Y. Liu, Z.C. Holman, and U.R. Kortshagen, Nano Lett. 9, 449 (2009)", "author_names": [ "Chin-Yi Liu", "Uwe R Kortshagen" ], "corpus_id": 166227585, "doc_id": "166227585", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Silicon and Germanium Nanocrystal Electronic Devices", "venue": "", "year": 2009 }, { "abstract": "We report solar cells based on highly confined nanocrystals of the ternary compound PbSxSe1 x. Crystalline, monodisperse alloyed nanocrystals are obtained using a one pot, hot injection reaction. Rutherford back scattering and energy filtered transmission electron microscopy suggest that the S and Se anions are uniformly distributed in the alloy nanoparticles. Photovoltaic devices made using ternary nanoparticles are more efficient than either pure PbS or pure PbSe based nanocrystal devices. Colloidal semiconductor nanocrystals display a wealth of size dependent physical and chemical properties, including quantum confinement effects, shape dependent electronic structure,1,2 and control over assembly through modification of surface functionalization.3,4 Photovoltaic devices are an easily recognized potential application for nanocrystals due, in part, to their high photoactivity, solution processability and low cost of production. Several schemes for using nanocrystals in solar cells are under active consideration, including nanocrystal polymer composites,5 nanoparticle array solar cells,6 films of partially sintered nanoparticles,7 and nanocrystal analogues to dye sensitized solar cells.8 A persistent challenge for any nanoparticle based solar cell is to take advantage of quantum confinement effects to improve the optical absorption process without overly hindering the subsequent transport of charge to the electrodes. Various binary semiconductor nanoparticles, like CdSe, CdTe, Cu2S, InP, and InAs, have been explored for photovoltaic devices but the reported efficiencies remain low, mostly limited by poor charge transport between the nanocrystals.5,7 12 With so many parameters to adjust in terms of size and shape, little work has focused on ternary or quaternary compositions of nanoparticles for solar cells. Yet it is well known from thin film solar cell studies that such compositional tuning can sometimes yield significant improvements in performance. The Pb chalcogenide family of nanocrystals is actively investigated for nanocrystal solar cell applications because they have such large exciton Bohr radii (PbS 18 nm, PbSe 47 nm, and PbTe 150 nm) In the limit where the nanocrystals are only a tenth or so of the bulk exciton diameter, electrons and holes can tunnel through a thin organic surface coating, and therefore strong electronic coupling between particles facilitates transport of charge between nanocrystals. So far, Schottky solar cells based on binary compositions of PbSe and PbS nanocrystals have been investigated. PbSe nanocrystal solar cells generate larger short circuit photocurrents while PbS nanocrystal devices with similar bandgap have shown a larger VOC. This opens the possibility to better engineer particles, by creating ternary PbSxSe1 x to simultaneously optimize both carrier transport and voltage. Moreover, the properties of PbS and PbSe lead to an ideal substitutional alloy; the atomic anion radii are within 15% of each other, the lattice mismatch factor is only 2% between PbS and PbSe (see Supporting Information for the similarity of the XRD patterns) and of course the anions are isovalent. Although the synthesis of ternary PbSxSe1 x nanocrystals13,14 remains underdeveloped compared to the widely studied cadmium chalcogenides alloys,15 17 we successfully obtained monodisperse, highly crystalline nanocrystals using a one pot, hot injection synthesis. Lead oxide (PbO, 99.999% selenium (99.99% oleic acid (OA, technical grade, 90% diphenylphosphine (DPP, 98% 1,3 benzenedithiol (BDT, >98% bis(trimethylsilyl) sulfide (TMS2S, purum) 1 octadecene (ODE, 90% anhydrous solvents and aluminum shot (99.999% were purchased from Aldrich and used as received. Trioctylphosphine (TOP, >97% was acquired from Strem. Nanocrystal synthesis was performed under argon atmosphere using standard air free Schlenk line techniques. The synthesis scheme is as follows: A solution of 446 mg PbO (2 mmol) 1.4 g oleic acid (5 mmol) and 10 g ODE was degassed and heated to 150 degC in a 50 mL three neck flask for one hour. A mixture of the proper amount 1 M TOP/Se solution, TMS2S, DPP (40 mg) and ODE was then rapidly injected into this hot solution. The Se and S precursor ratio was tuned to specific values, but the total amount was kept at 1 mmol. ODE was added to dilute the precursor Towhomcorrespondenceshouldbeaddressed.E mail:[email protected]. NANO LETTERS 2009 Vol. 9, No. 4 1699 1703 10.1021/nl900388a CCC: $40.75 2009 American Chemical Society Published on Web 03/12/2009 solution to 2 mL total. The nanocrystals were grown at 150 degC for 90 s, and the reaction was rapidly quenched by placing the flask in a room temperature water bath and injecting 5 mL of anhydrous hexane. The nanocrystals were purified by precipitation twice in hexane/ethanol and once in hexane/ acetone and stored in a glovebox. Achieving and characterizing a uniformly alloyed nanocrystal remains difficult.16 Complications arise from the difference in precursor solubility and reactivity at a given temperature, and in the difference between nucleation and growth of nanocrystals. Since TMS2S is more reactive than TOP/Se, the stoichiometric ratio of S to Se in the resulting nanocrystal sample was greater than the injected precursor ratio. The composition of the resulting nanocrystals was characterized using energy filtered transmission electron microscopy (EF TEM)18 to determine whether the nanocrystals resulted in separately nucleated PbS and PbSe, core shell architectures, or alloyed composites. Figure 1A C show zero loss and EF TEM images of a sample of ~7 nm PbS0.7Se0.3 taken at the same position on a TEM grid. To achieve strong elemental signals, for EF TEM we found it necessary to use large nanocrystals and exchange the oleate ligands on the nanocrystal surface by adding a small amount of butylamine and washing the nanocrystals the following day such that the nanocrystals will not self assemble into close packed arrays. All nanocrystals in Figure 1A (zero loss) appear in both the S mapping of Figure 1B and at a corresponding location in the Se mapping of Figure 1C. The selected areas in Figure 1A C make the comparison easier and are shown in greater detail in Figure 1D with the S and Se maps overlaid. The TEM results indicate that to some extent both Se and S are distributed inside each nanocrystal without apparent phase separation. Note that S is more prevalent than Se in the sample (i.e. PbS0.7Se0.3) Figure 1A inset shows a high resolution TEM image of a single nanocrystal. Uniform lattice structure with no obvious stack faults or core shell structure is observed. See Supporting Information for additional TEM images of well packed oleate capped ternary PbSSe nanocrystals. Rutherford backscattering spectroscopy (RBS) was then used to investigate the actual anion ratio. Figure 2 shows results from RBS data taken on a series of samples where the relative amount of S in the precursor ratio (S/(S+Se) was systematically varied from 0 to 1. The graph shows a clear nonlinearity in the percent incorporation of anions in the nanocrystals compared to fraction present in the original precursor solution. For example, only 30% S in the precursor is needed to make nanocrystals with 70% S composition. Presumably, this nonlinearity results from the different reactivity of the chalcogen precursors. We also find that for longer reaction times, more Se is incorporated (see Figure S5 in Supporting Information) indicating a possible radial gradient in composition; however, to be consistent, all nanocrystals used in devices were only allowed to grow for 90 s, thus suppressing such a gradient. RBS data shows that all samples display Pb rich composition regardless of whether or not Pb was in excess during synthesis. For optical characterization, the alloyed nanocrystals were suspended in tetrachloroethylene. Absorbance spectra for nanocrystals with different compositions are displayed in Figure 1. (A) Bright field TEM image showing 7 nm PbS0.7Se0.3 nanocrystals. Scale bar represents 10 nm. Inset shows the high degree of crystallinity of a single ternary nanocrystal without an obvious core shell configuration. (B) Energy filtered TEM image at the same location as in panel A, showing in red regions containing sulfur. (C) EF TEM showing selenium map in blue. (D) The outlined region in panels A C is enlarged and overlaid to show sulfur and selenium in each nanocrystal. 1700 Nano Lett. Vol. 9, No. 4, 2009 Figure 3A. Arising from the smaller bandgap of PbSe relative to PbS for a given size,19 we notice the red shift of the first excitation peak with reduced S composition. This trend can be observed more clearly in the inset of Figure 3B, which shows a linear relationship between the nanocrystal bandgap energy and the composition ratios. Vegard's Law predicts the structure and function of many alloyed materials: Ealloy EA (1 )EB, where is the mole fraction, EA, EB, and Ealloy are the band gap energy (or other properties) of pure composition A, pure composition B, and the alloyed material, respectively. However, this linear relationship does not apply to several classes of semiconductor alloys. For example, both bulk and nanocrystal CdSexTe1 x alloys display pronounced nonlinear \"optical bowing\" effects.16,17 Zunger and co workers explain this type of observation by identifying three structural and electronic factors leading to nonlinearity of ternary compounds: different atomic size, electronegativity values of ions, and different lattice constants of the binary structures.20,21 A substantial lattice mismatch (11% also exists between the binary semiconductors CdS and CdTe that leads to enhanced nonlinear effects there also. However, in the case of PbSxSe1 x there is only a 2% lattice mismatch between PbS and PbSe, so it is reasonable to observe less nonlinearity with composition in this alloy system, considering also that the difference in atomic size", "author_names": [ "Joseph M Luther", "Haimei Zheng", "Yue Wu", "A Paul Alivisatos" ], "corpus_id": 16186014, "doc_id": "16186014", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Letter Photovoltaic Devices Employing Ternary PbS", "venue": "", "year": 2009 }, { "abstract": "We report on experimental results of non resonant two photon absorption induced photoluminescence in ZnO nanostructures, which may act as a possible route to excite ZnO nanostructure based lasers. Epitaxial ZnO nanorod like nanostructure was grown on pre seeded Si (100) substrates by chemical vapor deposition (CVD) method with a mixed ZnO/C solid source. Crystalline ZnO seeds were prepared and controlled by the rapid thermal annealing (RTA) treatment of e beam deposited amorphous ZnO thin films with various thicknesses. INTRODUCTION ZnO is very interesting and promising optical material because it has a room temperature direct band gap of 3.37 eV [1] and excitonic binding energy of 60 meV [2] However, it remains very challenging to make it as a truly useful optoelectronic material. One of the challenges is to epitaxially grow ZnO on a suitable substrate although thin film deposition on various substrates such as silicon or sapphire wafers using different methods has been successful [3] Another challenge is to understand and control the high level of non intentionally doped residual electron density. This not yet controllable residual electron density [4, 5] is the reason behind the inability of making ZnO a good p type material necessary optoelectronic devices. It also limits the full potential of large exciton binding energy because of carrier screening effect. Despite this drawback, there are increasing amount of works [6 8] on further exploring its optical properties of this material with hope that the material issue will be soon solved in joining the success of GaN related materials. Most of reports, however, are based on single photon excitation such as single photon pumped ZnO UV lasers. On the other hand, the none centralsymmetry of the wurtzite structural ZnO should have good nonlinear multi photon effects which have potential application in multi photon absorption fluorescence microscopy, threedimensional optical data storage, frequency up conversion luminescence and lasing, and optical limiting owing to high spatial resolution and large penetration depth of excitation light. In this work, we report on the experimental results of non resonant two photon absorption induced photoluminescence in a ZnO nanostructures from at room temperature. The ZnO nanorod like nanostructure was epitaxially grown on pre seeded Si (100) substrates by Chemical Vapor Deposition (CVD) method with a mixed ZnO powder/C powder solid source. Crystalline ZnO seeds were prepared and controlled by the rapid thermal annealing (RTA) treatment of e beam deposited amorphous ZnO thin films with various thicknesses. EXPERIMENTAL RESULTS AND DISCUSSION Amorphous films with thickness from 200 to 600 nm were deposited on Si (100) substrates by e beam evaporator and then rapid thermal annealed under N2 with various annealing temperature and time period. These films were then studied by scanning electron microscopy and photoluminescence. Fig. 1a and 1b display the seed film used to epitaxially grow nanorods reported in this work. Fig. 1a is a relatively large scale image indicating clearly domain size on order of 100 mm; while Fig. 1b is 200 nm scale SEM image showing most of crystallites have a well defined orientation of (0001) direction. Fig. 1a SEM image of rapid thermally annealed ZnO amorphous film. Fig. 1b Same as Fig. 1a but with large magnification. Rapid thermal annealed films were then characterized by photoluminescence (PL) PL spectra were measured by Horiba NanoLog system coupled with an optical cryostat (4 to 350 K) from Advanced Research System. The 9 K photoluminescence spectrum is displayed in Fig. 2 for the ZnO seeded film, RTA 18, on which nanorods were grown. From the PL intensity variation with temperature, three main PL peaks are identified as A band free exciton, XA (3.365 eV) donor bound exciton, XD (3.328 eV) and phonon replica of XA (3.255 eV) The so called green is very weak indicating very low optically active defect density in these films. A commercially built vapor deposition (CVD) system (First Nano, ET2000) was used to epitaxially grow (we call it nano epi) nanostructures on these pre seeded substrates. This system was equipped with a separated solid source heater, a three zone (load, center, and end zone) furnace, a gas injector, a vacuum pump, and a quartz tube. The reaction tube was controlled by a three zone furnace to obtain a uniform temperature across the substrate at the collecting area over 3 inches by 2 inches. The source material was mixed ZnO powder (Alfa Aesar, 99.99% and graphite powder (Alfa Aesar, 99.995% with mass ratio of 1:4. The solid source was placed at the load zone in the quartz tube and heated up to high temperature by an additional solid source heater to generate Zn vapor which was then carried into the center zone by the Ar carrying gas. The reacting gas (O2) was introduced into the system by gas injector. Fig. 2 Photoluminescence spectrum from the ZnO seeded film at 9 K. Fig. 3a (with a 1 mm scale) and 3b (200 nm scale) display the SEM images of irregular nanorods epitaxially grow on the ZnO seeds. Room temperature PL spectrum was taken from these epitaxially grown nanorods. Its peak intensity is at least 3 times stronger than that from bare seed film as shown in Fig. 4a. The normalized 9 K PL spectrum from ZnO nanorods is also displayed in Fig. 4b as compared with that from the bare ZnO seed layer. It appears that the PL originated from donor bound exciton is either much weaker or completely disappeared suggesting these ZnO nanorods epitaxially grown on the ZnO seeds might be residual donor free material. Two photon absorption induced PL was excited by 532 nm laser line for an Nd: YVO laser (8 ps pulse width, 76 MHz repetition rate) A log intensity plot of excitation dependence of PL spectra taken at room temperature is displayed in Fig. 5a. It should be noticed that PL peak, 378 nm, is at the same position as the PL spectra excited by one photon excitation. This behavior is distinctly different than the two photon absorption induced PL from thick films, in which PL peak is red shifted due to self absorption. A linear plot of the two photon induced PL spectrum at the excitation power of 488 mW is shown in Fig. 5b with negligible green emission at 550 nm, consistent to our suggestion of the high optical quality of these nanorods. Fig. 3a SEM image of ZnO nanorods epitaxially grown on ZnO seeded layer. Fig. 3b Same as Fig. 4a except with high magnification. Fig. 4a Room temperature PL spectrum of ZnO nanorods as compared to that from the bare seed layer (green curve) Fig. 4b Normalized 9 K PL spectrum of ZnO nanorods as compared to that from the bare see layer (green curve) Fig. 5a Two photon absorption induced PL spectra at different excitation power. Fig. 5b Two photon induced PL spectrum at the excitation power of 488 mW. Finally, the integrated PL intensity excited by 532 nm laser is plotted as a function excitation power in Fig. 6. It clearly shows that its variation with the excitation power has an exact slope of 2 indicating unambiguously two photon absorption process is the excitation mechanism for the measured PL excited below their band gap in these ZnO nanorods. 10 10 10 10 10 10 10 10 10 Slope 2 Exc. Wavelength: 532 nm Temperature: 300 K In te gr at ed P L (c ps n m Excitation Power (mW) Fig. 6 Integrated 2 photon absorption induced PL intensity as a function of excitation power at room temperature. CONCLUSIONS Our preliminary experimental data on two photon absorption induced photoluminescence are reported from ZnO nanorods epitaxially grown on a ZnO pre seeded film. This system might provide a platform to study nonlinear optical processes in various ZnO nanostructures. ACKNOWLEDGMENTS The authors would like to acknowledge the partial financial support from NYSTAR grant for this work through the CUNY center of advanced technology on photonic applications. REFERENCES 1. E.Mollwo, in Semiconductors: Physics of II VI and I VII Compounds, Semimagnetic Semiconductors, edited by O.Madelung, M. Schulz, and H. Weiss (Springer, Berlin, 1982) vol. 17 of Landolt B ornstein New Series, p. 35. 2. E. O'Kane, Phys. Rev. B 18, 6849 (1978) 3. X. W. Sun and H. S. Kwok, J. Appl. Phys. 86, 408 (1999) 4. U. Ozgur et al. J. Appl. Phys. 98, 043101 (2005) 5. D. L. Look, J. Electronic Materials 35, 1299 (2006) 6. C. F. Zhang et al. Appl. Phys. Lett. 87, 051920 (2005) 7. C. F. Zhang et al. Appl. Phys. Lett. 89, 042117 (2006) 8. G. P. Zhu et al. Appl. Phys. Lett. 94, 051106 (2009)", "author_names": [ "Zhuo Chen", "Tom Salagaj", "C Jensen", "Karlheinz Strobl", "Vitchanetra Hongpinyo", "Boon Siew Ooi", "Mim Lal Nakarmi", "Kai Shum" ], "corpus_id": 39395836, "doc_id": "39395836", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Two photon absorption induced photoluminescence in a ZnO nanostructure", "venue": "", "year": 2009 }, { "abstract": "The wetting of a solid surface by a liquid depends on the surface free energy, but surface free energy does not depend on the chemistry of the surface alone. Topography can enhance hydrophobicity so that an almost complete roll up of droplets to create superhydrophobicity occurs or it can enhance hydrophilicity so that water spreads into a film; the same is true for other liquids. 1 2 In this talk I will first present examples of superhydrophobic surfaces from our laboratory and then describe how surface free energy considerations can explain their wetting behaviour. I will then describe the counter intuitive (and controversial) implication that providing the energy associated with the bending of a solid can be neglected, all solids, including Teflon, are in fact hydrophilic in an absolute sense. 3 Experiments, originating from basic ideas of adhesion, supporting this contention will be described. 4 6 I will then describe four examples of how a combination of topography and surface chemistry can be used to promote \"slippy\" and drag reducing properties of surfaces. In the first case, the notion that hydrophobic solids can adhere to the water air interface will be developed as a method to convert a water solid contact into a solid solid contact thereby creating freely rolling liquid marbles. 8 in the second case, I will describe how protein adsorption and bio fouling on the walls of microfluidic channels can be reduced and flow enhanced detachment can be enhanced by using hydrophobic nano scale topographic features. 9 In the third case, I will describe experiments that suggest the drag associated with the flow of simple liquids through milli metric diameter copper tubes can be reduced by creating a hydrophobic copper nano ribbon internal surface coating. 1 deg In the fourth case, I will describe a recreation of a classic experiment in which a spherical object falls through a column of water under the action of gravity. However, in this case, I will present data suggesting that when the objects possess a superhydrophobic surface and retain a layer of air when immersed, they fall faster rather than slower and so show a significant reduction in drag. 11 Acknowledgements The author acknowledges the financial support of the UK EPSRC and MODIDstI and the support of coworkers. References 1. Roach, P. Shirtcliffe, N.J. Newton, M.I. \"Progress in superhydrophobic surface development\" Soft Matter 2008, 4, 224 240. 2. McHale, G. Shirtcliffe, N.J. Agil, S. Perry, C.C. Newton, MA. \"Topography driven spreading\" Phys. Rev. Lett. 2004, 93, art. 036102. 3. McHale, G. \"All solids, including Teflon, are hydrophilic, but some have roughness induced hydrophobic tendencies\" Langmuir 2009, in press. 4. Gao, L. McCarthy, T.J. \"Teflon is hydrophilic. Comments on definitions of hydrophobic, shear versus tensile hydrophobicity, and wettability characterization\" Langmuir 2008, 24, 9183 9188. 5. Py, C. Reverdy, P. Doppler, L. Bico, J. Roman, B. Baroud, C.N. \"Capillary Origami: Spontaneous wrapping of a droplet with an elastic sheet\" Phys. Rev. Lett. 2007, 98, art. 156103. 6. Py, C. Reverdy, P. Doppler, L. Bico, J. Roman, B. Baroud, C.N. \"Capillarity induced folding of elastic sheets\" Fur. Phys. J. Special Topics 2009, 166, 67 71. 7. Aussillous, P. Quere, D. \"Liquid Marbles\" Nature 2001, 411, 924 927. 8. McHale, G. Herbertson, D.L. Elliott, S.J. Shirtcliffe, N.J. Newton, M.I. \"Electrowetting of non wetting liquids and liquid marbles\" Langmuir 2007, 23, 918 924. 9. Shirtcliffe, N.J. Koc, Y. de Mello, A.J. McHale, G. Newton, M.I. Roach, P. \"Nano scale superhydrophobicity: Suppression of protein adsorption and promotion of flow induced detachment\" Lab on a Chip 2008, 8, 582 586. 10. Shirtcliffe, N.J. McHale, G. Newton, M.I. Zhang, Y. \"Superhydrophobic copper tubes with possible flow enhancement and drag reduction\" Appl. Mater. lnterf. 2009, submitted. 11. McHale, G. Shirtcliffe, N.J. Evans, C.R. Newton, M.I. \"Terminal velocity and drag reduction measurements on superhydrophobic spheres\" Appl. Phys, Lett. 2009, 94, art. 064104. Development of a novel fibronectin based biomaterial: A slick solution to a sticky problem Mudera V, Branford 0, Grobbelaar A, McGrouther DA and Brown R. Tissue Repair and Engineering Centre (TREC) University College London Institute of Orthopaedics Royal National Orthopaedic Hospital Brockley Hill Stanmore, Middlesex, HA7 4LP Phone: 44 (0)208 909 5634, Fax: 44 (0)208 954 8560 email: v.muderan. ucl.ac.uk", "author_names": [ "Stuart Anson Brewer" ], "corpus_id": 212671550, "doc_id": "212671550", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Easy release and \"non sticking\" An overview of fundamental principles", "venue": "", "year": 2009 }, { "abstract": "In this study, we demonstrate that periods of metallic gratings on elastomeric substrates can be tuned with external strain and hence are found to control the resonance condition of surface plasmon polaritons [1] The periods of the gratings are increased up to 25% by the use of applied mechanical strain. The tunability of the elastomeric substrate provides the opportunity to use such gratings as efficient surface enhanced Raman spectroscopy substrates. It's been demonstrated that the Raman signal can be maximized by tuning the period of the elastomeric grating. The surface plasmon resonance (SPR) phenomena observed on metal surfaces or nanoparticles has been a great interest in several fields of research such as nanoscale photonics and biological sensing. Continuous metallic films possessing a periodic perturbation exhibit strong extinction and scattering spectra when excited at the SPR condition. The challenge of designing effective structures to manipulate plasmonic fields and utilize them in functional devices still remains. In particular, the use of SPR in surface enhanced Raman spectroscopy (SERS) and biological sensing require an intelligent design in order to maximize the plasmonic enhancement. In this regard, the tunability of the SPR wavelength provides flexibility in many plasmonic sensing applications. Flexible designs utilizing electronic [2] ferroelectric [3] or thermal [4] tuning mechanisms are also reported in the literature. Those methods are reversible and can be applied after the plasmonic structure is fabricated. Such a repeatable process can find wide applications in the field of Raman spectroscopy and plasmonic sensing. It was reported that by controlling the geometry of the nanoshells films, the SERS enhancements can be optimized [5] A repeatable thermal tuning mechanism using silver nanoparticles for achieving a tunable SERS substrate was reported by Lu et al. [6] In this study we use an elastomeric grating structure in order to excite surface plasmon polaritons (SPP) on its metallic surface. We report a way of tuning the SPR by applying mechanical strain on the elastomeric grating structure. The elongation of the elastomer effectively changes the period of the metallic grating. It can be seen that the SPR wavelength also shifts as the external strain changes the period of the elastomeric grating coated with a thin metallic layer. We fabricated two silicone elastomers with gratings on top using two different methods. The first elastomeric grating was generated using holographic lithography with 665 nm period. The elastomeric grating is then obtained using the replication procedure. Note that the thickness of the elastomer is kept around 5 mm. To generate SPP, the PDMS grating is coated with a 55 nm of silver using thermal evaporation. For the second elastomer we used a commercially available ruled grating with 530 nm period as the master grating. The fabrication of the elastomeric grating is the same as in the first case. For demonstration of the tunable periodicity of the elastomeric grating we measured the angle of the diffracted beam when the it is excited by a 632 nm He Ne laser for the case of 665 nm grating (A) and by a 514.5 nm Ar laser for the case of 530 nm grating (B) It's been found that the periods of the gratings increase linearly up to 25% of mechanical strain. To demonstrate the tunability of the SPR condition we used both gratings, A and B. The optical normal incidence reflection spectrum of the PDMS gratings is measured using an ellipsometer (JA Woolam VASE) As seen in Fig.1, the SPR wavelengths on silver coated gratings A and B are approximately 560 nm and 670 nm, respectively, in the absence of applied strain. As the elastomeric grating is stretched, SPR wavelength red shifts due to the increased grating periodicity. The elastomeric grating with 530 nm period is used as a SERS substrate to measure SERS signal of Rhodamine 6G (R6G) molecule. The precision mechanical strain setup is used under the objective of the spectrometer. Raman signal is maximized when the strain is 20.8\\ which corresponds to a 633 nm grating period. We have demonstrated the use of elastomeric gratings with tunable surface plasmon resonance condition. We have tuned the surface plasmon resonance wavelength by applying a mechanical strain on elastomeric gratings coated with a thin layer of metal. We have shown the use of the elastomeric grating with a SERS experiment of R6G molecule. An enhancement factor of more than 10 is achieved when the grating period is optimized. Note that the presented method is compatible with Raman and Micro Raman Spectroscopy methods which utilize a fixed incident angle. We believe that the method can be used not only in SERS experiments, but also in biosensing and plasmonic enhancement applications. S.O. acknowledges the support of TUBITAK and ASELSAN A.S. for their Ph.D. Scholarship Programs. [1] S. Olcum, A. Kocabas, G. Ertas, A. Atalar, A. Aydinli, Optics Express, 2009, 17(10) 8542 8547. [2] W. Dickson, G. A.Wurtz, P. R. Evans, R. J. Pollard, and A. V. Zayats, Nano Lett. 2008 8, 281 286. [3] H. L. Chen, K. C. Hsieh, C. H. Lin, and S. H. Chen, Nanotech. 2008 19(435304) [4] G. Xu, Y. Chen, M. Tazawa, and P. Jin, J. Phys. Chem. B 2006, 110, 2051 2056 [5] J. B. Jackson and N. J. Halas, Proc. Nat. Acad. Sci. 2004, 101, 17,930 17,935. [6] Y. Lu, G. L. Liu, and L. P. Lee, Nano Lett. 2005, 5, 5 9. *Correspondance should be sent to: [email protected]", "author_names": [ "Selim Olcum", "Askin Kocabas", "Gulay Ertas", "Abdullah Atalar", "Atilla Aydinli" ], "corpus_id": 224362813, "doc_id": "224362813", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Tunable Surface Plasmon Resonance on an Elastomeric Grating", "venue": "", "year": 2009 } ]
circularly polarized cavity mode photonic crystal
[ { "abstract": "", "author_names": [ "Yuzou Kinuta", "Shun Takahashi", "Kenichi Yamashita", "J Tatebayashi", "Satoshi Iwamoto", "Yasuhiko Arakawa" ], "corpus_id": 214367222, "doc_id": "214367222", "n_citations": 0, "n_key_citations": 0, "score": 1, "title": "Circularly Polarized Cavity Mode in a Semiconductor Based Chiral Photonic Crystal Fabricated by a Micro Manipulation Method using an Optical Microscope", "venue": "", "year": 2019 }, { "abstract": "We experimentally demonstrated a cavity mode in a GaAs based chiral photonic crystal having a planar defect. The three dimensional structure was fabricated by a micro manipulation technique under optical microscope observation. Photoluminescence measurement at low temperature for InAs quantum dots embedded in the photonic crystal showed a polarization bandgap for left handed circularly polarized light. In this bandgap, a cavity mode peak appeared and its cavity Q factor was about 390. This result could be applied to optoelectronics, biological or medical field as a circularly polarized light source with compact and high efficiency.", "author_names": [ "Yuzou Kinuta", "Shun Takahashi", "Kenichi Yamashita", "J Tatebayashi", "Satoshi Iwamoto", "Yasuhiko Arakawa" ], "corpus_id": 201813053, "doc_id": "201813053", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Chiral Cavity Mode in a GaAs Based Three Dimensional Photonic Crystal Fabricated by a Micro Manipulation Method using an Optical Microscope", "venue": "2019 Compound Semiconductor Week (CSW)", "year": 2019 }, { "abstract": "Excitons in two dimensional (2D) transition metal dichalcogenides (TMDC) are stable at room temperature because of high exciton binding energies. They can be selectively addressed based on the unique optical selection rules from angular momentum conservation for the $K/{K}\\ensuremath{ valley state and the helicity of circularly polarized light. When coupled with the optical modes in optical cavities, excitons can form exciton polaritons, exploiting which in 2D TMDC may lead to optoelectronic devices for room temperature operation. The valley degree of freedom of the excitons, however, is mostly lost when forming exciton polaritons because the cavity mode usually does not have a well defined spin angular momentum. Here, we theoretically demonstrate that the valley information of exciton polaritons can be preserved and resolved in a photonic cavity made of birefringent materials. Because of the optical anisotropy, the guided resonance modes have a net transverse spin angular momentum and selectively couple to exciton polaritons with the corresponding valley state. In the strong coupling regime, the exciton polariton behaves in a way like the Rashba effect in the solid. The dispersion of the $K/{K}\\ensuremath{ exciton polariton splits in momentum space based on its valley state, similar to electron spins in Rashba systems. Realizing valley dependent exciton polaritons affords a possibility to explore valley exciton dynamics in a strongly coupled system and will contribute to the study of excitonic, polaritonic devices, Bose Einstein condensation, and superfluidity in semiconductors.", "author_names": [ "Ruoming Peng", "Changming Wu", "Huan Li", "Xiaodong Xu", "Mo Li" ], "corpus_id": 225664471, "doc_id": "225664471", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Separation of the valley exciton polariton in two dimensional semiconductors with an anisotropic photonic crystal", "venue": "", "year": 2020 }, { "abstract": "Modulated photonic crystal lasers can control the output beam direction two dimensionally by exciting a two dimensional cavity mode at the non diffractive photonic band edge and diffracting the mode upwards with position modulation of each air hole. In these lasers, the position modulation can be introduced one directionally, where the modulation is given by the distances between the air holes, or two directionally, where the modulation is given by the rotation angles of the air holes. For one directional position modulation, we show that the polarization of output beams is perpendicular to the direction of modulation. For two directional position modulation, we show that circularly polarized beams are obtained. As such, these lasers can control not only the beam direction but also the polarization.", "author_names": [ "Kyoko Kitamura", "Tsuyoshi Okino", "Daiki Yasuda", "Susumu Noda" ], "corpus_id": 203624315, "doc_id": "203624315", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "Polarization control by modulated photonic crystal lasers.", "venue": "Optics letters", "year": 2019 }, { "abstract": "Up to now it remains challenging to couple photons from a circularly polarized emitter into a photonic structure to simultaneously realize strong photon emitter interaction and unidirectional propagation locked by local helicity of the optical mode at the nanoscale. In this paper we propose a unique approach that combines a photonic crystal and metallic nanoparticle structure to create nanocavities with both strong local field intensity and high helicity. In this system the rate of circularly polarized photons emitting into the photonic crystal waveguide reaches $148{\\ensuremath{\\gamma}}_{0} which is one order of magnitude larger than that without the nanoparticle, and in the ultranarrow band edge mode the linewidth of Rabi splitting spectra is about one tenth of that with the nanoparticle only, both with \\ensuremath{\\approx}95% of photons propagating unidirectionally along the nanoscale waveguide. We suggest that our paper establishes a nanophotonic interface of chiral quantum electrodynamics for on chip nonreciprocal quantum light sources, quantum circuits, and scalable quantum networks.", "author_names": [ "Fan Zhang", "Juanjuan Ren", "Lingxiao Shan", "Xueke Duan", "Yang Li", "Tiancai Zhang", "Qihuang Gong", "Ying Gu" ], "corpus_id": 213136803, "doc_id": "213136803", "n_citations": 10, "n_key_citations": 2, "score": 0, "title": "Chiral cavity quantum electrodynamics with coupled nanophotonic structures", "venue": "", "year": 2019 }, { "abstract": "We present the dipole induced transparency (DIT) of a diamond nitrogen vacancy center embedded in a photonic crystal cavity coupled to two waveguides, and it is obvious with the robust and flexible reflectance and transmittance difference of circularly polarized lights between the uncoupled and the coupled cavities even in the bad cavity regime (the Purcell regime) With this DIT, we propose two universal hyperparallel hybrid photonic quantum logic gates, including a hybrid hyper controlled not gate and a hybrid hyper Toffoli gate, on photon systems in both the polarization and the spatial mode degrees of freedom (DOFs) which are equal to two identical quantum logic gates operating simultaneously on the systems in one DOF. They can be used to perform more quantum operations with less resources in the quantum information protocols with multiqubit systems in several DOFs, which may depress the resources consumed and the photonic dissipation. Moreover, they are more robust against asymmetric environment noise in the weak coupling regime, compared with the integration of two cascaded quantum logic gates in one DOF.", "author_names": [ "Bao-Cang Ren", "Guan-Yu Wang", "Fu-Guo Deng" ], "corpus_id": 118631839, "doc_id": "118631839", "n_citations": 88, "n_key_citations": 1, "score": 0, "title": "Universal hyperparallel hybrid photonic quantum gates with dipole induced transparency in the weak coupling regime", "venue": "", "year": 2015 }, { "abstract": "Abstract In this paper, the coreless photonic crystal fiber (CO PCF) is investigated using finite element. The absence of core in the PCF structure is achieved by applying the permanent twist on its cladding boundary and hence the light propagation path tends to be circularly polarized. The other modes can also be exerted other than the fundamental modes is known as cladding filled modes or super modes.", "author_names": [ "Teppala Venkata Ramana", "Arun Pandian", "C Ellammal", "T Jarin", "Ahmed Nabih Zaki Rashed", "A Sampathkumar" ], "corpus_id": 139153350, "doc_id": "139153350", "n_citations": 23, "n_key_citations": 0, "score": 0, "title": "Numerical analysis of circularly polarized modes in coreless photonic crystal fiber", "venue": "Results in Physics", "year": 2019 }, { "abstract": "We compare the properties of the broadband supercontinuum (SC) generated in twisted and untwisted solid core photonic crystal fibers when pumped by circularly polarized 40 picosecond laser pulses at 1064 nm. In the helically twisted fiber, fabricated by spinning the preform during the draw, the SC is robustly circularly polarized across its entire spectrum whereas, in the straight fiber, axial fluctuations in linear birefringence and polarization dependent nonlinear effects cause the polarization state to vary randomly with the wavelength. Theoretical modelling confirms the experimental results. Helically twisted photonic crystal fibers permit the generation of pure circularly polarized SC light with excellent polarization stability against fluctuations in input power and environmental perturbations.", "author_names": [ "Rafal Sopalla", "Gordon K L Wong", "Nicolas Y Joly", "Michael H Frosz", "Xin Jiang", "Goran Ahmed", "Philip St J Russell" ], "corpus_id": 201018192, "doc_id": "201018192", "n_citations": 8, "n_key_citations": 0, "score": 0, "title": "Generation of broadband circularly polarized supercontinuum light in twisted photonic crystal fibers.", "venue": "Optics letters", "year": 2019 }, { "abstract": "We propose and investigate an ultra compact air mode photonic crystal nanobeam cavity (PCNC) with an ultra high quality factor to mode volume ratio (Q/V) by quadratically tapering the lattice space of the rectangular holes from the center to both ends while other parameters remain unchanged. By using the three dimensional finite difference time domain method, an optimized geometry yields a Q of 7.2x106 and a V~1.095(l/nSi)3 in simulations, resulting in an ultra high Q/V ratio of about 6.5x106(l/nSi) 3. When the number of holes on either side is 8, the cavity possesses a high sensitivity of 252 nm/RIU (refractive index unit) a high calculated Q factor of 1.27x105, and an ultra small effective V of ~0.758(l/nSi)3 at the fundamental resonant wavelength of 1521.74 nm. Particularly, the footprint is only about 8x0.7 mm2. However, inevitably our proposed PCNC has several higher order resonant modes in the transmission spectrum, which makes the PCNC difficult to be used for multiplexed sensing. Thus, a well designed bandstop filter with weak sidelobes and broad bandwidth based on a photonic crystal nanobeam waveguide is created to connect with the PCNC to filter out the high order modes. Therefore, the integrated structure presented in this work is promising for building ultra compact lab on chip sensor arrays with high density and parallel multiplexing capability.", "author_names": [ "Fujun Sun", "Zhongyuan Fu", "Chunhong Wang", "Zhaoxiang Ding", "Chao Wang", "Huiping Tian" ], "corpus_id": 46802442, "doc_id": "46802442", "n_citations": 19, "n_key_citations": 1, "score": 0, "title": "Ultra compact air mode photonic crystal nanobeam cavity integrated with bandstop filter for refractive index sensing.", "venue": "Applied optics", "year": 2017 }, { "abstract": "The properties of the guided modes, including the single mode conditions and the coupling of different polarized modes in the single crystal lithium niobate photonic wires, were analyzed in detail. One dimensional photonic crystal micro cavities with several different patterns, which could be used as an ultra compact optical filter, were designed and simulated in order to get high transmission at the resonant wavelength and the best preferment. The designed structure, with the whole size of 6.5 x 0.7 mm2, was fabricated on a single mode photonic wire. A measured peak transmission of 0.34 at 1400 nm, an extinction ratio of 12.5 dB and a Q factor of 156 were obtained. The measured transmission spectrum was basically consistent with the simulation, although a slight shift of resonant wavelength occurred due to the fabrication errors.", "author_names": [ "Lutong Cai", "Shaomei Zhang", "Hui Hu" ], "corpus_id": 124966593, "doc_id": "124966593", "n_citations": 20, "n_key_citations": 2, "score": 0, "title": "A compact photonic crystal micro cavity on a single mode lithium niobate photonic wire", "venue": "", "year": 2016 } ]
Beam Optics. In Fundamentals of Photonics
[ { "abstract": "Preface to the Second Edition. Preface to the First Edition. 1 Ray Optics. 2 Wave Optics. 3 Beam Optics. 4 Fourier Optics. 5 Electromagnetic Optics. 6 Ploarization Optics. 7 Photonic Crystal Optics. 8 Guided Wave Optics. 9 Fiber Optics. 10 Resonator Optics. 11 Statistical Optics. 12 Photon Optics. 13 Photon and Atoms. 14 Laser Amplifiers. 15 Lasers. 16 Semiconductor Optics. 17 Semiconductor Photon Sources. 18 Semiconductor Photon Detectors. 19 Acousto Optics. 20 Electro Optics. 21 Nonlinear Optics. 22 Ultrafast Optics. 23 Optical Interconnects and Switches. 24 Optical Fiber Communications. A Fourier Transform. B Linear Systems. C Modes of Linear Systems. Symbols and Units. Authors. Index.", "author_names": [ "Bahaa E A Saleh", "Malvin Carl Teich", "Richard E Slusher" ], "corpus_id": 120755349, "doc_id": "120755349", "n_citations": 3903, "n_key_citations": 292, "score": 1, "title": "Fundamentals of Photonics", "venue": "", "year": 1991 }, { "abstract": "Ion beam technology is capable of engineering the properties of dielectrics in a flexible manner. Several techniques have been used to fabricate optical waveguides with diverse geometries and synthesize nanoparticles that are embedded in various materials, which enables broad applications in optics and photonics. In this chapter, the ion beam technology is briefly introduced in Sect. 1.1, giving an overview of the ion beam facilities and the applied techniques for waveguide fabrication and nanoparticle synthesis in dielectric materials. In Sect. 1.2, the fundamentals of optical waveguide theory based on dielectrics are briefly introduced. Section 1.3 describes the systems consisting of nanoparticles and their dielectric circumstances, and focuses on the general optical effects. The well established techniques for characterization of optical waveguides and nanoparticle systems are overviewed in Sect. 1.4.", "author_names": [ "Feng Chen", "Hiroshi Amekura", "Yuechen Jia" ], "corpus_id": 218928248, "doc_id": "218928248", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Fundamentals of Ion Beam Technology, Waveguides, and Nanoparticle Systems", "venue": "Springer Series in Optical Sciences", "year": 2020 }, { "abstract": "Meet us at Photonics West 2019 South Hall Booth 343 A diffractive optical element (DOE) uses thin micro structure patterns to alter the phase of the light that is propagated through it. Those micro structures, once properly designed, can manipulate the light to almost any desired intensity profile or shape. This technology enables many functions and light manipulations which are not feasible with standard refractive optics. In many applications, these functions are highly beneficial and improve system performance significantly. Diffractive optical solutions have many advantages such as: high efficiency, high precision, small dimensions, low weight, and, most importantly, are flexible solutions that meet a variety of different applications' requirements.", "author_names": [ "Shlomit Katz", "Natan Kaplan", "Israel Grossinger" ], "corpus_id": 198918853, "doc_id": "198918853", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "DOEs for beam shaping fundamentals and applications", "venue": "", "year": 2019 }, { "abstract": "In the past few decades, the advancements in nanotechnology have significantly altered many fields of science and technology, especially electronics and integrated photonics. Free space optics, on the other hand, has remained mostly unaffected, and even today \"optics\" reminds us of carefully shaped and polished pieces of various types of glasses and crystals lumped into lenses and beam shapers. Several of these devices are then combined into more complicated optical systems like microscopes and pulse shapers that are expensive, bulky, sensitive to various environmental factors, and require several alignment steps. This thesis contains my work on designing and utilizing structures engineered at the nano scale, which are called metasurfaces, to implement compact optical elements and systems with capabilities beyond those of conventional refractive and diffractive optics. My contributions to this field are two fold: I have developed and contributed to the development of new concepts that take metasurfaces beyond conventional difractive optics in various aspects, in addition to paradigm changing platforms for optical element and system design. Here, I first give an overview and a brief history about optical metasurfaces. Next I discuss the unprecedented capabilities of metasurfaces in controlling light based on its degrees of freedom like illumination angle and polarization. Then, I will focus on various novel metasurface platforms of conformal and tunable metasurfaces, 3D metasurface beam shapers, and integrated metasurfaces. I conclude with an outlook on future potentials and challenges that need to be overcome for realizing their wide spread applications.", "author_names": [ "Seyedeh Mahsa Kamali" ], "corpus_id": 201886801, "doc_id": "201886801", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Dielectric Metasurfaces from Fundamentals to Applications", "venue": "", "year": 2019 }, { "abstract": "Abstract. An innovative type of optical component a volume Bragg grating has recently become available commercially and has found wide applications in optics and photonics due to its unusually fine spectral and angular filtering capability. Reflecting volume Bragg gratings, with the grating period gradually changing along the beam propagation direction (chirped Bragg gratings CBGs) provide stretching and recompression of ultrashort laser pulses. CBGs, being monolithic, are robust devices that have a footprint three orders of magnitude smaller than that of a conventional Treacy compressor. CBGs recorded in photo thermo refractive glass can be used in the spectral range from 0.8 to 2.5 mm with the diffraction efficiency exceeding 90% and provide stretching up to 1 ns and compression down to 200 fs for pulses with energies and average powers exceeding 1 mJ and 250 W, respectively, while keeping the recompressed beam quality M2<1.4, and possibly as low as 1.1. This paper discusses fundamentals of stretching and compression by CBGs, the main parameters of the gratings including the CBG effects on the laser beam quality, and currently achievable CBG specifications.", "author_names": [ "Leonid B Glebov", "Vadim I Smirnov", "Eugeniu Rotari", "Ion Cohanoschi", "Larissa Glebova", "Oleg V Smolski", "Julien Lumeau", "Christopher Lantigua", "Alexei L Glebov" ], "corpus_id": 17628049, "doc_id": "17628049", "n_citations": 57, "n_key_citations": 3, "score": 0, "title": "Volume chirped Bragg gratings: monolithic components for stretching and compression of ultrashort laser pulses", "venue": "", "year": 2014 }, { "abstract": "REFERENCES AND LINKS [1] P. Franken, A. Hill, C. D. Peters, G. Weinreich, \"Generation of optical harmonics\" Phys. Rev. Lett. 7, 118119 (1961) [2] J. Giordmaine, \"Mixing of light beams in crystals\" Phys. Rev. Lett. 8, 19 20 (1962) [3] J. A. Armstrong, N. Bloembergen, J. Ducuing, P. S. Pershan, \"Interactions between light waves in a nonlinear dielectric\" Phys. Rev. 127, 1918 1939 (1962) [4] C. Mendez, J. R. Vazquez de Aldana, G. A. Torchia, L. Roso, \"Integrated grating induced control of second harmonic beams in frequency doubling crystals\" Opt. Lett. 30, 2763 2765 (2005) [5] F. Zernike, J. E. Midwinter, Applied Nonlinear Optics, John Wiley Sons, New York (1973) [6] J. M. Cabrera, F. Agullo, F. J. Lopez, Optica Electromagnetica, Vol. II, Addison Wesley, Madrid (2000) [7] R. W. Boyd, Nonlinear Optics, Academic Press, Boston (1992) [8] Y. Shen, The Principles of Nonlinear Optics, Wiley, New York (1984) [9] B. E. A. Saleh, M. C. Teich, Fundamentals of Photonics, pp. 100 107, John Wiley Sons, New York (1991) [10] G. Indebetouw, T. Zukowski, \"Nonlinear optical effects in absorbing fluids: some undergraduate experiments\" Eur. J. Phys. 5, 129 134 (1984) ABSTRACT:", "author_names": [ "Benjamin Alonso", "Javier R Vazquez de Aldana", "Luis Roso" ], "corpus_id": 9106048, "doc_id": "9106048", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Simulating beam shape effects in non collinear second harmonic generation", "venue": "", "year": 2009 }, { "abstract": "Controlling the flow of light is one of the major challenges in modern optics. With optical telecommunication and computing technologies becoming increasingly important, there is an ever growing need for devices that will be able to control and manipulate lightwave signals. Guiding of light over large distances with ultralow losses has revolutionized the communications industry, allowing for fiber optic transmission of information. Therefore, it is certainly conceivable that the control of light flow on a microscopic scale may equally well open a new era in the realms of computation, quantum electronics, photonics, optical chips, and functional devices. Classic means for controlling light signals are Bragg mirrors, waveguides, resonators, and beam splitters. However, considering that the diversity of modern optical devices has dramatically increased, there is now a plethora of new challenges in our quest for new ways of controlling light. An example of desired lightwave based functionality is optically switchable windows, whose appearance can be switched on demand (e.g. from opaque to totally transparent and vice versa) It is thus clear that such sorts of applications fundamentally entail an exploration and pursuit of new ideas, designs, and photonic devices that will enable us to mould the flow of light beyond current constraints. Photonic crystals (PCs) are engineered structures that have a photonic functionality on the materials level, enabling the complete prohibition or allowance of the propagation of light in certain directions and at certain frequencies. They accomplish this feat by means of a periodic modulation of the refractive index of a suitable host medium. Within these three dimensionally periodic structures, the distribution of electromagnetic modes and their accompanying dispersion relations differ dramatically from those of bulk media. PCs are, in this regard, highly attractive because they allow the design and manipulation of their photonic properties based on a so called aband structure engineering.a In particular, it swiftly turns out from a pertinent modal analysis that PCs possess photonic band gap (PBG) regions, i.e. regions in which the propagation of photons is forbidden and the density of allowed electromagnetic states vanishes. These regions can be designed to exist in one two or three dimensional structures, depending on whether the dielectric constant is periodic along one direction and homogeneous in the others (1D PCs) periodic in a plane and homogeneous in the third direction (2D PCs) or periodic in all three directions (3D PCs) Although 1D PCs have been known and well studied for decades in the form of highly reflecting dielectric (Bragg) mirrors, the idea of constructing a 2 or 3D PC is no more than about two decades old. From the start, 3D PCs have attracted enormous attention by scientists, owing to the prediction that they posses highly unusual features, such as full 3D PBGs, and also because of the conceivable applications of these structures. Some of the best known 3D PCs are the Yablonovite structure, the alayer by layera structure, the silicon woodpile structure, and the opal and inverse opal PC structures.", "author_names": [ "Durga P Aryal", "Ortwin Hess", "Kosmas L Tsakmakidis" ], "corpus_id": 114050842, "doc_id": "114050842", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Photonic Crystals: From Fundamentals to Functional Photonic Opals", "venue": "", "year": 2009 }, { "abstract": "PART A: Fundamental Nuclear Research 1. Nuclear Structure 2. Nuclear Reactions 3. Electrostatic Accelerators 4. Linear Accelerators 5. Exotic Nuclear Beam Facilities 6. Superheavy Nuclei 7. Nuclear y Spectroscopy and the y Spheres 8. y Optics and Nuclear Photonics 9. The Proton 10. Physics of the Neutron 11. Neutrino Astrophysics 12. Nuclear Astrophysics 13. Relativistic Nucleus Nucleus Collisions PART B: Applied Nuclear Physics 14. Neutron Stars 15. Supernovae and their Nucleosythesis 16. Accelerator Mass Spectrometry and its Applications 17. Nuclear Medicine 18. Cancer Therapy with Ion Beams PART C: Nuclear Power 19. The Physics of Nuclear Power from Fission and Fusion 20. Fundamentals of Controlled Nuclear Fission and Essential Characteristics of Pressurized Water Reactors 21. Generation IV Nuclear Reactors 22. Transmutation of High Level Nuclear Waste by Means of Accelerator Driven System (ADS) 23. Fusion Energy by Magnetic Confinement 24. Heavy Ion Inertial Fusion", "author_names": [ "R Stock" ], "corpus_id": 118678584, "doc_id": "118678584", "n_citations": 8, "n_key_citations": 0, "score": 0, "title": "Encyclopedia of nuclear physics and its applications", "venue": "", "year": 2013 }, { "abstract": "Recent experimental and theoretical results have shown that crossing a probe laser in a plasma with a secondary pump can modify the amplitude, phase, and polarization of the probe in a controlled manner. Beyond fundamental physics, these results suggest that a pump plasma based optical system could be used to amplify and control a laser pulse at high power, where the high fluence precludes using an optical system. This paper attempts to clarify the transient regime of such a pump probe plasma system. An analytical solution is derived to the coupled equations in the relevant regime, valid for any frequency detuning, coupling strength, and damping. Asymptotic expressions in the scantly studied off resonance regime are derived. The time to reach the steady state is found to be roughly independent of the detuning. This time to steady state defines the response time of such a plasma photonics system and can be made potentially much faster than traditional optics by controlling the damping of plasma acoustic waves. We comment on the steady state assumption typically used to interpret current experiments and design future ones.", "author_names": [ "L Divol", "T Chapman", "C Goyon" ], "corpus_id": 133439500, "doc_id": "133439500", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "An analytical study of non resonant transient cross beam power transfer relevant to recent progress in plasma photonics", "venue": "Physics of Plasmas", "year": 2019 }, { "abstract": "Summary form only given. Inertial Confinement Fusion (ICF) experiments at the National Ignition Facility (NIF) have unveiled a wealth of new insights into the physics of laser plasma interaction (LPI) in the presence of many laser beams. Besides their crucial impact on ICF experiments, these findings have also revealed new ways in which LPI processes can alter the fundamental optical properties of plasmas (optical anisotropy, refractive index modifications, dispersion etc. These findings have led to the proposal of new ideas to manipulate light using plasmas, including conceptual designs for new plasma based optical systems such as plasma polarizers, wave plates and dielectric mirrors. Compared to traditional (crystal based) optics systems, such plasma based photonics devices essentially alleviate the constraints of optics damage, and can manipulate laser beams at fluences millions of times above the damage threshold of solid state optics. In this presentation, some of the main recent findings on multi beams LPI in NIF experiments will be reviewed, as well as how their analysis has led us to pursue new research in \"plasma photonics\" Recent and on going experiments at the Jupiter Laser Facility (LLNL) to test and validate these new schemes will also be presented. A tunable plasma waveplate was recently demonstrated (D. Turnbull et al. submitted) and was used to produce near ideal circular polarization of an intense laser beam. Follow up experiments aimed at demonstrating a plasma based polarizer and dielectric mirror are currently in preparation.", "author_names": [ "P Michel", "D P Turnbull", "C Goyon", "L Divol", "T Chapman", "Bradley Pollock", "J Steven Ross", "D A Mariscal", "J D Moody" ], "corpus_id": 41434615, "doc_id": "41434615", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Multi beam laser plasma interactions: From ICF to \"plasma photonics\" applications", "venue": "2016 IEEE International Conference on Plasma Science (ICOPS)", "year": 2016 } ]
Spontaneous Polarization, Spin Current and Quantum Vortices in
[ { "abstract": "We show that how to support propagation of spin degree in a spin symmetric exciton polariton condensates in a semiconductor microcavity. Due to the stimulated spin dependent scattering between hot excitons and condensates, exciton polaritons form a circular polarized condensate with spontaneous breaking the spin rotation symmetry. The spin antiferromagnetic state are developed evidently from the density and spin flow pumped by localized laser source. The low energy spin current is identified where the steady state is characterized by the oscillating spin pattern. We predict via simulation that it is very promising to dynamical creation of fractionalized half quantum vortices induced by effective non abelian gauge potential within currently experiment procedure.", "author_names": [ "Bo Xiong" ], "corpus_id": 119226878, "doc_id": "119226878", "n_citations": 0, "n_key_citations": 0, "score": 1, "title": "Spontaneous Polarization, Spin Current and Quantum Vortices in Exciton Polariton Condensates", "venue": "", "year": 2017 }, { "abstract": "We study the effects of spin orbit interactions on the low energy electronic structure of a single plane of graphene. We find that in an experimentally accessible low temperature regime the symmetry allowed spin orbit potential converts graphene from an ideal two dimensional semimetallic state to a quantum spin Hall insulator. This novel electronic state of matter is gapped in the bulk and supports the transport of spin and charge in gapless edge states that propagate at the sample boundaries. The edge states are nonchiral, but they are insensitive to disorder because their directionality is correlated with spin. The spin and charge conductances in these edge states are calculated and the effects of temperature, chemical potential, Rashba coupling, disorder, and symmetry breaking fields are discussed.", "author_names": [ "C L Kane", "Eugene J Mele" ], "corpus_id": 6080059, "doc_id": "6080059", "n_citations": 4181, "n_key_citations": 134, "score": 0, "title": "Quantum spin Hall effect in graphene.", "venue": "Physical review letters", "year": 2005 }, { "abstract": "The quantum spin Hall (QSH) phase is a time reversal invariant electronic state with a bulk electronic band gap that supports the transport of charge and spin in gapless edge states. We show that this phase is associated with a novel Z2 topological invariant, which distinguishes it from an ordinary insulator. The Z2 classification, which is defined for time reversal invariant Hamiltonians, is analogous to the Chern number classification of the quantum Hall effect. We establish the Z2 order of the QSH phase in the two band model of graphene and propose a generalization of the formalism applicable to multiband and interacting systems.", "author_names": [ "C L Kane", "Eugene J Mele" ], "corpus_id": 1775498, "doc_id": "1775498", "n_citations": 3310, "n_key_citations": 106, "score": 0, "title": "Z2 topological order and the quantum spin Hall effect.", "venue": "Physical review letters", "year": 2005 }, { "abstract": "Quantum Division The notion of quantum mechanics is that variables are expressed as integer values. In quantum fluids, for instance, vortices are quantized in terms of the polarization and phase shifts observed as multiples of 2p, that is, full rotations of each variable around the vortex core. Theoretical work has predicted that in some instances there should be half quantum vortices, where circumventing the vortex core is characterized by just p rotations of the phase and polarization. By observing exciton polariton condensates Lagoudakis et al. (p. 974) present evidence for the existence of these long predicted half quantum vortices. Evidence is presented for the existence of half quantum vortices in exciton polariton condensates. Singly quantized vortices have already been observed in many systems, including the superfluid helium, Bose Einstein condensates of dilute atomic gases, and condensates of exciton polaritons in the solid state. Two dimensional superfluids carrying spin are expected to demonstrate a different type of elementary excitations referred to as half quantum vortices, characterized by a p rotation of the phase and a p rotation of the polarization vector when circumventing the vortex core. We detect half quantum vortices in an exciton polariton condensate by means of polarization resolved interferometry, real space spectroscopy, and phase imaging. Half quantum vortices coexist with single quantum vortices in our sample.", "author_names": [ "Konstantinos G Lagoudakis", "Tomas Ostatnicky", "Alexey Vitalievich Kavokin", "Y G Rubo", "Regis Andre", "Benoit Deveaud-Pledran" ], "corpus_id": 1068239, "doc_id": "1068239", "n_citations": 228, "n_key_citations": 3, "score": 0, "title": "Observation of Half Quantum Vortices in an Exciton Polariton Condensate", "venue": "Science", "year": 2009 }, { "abstract": "The present review analyses and compares various types of dissipationless spin transport: (1) Superfluid transport, when the spin current state is a metastable state (a local but not the absolute minimum in the parameter space) (2) Ballistic spin transport, when spin is transported without losses simply because the sources of dissipation are very weak. (3) Equilibrium spin currents, i.e. genuine persistent currents. (4) Spin currents in the spin Hall effect. Since superfluidity is frequently connected with Bose condensation, recent debates about magnon Bose condensation are also reviewed. For any type of spin currents simplest models were chosen for discussion in order to concentrate on concepts rather than the details of numerous models. The various hurdles on the way of using the concept of spin current (absence of the spin conservation law, ambiguity of spin current definition, etc. were analysed. The final conclusion is that the spin current concept can be developed in a fully consistent manner, and is a useful language for the description of various phenomena in spin dynamics.", "author_names": [ "Edouard B Sonin" ], "corpus_id": 13401229, "doc_id": "13401229", "n_citations": 128, "n_key_citations": 4, "score": 0, "title": "Spin currents and spin superfluidity", "venue": "", "year": 2008 }, { "abstract": "Similar to atoms in cold gases, exciton polaritons in semiconductor microcavities can undergo Bose Einstein condensation, but under non equilibrium conditions. Now, quantized vortices and persistent currents hallmarks of superfluid behaviour have been observed in such condensates.", "author_names": [ "Daniele Sanvitto", "Francesca M Marchetti", "Marzena H Szymanska", "Guilherme Tosi", "Matthias Baudisch", "F Laussy", "Dmitry Krizhanovskii", "M S Skolnick", "Lorenzo Marrucci", "Aristide Lemaitre", "Jacqueline Bloch", "Carlos Tejedor", "L Vina" ], "corpus_id": 119278255, "doc_id": "119278255", "n_citations": 221, "n_key_citations": 4, "score": 0, "title": "Persistent currents and quantized vortices in a polariton superfluid", "venue": "", "year": 2010 }, { "abstract": "We have created vortices in two component Bose Einstein condensates. The vortex state was created through a coherent process involving the spatial and temporal control of interconversion between the two components. Using an interference technique, we map the phase of the vortex state to confirm that it possesses angular momentum. We can create vortices in either of the two components and have observed differences in the dynamics and stability.", "author_names": [ "Michael R Matthews", "Brian P Anderson", "P C Haljan", "David S Hall", "Carl E Wieman", "Eric Allin Cornell" ], "corpus_id": 535347, "doc_id": "535347", "n_citations": 1103, "n_key_citations": 28, "score": 0, "title": "Vortices in a Bose Einstein Condensate", "venue": "", "year": 1999 }, { "abstract": "At strong magnetic fields double layer two dimensional electron gas systems can form an unusual broken symmetry state with spontaneous inter layer phase coherence. In this paper we explore the rich variety of quantum and finite temperature phase transitions associated with this broken symmetry. We describe the system using a pseudospin language in which the layer degree of freedom is mapped to a fictional spin 1/2 degree of freedom. With this mapping the spontaneous symmetry breaking is equivalent to that of a spin 1/2 easy plane ferromagnet. In this language spin textures can carry a charge. In particular, vortices carry e/2 electrical charge and vortex antivortex pairs can be neutral or carry charge e. We derive an effective low energy action and use it to discuss the charged and collective neutral excitations of the system. We have obtained the parameters of the Landau Ginzburg functional from first principles estimates and from finite size exact diagonalization studies. We use these results to estimate the dependence of the critical temperature for the Kosterlitz Thouless phase transition on layer separation.", "author_names": [ "" ], "corpus_id": 8616346, "doc_id": "8616346", "n_citations": 317, "n_key_citations": 5, "score": 0, "title": "Spontaneous interlayer coherence in double layer quantum Hall systems: Charged vortices and Kosterlitz Thouless phase transitions.", "venue": "Physical review. B, Condensed matter", "year": 1995 }, { "abstract": "Preface 1. Elements of probability theory 2. Random (or stochastic) processes 3. Some useful mathematical techniques 4. Second order coherence theory of scalar wavefields 5. Radiation from sources of any state of coherence 6. Second order coherence theory of vector electromagnetic fields 7. Some applications of second order coherence theory 8. Higher order correlations in optical fields 9. Semiclassical theory of photoelectric detection of light 10. Quantization of the free electromagnetic field 11. Coherent states of the electromagnetic field 12. Quantum correlations and photon statistics 13. Radiation from thermal equilibrium sources 14. Quantum theory of photoelectric detection of light 15. Interaction between light and a two level atom 16. Collective atomic interactions 17. Some general techniques for treating interacting systems 18. The single mode laser 19. The two mode ring laser 20. The linnear light amplifier 21. Squeezed states of light 22. Some quantum effects in nonlinear optics References Author index Subject index.", "author_names": [ "Leonard Mandel", "Emil Wolf" ], "corpus_id": 120605878, "doc_id": "120605878", "n_citations": 6764, "n_key_citations": 514, "score": 0, "title": "Optical Coherence and Quantum Optics", "venue": "", "year": 1995 }, { "abstract": "1. Peculiarities of d=1 2. Bosonization 3. Luttinger liquids 4. Refinements 5. Microscopic methods 6. Spin 1/2 chains 7. Interacting fermions on a lattice 8. Coupled fermionic chains 9. Disordered systems 10. Boundaries and isolated impurities 11. Significant others A. Basics of many body B. Not so important fine technical points C. Correlation functions D. Bosonization directory E. Sine Gordon F. Numerical solution", "author_names": [ "Thierry Giamarchi" ], "corpus_id": 4658490, "doc_id": "4658490", "n_citations": 2595, "n_key_citations": 278, "score": 0, "title": "Quantum physics in one dimension", "venue": "", "year": 2004 } ]
Tackling Test Trade-offs from Design, Manufacturing to Market using Economic Modeling
[ { "abstract": "This paper presents a general economic modeling methodology for digital semiconductor production test approaches. The methodology can be used to quantify trade offs and evaluate test approaches, including distributed test across test insertions, multi site test, on chip/off chip test trade offs and ATE architectural tradeoffs, with modeled cost contributions that include test time, die area, yield, time to market, and engineering effort. It allows one to forecast how those test approaches scale with technology progress. The economic models are modular and expandable. The modeling methodology will be illustrated on various test approaches.", "author_names": [ "Erik H Volkerink", "Ajay Khoche", "Linda A Kamas", "Jochen Rivoir", "Hans G Kerkhoff" ], "corpus_id": 6980895, "doc_id": "6980895", "n_citations": 25, "n_key_citations": 0, "score": 1, "title": "Tackling test trade offs from design, manufacturing to market using economic modeling", "venue": "Proceedings International Test Conference 2001 (Cat. No.01CH37260)", "year": 2001 }, { "abstract": "Additive manufacturing has been presented as a novel and competitive method to achieve unprecedented part shapes and material complexities. Though this holds true in niche markets, the economic viability of additive manufacturing for large scale industrial production is still in question. Companies often struggle to justify their investment in additive manufacturing due to challenges in the integration of such technologies into mainstream production. First, most additive technologies exhibit a relatively low production rate when compared with traditional production processes. Second, there is a lack of robust design for additive manufacturing methods and tools that enable the leveraging of the attendant unique capabilities, including the ability to form organic part geometries and automated part consolidations. Third, there is a dearth of systematic part screening methods to evaluate manufacturability in additive manufacturing. To tackle the challenge of manufacturability evaluation, the present work proposes a novel approach derived from latent semantic analysis and dimensional analysis to evaluate parts and their production for a variety of selected metrics. The selected metrics serve as descriptors of design features and manufacturing functions, which are developed using functional modeling and dimensional analysis theory. Singular value decomposition and Euclidean distance measurement techniques are used to determine the relative manufacturability for a set of parts for a specified manufacturing process technology. The utility of the method is demonstrated for laser powder bed fusion technology. While demonstrated for additive manufacturing here, the developed approach can be expanded for any given set of manufacturing processes. Expansion of this systemic manufacturability analysis method can support part design decision making, process selection, and design and manufacturing optimization.", "author_names": [ "Eric Coatanea", "Hari P N Nagarajan", "Suraj Panicker", "Romaric Prod'hon", "Hossein Mokhtarian", "Ananda Chakraborti", "Henri Paris", "Inigo F Ituarte", "Karl R Haapala" ], "corpus_id": 228956165, "doc_id": "228956165", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Systematic manufacturability evaluation using dimensionless metrics and singular value decomposition: a case study for additive manufacturing", "venue": "", "year": 2020 }, { "abstract": "We present a Bayesian linear random effects' model for variation source identification in multistage manufacturing processes with a prior for sparse variance components. A modified horseshoe+ (HS+ prior is used to tackle high dimensional problems with low sample size and sparse variation sources. Furthermore, we introduce the informed HS+ (IHS+ prior that incorporates the likelihood information of possible variation sources. To estimate the variations from the IHS+ prior, a specially designed Gibbs sampler is established. Through a series of numerical experiments and case study, we showed that the proposed IHS+ outperforms the existing prior distributions when variation sources are sparse. Note to Practitioners Economic globalization brings intense competition among manufacturing enterprises. The key to success in this competitive climate is a prompt response to rapidly changing market demands with high quality products. Variation reduction is essential for improving process efficiency and product quality. Existing variation source identification approaches generally assume that the amount of measurement data is larger than that of the possible variation sources. We propose a method to identify the process variation sources with limited measurement capability. Moreover, we provide a tool to apply the domain knowledge on the possible variations in the manufacturing processes. The proposed method is flexible and can be adjusted according to the confidence level of the practitioners' empirical knowledge. The experiments have shown that our method possesses advantageous features in detecting variation sources and estimating the size of variations.", "author_names": [ "Jaesung Lee", "Junbo Son", "Shiyu Zhou", "Yong Chen" ], "corpus_id": 214422226, "doc_id": "214422226", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Variation Source Identification in Manufacturing Processes Using Bayesian Approach With Sparse Variance Components Prior", "venue": "IEEE Transactions on Automation Science and Engineering", "year": 2020 }, { "abstract": "The most significant change in the world of space applications in the past decade is what might be called the small satellite revolution. This small satellite revolution is closely aligned with what is also now known as \"Space 2.0\" or \"NewSpace.\" Probing discussions of this small satellite revolution and efforts to identify the prime factors that gave rise to this profound change in the space industry produce a number of diverse but convincing answers to what has produced this small satellite revolution. The drivers of this change, largely within the past decade, include (i) new types of flat panel user antennas that can electronically track low Earth orbit satellites in mega constellations; (ii) new more efficient ways to design and manufacture small satellites at much lower cost and sometimes with the use of off the shelf technology; (iii) new lower cost launchers that can deploy small satellites at much lower cost; (iv) advances in microelectronics, smaller but higher performance sensors, improved component design and manufacture, etc. (v) a rapid evolution of space services markets through the creation of new types of commercial satellite applications as well as expanded attempts to provide expanded space services to unserved markets in developing economies especially in the case of broadband Internet streaming and remote sensing; (vi) entrepreneurial business innovation in the space field, often driven by out of the box thinking from the world of computer services and social media; and (vii) new ways of financing small satellite startup ventures based on mechanism such as \"Kickstarter,\" crowdsourcing, rounds of venture capital funding, and crossover investment in satellite applications from new industries such as from the world of computer and information services, investment banking, and other revenue sources. This Handbook of Small Satellite has sought to examine in some depth all of the sources of change that has produced the small satellite revolution. It has examined the technical, operational, financial, business, economic, regulatory, launcher, and institutional aspects of this important new world of space applications. Innovation is everywhere. There are actually contributions not only on the technical and operational side but from every other side of the business as well. Thus change has come from the small satellite business (i.e. new entries and startups that operate on completely different business models and timetables) There are key changes, from the world of manufacturing and design (i.e. additive manufacturing and use of off the shelf components) The small satellite business models have helped reinvent the world of space business and finance that is quite different from the approach taken by giant aerospace companies born of the so called world of the military industrial complex. Thus new business practices from the world of small satellites reflect many new patterns of thinking (i.e. new sources of financing and \"clean enough rooms\" This new type of entrepreneurial thinking has led to many new ideas such as about sparing philosophies and rapid prototyping and new generations of satellite design in months rather than years. Small satellites have, in short, shaken up thinking throughout the space industry, and change has percolated almost everywhere one might imagine and then some. This final chapter seeks to sum up the many areas of change and innovation that have been born of the new world of small satellites. Thus this concluding chapter is divided into discussing important new aspects of the world of small satellites that have permeated the entire space industry. These various sections that are drawn from the component parts of the book include defining the various types of small satellites; satellite technology; design and manufacturing; launch and deployment; operations and sparing philosophy; ground systems technology; business, financing, risk minimization, and insurance; and regulatory, safety, and institutional issues. This chapter concludes with some notes about the practical aspect that are available to readers of the handbook. This includes some guidance with regard to what detailed information is available concerning actual small satellite systems that have been deployed and projects to test new technology or to address space debris concerns. This includes some background with regard to information provided in Section 13 related to small satellite businesses, launch vehicle providers, small satellite networks deployed or planned to be deployed, registration processes related to small satellite systems, the UN sustainability guidelines, and how small satellite systems might relate to the meeting of these goals. Finally, this conclusion underscores how dynamic both the small satellite market and the launch vehicle systems newly designed to support the launch of small satellites is at this early stage of development. Bankruptcies, mergers, and other realignments are already happening. The COVID 19 will serve to accelerate downturns for small satellite ventures and new launch vehicle developments alike. OneWeb declared bankruptcy as of May2020, but bailout financing from the UK Government and financing from Indian mobile communications carrier Bharti Global has managed to rescue this system. 'New Space' ventures Speedcast Ltd. and Leosat plus launcher companies Vector and Firefly have all now gone bankrupt, and many other companies have indicated a pause or withdrawal from possible small satellite enterprises. And most of these negative impacts preceded the economic downturns that will be a consequence of the Covid 19 virus that most economists foresee as likely to generate a global economic recession. Some economists forecast that the downturn on space related ventures might be as much as a 30% reduction in new investment. Not until 2022 will the impact be clearly quantifiable.", "author_names": [ "Joseph N Pelton" ], "corpus_id": 229699853, "doc_id": "229699853", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Conclusion: The Many Technical, Market, Economic, and Practical Aspects of the World of Small Satellites", "venue": "Handbook of Small Satellites", "year": 2020 }, { "abstract": "Abstract This work aimed to develop eco friendly ceramic tiles employing by products of porcelain stoneware manufacturing as raw material, based on three technical economic premises: designing new products that respect the standards; avoid using by products as raw materials in successful commercial products; and carry out a complete mapping of operational conditions to enable employing mathematical modeling as product development methodology. Using a simplex centroid design for ternary mixtures, three kinds of porcelain stonewares by products were evaluated: sludge from the treatment of effluents, raw waste, and chamotte. The by products were investigated by thermogravimetric analysis, water absorption tests, mechanical properties as well as X ray diffraction and fluorescence under different compression and firing conditions. Mathematical models were generated capable of describing the experimental parameters behavior. These models showed that many kinds of products could achieve the water absorption ranges provided by normative requirement varying the operational conditions and the mass composition. Based on that, three kinds of ceramic tiles were reproduced in laboratory, each one according to mass formulation and operational conditions indicated by mathematical models: a wall tile, a floor tile, and a porcelain stoneware tile. Technical characteristics were controlled during laboratorial reproduction and, after that, their results were compared to normative requirements. All developed products met the normative requirement. The results confirmed that it is possible to develop ceramic tiles only employing by products of porcelain stoneware as raw material through mathematical modeling, respecting the proposed technical economic premises established for the present work.", "author_names": [ "Tiago Zanatta", "Rozineide A Antunes Boca Santa", "Natan Padoin", "Cintia Soares", "Humberto Gracher Riella" ], "corpus_id": 233850689, "doc_id": "233850689", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Eco friendly ceramic tiles: development based on technical and market demands", "venue": "", "year": 2021 }, { "abstract": "Abstract Recently, companies in emerging markets have implemented green supply chain management (GSCM) practices to tackle environmental issues. Drawing upon socio technical systems theory, this study develops a conceptual model suggesting a sequential effect between two distinct categories of GSCM practices, namely behavioral (human and soft aspects) and technical (tangible and hard aspects) practices, on performance. We employ structural equation modeling method to test hypotheses based on survey responses from 200 Chinese manufacturers. The categorization of behavioral and technical GSCM practices and research findings contribute to the GSCM literature. Statistical results demonstrate the complete mediation effect of technical GSCM practices (e.g. green design, green manufacturing and reverse logistics) on the relationship between behavioral GSCM practices (e.g. relationship with customers and suppliers) and organizational performance. Such results recommend that companies in emerging markets should highlight behavioral GSCM practices first and then implement necessary technical GSCM practices to reap economic, environmental and operational performance.", "author_names": [ "Junjun Liu", "Houbao Hu", "Xun Tong", "Qinghua Zhu" ], "corpus_id": 225398006, "doc_id": "225398006", "n_citations": 11, "n_key_citations": 0, "score": 0, "title": "Behavioral and technical perspectives of green supply chain management practices: Empirical evidence from an emerging market", "venue": "", "year": 2020 }, { "abstract": "This paper proposes a financial model to analyse the trade off between the monetary benefits of reliability improvement and the costs associated with the implementation of highly accelerated stress screening (HASS) in the context of manufacturing the automatic test equipment (ATE) HASS is a reliability screening process that has been widely used as an effective tool to eliminate infant mortality and thereby improve the product mean time between failures (MTBF) Furthermore, the feedback from HASS will help build quality into product and process design and thereby result in a more robust product design and production process leading to further improved MTBF. The cost saving from improved MTBF thus obtained, is then continuously compared with the total cost of HASS implementation. The manufacturer achieves the breakeven (or better returns) as long as the HASS cost is equal to (or less than) the cost savings from the HASSed products relative to the non HASSed products.", "author_names": [ "Balaji Janamanchi", "Tongdan Jin" ], "corpus_id": 110059743, "doc_id": "110059743", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "Reliability growth vs. HASS cost for product manufacturing with fast to market requirement", "venue": "", "year": 2010 }, { "abstract": "The effects of complexity on the manufacturing cost and time to market of discrete mechanical sys tems are difficult to predict. In this paper, the injection molding process is used to characterize the effects of parts and system complexity on life cycle costs and time to market. Models for predicting the effects of part complexity on mold tooling cost and lead time are developed from empirical data and implemented in a CAD modeler. A six part internal chassis is used to demonstrate the effects of parts consolidation on time to market and life cycle profit in a time sensitive market environment. ing used in the industry to bridge the gap between design and manufacturing. The application of these methodologies take designers through series of steps aimed at identifying parts of a designed product that can either be eliminated or combined with other parts. These procedures are carried out at the early stages of product design, when design changes incur the least cost. The remaining parts in the final product design are fewer and more complex. These complex parts are most often plastic injection molded whenever plastic properties meet the design specifications. Significant savings in product cost and reliability have been re ported in many industries from the application of these guidelines. This paper uses a test part to quantify the effects of part consolidation on time to market and life cycle profit. This research is motivated by the need to establish quantitative frameworks that can guide designers in the definition of economic levels of product consolidation under different internal product requirement and external market dynamics. SEARCH FOR QUANTITATIVE PRODUCT COMPLEXITY METRICS In the everyday use of the word \"complexity\" a part A may be considered more complex than B, if A is more difficult to design and manufacture than B. This subjective measure of complexity is however not sufficient for engineering analysis. Thus, our initial task was to find or define quantitative measures of com plexity that can be evaluated from a computer aided design (CAD) model at the early stages of the product life cycle. A review of how other researchers have measured the complexity of injection molded parts was carried out. These measures of complexity were found to either require the user's input of qualitative pa rameters values, such as low or high undercut complexity or may not produce unique results in all cases (Boothroyd, Dewhurst et al. 1994; Dixon and Poli 1995)", "author_names": [ "Adekunle A Fagade", "David O Kazmer" ], "corpus_id": 110495913, "doc_id": "110495913", "n_citations": 6, "n_key_citations": 0, "score": 0, "title": "MODELING THE EFFECTS OF COMPLEXITY ON MANUFACTURING COSTS AND TIME TO MARKET OF PLASTIC INJECTION MOLDED PRODUCTS", "venue": "", "year": 1999 }, { "abstract": "The purpose of this paper is to assess the relationship between five green practices and firm performance. In addition, this paper investigates the influence of each green practice on environmental performance, economic benefits, and economic costs.,Data were collected based on a cross sectional survey of owner/managers of 200 manufacturing SME firms in Uganda, Africa. SPSS was used to find descriptive means and test relationships between green practices and performance outcomes. Structural equation modelling was used to test for the influence of each practice on performance outcomes. The structural equation modelling results were obtained using the Covariance Based Structural Equation Modelling software. Results were compared with similar studies conducted in developing countries.,Different green practices affect different performance dimensions in different ways across different industries. For example, eco design and internal environmental management practices significantly influence environmental performance; green purchasing and internal environmental management practices significantly influence economic benefits; and internal environmental management practices affect economic costs. Overall internal environmental management is the key to positive outcomes across the three performance criteria. The authors show how the results obtained vary from similar studies conducted in developing countries and explain possible reasons for the difference.,Africa is a rapidly industrialising nation faced with difficult choices between economic growth and increased pollution. Because SMEs represent the majority of manufacturing firms, they are the main polluters. Hence, better understanding of the costs and benefits, both environmental and economic, is important to encourage green practice adoption for the betterment of community health and prosperity.,Despite numerous studies on the relationships between green practice adoption and performance outcomes, only a few studies include both economic costs and benefits in addition to environmental performance. The study covers five green supply chain practices, whereas most similar studies are limited in the number of practices examined. The African context is unique and important because industrial development and environmental protection goals are in conflict. Similar studies are predominant in an Asian context which is more developed than Africa. The findings and comparisons raise important questions for further research in relation to the roles of national regulations, geographical markets and industry types in furthering green practices in manufacturing.", "author_names": [ "Sheila Namagembe", "Suzanne Ryan", "Ramaswami Sridharan" ], "corpus_id": 115284464, "doc_id": "115284464", "n_citations": 40, "n_key_citations": 0, "score": 0, "title": "Green supply chain practice adoption and firm performance: manufacturing SMEs in Uganda", "venue": "", "year": 2019 }, { "abstract": "As products become more complex and fast paced market conditions shorten product development lead times, design engineers are increasingly turning to modeling and simulation to reduce design cycle time, provide insight into complex problems, reduce costs and shorten both time to market and time to volume. Modeling and simulation can also help reduce the amount of testing that must be done during product development, reduce or replace the need for prototypes, and help achieve first pass success. Modeling and simulation have been common in the semiconductor field for years. At the system level, however, the tasks are more complex, the needs are more diverse, and commercial tools continue to lag far behind industry needs. Traditional modeling and simulation have been implemented in a limited manner for some time. However, infrastructure costs such as simulation tools and simulation experts have restricted their use primarily to larger companies and government laboratories. Rapidly shrinking cycle times, increased cost pressures and increased product complexity are making it almost impossible to rely solely on testing for development of a new product or process. Mastering the various types of simulation is, therefore, becoming a business imperative. Developing a model and then partially or fully verifying it can be used to study \"what if\" scenarios or to gain insight into complex phenomena much quicker that the different parameters can be tested. Problem diagnostics can be provided if products fail in qualification or problems occur in manufacturing lines. Design optimization can be performed to evaluate cost vs. performance trade offs. TABLE 1 summarizes some of the key challenges that modeling and simulation can help address. Looking ahead, modeling must shift from the component level to the system level. The focus must be broadened from traditional product design to include manufacturing processes and even the complete supply chain, including dissemination of simulation knowledge through the distributed global supply chain. This article looks at some of the areas where further development of modeling and simulation is needed. It includes information from the Modeling, Simulation and Design Tools chapter of the 2004 iNEMI (International Electronics Manufacturing Initiative) Roadmap, released earlier this year. Mastering The Basics Modeling and simulation must continue to address several issues in conventional design. For example, simulation of mechanical reliability remains a key focus for all product sectors, along with thermal and electrical simulation. Tools must also address new and emerging technologies, such as microelectromechanical systems (MEMS) system in package (SiP) technology and nanotechnology. Deployment of new materials and lead free assemblies is driving new demands for simulation techniques that will demonstrate reliability of these materials and of interconnects. At the same time, rapidly growing product sectors, such as medical electronics, demand ever higher levels of reliability, and \"getting it right\" is more important than ever. TABLE 2 (online) lists some of the emerging simulation demands being driven by various product sectors. Mechanical reliability analyses of packages are now routine, particularly thermo mechanical and mechanical analyses of assembly and manufacturing steps, but there are still some areas that require attention. These include interfacial delamination, moisture diffusion modeling, solder joint reliability", "author_names": [ "Robert C Pfahl" ], "corpus_id": 9358155, "doc_id": "9358155", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "SIMULATION Modeling and Simulation at SYSTEM LEVEL Technology goes forward but modeling and simulation from design through manufacture face many bottlenecks", "venue": "", "year": 2005 } ]
Time-domain measurements of surface plasmon polaritons in the terahertz frequency range
[ { "abstract": "Surface plasmon polaritons ~SPP's at metal dielectric interfaces have proven to be a reliable technique for the investigation of thin films, allowing to derive properties such as optical and dielectric constants, film thickness, and inhomogeneities at interfaces with high precision ~for an overview see Ref. 1! Additionally, it has been discovered recently that SPP's play a crucial role in the extraordinary high transmission of light through arrays of sub wavelength holes. 2,3 Thanks to SPP's light can be concentrated and controlled over length scales much smaller than the wavelength. As a result, a new and promising research field known as plasmonics has emerged, one of its primary goals being the fabrication of nanoscale photonic circuits. 4 With the development of short pulse lasers terahertz ~THz! spectroscopy has opened up an interesting but hardly accessible spectral window where a large variety of gases, liquids, and solids show specific resonances. THz applications range from studies of coherent excitations in semiconductor heterostructures to medical diagnostics and threedimensional imaging systems for monitoring industrial processes. 5,6 Key biological constituents such as proteins, ribonucleic acids, and deoxyribonucleic acids have resonances at THz frequencies, 7 10 which makes THz radiation of keen interest for direct and simple biosensing. The latter often involves the analysis of typically very thin biomolecular films. Surface plasmon polariton~SPP! spectroscopy at THz frequencies has a large potential for biosensing applications, providing high electromagnetic field strengths in the films together with long interaction lengths. 1 In spite of these important advantages almost no work has been done so far on SPP's in the THz frequency range. 11 In this paper we present the first time domain THz study of SPP's on metal surfaces and metals covered with dielectric films. The broadband character of our THz setup allows us to obtain information over a wide range of frequencies between 0.2 and 2 THz in a single measurement. As we will show, small changes on the film thickness relative to the THz wavelength lead to drastic modifications on the SPP's field distribution and spectrum. These modifications demonstrate the capabilities of terahertz SPP's for sensitive spectroscopy of thin films. Figure 1~a! illustrates the field geometry used for exciting SPP's. A semi infinite dielectric and a semi infinite metal define an interface at z50. A p polarized electromagnetic wave incident from the (y,z) plane is coupled into a surface evanescent wave traveling in the y direction along the interface and decaying exponentially into both media. The magnetic field of the SPP's in the dielectric has the form H( y,z,t)5exp(iky2ivt)U e (z)e x with the envelope function 12 Ue~ z!5A1e 2b1z z.0 ~1! where b5Ak 2 2< d v 2 /c 0 ~2! is the SPP decay constant normal to the interface into the dielectric, k is the SPP wave vector, v is the SPP frequency, c 0 is the vacuum speed of light, and d is the permittivity of the dielectric which is given by the complex quantity d 5< d1i< d The decay length L of the SPP's into the dielectric is given by FIG. 1. ~a! Schematic of the SPP's incoupling geometry. An electromagnetic wave ~wave vector kinc) is incident at a angle f 567deg onto the interface z50 defined by medium 1~dielectric! and medium 2 ~metal! The electric field vector Einc lies in the plane of incidence, whereas Hinc is along x direction! ~b! Experimental setup ~not to scale! used for exciting SPP's on a gold film of 300 nm thickness covered with a dielectric film of thickness d. The incoming THz beam is focused on the incoupling aperture h1 which is defined by razor blade K1 and the surface of the dielectric. The THz spot diameter at the focus is approximately 1 mm. The SPP's travel along the sample interface until scattered at the outcoupling aperture h2. The incoupling and outcoupling apertures h1 and h2 can be individually varied from 0 to 10 mm by changing the height of K1 and K2, respectively. The distance Dy between K1 and K2 defines the SPP's longitudinal propagation distance. PHYSICAL REVIEW B 69, 155427 ~2004!", "author_names": [ "J Saxler", "Jaime Gomez Rivas", "Christof Janke", "H P M Pellemans", "Peter Haring Bolivar", "H Kurz" ], "corpus_id": 123502360, "doc_id": "123502360", "n_citations": 149, "n_key_citations": 2, "score": 1, "title": "Time domain measurements of surface plasmon polaritons in the terahertz frequency range", "venue": "", "year": 2004 }, { "abstract": "A surface plasmon polariton (SPP) is an electromagnetic excitation existing on the surface of a good metal. It is an intrinsically two dimensional excitation whose electromagnetic field decays exponentially with distance from the surface. In the past, it was possible to study only the (far field) scattered light produced by the interaction of surface polaritons with surface features. Only with the development of scanning near field optical microscopy did it become possible to measure the surface polariton field directly in close proximity to the surface where the SPP exists. Here we overview the near field studies of surface polaritons on randomly rough and nanostructured surfaces, theoretical models of SPP interaction with surface features, and SPP applications in novel photonic technologies. Surface polariton scattering, interference, backscattering, and localization will be discussed, as well as concepts of surface polariton optics and polaritonic crystals. Surface polaritons are finding an ever increasing number of applications in traditional domains of surface characterization and sensors as well as in newly emerging nano photonic and optoelectronic technologies.", "author_names": [ "Anatoly V Zayats", "Igor I Smolyaninov", "Alexei A Maradudin" ], "corpus_id": 122232931, "doc_id": "122232931", "n_citations": 1800, "n_key_citations": 33, "score": 0, "title": "Nano optics of surface plasmon polaritons", "venue": "", "year": 2005 }, { "abstract": "We report the experimental and theoretical study of the dispersive behavior of surface plasmon polaritons (SPPs) on cylindrical metal surfaces in the terahertz frequency range. Time domain measurements of terahertz SPPs propagating on metal wires reveal a unique structure that is inconsistent with a simple extrapolation of the high frequency portion of the dispersion diagram for SPPs on a planar metal surface, and also distinct from that of SPPs on metal nanowires observed at visible and near infrared frequencies. The results are consistent with a numerical solution of Maxwell's equations, showing that the dispersive behavior of SPPs on a cylindrical metal surface at terahertz frequencies is quite different from that of SPPs on a flat surface. These findings indicate the increasing importance of skin effects for SPPs in the terahertz range, as well as the enhancement of such effects on curved surfaces.", "author_names": [ "Kanglin Wang", "Daniel M Mittleman" ], "corpus_id": 15541271, "doc_id": "15541271", "n_citations": 109, "n_key_citations": 4, "score": 0, "title": "Dispersion of surface plasmon polaritons on metal wires in the terahertz frequency range.", "venue": "Physical review letters", "year": 2006 }, { "abstract": "Collective electronic excitations at metal surfaces are well known to play a key role in a wide spectrum of science, ranging from physics and materials science to biology. Here we focus on a theoretical description of the many body dynamical electronic response of solids, which underlines the existence of various collective electronic excitations at metal surfaces, such as the conventional surface plasmon, multipole plasmons and the recently predicted acoustic surface plasmon. We also review existing calculations, experimental measurements and applications.", "author_names": [ "Jose M Pitarke", "Viatcheslav M Silkin", "Eugene V Chulkov", "Pedro Miguel Echenique" ], "corpus_id": 46471088, "doc_id": "46471088", "n_citations": 1048, "n_key_citations": 23, "score": 0, "title": "Theory of surface plasmons and surface plasmon polaritons", "venue": "", "year": 2007 }, { "abstract": "Metals such as silver support surface plasmons: electromagnetic surface excitations localized near the surface that originate from the free electrons of the metal. Surface modes are also observed on highly conducting surfaces perforated by holes. We establish a close connection between the two, showing that electromagnetic waves in both materials are governed by an effective permittivity of the same plasma form. The size and spacing of holes can readily be controlled on all relevant length scales, which allows the creation of designer surface plasmons with almost arbitrary dispersion in frequency and in space, opening new vistas in surface plasmon optics.", "author_names": [ "John Brian Pendry", "Luis Martin-Moreno", "Francisco J Garcia-Vidal" ], "corpus_id": 44412157, "doc_id": "44412157", "n_citations": 2302, "n_key_citations": 44, "score": 0, "title": "Mimicking Surface Plasmons with Structured Surfaces", "venue": "Science", "year": 2004 }, { "abstract": "Surface plasmons are waves that propagate along the surface of a conductor. By altering the structure of a metal's surface, the properties of surface plasmons in particular their interaction with light can be tailored, which offers the potential for developing new types of photonic device. This could lead to miniaturized photonic circuits with length scales that are much smaller than those currently achieved. Surface plasmons are being explored for their potential in subwavelength optics, data storage, light generation, microscopy and bio photonics.", "author_names": [ "William L Barnes", "Alain Dereux", "Thomas W Ebbesen" ], "corpus_id": 116017, "doc_id": "116017", "n_citations": 9262, "n_key_citations": 106, "score": 0, "title": "Surface plasmon subwavelength optics", "venue": "Nature", "year": 2003 }, { "abstract": "5.1. Detection Formats 475 5.2. Food Quality and Safety Analysis 477 5.2.1. Pathogens 477 5.2.2. Toxins 479 5.2.3. Veterinary Drugs 479 5.2.4. Vitamins 480 5.2.5. Hormones 480 5.2.6. Diagnostic Antibodies 480 5.2.7. Allergens 481 5.2.8. Proteins 481 5.2.9. Chemical Contaminants 481 5.3. Medical Diagnostics 481 5.3.1. Cancer Markers 481 5.3.2. Antibodies against Viral Pathogens 482 5.3.3. Drugs and Drug Induced Antibodies 483 5.3.4. Hormones 483 5.3.5. Allergy Markers 483 5.3.6. Heart Attack Markers 484 5.3.7. Other Molecular Biomarkers 484 5.4. Environmental Monitoring 484 5.4.1. Pesticides 484 5.4.2. 2,4,6 Trinitrotoluene (TNT) 485 5.4.3. Aromatic Hydrocarbons 485 5.4.4. Heavy Metals 485 5.4.5. Phenols 485 5.4.6. Polychlorinated Biphenyls 487 5.4.7. Dioxins 487 5.5. Summary 488 6. Conclusions 489 7. Abbreviations 489 8. Acknowledgment 489 9. References 489", "author_names": [ "Jiri Homola" ], "corpus_id": 17976289, "doc_id": "17976289", "n_citations": 3110, "n_key_citations": 97, "score": 0, "title": "Surface plasmon resonance sensors for detection of chemical and biological species.", "venue": "Chemical reviews", "year": 2008 }, { "abstract": "In this Letter, we show how the dispersion relation of surface plasmon polaritons (SPPs) propagating along a perfectly conducting wire can be tailored by corrugating its surface with a periodic array of radial grooves. In this way, highly localized SPPs can be sustained in the terahertz region of the electromagnetic spectrum. Importantly, the propagation characteristics of these spoof SPPs can be controlled by the surface geometry, opening the way to important applications such as energy concentration on cylindrical wires and superfocusing using conical structures.", "author_names": [ "Stefan A Maier", "Steven R Andrews", "Luis Martin-Moreno", "Francisco J Garcia-Vidal" ], "corpus_id": 25025086, "doc_id": "25025086", "n_citations": 625, "n_key_citations": 11, "score": 0, "title": "Terahertz surface plasmon polariton propagation and focusing on periodically corrugated metal wires.", "venue": "Physical review letters", "year": 2006 }, { "abstract": "Surface plasmons on smooth surfaces. Surface plasmons on surfaces of small roughness. Surfaces of enhanced roughness. Light scattering at rough surfaces without an ATR device. Surface plasmons on gratings. Conclusions.", "author_names": [ "Heinz Raether" ], "corpus_id": 176762925, "doc_id": "176762925", "n_citations": 4578, "n_key_citations": 324, "score": 0, "title": "Surface Plasmons on Smooth and Rough Surfaces and on Gratings", "venue": "", "year": 1988 }, { "abstract": "Localized surface plasmon resonance (LSPR) spectroscopy of metallic nanoparticles is a powerful technique for chemical and biological sensing experiments. Moreover, the LSPR is responsible for the electromagnetic field enhancement that leads to surface enhanced Raman scattering (SERS) and other surface enhanced spectroscopic processes. This review describes recent fundamental spectroscopic studies that reveal key relationships governing the LSPR spectral location and its sensitivity to the local environment, including nanoparticle shape and size. We also describe studies on the distance dependence of the enhanced electromagnetic field and the relationship between the plasmon resonance and the Raman excitation energy. Lastly, we introduce a new form of LSPR spectroscopy, involving the coupling between nanoparticle plasmon resonances and adsorbate molecular resonances. The results from these fundamental studies guide the design of new sensing experiments, illustrated through applications in which researchers use both LSPR wavelength shift sensing and SERS to detect molecules of chemical and biological relevance.", "author_names": [ "Katherine A Willets", "Richard P Van Duyne" ], "corpus_id": 9599299, "doc_id": "9599299", "n_citations": 4534, "n_key_citations": 85, "score": 0, "title": "Localized surface plasmon resonance spectroscopy and sensing.", "venue": "Annual review of physical chemistry", "year": 2007 } ]
MoS2 AND evaporation AND nanowires
[ { "abstract": "Cocatalysts play a significant role in accelerating the catalytic reactions of semiconductor photocatalyst. In particular, a semiconductor assembled with dual cocatalysts, i.e. reduction and oxidation cocatalysts, can obviously enhance photocatalytic performance because of the synergetic effect of fast consumption of photogenerated electrons and holes simultaneously. However, in most cases, the noble metal cocatalysts are employed, which tremendously increases the cost of the photocatalysts and restricts its large scale application. Herein, on the platform of one dimensional (1D) CdS nanowires, we have utilized the earth abundant dual cocatalysts, MoS2 and cobalt phosphate (Co Pi) to construct the CdS@MoS2@Co Pi (CMC) core shell hybrid photocatalysts. In this dual cocatalysts system, Co Pi is in a position to expedite the migration of holes from CdS, while MoS2 acts as electron transporter as well as active sites to accelerate the surface water reduction reaction. Taking the advantages of the dual cocatalyst system, the prepared CMC hybrid shows obvious enhancement of both photoactivity and photostability toward hydrogen production compared with bare 1D CdS nanowire and binary hybrids (CdS@MoS2 and CdS@Co Pi) This work highlights the promising prospects for rational utilization of earth abundant dual cocatalysts to design low cost and efficient hybrids toward boosting photoredox catalysis.", "author_names": [ "K Q Lu", "Ming-Yu Qi", "Zi-Rong Tang", "Yi-Jun Xu" ], "corpus_id": 199057323, "doc_id": "199057323", "n_citations": 23, "n_key_citations": 0, "score": 0, "title": "Earth Abundant MoS2 and Cobalt Phosphate Dual Cocatalysts on 1D CdS Nanowires for Boosting Photocatalytic Hydrogen Production.", "venue": "Langmuir the ACS journal of surfaces and colloids", "year": 2019 }, { "abstract": "Recently, a green process, named \"air water interfacial solar heating\" has been employed for seawater desalination to avoid high energy consumption as in the conventional thermal distillation process. In this work, a MoS2 based solar evaporator (MSE) was designed for both efficient solar water evaporation and clean freshwater collection based on the new process. The MSE was composed of a top layer of MoS2 nanoflowers for high solar absorption and organic contaminant removal, a thermal barrier layer of expandable polyethylene (EPE) foam for floatation and excellent heat insulation, and a seawater pumping channel of air laid paper (ALP) for fast water delivery to the MoS2 top layer. The water evaporation rates of the MSE were significantly enhanced to 1.27, 1.95 and 5.90 kg m 2 h 1 under 1, 2 and 5.5 sun solar irradiance respectively, corresponding to 3.8, 5.3, and 11.2 times faster rates than that of water evaporation itself. The MSE was shown to have excellent stability and durability as there was no decrease in the water evaporation rate during 23 cycles of use within 210 days. In addition, it was demonstrated that model organic pollutants including nitrobenzene, carbamazepine and naproxen could be well removed by the MSE, resulting in the production of clean condensed freshwater. Finally, a real seawater desalination experiment also revealed that the water quality of freshwater could be enhanced by the MSE. This work not only developed a new solar evaporator for seawater desalination, but also extended its application scope from seawater to other polluted water bodies.", "author_names": [ "Rong-Qin Chen", "Xun Wang", "Qimao Gan", "Tuqiao Zhang", "Kehang Zhu", "Miaomiao Ye" ], "corpus_id": 133468742, "doc_id": "133468742", "n_citations": 35, "n_key_citations": 0, "score": 0, "title": "A bifunctional MoS2 based solar evaporator for both efficient water evaporation and clean freshwater collection", "venue": "", "year": 2019 }, { "abstract": "Metallic 1T phase MoS2 is a newly emerging and attractive catalyst since it has more available active sites and high carrier mobility in comparison with its widely used counterpart of semiconducting 2H MoS2. Herein, 1T/2H MoS2(N) (N: MoO3 nanowires were used to prepare 1T/2H MoS2) was synthesized by using molybdenum trioxide (MoO3) nanowires as the starting material and applied in the photodegradation of antibiotic residue in water. Enhanced photocatalytic performance was observed on the obtained 1T/2H MoS2(N) which was 2.8 and 1.3 times higher than those on 1T/2H MoS2(P) (P: commercial MoO3 powder was used to prepare 1T/2H MoS2) and 2H MoS2, respectively. The active component responsible for the photodegradation was detected and a reaction mechanism is proposed.", "author_names": [ "Wan He Zhao", "Xin Liu", "Xiuru Yang", "Chunxi Liu", "Xiaoxiao Qian", "Tao Sun", "Wenya Chang", "Jingjing Zhang", "Zhi Chen" ], "corpus_id": 219562569, "doc_id": "219562569", "n_citations": 6, "n_key_citations": 0, "score": 2, "title": "Synthesis of Novel 1T/2H MoS2 from MoO3 Nanowires with Enhanced Photocatalytic Performance", "venue": "Nanomaterials", "year": 2020 }, { "abstract": "Molybdenum disulfide (MoS2 is a promising electrode material for electrochemical energy storage owing to its high theoretical specific capacity and fascinating 2D layered structure. However, its sluggish kinetics for ionic diffusion and charge transfer limits its practical applications. Here, a promising strategy is reported for enhancing the Na+ ion charge storage kinetics of MoS2 for supercapacitors. In this strategy, electrical conductivity is enhanced and the diffusion barrier of Na+ ion is lowered by a facile phosphorus doping treatment. Density functional theory results reveal that the lowest energy barrier of dilute Na vacancy diffusion on P doped MoS2 (0.11 eV) is considerably lower than that on pure MoS2 (0.19 eV) thereby signifying a prominent rate performance at high Na intercalation stages upon P doping. Moreover, the Na vacancy diffusion coefficient of the P doped MoS2 at room temperatures can be enhanced substantially by approximately two orders of magnitude (10 6 10 4 cm2 s 1 compared with pure MoS2 Finally, the quasi solid state asymmetrical supercapacitor assembled with P doped MoS2 and MnO2 as the positive and negative electrode materials, respectively, exhibits an ultrahigh energy density of 67.4 W h kg 1 at 850 W kg 1 and excellent cycling stability with 93.4% capacitance retention after 5000 cycles at 8 A g 1", "author_names": [ "Shude Liu", "Ying Yin", "Musheng Wu", "Kwan San Hui", "Kwun Nam Hui", "Chuying Ouyang", "Seong Chan Jun" ], "corpus_id": 53307763, "doc_id": "53307763", "n_citations": 52, "n_key_citations": 0, "score": 0, "title": "Phosphorus Mediated MoS2 Nanowires as a High Performance Electrode Material for Quasi Solid State Sodium Ion Intercalation Supercapacitors.", "venue": "Small", "year": 2019 }, { "abstract": "The controlled construction of hierarchical electrodes that overcome the single contribution of pure materials and create an interface effect between structures to enhance the electrochemical performance of supercapacitors is highly desirable. In this study, hydrothermally prepared NiMoO4 nanowires were treated via a facile vapor phase sulfurization method, forming a 1D hierarchical core shell porous configuration that in situ wrapped an NiS2/MoS2 nanosheet shell on the NiMoO4 core, which provides more accessible sites for faradaic reactions and abundant charge transfer channels in innumerable hetero interfaces with defects. The as obtained NiMoO4@NiS2/MoS2 sample exhibited a high specific capacity of 437 C g 1 (970 F g 1) at a current density of 5 A g 1, excellent rate capability (a high capacity retention of 320 C g 1 at the high current density of 20 A g 1) and excellent electric conductivity. Furthermore, the NiMoO4@NiS2/MoS2 composite and activated carbon were employed to assemble an asymmetric supercapacitor, which delivered a high energy density of 26.8 W h kg 1 at a power density of 700 W kg 1.", "author_names": [ "Duo Chen", "Mengjie Lu", "La Li", "Dong Cai", "Junzhi Li", "Junming Cao", "Wei Han" ], "corpus_id": 202887783, "doc_id": "202887783", "n_citations": 51, "n_key_citations": 1, "score": 0, "title": "Hierarchical core shell structural NiMoO4@NiS2/MoS2 nanowires fabricated via an in situ sulfurization method for high performance asymmetric supercapacitors", "venue": "", "year": 2019 }, { "abstract": "Advanced intelligent electronic systems are usually integrated with a power supply, sensors and many other components, which can lead to their bulky sizes and complex structures. Here, we introduce a smart self powered device concept that exploits a type of Jaboticaba like carbon nanosphere@TiO2 nanowire (C@T) as a highly efficient, light sensitive liquid (including but not limited to water, methanol, acetone, and ethanol) evaporation power generation (EPG) platform. Typically, the separate piece EPG device could generate a flow induced voltage (FIV) of 1.6 V under the evaporation of water, which was comparable to that of a commercial dry battery. When methanol was used, FIV was as high as 2.0 V. The FIV value of the EPG device using water could be easily scaled up to nearly 20 V and used for a stable power source in electronic devices. The photoresponse performance is an exclusive feature of EPG, which was successfully applied to a self powered light control switch. The significance of this work is that it not only provides a satisfactory and practical candidate for cheap and efficient evaporation power generation, but also opens up a green way for building advanced intelligent self powered electronics.", "author_names": [ "Bingxue Ji", "Nan Chen", "Changxiang Shao", "Qianwen Liu", "Jian Gao", "Tong Xu", "Huhu Cheng", "Liangti Qu" ], "corpus_id": 104465255, "doc_id": "104465255", "n_citations": 20, "n_key_citations": 0, "score": 0, "title": "Intelligent multiple liquid evaporation power generation platform using distinctive Jaboticaba like carbon nanosphere@TiO2 nanowires", "venue": "", "year": 2019 }, { "abstract": "Achieving fast and stable Li ion energy storage in two dimensional MoS2 materials has become a formidable challenge due to their sluggish electrochemical reaction kinetics and large structural change. In this study, a rational synthesis approach based on the nanoconfinement effect is reported to construct MoS2@C/MoS2 nanowires with a unique core sheath configuration. The nanocomposite exhibits a great surface area of 170.1 m2 g 1, mesoporous nanotexture, along with expanded MoS2 interlayers. The porous core sheath architecture and the electrically conductive carbon are of great benefit for swift transportation of Li ions/electrons to enable enhanced reaction kinetics, and supply a great number of electroactive sites for more efficient energy storage. Additionally, the outer carbon nanoshells could maintain the structure integrity of the nanocomposite after a long term cycle test. As a consequence, the MoS2@C/MoS2 nanowire anodes exhibit a high reversible capacity of 443 mA h g 1 at 10 A g 1 (53.2% retenti.", "author_names": [ "Huan-huan Sun", "Jian-Gan Wang", "Xiaozhi Zhang", "Chong-Wei Li", "Fei Liu", "Wenjie Zhu", "Weibo Hua", "Yueying Li", "Minhua Shao" ], "corpus_id": 104460205, "doc_id": "104460205", "n_citations": 31, "n_key_citations": 0, "score": 0, "title": "Nanoconfined Construction of MoS2@C/MoS2 Core Sheath Nanowires for Superior Rate and Durable Li Ion Energy Storage", "venue": "ACS Sustainable Chemistry Engineering", "year": 2019 }, { "abstract": "Abstract There is an ever increasing demand for rechargeable batteries with fast charging, long cycling, high safety, and low cost in new energy storage systems. Herein, a heterogeneous architecture composed of MoS2 coupled carbon nanosheets encapsulated on sodium titanate nanowires is developed and demonstrated as an advanced anode for sodium ion batteries (SIBs) Owing to the synergistic effects of ultrastable substrate of 1D sodium titanate (NTO) nanowires, high capacity promoter of 2D MoS2 nanosheets as well as the 2D conductive carbon matrix, the resulting 1D/2D 2D hybrid demonstrates excellent high rate capacity and super durable cyclability, delivering a stable capacity of up to 425.5 mAh g 1 at 200 mA g 1. Even at an ultrafast charging/discharging process within 80 s, the capacity can be maintained at 201 mAh g 1 after 16 000 cycles with only 0.0012% capacity loss per cycle, one of the best high rate capacities and cyclabilities for NTO based hybrid composites. The present work highlights the designing protocol of hierarchical nanoarchitectures with stable substrate and high capacity electrodes for next generation energy storage applications.", "author_names": [ "Shitong Wang", "Fangjun Cao", "Yutong Li", "Zhongtai Zhang", "Daming Zhou", "Yong Yang", "Zilong Tang" ], "corpus_id": 140298055, "doc_id": "140298055", "n_citations": 33, "n_key_citations": 0, "score": 0, "title": "MoS2 Coupled Carbon Nanosheets Encapsulated on Sodium Titanate Nanowires as Super Durable Anode Material for Sodium Ion Batteries", "venue": "Advanced science", "year": 2019 }, { "abstract": "Sodium ion batteries (SIBs) are considered a prospective candidate for large scale energy storage due to the merits of abundant sodium resources and low cost. However, a lack of suitable advanced anode materials has hindered further applications. Herein, metal semiconductor mixed phase twinned hierarchical (MPTH) MoS2 nanowires with an expanded interlayer (9.63 A) are engineered and prepared using MoO3 nanobelts as a self sacrificed template in the presence of a trace amount of (NH4 )6 Mo7 O24 *4H2 O as initiator. The greatly expanded interlayer spacing accelerates Na+ insertion/extraction kinetics, and the metal semiconductor mixed phase enhances electron transfer ability and stabilizes electrode structure during cycling. Benefiting from the structural merits, the MPTH MoS2 electrode delivers high reversible capacities of 200 mAh g 1 at 0.1 A g 1 for 200 cycles and 154 mAh g 1 at 1 A g 1 for 2450 cycles in the voltage range of 0.4 3.0 V. Strikingly, the electrode maintains 6500 cycles at a current density of 2 A g 1 corresponding to a capacity retention of 82.8% of the 2nd cycle, overwhelming the all reported MoS2 cycling results. This study provides an alternative strategy to boost SIB cycling performance in terms of reversible capacity by virtue of interlayer expansion and structure stability.", "author_names": [ "Wei Ye", "Fang-fang Wu", "Nianxiang Shi", "Hang Zhou", "Qianqian Chi", "Weihua Chen", "Shiyu Du", "Peng Gao", "Haibo Li", "Shenglin Xiong" ], "corpus_id": 209408098, "doc_id": "209408098", "n_citations": 28, "n_key_citations": 0, "score": 0, "title": "Metal Semiconductor Phase Twinned Hierarchical MoS2 Nanowires with Expanded Interlayers for Sodium Ion Batteries with Ultralong Cycle Life.", "venue": "Small", "year": 2019 }, { "abstract": "The ability to design and enhance the nonlinear optical responses in two dimensional (2D) transition metal dichalcogenides (TMDCs) is both of fundamental interest and highly desirable for developing TMDC based nonlinear optical applications, such as nonlinear convertors and optical modulators. Here, we report for the first time a strong anisotropic enhancement of optical second harmonic generation (SHG) in monolayer molybdenum disulfide (MoS2) by integrating with one dimensional (1D) titanium dioxide nanowires (NWs) The SHG signal from the MoS2/NW hybrid structures is over 2 orders of magnitude stronger than that in the bare monolayer MoS2. Polarized SHG measurements revealed a giant anisotropy in SHG response of the MoS2/NW hybrid. The pattern of the anisotropic SHG depends highly on the stacking angle between the nanowire direction and the MoS2 crystal orientation, which is attributed to the 1D NW induced directional strain fields in the layered MoS2. A similar effect has also been observed in bilayer MoS2/NW hybrid structure, further proving the proposed scenario. This work provides an effective approach to selectively and directionally designing the nonlinear optical response of layered TMDCs, paving the way for developing high performance, anisotropic nonlinear photonic nanodevices.", "author_names": [ "Dawei Li", "Chengyiran Wei", "Jingfeng Song", "Xi Huang", "Fengfeng Wang", "Kun Liu", "Wei Xiong", "Xia Hong", "Bai Cui", "Aixin Feng", "Lan Jiang" ], "corpus_id": 206750725, "doc_id": "206750725", "n_citations": 17, "n_key_citations": 0, "score": 0, "title": "Anisotropic Enhancement of Second Harmonic Generation in Monolayer and Bilayer MoS2 by Integrating with TiO2 Nanowires.", "venue": "Nano letters", "year": 2019 } ]
Effect of annealing on tin oxide nanoparticles and vanadium- tin oxide nanocomposites prepared using sol-gel method
[ { "abstract": "Tin oxide, a wide band gap semiconductor material, is having wide range of applications. Tin oxide nanoparticles and vanadium tin oxide mixed nanocomposites were synthesized by sol gel method using tin chloride and ammonium metavanadate as starting materials. Ammonia solution was added dropwise in the 0.3 M of tin chloride solution to synthesize tin oxide nanoparticles. 0.1 M (and 0.2 M) of ammonium metavanadate solution and 0.3 M tin chloride solutions were mixed together and the ammonia solution was added dropwise in the solution to prepare vanadium tin oxide mixed nanocomposites. The synthesized SnO2 nanoparticles and V SnO2 nanocomposites were annealed at 400degC and characterized by X ray diffraction, scanning electron microscopic, energy dispersive X ray and UV Vis spectroscopic studies. The crystallite size of the synthesized nanoparticles and nanocomposites was derived from X ray diffraction peaks using Debye Scherrer formula. Surface morphology of tin oxide nanoparticles and vanadium tin oxide nanocomposites was analyzed by scanning electron microscopic study.", "author_names": [ "S Priya", "A Geetha", "K Ramamurthi" ], "corpus_id": 54885577, "doc_id": "54885577", "n_citations": 0, "n_key_citations": 0, "score": 1, "title": "Effect of annealing on tin oxide nanoparticles and vanadium tin oxide nanocomposites prepared using sol gel method", "venue": "", "year": 2015 }, { "abstract": "Abstract Nanocomposites have gained much importance in different fields, commercially and technologically, due to the possibilities in tuning the properties of nanocomposites. In the present work, vanadium oxide (V2O5)/tin oxide (SnO2) nanocomposites were synthesized using simple sol gel method. Two independent experiments were carried out to synthesis the V2O5/SnO2 nanocomposites. The V2O5/SnO2 nanocomposites were prepared from the precursors of tin chloride, ammonium metavanadate and ethanol (Experiment 1) A few ml of concentrated hydrochloric acid (HCl) was added in the precursors with and V2O5/SnO2 nanocomposites were prepared (Experiment 2) using ethanol as a solvent. Effect of HCl on the modifications of structural, morphological and optical properties of the V2O5/SnO2 nanocomposites was studied. Coexistence of V2O5 and SnO2 phases was confirmed by the X ray diffraction studies. Thermogravimetric analysis (TGA) shows the stability of the as synthesized V2O5/SnO2 nanocomposites from 350 degC. The crystallinity and the surface morphology of the synthesized V2O5/SnO2 nanocomposites were improved effectively due to addition of HCl in the preparation of the precursor solution. UV DRS absorption spectra showed that the absorbance is modified and the band gap is decreased with increase in crystallite size due to the addition of HCl. The predominant Raman peaks at 140 cm 1 and 991 cm 1 confirm the presence of orthorhombic V2O5 phase. Further, the addition of HCl in the preparation of precursor solution revealed marked difference in the surface morphology of the nanocomposites.", "author_names": [ "Mohana Priya Subramaniam", "Geetha Arunachalam", "Ramamurthi Kandasamy" ], "corpus_id": 102815581, "doc_id": "102815581", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Studies on the structural, morphological and optical properties of HCl assisted vanadium oxide/tin oxide nanocomposites prepared by sol gel method", "venue": "", "year": 2018 }, { "abstract": "Tin oxide (SnO2) nanoparticles were synthesized employing simple sol gel method. Modification in the structural, morphological and optical properties of the as synthesized tin oxide nanoparticles due to various solution pH (6 12) and thermal annealing at 400 degC (Experiment 1) was studied. X ray diffraction results of the tin oxide nanoparticles prepared from the precursor solution pH 8 and annealed at 400 degC showed the formation of tin oxide tetragonal phase (SnO2 t) and the surface morphology of the SnO2 t nanoparticles studied by scanning electron microscope revealed the formation of spherical shaped agglomerations. Hence, the tin oxide nanoparticles prepared from the solution pH 8 were annealed at 200, 400, 600 and 800 degC in order to study the effect of annealing at various temperatures on the structural, morphological, optical and vibrational properties of tin oxide nanoparticles (Experiment 2) When the annealing temperature was increased to 600 and 800 degC, mixed phases of SnO2 t and tin oxide orthorhombic system (SnO o) were formed. Various solution pH and annealing temperatures influenced the direct band gap value. SnO2 t phase synthesized from the solution pH 8 and annealed at 400 degC showed a direct band gap of ~4.50 eV. The tin oxide samples annealed at various temperatures showed a slight shift in the fluorescence peak observed at ~327 nm. Raman studies of the samples obtained from Experiment 1 and Experiment 2 showed a slight shift in the vibrational frequency. I V studies carried out to investigate the electrical properties of the SnO2 thin film formed by simple drop casting method revealed better ohmic contact and its suitability for gas sensing applications.", "author_names": [ "Mohana Priya Subramaniam", "Geetha Arunachalam", "Ramamurthi Kandasamy", "Pandiyarasan Veluswamy", "Ikeda Hiroya" ], "corpus_id": 139204138, "doc_id": "139204138", "n_citations": 15, "n_key_citations": 0, "score": 0, "title": "Effect of pH and annealing temperature on the properties of tin oxide nanoparticles prepared by sol gel method", "venue": "Journal of Materials Science: Materials in Electronics", "year": 2017 }, { "abstract": "Abstract Sn1 xNixO2(x 0.0, 0.02, 0.04, 0.06, 0.10, 0.20) transparent semiconducting thin films have been prepared on glass substrates using a sol gel method followed by a nitrogen annealing process. X ray diffraction analysis showed that the film structures were polycrystalline with tetragonal phases similar to SnO2 structure. The crystallite sizes measured using field emission scanning electron microscope were between 2.79 and 3.98 nm. The resistivity of SnO2 at room temperature was 1.47 (O cm) however, it decreased as Ni dopant increased in the films. A low temperature resistivity analyzing depicted that a few samples has semiconductor behaviour at low temperature. Transparency of all samples measured using UV Vis spectrophotometer was more than 95% and the calculated band gaps were between 3.98 and 4.00 eV, which was due to the Ni dopant in the samples. Obtained magnetic property of samples confirmed RTFM behaviour. The sample doped with 10% Ni shows the highest saturation magnetization value i.e. 125 emucm 3.", "author_names": [ "M H Abdi", "Noor Baa'yah Ibrahim" ], "corpus_id": 209969840, "doc_id": "209969840", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Microstructure, electrical and magnetic properties of nickel doped tin oxide film prepared by a sol gel method followed by a nitrogen annealing process", "venue": "", "year": 2020 }, { "abstract": "Zinc oxide nanoparticles (ZnO NPs) have attracted much medical attention as antibacterial agents through their ability to produce reactive oxygen species (ROS) under ultraviolet light. Conducting polymers can enhance the photocatalytic efficiency of ZnO NPs by expanding their absorption in the visible region. In this article, ZnO NPs were prepared and characterized using three different chemical routes; sol gel, hydrothermal, and combustion methods. The effect of calcination temperature on their properties had been investigated. ZnO NPs prepared from the combustion method at 750 oC was modified with (10% 15% and 20% polyaniline (PANI) by in situ polymerization of aniline on the dispersion of ZnO NPs to produce PANI/ZnO nanocomposites (NCs) NC10, NC15, and NC20, respectively. Characterization of the synthesized NCs was carried out by FTIR, XRD, TEM, and SEM. Their antibacterial efficiency toward Escherichia coli G and Staphylococcus aureus G+ and antifungal activity to Aspergillus flavus and Candida albicans were evaluated. The NCs revealed medium antibacterial activity where NC15 showed the highest activity to Staphylococcus aureus (G+ and Candida albicans, however, no efficiency was detected against Aspergillus flavus (Fungus)", "author_names": [ "Samir M M Morsi", "R M Mohsen", "Mohamed M Selim", "Hazem M El-Sherif" ], "corpus_id": 139665795, "doc_id": "139665795", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Sol gel, hydrothermal, and combustion synthetic methods of zinc oxide nanoparticles and their modification with polyaniline for antimicrobial nanocomposites application", "venue": "Egyptian Journal of Chemistry", "year": 2019 }, { "abstract": "Abstract The study reports the influence of polyols (1,2 ethanediol, 1,2 propanediol, 1,3 propanediol, 1,2,3 propanetriol) used in the synthesis on the structure and magnetic properties of Zn0.5Co0.5Fe2O4/SiO2 nanocomposites. The X ray diffraction patterns indicated the formation of poorly to highly crystalline Zn0.5Co0.5Fe2O4, together with several other crystalline phases (Zn2SiO4, Co2SiO4, SiO2, Co3O4, ZnO) depending on the used polyol and annealing temperature. The Fourier transformed infrared spectra confirmed the formation of metal oxide bonds in Co Zn ferrite and of SiO2 matrix. The physical density increases and the X ray density decreases with average crystallite size decrease. The transmission electron microscopy measurements revealed the spherical nanoparticles (2 47 nm) size growth with increasing distance between the hydroxyl groups and number of hydroxyl groups. The shape of the hysteresis loops suggests the dependence of the magnetic behaviour on the structural properties, and consequently, on the polyol structure and the annealing temperature. Also, the saturation magnetization (Ms, 15.8 61.0 emu/g) remanent magnetization (MR 0.41 9.5 emu/g) and coercive field (Hc 0.014 0.021 T) of Zn0.5Co0.5Fe2O4 increase with the polyol chain length and hydroxyl group number. Both Ms and Hc increase with increasing crystallite size. The shape of the magnetization derivative curves with respect to the applied magnetic field indicates the presence of a single magnetic phase (Zn0.5Co0.5Fe2O4) that has ferromagnetic or superparamagnetic type behaviour, depending on the annealing temperature.", "author_names": [ "Thomas Dippong", "Oana Cadar", "Erika Andrea Levei", "Iosif Grigore Deac" ], "corpus_id": 209925148, "doc_id": "209925148", "n_citations": 34, "n_key_citations": 1, "score": 0, "title": "Microstructure, porosity and magnetic properties of Zn0.5Co0.5Fe2O4/SiO2 nanocomposites prepared by sol gel method using different polyols", "venue": "", "year": 2020 }, { "abstract": "Abstract An indium tin oxide (ITO) thin film with approximately 50 nm thickness was successfully synthesized on glass substrates by using a fully aqueous sol gel process. The sol was prepared from indium nitrate hydrate and tin fluoride as a precursor. Thermogravimetric analysis confirmed that the sol converted into crystalline ITO at 286 degC. The optical band gap and transmittance of the thin film were observed to increase with annealing temperature and plasma treatment time. X ray photoelectron spectroscopy and transmittance studies established that the number of oxygen vacancies in the thin film drastically increased with increasing temperature and plasma treatment. The annealing temperature and argon plasma treatment time appear to be key factors in reducing resistivity and increasing the transmittance of the thin film. A considerable decrease in the resistivity of the ITO thin film was observed after Ar plasma treatment. This eco friendly sol gel ITO thin film may find potential applications in n type ohmic electrodes for ink jet printable electronics.", "author_names": [ "Mirnmoy Misra", "D K Hwang", "Yoon Cheol Kim", "Jae Min Myoung", "Tae Il Lee" ], "corpus_id": 139378868, "doc_id": "139378868", "n_citations": 14, "n_key_citations": 0, "score": 0, "title": "Eco friendly method of fabricating indium tin oxide thin films using pure aqueous sol gel", "venue": "", "year": 2018 }, { "abstract": "Binary zinc tin oxide nano composite was synthesized by a facile sol gel method using simple precursors from the solutions consisting of zinc acetate, tin(IV) chloride and ethanol. Effect of annealing temperature on optical and structural properties was investigated using X ray diffraction (XRD) diffuse reflectance spectra (DRS) field emission scanning electron microscopy (FESEM) and Fourier transform infrared spectroscopy (FTIR) XRD results revealed the existence of the ZnO and SnO2 phases. FESEM results showed that binary zinc tin oxide nano composites ranges from 56 to 60 nm in diameter at 400degC and 500degC annealing temperatures respectively. The optical band gap was increased from 2.72 eV to 3.11 eV with the increasing of the annealing temperature. FTIR results confirmed the presence of zinc oxide and tin oxide and the broad absorption peaks at 3426 and 1602 cm( 1) can be ascribed to the vibration of absorptive water, and the absorption peaks at 546, 1038 and 1410 cm( 1) are due to the vibration of Zn O or Sn O groups in binary zinc tin oxide.", "author_names": [ "Mohammad Hossein Habibi", "Maryam Mardani" ], "corpus_id": 10844767, "doc_id": "10844767", "n_citations": 17, "n_key_citations": 0, "score": 0, "title": "Effect of annealing temperature on optical properties of binary zinc tin oxide nano composite prepared by sol gel route using simple precursors: structural and optical studies by DRS, FT IR, XRD, FESEM investigations.", "venue": "Spectrochimica acta. Part A, Molecular and biomolecular spectroscopy", "year": 2015 }, { "abstract": "The vanadium oxide thin films were deposited by sol gel method using vanadyl acetylacetonate as a starting precursor for vanadium source. The precursor was deposited onto cleaned glass substrate by conventional dip coating process with drawing speed of 80 mm/min. The coating process was repeated 5 times with baking process at 60degC during each coating followed by annealing process. In order to extensively study the influence of annealing temperature and ambient on crucial properties of the deposited films, the annealing process was conducted at different temperatures ranging from 200degC to 400degC under different ambient including air and nitrogen atmosphere. The crystalline structures and phases of the annealed films were observed and analyzed by mean of X ray diffraction technique meanwhile their morphological features were investigated by scanning electron microscope. The optical properties of the prepared films were measured by UV Vis NIR spectrometer. The extensive studies and results acknowledge that both temperature and atmosphere during annealing process play significant roles on pivotal properties of the films, especially optical characteristics which are highly essential for specific thermochromic applications.", "author_names": [ "Kittiya Plermjai", "Wisanu Pecharapa" ], "corpus_id": 189894527, "doc_id": "189894527", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "EFFECT OF ANNEALING TEMPERATURE AND AMBIENT ON CRUCIAL PHYSICAL PROPERTIES OF SOL GEL DERIVED VANADIUM OXIDE THIN FILMS", "venue": "", "year": 2017 }, { "abstract": "In the present work pure and tin (Sn) Doped Cobalt Oxide (Co3O4) nanoparticles were synthesized by Sol Gel method. The effect of Sn doping on structural properties of nanoparticles were investigated using x ray diffraction (XRD) Scanning Electron Microscopy(SEM) and Electron dispersive X ray analysis(EDAX).the XRD spectrum revealed the crystalline and crystal structure of the sample. It also shows that the diffraction peaks are broadened which reveals the narrow size distribution of cobalt oxide nanoparticles .In addition, the average grain size, lattice parameter values also calculated using XRD.SEM shows the morphology of nanoparticles .EDAX shows that the composition obtained is near stiochiometries. The properties of Sn doped Cobalt oxide nanoparticles prepared through simple cost effective, sol gel method can be used in effective gas sensing technique. Keywords Cobalt Oxide, EDAX Nanoparticles SEM, Sn Doping Sol Gel Method Structural", "author_names": [ "A S Tale", "S D Thakre" ], "corpus_id": 59330345, "doc_id": "59330345", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Effect of Sn Doping on Structural Properties of Cobalt Oxide Nanoparticles Synthesized by Sol Gel Method.", "venue": "", "year": 2017 } ]
Analog memristive memory with applications in Audio signal storage
[ { "abstract": "Since the development of the HP memristor, much attention has been paid to studies of memristive devices and applications, particularly memristor based nonvolatile semiconductor memory. Owing to its unique properties, theoretically, one could restart a memristor based computer immediately without the need for reloading the data. Further, current memories are mainly binary and can store only ones and zeros, whereas memristors have multilevel states, which means a single memristor unit can replace many binary transistors and realize higher density memory. It is believed that memristors can also implement analog storage besides binary and multilevel information memory. In this paper, an implementation scheme for analog memristive memory is considered. A charge controlled memristor model is derived and the corresponding SPICE model is constructed. Special write and read operations are demonstrated through numerical analysis and circuit simulations. In addition, an audio analog record/play system using a memristor crossbar array is designed. This system can provide great storage capacity (long recording time) and high audio quality with a simple small circuit structure. A series of computer simulations and analyses verify the effectiveness of the proposed scheme.", "author_names": [ "Shukai Duan", "Xiaofang Hu", "Lidan Wang", "Chuandong Li" ], "corpus_id": 14730976, "doc_id": "14730976", "n_citations": 44, "n_key_citations": 0, "score": 1, "title": "Analog memristive memory with applications in audio signal processing", "venue": "Science China Information Sciences", "year": 2013 }, { "abstract": "Memristor, a two terminal device with dynamic conductance depending on the charge or the flux flowing it was predicted by Leon Chua about four decades ago and named the fourth fundamental circuit element. In 2008, Hewlett Packard (HP) laboratory announced they have found the missing memristor in nano scale physical device. Since that memristor has garnered extensive interests among numerous researchers and proposed in many applications. In this paper, an implement scheme of a memristive multilevel memory with a single unit storing a bit multilevel information (several bits of binary data) is presented. A record/play system with the memristive multilevel memory is designed as an application in audio signal storage. Due to the multilevel memory ability and nano scale size of the memristor, this design possesses simpler, smaller circuit structure, greater data density and nonvolatile. A series of computer simulations verify the effectiveness of the memristive memory and provide a new solution for audio signal storage and processing.", "author_names": [ "Xiaofang Hu", "Shukai Duan", "Lidan Wang" ], "corpus_id": 34820156, "doc_id": "34820156", "n_citations": 7, "n_key_citations": 0, "score": 0, "title": "Memristive Multilevel Memory with Applications in Audio Signal Storage", "venue": "AICI", "year": 2011 }, { "abstract": "Neural networks (NN) have been widely used in various applications. However, the high computational complexity and energy consumption of NNs impede their deployment on embedded and mobile platforms, where computational resources and energy are limited. Most of the existing accelerators are based on traditional Von Neumann(VN) architecture, which separates computing from storage, and the massive data movement between the processor and off chip memory causes great power consumption. In memory computing (IMC) architecture integrates computing and storage together to eliminate explicit memory access, reducing energy hungry data transmission. On the other hand, binary neural network (BNN) restricts the weight and activation value to either 1 or +1, converting a large number of multiplications into simple bit wise XNOR logical operations, and significantly reduces the complexity of computation and amount of memory access. We propose an energy efficient in memory BNN architecture that performs matrix vector multiplication (MxV) of FC layers. The bit wise XNOR operation is realized on the bit line of SRAM, making the data fetching is part of the computation. The accumulation and binarization are performed in time domain with analog and digital mixed signal processing, which can be blended with SRAM to minimize the data transmission overhead. In the operating condition of TT 0.5V 25 c 50MHZ, the energy efficiency is 96.249 TOPS/W, surpassing the conventional digital implementation with similar performance by 2.09x.", "author_names": [ "Tao Wang", "Weiwei Shan" ], "corpus_id": 218473610, "doc_id": "218473610", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "An Energy Efficient In Memory BNN Architecture With Time Domain Analog and Digital Mixed Signal Processing", "venue": "2019 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH)", "year": 2019 }, { "abstract": "Mapping neuro inspired algorithms to sensor backplanes of on chip hardware require shifting the signal processing from digital to the analog domain, demanding memory technologies beyond conventional CMOS binary storage units. Using memristors for building analog data storage is one of the promising approaches amongst emerging non volatile memory technologies. Recently, a memristive multi level memory cell for storing discrete analog values has been developed in which memory system is implemented combining memristors in voltage divider configuration. In given example, the memory cell of 3 sub cells with a memristor in each was programmed to store ternary bits which overall achieved 10 and 27 discrete voltage levels. However, for further use of proposed memory cell in analog signal processing circuits data encoder is required to generate control voltages for programming memristors to store discrete analog values. In this paper, we present the design and performance analysis of data encoder that generates write pattern signals for 10 level memristive memory.", "author_names": [ "Aidana Irmanova", "A P James" ], "corpus_id": 3834942, "doc_id": "3834942", "n_citations": 28, "n_key_citations": 0, "score": 0, "title": "Neuron inspired data encoding memristive multi level memory cell", "venue": "ArXiv", "year": 2018 }, { "abstract": "Analog memory is of great importance in neurocomputing technologies field, but still remains difficult to implement. With emergence of memristors in VLSI technologies the idea of designing scalable analog data storage elements finds its second wind. A memristor, known for its history dependent resistance levels, independently can provide blocks of binary or discrete state data storage. However, using single memristor to save the analog value is practically limited due to the device variability and implementation complexity. In this paper, we present a new design of discrete state memory cell consisting of sub cells constructed from a memristor and its resistive network. A memristor in the sub cells provides the storage element, while its resistive network is used for programming its resistance. Several sub cells are then connected in parallel, resembling potential divider configuration. The output of the memory cell is the voltage resulting from distributing the input voltage among the sub cells. Here, proposed design was programmed to obtain 10 and 27 different output levels depending on the configuration of the combined resistive networks within the sub cell. Despite the simplicity of the circuit, this realization of multilevel memory provides increased number of output levels compared to previous designs of memory technologies based on memristors. Simulation results of proposed memory are analyzed providing explicit data on the issues of distinguishing discrete analog output levels and sensitivity of the cell to oscillations in write signal patterns.", "author_names": [ "Aidana Irmanova", "A P James" ], "corpus_id": 25266903, "doc_id": "25266903", "n_citations": 16, "n_key_citations": 0, "score": 0, "title": "Multi level memristive memory with resistive networks", "venue": "2017 IEEE Asia Pacific Conference on Postgraduate Research in Microelectronics and Electronics (PrimeAsia)", "year": 2017 }, { "abstract": "As the fourth basic electronic component, the application fields of the memristive devices are diverse. The digital resistive switching with sudden resistance change is suitable for the applications of information storage, while the analog memristive devices with gradual resistance change are required in the neural system simulation. In this paper, a transparent device of ZnO films deposited by the magnetron sputtering on indium tin oxides (ITO) glass was firstly prepared and found to show typical analog memristive switching behaviors, including an I V curve that exhibits a 'pinched hysteresis loops' fingerprint. The conductive mechanism of the device was discussed, and the LTspice model was built to emulate the pinched hysteresis loops of the I V curve. Based on the LTspice model and the Pavlov training circuit, a conditioned reflex experiment has been successfully completed both in the computer simulation and the physical analog circuits. The prepared device also displayed synapses like characteristics, in which resistance decreased and gradually stabilized with time under the excitation of a series of voltage pulse signals.", "author_names": [ "Tie Dong Cheng", "Jingjing Rao", "Xingui Tang", "Lirong Yang", "Nan Liu" ], "corpus_id": 115518918, "doc_id": "115518918", "n_citations": 5, "n_key_citations": 0, "score": 0, "title": "Analog Memristive Characteristics and Conditioned Reflex Study Based on Au/ZnO/ITO Devices", "venue": "", "year": 2018 }, { "abstract": "Advances in electronics have revolutionized the way people work, play and communicate with each other. Historically, these advances were mainly driven by CMOS transistor scaling following Moore's law, where new generations of devices are smaller, faster, and cheaper, leading to more powerful circuits and systems. However, conventional scaling is now facing major technical challenges and fundamental limits. New materials, devices, and architectures are being aggressively pursued to meet present and future computing needs, where tight integration of memory and logic, and parallel processing are highly desired. To this end, one class of emerging devices, termed memristors or memristive devices, have attracted broad interest as a promising candidate for future memory and computing applications. Besides tremendous appeal in data storage applications, memristors offer the potential to enable efficient hardware realization of neuromorphic and analog computing architectures that differ radically from conventional von Neumann computing architectures. In this review, we analyze representative memristor devices and their applications including mixed signal analog digital neuromorphic computing architectures, and highlight the potential and challenges of applying such devices and architectures in different computing applications.", "author_names": [ "Mohammed Affan Zidan", "An Chen", "Giacomo Indiveri", "Wei Lu" ], "corpus_id": 139570353, "doc_id": "139570353", "n_citations": 29, "n_key_citations": 0, "score": 0, "title": "Memristive computing devices and applications", "venue": "Journal of Electroceramics", "year": 2017 }, { "abstract": "Due to their specific memory effects, memristive systems are potentially useful building blocks for innovative implementations of classical techniques of nonlinear analog signal processing. This paper discusses such properties of memristive systems which would amplify their usefulness in concrete applications. It turns out that systems behaving as ideal memristors may not be suitable candidates for such implementations. Attention is paid to a simple memristive system with threshold switching as a potentially useful building block for various analog applications.", "author_names": [ "Dalibor Biolek", "Viera Biolkova", "Zdenek Kolka" ], "corpus_id": 3655921, "doc_id": "3655921", "n_citations": 16, "n_key_citations": 0, "score": 0, "title": "Memristive systems for analog signal processing", "venue": "2014 IEEE International Symposium on Circuits and Systems (ISCAS)", "year": 2014 }, { "abstract": "Memristive devices have been a hot topic in nanoelectronics for the last two decades in both academia and industry. Originally proposed as digital (binary) nonvolatile random access memories, research in this field was predominantly driven by the search for higher performance solid state drive technologies (e.g. flash replacement) or higher density memories (storage class memory) However, based on their large dynamic range in resistance with analog tunability along with complex switching dynamics, memristive devices enable revolutionary novel functions and computing paradigms. We present the prospects, opportunities, and materials challenges of memristive devices in computing applications, both near and far terms. Memristive devices offer at least three main types of novel computing applications: in memory computing, analog computing, and state dynamics. We will present the status in the understanding of the most common redox based memristive devices while addressing the challenges that materials research will need to tackle in the future. In order to pave the way toward novel computing paradigms, a rational design of the materials stacks will be required, enabling nanoscale control over the ionic dynamics that gives these devices their variety of capabilities.", "author_names": [ "Regina Dittmann", "John Paul Strachan" ], "corpus_id": 212715778, "doc_id": "212715778", "n_citations": 15, "n_key_citations": 0, "score": 0, "title": "Redox based memristive devices for new computing paradigm", "venue": "APL Materials", "year": 2019 }, { "abstract": "This study introduces a feasible read/write technique applicable to the diverse applications of memristive devices including neuromorphic circuits, logic units, and memory devices. Interest on memristive devices keeps growing in the electronics industry thanks to their nonvolatile property and lower layout density. The proposed readout circuitry is primarily designed for multi bit logic operations, which enables its use of large scale biomimicking circuits. The architecture combines bit select decoders, read and write switches for each bit, operational transconductance amplifiers (OTA) connected to readout circuit and an analog to digital converter (ADC) to digitalize the signal coming from memristor. Our investigations on various circuit configurations suggest the utilization of a second order Delta Sigma ADC operating with an oversampling ratio (OSR) of 256 and a sampling frequency of 128 kHz. An isolation amplifier is utilized to reduce the loading effects between memristor and ADC. As compared to others, the second order modulator with isolation amplifier demonstrates linear characteristics in multi bit operations. The whole circuit uses a single type of two stage opamp design that combines a Miller capacitor and voltage follower in the feedback path for compensation. Overall design works successfully for memristorbased single and multi bit reading operations.", "author_names": [ "Seyma Songul Ozdilli", "Dincer Gokcen" ], "corpus_id": 209382491, "doc_id": "209382491", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Design of a Second Order Delta Sigma ADC Based Read/Write Circuit for Memristive Crossbar Arrays", "venue": "2019 3rd International Symposium on Multidisciplinary Studies and Innovative Technologies (ISMSIT)", "year": 2019 } ]
Vines Performance Germanium
[ { "abstract": "Single photon detection has emerged as a method of choice for ultra sensitive measurements of picosecond optical transients. In the short wave infrared, semiconductor based single photon detectors typically exhibit relatively poor performance compared with all silicon devices operating at shorter wavelengths. Here we show a new generation of planar germanium on silicon (Ge on Si) single photon avalanche diode (SPAD) detectors for short wave infrared operation. This planar geometry has enabled a significant step change in performance, demonstrating single photon detection efficiency of 38% at 125 K at a wavelength of 1310 nm, and a fifty fold improvement in noise equivalent power compared with optimised mesa geometry SPADs. In comparison with InGaAs/InP devices, Ge on Si SPADs exhibit considerably reduced afterpulsing effects. These results, utilising the inexpensive Ge on Si platform, provide a route towards large arrays of efficient, high data rate Ge on Si SPADs for use in eye safe automotive LIDAR and future quantum technology applications.By incorporating germanium, single photon avalanche diode detectors using silicon based platforms are applied to infrared light detection. Here, a cost effective planar detector geometry is presented yielding high detection efficiency suitable for applications such as sparse photon imaging or LIDAR.", "author_names": [ "Peter Vines", "Kateryna Kuzmenko", "Jaroslaw Kirdoda", "Derek C S Dumas", "Muhammad M Mirza", "Ross W Millar", "Douglas J Paul", "Gerald S Buller" ], "corpus_id": 71146247, "doc_id": "71146247", "n_citations": 41, "n_key_citations": 0, "score": 1, "title": "High performance planar germanium on silicon single photon avalanche diode detectors", "venue": "Nature Communications", "year": 2019 }, { "abstract": "A key milestone for the next generation of high performance multifunctional microelectronic devices is the monolithic integration of high mobility materials with Si technology. The use of Ge instead of Si as a basic material in nanoelectronics would need homogeneous p and n type doping with high carrier densities. Here we use ion implantation followed by rear side flash lamp annealing (r FLA) for the fabrication of heavily doped n type Ge with high mobility. This approach, in contrast to conventional annealing procedures, leads to the full recrystallization of Ge films and high P activation. In this way single crystalline Ge thin films free of defects with maximum attained carrier concentrations of 2.20 0.11 x 1020 cm 3 and carrier mobilities above 260 cm2/(V*s) were obtained. The obtained ultra doped Ge films display a room temperature plasma frequency above 1,850 cm 1, which enables to exploit the plasmonic properties of Ge for sensing in the mid infrared spectral range.", "author_names": [ "Slawomir Prucnal", "Fang Liu", "Matthias Voelskow", "Lasse Vines", "L Rebohle", "Denny Lang", "Yonder Berencen", "Stefan Andric", "Roman Boettger", "Manfred Helm", "Shengqiang Zhou", "Wolfgang Skorupa" ], "corpus_id": 1018777, "doc_id": "1018777", "n_citations": 50, "n_key_citations": 1, "score": 0, "title": "Ultra doped n type germanium thin films for sensing in the mid infrared", "venue": "Scientific reports", "year": 2016 }, { "abstract": "Abstract We present our work on high performance germanium (Ge) quantum dot (QD) photodetectors (PDs) fabricated on Si and Ge substrates, that operate via tunneling transport through a QD containing active layer and feature high internal photoconductive gain. In the l 400 1100 nm range, the PDs fabricated on Si substrates exhibit room temperature spectral responsivity R sp up to 4 A/W and internal quantum efficiency (IQE) up to 700% At l 640 nm and 12 m W of incident power, signal to noise ratio (SNR) of 7 x 10 6 and specific detectivity D of 1.2x1011 cmHz1/2W 1 are obtained. The PDs demonstrate 3 dB bandwidths (f3dB) up to 10 MHz, corresponding to response times of 40 ns. When operated at 100 K temperature the performance improves, especially at low incident power, where at 10 nW D increases to 2 x 10 13 cmHz1/2W 1, due to IQE in excess of 22000% In order to extend the photoresponse into the near infrared (near IR) PDs were fabricated on Ge substrates, yielding room temperature R sp 1.5 A/W, IQE 134% and f3dB 10 kHz at the l 1550 nm telecommunication wavelength. Significant improvement to f3dB is expected in PDs employing thinner QD containing layers. Lowering temperature to 50 K and incident power to 10 nW yield D* 1013 cmHz1/2W 1, resulting from IQE exceeding 60000% Based on the above figures of merit, as well as their Si technology compatibility, our Ge QD PDs appear promising for high performance photodetectors working in the visible and near IR.", "author_names": [ "Stylianos Siontas", "Dongfang Li", "Haobei Wang", "Aravind A V P S", "Alexander Zaslavsky", "Domenico Pacifici" ], "corpus_id": 104060085, "doc_id": "104060085", "n_citations": 12, "n_key_citations": 0, "score": 0, "title": "High performance germanium quantum dot photodetectors in the visible and near infrared", "venue": "Materials Science in Semiconductor Processing", "year": 2019 }, { "abstract": "A high performance and broadband heterojunction photodetector has been successfully fabricated. The heterostructure device is based on a uniform and pinhole free perovskite film constructed on top of a single crystal germanium layer. The perovskite/germanium photodetector shows enhanced performance and a broad spectrum compared with the single material based device. The photon response properties are characterized in detail from the visible to near infrared spectrum. At an optical fibre communication wavelength of 1550 nm, the heterojunction device exhibits the highest responsivity of 1.4 A/W. The performance is promoted because of an antireflection perovskite coating, the thickness of which is optimized to 150 nm at the telecommunication band. At a visible light wavelength of 680 nm, the device shows outstanding responsivity and detectivity of 228 A/W and 1.6 x 1010 Jones, respectively. These excellent properties arise from the photoconductive gain boost in the heterostructure device. The presented heterojunction photodetector provides a competitive approach for wide spectrum photodetection from visible to optical communication areas. Based on the distinguished capacity of light detection and harvesting from the visible to near infrared spectrum, the designed germanium/perovskite heterostructure configuration is believed to provide new building blocks for novel optoelectronic devices.Perovskite germanium layers for broadband light detectionA device made from germanium and perovskite layers can detect light in the visible and near infrared ranges, showing potential for use in a wide range of applications, including in optical communications and next generation optoelectronics. This heterojunction photodetector fabricated by Chunlai Xue of the Chinese Academy of Sciences and colleagues overcomes problems in single material photodetectors, which are unable to detect a broad range of light. Recent research into various combinations of semiconducting materials for heterojunction photodetectors has led to devices with poor sensitivity to light or that require a high working voltage. Adding a layer of methylammonium lead triiodide perovskite to a layer of germanium resulted in a highly sensitive photodetector at the optical fibre communication wavelength of 1550 nm (near infrared range) and the visible light wavelength of 680 nm.", "author_names": [ "Wei Hu", "Hui Cong", "Wentao Huang", "Yu Huang", "Lijuan Chen", "Anlian Pan", "Chunlai Xue" ], "corpus_id": 208225134, "doc_id": "208225134", "n_citations": 75, "n_key_citations": 0, "score": 0, "title": "Germanium/perovskite heterostructure for high performance and broadband photodetector from visible to infrared telecommunication band", "venue": "Light, science applications", "year": 2019 }, { "abstract": "Abstract A layered germanium phosphide (GeP3) was synthesized in a straightforward manner using a simple solid state synthetic method, and its electrochemical behavior for lithium ion batteries (LIBs) and sodium ion batteries (SIBs) was investigated. During Li insertion and extraction, the GeP3 experienced a sequential three step (topotactic transition, conversion, and alloying) and two step (dealloying and recombination) reaction. During Na insertion and extraction, GeP3 had a one step (conversion) and two step (recombination and topotactic transition) reaction. Based on the interesting phase change mechanisms during Li and Na insertion/extraction, the GeP3 based nanocomposite exhibited superior electrochemical performance, such as large reversible capacity (first reversible capacity: 1526 mAh g 1 for LIB, 984 mAh g 1 for SIB) high initial Coulombic efficiencies (86.3% for LIB, 81.3% for SIB) stable cycle life (capacity retention for LIB: 95% in 0 2 V after 30 cycles, 87% in 0.35 2 V after 100 cycles, and 93% in 0.64 2 V after 100 cycles, capacity retention for SIB: 95% in 0 2 V after 30 cycles) and rapid rate capabilities (LIB: 860 mAh g 1 in 0.35 2 V at 2C rate, SIB: 520 mAh g 1 in 0 2 V at 2C rate) Overall, the layered GeP3 based anode with its interesting Li and Na reaction mechanisms will be a promising alternative anode for high performance LIBs and SIBs.", "author_names": [ "Ki-Hun Nam", "Ki-Joon Jeon", "Cheol-min Park" ], "corpus_id": 105845199, "doc_id": "105845199", "n_citations": 36, "n_key_citations": 0, "score": 0, "title": "Layered germanium phosphide based anodes for high performance lithium and sodium ion batteries", "venue": "Energy Storage Materials", "year": 2019 }, { "abstract": "Abstract Germanium has attracted much attention in recent decades due its intrinsic suitability for use in lithium ion batteries. It has a high capacity (1384 mA h g 1, corresponding to Li15Ge4) excellent lithium ion diffusivity (400 times faster than Si) and high electrical conductivity (104 times higher than Si) However, its range of applications is hindered by the huge volume change during the lithiation and delithiation process ~250% in a lithiated state for Li15Ge4 and ~300% for Li22Ge5) thereby resulting in electrode pulverization and its isolation from the current collector, which finally leads to the loss of capacity and poor cycling performance. Much research has been conducted to improve the electrochemical performance of germanium materials with great success in recent years. The present review focuses on the synthetic routes and novel electrode structures used for germanium anodes to obtain electrodes with excellent performance. To present these experimental results in a systematic manner, they are categorized as follows: (i) nanostructured germanium; (ii) porous anode materials; (iii) coating or doping designs; (iv) alloyed germanium materials; (v) GeO2 based anode materials; and (vi) the future outlook is considered. Finally, our personal perspectives on germanium electrode design are presented as well as suggestions for further research into novel germanium anode materials.", "author_names": [ "Zhenglin Hu", "Shu Xia Zhang", "Chuanjian Zhang", "Guanglei Cui" ], "corpus_id": 98997148, "doc_id": "98997148", "n_citations": 53, "n_key_citations": 0, "score": 0, "title": "High performance germanium based anode materials", "venue": "", "year": 2016 }, { "abstract": "Here we report the formation of high performance and high capacity lithium ion battery anodes from high density germanium nanowire arrays grown directly from the current collector. The anodes retain capacities of 900 mAh/g after 1100 cycles with excellent rate performance characteristics, even at very high discharge rates of 20 100C. We show by an ex situ high resolution transmission electron microscopy and high resolution scanning electron microscopy study that this performance can be attributed to the complete restructuring of the nanowires that occurs within the first 100 cycles to form a continuous porous network that is mechanically robust. Once formed, this restructured anode retains a remarkably stable capacity with a drop of only 0.01% per cycle thereafter. As this approach encompasses a low energy processing method where all the material is electrochemically active and binder free, the extended cycle life and rate performance characteristics demonstrated makes these anodes highly attractive for the most demanding lithium ion applications such as long range battery electric vehicles.", "author_names": [ "Tadhg Kennedy", "Emma Mullane", "Hugh Geaney", "Michal J Osiak", "Colm O'Dwyer", "Kevin M Ryan" ], "corpus_id": 8540432, "doc_id": "8540432", "n_citations": 258, "n_key_citations": 1, "score": 0, "title": "High performance germanium nanowire based lithium ion battery anodes extending over 1000 cycles through in situ formation of a continuous porous network.", "venue": "Nano letters", "year": 2014 }, { "abstract": "Germanium halide perovskites are an attractive alternative to lead perovskites because of their well suited optical properties for photovoltaic applications. However, the power conversion efficiencies of solar cells based on germanium perovskites remained below 0.2% so far, and also, the device stability is an issue. Herein, we show that modifying the chemical composition of the germanium perovskite, i.e. introducing bromide ions into the methylammonium germanium iodide perovskite, leads to a significant improvement of the solar cell performance along with a slight enhancement of the stability of the germanium perovskite. With substitution of 10% of the iodide with bromide, power conversion efficiencies up to 0.57% were obtained in MAGeI2.7Br0.3 based solar cells with a planar p i n architecture using PEDOT:PSS as hole and PC70BM as electron transport layer.", "author_names": [ "Indira Kopacic", "Bastian Friesenbichler", "Sebastian Franz Hoefler", "Birgit Kunert", "Harald Plank", "Thomas Rath", "Gregor Trimmel" ], "corpus_id": 139948159, "doc_id": "139948159", "n_citations": 87, "n_key_citations": 1, "score": 0, "title": "Enhanced Performance of Germanium Halide Perovskite Solar Cells through Compositional Engineering", "venue": "", "year": 2018 }, { "abstract": "Abstract Dual porous Ge nanostructures are synthesized via two straightforward steps. Compared with conventional approaches related to porous Ge materials, different types of pores can be readily generated by adjusting the relative ratio of the precursor amounts for GeO2 and SiO2. Unlike using hard templates with different sizes for introducing secondary pores, this system makes a uniformly blended structure of porogen and active sites in the nanoscale range. When GeO2 is subjected to zincothermic reduction, it is selectively converted to pure Ge still connected to unreacted SiO2. During the reduction process, primary pores (larger than 50 nm) are formed by eliminating zinc oxide by products, while inactive SiO2 with respect to zinc metal could contribute to retaining the overall structure. Finally, the HF treatment completely leaches remaining SiO2 and formed secondary pores (micro/mesopores) to complete the dual porous Ge structure. The resulting Ge structure is tested as an anode material for lithium ion batteries. The Ge electrode exhibits an outstanding reversibility and an exceptional cycling stability corresponding to a capacity retention of 100% after 100 cycles at C/5 and of 94.4% after 300 cycles at C/2. Furthermore, multi scale pores facilitate a facile Li ion accessibility, resulting in an excellent rate capability delivering ~740 mAh g 1 at 5C.", "author_names": [ "Dohyoung Kwon", "Jaegeon Ryu", "Myoungsoo Shin", "Gyujin Song", "Dongki Hong", "Kwang Soo Kim", "Soo-Jin Park" ], "corpus_id": 104192232, "doc_id": "104192232", "n_citations": 25, "n_key_citations": 0, "score": 0, "title": "Synthesis of dual porous structured germanium anodes with exceptional lithium ion storage performance", "venue": "", "year": 2018 }, { "abstract": "In a simple and convenient way, mesoporous germanium nanoparticles (mp Ge NPs) are prepared by a \"metathesis\" reaction of magnesium germanide (Mg2Ge) and zinc chloride (ZnCl2) in an autoclave at 300 degC. Investigated as anode materials for lithium ion batteries, the prepared mp Ge NPs exhibit a high capacity retention of 1048 mA h g 1 at 1 C after 1000 cycles and a high rate capacity of 727.1 mA h g 1 at 10 C in Li Ge half cells. Additionally, a 3.4 V lithium ion full cell (Ge LiCoO2) with an energy retention of 85% ~268.8 W h kg 1) over 100 cycles is achieved.", "author_names": [ "Xianyu Liu", "Ning Lin", "Wenlong Cai", "Yingyue Zhao", "Jianbin Zhou", "Jianwen Liang", "Yongchun Zhu", "Yitai Qian" ], "corpus_id": 21706005, "doc_id": "21706005", "n_citations": 8, "n_key_citations": 0, "score": 0, "title": "Mesoporous germanium nanoparticles synthesized in molten zinc chloride at low temperature as a high performance anode for lithium ion batteries.", "venue": "Dalton transactions", "year": 2018 } ]
Two-dimensional epitaxial superconductor-semiconductor heterostructures: A platform for topological superconducting networks
[ { "abstract": "Progress in the emergent field of topological superconductivity relies on synthesis of new material combinations, combining superconductivity, low density, and spin orbit coupling (SOC) For example, theory [1 4] indicates that the interface between a one dimensional (1D) semiconductor (Sm) with strong SOC and a superconductor (S) hosts Majorana modes with nontrivial topological properties [5 8] Recently, epitaxial growth of Al on InAs nanowires was shown to yield a high quality S Sm system with uniformly transparent interfaces [9] and a hard induced gap, indicted by strongly suppressed sub gap tunneling conductance [10] Here we report the realization of a two dimensional (2D) InAs/InGaAs heterostructure with epitaxial Al, yielding a planar S Sm system with structural and transport characteristics as good as the epitaxial wires. The realization of 2D epitaxial S Sm systems represent a significant advance over wires, allowing extended networks via top down processing. Among numerous potential applications, this new material system can serve as a platform for complex networks of topological superconductors with gate controlled Majorana zero modes [1 4] We demonstrate gateable Josephson junctions and a highly transparent 2D S Sm interface based on the product of excess current and normal state resistance.", "author_names": [ "Javad Shabani", "Morten Kjaergaard", "Henri J Suominen", "Younghyun Kim", "F Nichele", "K Pakrouski", "Tomas Stankevic", "R M Lutchyn", "Peter Krogstrup", "Robert Feidenhans'l", "Steffen Kraemer", "C Nayak", "Matthias Troyer", "Charles M Marcus", "Chris J Palmstrom" ], "corpus_id": 53973811, "doc_id": "53973811", "n_citations": 134, "n_key_citations": 3, "score": 1, "title": "Two dimensional epitaxial superconductor semiconductor heterostructures: A platform for topological superconducting networks", "venue": "", "year": 2016 }, { "abstract": "", "author_names": [ "Javad Shabani", "Morten Kjaergaard", "Henri J Suominen", "Younghyun Kim", "F Nichele", "K Pakrouski", "Tomas Stankevic", "R M Lutchyn", "Peter Krogstrup", "Robert Feidenhans'l", "Steffen Kraemer", "C Nayak", "Matthias Troyer", "Charles M Marcus", "Chris J Palmstrom" ], "corpus_id": 124497588, "doc_id": "124497588", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Publisher's Note: Two dimensional epitaxial superconductor semiconductor heterostructures: A platform for topological superconducting networks [Phys. Rev. B 93 155402 (2016)", "venue": "", "year": 2016 }, { "abstract": "One dimensional (1D) electronic transport and induced superconductivity in semiconductor nanostructures are crucial ingredients to realize topological superconductivity. Our approach for topological superconductivity employs a two dimensional electron gas (2DEG) formed by an InAs quantum well, cleanly interfaced with an epitaxial superconductor (epi Al) This epi Al/InAs quantum well heterostructure is advantageous for fabricating large scale nanostructures consisting of multiple Majorana zero modes. Here, we demonstrate transport studies of building blocks using a high quality epi Al/InAs 2DEG heterostructure, which could be put together to realize various proposed 1D nanowire based nanostructures and 2DEG based networks that could host multiple Majorana zero modes. The studies include (1) gate defined quasi 1D channels in the InAs 2DEG and (2) quantum point contacts for tunneling spectroscopy, as well as induced superconductivity in (3) a ballistic Al InAs 2DEG Al Josephson junction. From 1D transport, systematic evolution of conductance plateaus in half integer conductance quanta is observed with Lande g factor of 17, indicating the strong spin orbit coupling and high quality of the InAs 2DEG. The improved 2DEG quality leads to ballistic Josephson junctions with enhanced characteristic parameters such as Ic Rn and Iexc Rn, the product of superconducting critical current Ic (and excess current Iexc) and normal resistance Rn. Our results of electronic transport studies based on the 2D approach suggest that the epitaxial superconductor/2D semiconductor system with improved 2DEG quality is suitable for realizing large scale nanostructures for quantum computing applications.", "author_names": [ "Joon Sue Lee", "Borzoyeh Shojaei", "Mihir Pendharkar", "Anthony P McFadden", "Younghyun Kim", "Henri J Suominen", "Morten Kjaergaard", "F Nichele", "Hao Zhang", "Charles M Marcus", "Chris J Palmstrom" ], "corpus_id": 85532076, "doc_id": "85532076", "n_citations": 22, "n_key_citations": 0, "score": 0, "title": "Transport Studies of Epi Al/InAs Two Dimensional Electron Gas Systems for Required Building Blocks in Topological Superconductor Networks.", "venue": "Nano letters", "year": 2019 }, { "abstract": "We model theoretically a two dimensional electron gas (2DEG) covered by a superconductor and demonstrate that topological superconducting channels are formed when stripes of the superconducting layer are removed. As a consequence, Majorana bound states (MBSs) are created at the ends of the stripes. We calculate the topological invariant and energy gap of a single stripe, using realistic values for an InAs 2DEG proximitized by an epitaxial Al layer. We show that the topological gap is enhanced when the structure is made asymmetric. This can be achieved either by imposing a phase difference (by driving a supercurrent or using a magnetic flux loop) over the strip or by replacing one superconductor by a metallic gate. Both strategies also enable control over the MBS splitting, thereby facilitating braiding and readout schemes based on controlled fusion of MBSs. Finally, we outline how a network of Majorana stripes can be designed.", "author_names": [ "Michael Hell", "Martin Leijnse", "Karsten Flensberg" ], "corpus_id": 11303500, "doc_id": "11303500", "n_citations": 83, "n_key_citations": 2, "score": 0, "title": "Two Dimensional Platform for Networks of Majorana Bound States.", "venue": "Physical review letters", "year": 2017 }, { "abstract": "Theory suggests that the interface between a one dimensional semiconductor (Sm) with strong spin orbit coupling and a superconductor (S) hosts Majorana modes with nontrivial topological properties. A key challenge in fabrication of such hybrid devices is forming highly transparent contacts between the active electrons in the semiconductor and the superconducting metal. Recently, it has been shown that a near perfect interface and a highly transparent contact can be achieved using epitaxial growth of aluminum on InAs nanowires. In this work, we present the first two dimensional epitaxial superconductor semiconductor material system that can serve as a platform for topological superconductivity. We show that our material system, Al InAs, satisfies all the requirements necessary to reach into the topological superconducting regime by individual characterization of the semiconductor two dimensional electron system, superconductivity of Al and performance of S Sm S junctions. This exciting development might lead to a number of useful applications ranging from spintronics to quantum computing.", "author_names": [ "Javad Shabani" ], "corpus_id": 126383225, "doc_id": "126383225", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Epitaxial superconductor semiconductor two dimensional systems: platforms for quantum circuits (Conference Presentation)", "venue": "NanoScience Engineering", "year": 2016 }, { "abstract": "To form a coherent quantum transport in hybrid superconductor semiconductor (S Sm) junctions, the formation of a homogeneous and barrier free interface between two different materials is necessary. The S Sm junction with high interface transparency will then facilitate the observation of the induced hard superconducting gap, which is the key requirement to access the topological phases (TPs) and observation of exotic quasiparticles such as Majorana zero modes (MZM) in hybrid systems. A material platform that can support observation of TPs and allows the realization of complex and branched geometries is therefore highly demanding in quantum processing and computing science and technology. Here, we introduce a two dimensional material system and study the proximity induced superconductivity in semiconducting two dimensional electron gas (2DEG) that is the basis of a hybrid quantum integrated circuit (QIC) The 2DEG is a 30 nm thick In0.75Ga0.25As quantum well that is buried between two In0.75Al0.25As barriers in a heterostructure. Niobium (Nb) films are used as the superconducting electrodes to form Nb In0.75Ga0.25As Nb Josephson junctions (JJs) that are symmetric, planar and ballistic. Two different approaches were used to form the JJs and QICs. The long junctions were fabricated photolithographically, but e beam lithography was used for short junctions' fabrication. The coherent quantum transport measurements as a function of temperature in the presence/absence of magnetic field B are discussed. In both device fabrication approaches, the proximity induced superconducting properties were observed in the In0.75Ga0.25As 2DEG. It was found that e beam lithographically patterned JJs of shorter lengths result in observation of induced superconducting gap at much higher temperature ranges. The results that are reproducible and clean suggesting that the hybrid 2D JJs and QICs based on In0.75Ga0.25As quantum wells could be a promising material platform to realize the real complex and scalable electronic and photonic quantum circuitry and devices.", "author_names": [ "Kaveh Delfanazari", "P Ma", "Reuben Kahan Puddy", "Teng Yi", "Moda Cao", "Yilmaz Gul", "Carly Richardson", "Ian Farrer", "David W Ritchie", "Hannah J Joyce", "Michael Joseph Kelly", "Charles G Smith" ], "corpus_id": 201063188, "doc_id": "201063188", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "Scalable Quantum Integrated Circuits on Superconducting Two Dimensional Electron Gas Platform.", "venue": "Journal of visualized experiments JoVE", "year": 2019 }, { "abstract": "The quality of interfaces and surfaces is crucial for the performance of nanoscale devices. A pertinent example is the close tie between current progress in gate tunable and topological superconductivity using semiconductor/superconductor electronic devices and the hard proximity induced superconducting gap obtained from epitaxial indium arsenide/aluminium heterostructures. Fabrication of devices requires selective etch processes; these only exist for InAs/Al hybrids, which precludes the use of other, potentially better material combinations in functional devices. We present a crystal growth platform based on three dimensional structuring of growth substrates for synthesising semiconductor nanowires with in situ patterned superconductor shells, which enables independent choice of material by eliminating etching. We realise and characterise all the most frequently used architectures in superconducting hybrid devices, finding increased yield and electrostatic stability compared to etched devices, along with evidence of ballistic superconductivity. In addition to aluminium, we present hybrid devices based on tantalum, niobium and vanadium.", "author_names": [ "Damon J Carrad", "Martin Bjergfelt", "Thomas Kanne", "Martin Aagesen", "Filip Krizek", "Elisabetta M Fiordaliso", "Erik V Johnson", "Jesper Nygard", "Thomas Sand Jespersen" ], "corpus_id": 216574780, "doc_id": "216574780", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Shadow lithography for in situ growth of generic semiconductor/superconductor devices", "venue": "", "year": 2019 }, { "abstract": "We develop a unified numerical approach for modeling semiconductor superconductor heterostructures. All the key physical ingredients of these systems orbital effect of magnetic field, superconducting proximity effect, and electrostatic environment are taken into account on equal footing in a realistic device geometry. As a model system, we consider indium arsenide (InAs) nanowires with an epitaxial aluminum (Al) shell, which is one of the most promising platforms for Majorana zero modes. We demonstrate qualitative and quantitative agreement of the obtained results with the existing experimental data. Finally, we characterize the topological superconducting phase emerging in a finite magnetic field and calculate the corresponding topological phase diagram.", "author_names": [ "G W Winkler", "A E Antipov", "Bernard van Heck", "Alexey A Soluyanov", "Leonid I Glazman", "Michael Wimmer", "R M Lutchyn" ], "corpus_id": 53127326, "doc_id": "53127326", "n_citations": 34, "n_key_citations": 0, "score": 0, "title": "Unified numerical approach to topological semiconductor superconductor heterostructures", "venue": "Physical Review B", "year": 2019 }, { "abstract": "Superconductors with topological surface or edge states have been intensively explored for the prospect of realizing Majorana bound states, which obey non Abelian statistics and are crucial for topological quantum computation. The traditional routes for making topological insulator/superconductor and semiconductor/superconductor heterostructures suffer fabrication difficulties and can only work at low temperature. Here, we use angle resolved photoemission spectroscopy to directly observe the evolution of a topological transition of band structure nearby the Fermi level in two dimensional high {T}_{c} superconductor \\mathrm{FeT}\\mathrm{e}}_{1\\ensuremath{ }x}\\mathrm{S}\\mathrm{e}}_{x}\\mathrm{SrTi}\\mathrm{O}}_{3}(001) monolayers, fully consistent with our theoretical calculations. Furthermore, evidence of edge states is revealed by scanning tunneling spectroscopy with assistance of theoretical calculations. Our study provides a simple and tunable platform for realizing and manipulating Majorana states at high temperature.", "author_names": [ "X -L Peng", "Yaqing Li", "X -X Wu", "H -B Deng", "X Shi", "Wenhui Fan", "M Li", "Y -B Huang", "Tian Qian", "Pierre Richard", "J -P Hu", "Shuheng H Pan", "H Q Mao", "Yj Sun", "Hong Ding" ], "corpus_id": 119078185, "doc_id": "119078185", "n_citations": 16, "n_key_citations": 1, "score": 0, "title": "Observation of topological transition in high Tc superconducting monolayer FeTe1 xSex films on SrTiO3(001)", "venue": "Physical Review B", "year": 2019 }, { "abstract": "Achievements in the growth of ultra pure III V semiconductor materials using state of the art molecular beam epitaxy (MBE) machine has led to the discovery of new physics and technological innovations. High mobility two dimensional electron gas (2DEG) embedded in GaAs/AlxGa1 xAs heterostructures provides an unparalleled platform for many body physics including fractional quantum Hall effect. On the other hand, single electron devices fabricated on modulation doped GaAs/AlxGa1 xAs heterostructures have been extensively used for fabrication of quantum devices such as spin qubit with application in quantum computing. Furthermore, epitaxial hybrid superconductor semiconductor heterostructures with ultra clean superconductor semiconductor interface have been grown using MBE technique to explore rare physical quantum state of the matter namely Majorana zero modes with non abelian exchange statistics.Chapter 1 in the manuscript starts with description of GaAs MBE system at Purdue University and continues with the modifications have been made to MBE hardware and growth conditions for growing heterostrcutures with 2DEG mobility exceeding 35 x 106 cm 2/V s. Utilizing an ultra high pure Ga source material and its further purification by thermal evaporation in the vacuum are determined to have major impact on growth of high mobility GaAs/AlxGa1 xAs heterostructures.Chapter 2 reports a systematic study on the effect of silicon doping density on low frequency charge noise and conductance drift in laterally gated nanostructures fabricated on modulation doped GaAs/AlxGa1 xAs heterostructures grown by Molecular Beam Epitaxy (MBE) The primary result of this study is that both charge noise and conductance drift are strongly impacted by the silicon doping used to create the two dimensional electron gas. These findings shed light on the physical origin of the defect states responsible for charge noise and conductance drift. This is especially significant for spin qubit devices, which require minimization of conductance drift and charge noise for stable operation and good coherence. Chapter 3 demonstrates measurements of the induced superconducting gap in 2D hybrid Al/Al0.15In0.85As/InAs heterostructures which is a promising platform for scaling topological qubits based on Majorana zero modes. The 2DEG lies in an InAs quantum well and is separated from the epitaxial Al layer by a barrier of Al0.15In0.85As with thickness d. Due to hybridization between the wave functions of 2DEG and superconductor, the strength of induced gap in the 2DEG largely depends on the barrier thickness. This chapter presents a systematic study of the strength of the induced gap in hybrid Al/Al0.15In0.85As/InAs superconductor/semiconductor heterostructures as a function of barrier thickness.", "author_names": [ "Saeed Fallahi" ], "corpus_id": 214028485, "doc_id": "214028485", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Growth and characterization of two dimensional III V semiconductor platforms for mesoscopic physics and quantum devices", "venue": "", "year": 2019 } ]
AX center first principle
[ { "abstract": "The vibrational properties of the wurtzstannite \\text{Cu}}_{2}\\text{ZnGeS}}_{4} are studied experimentally by polarized Raman scattering in off resonant and resonant conditions and theoretically by ab initio lattice dynamics calculations. Twenty nine modes from 45 Raman active theoretically predicted have been experimentally detected and identified, including polar \\text{A}}_{1}(TO) \\text{A}}_{1}(LO) and \\text{B}}_{1}(TO+LO)\\text{B}}_{2}(TO+LO) and nonpolar \\text{A}}_{2} symmetry phonon modes from measurements on (2 1 0) and (0 0 1) crystallographic planes of \\text{Cu}}_{2}\\text{ZnGeS}}_{4} single crystals. The lattice dynamics calculations provide a full picture of the zone center phonon spectrum and allow the assignment of experimentally observed lines to first and second order lattice vibrations. Using resonance Raman conditions, a strong enhancement of the \\text{A}}_{1}(LO) modes with the highest longitudinal transversal spiting is observed.", "author_names": [ "Maxim Guc", "Alexander P Litvinchuk", "S Levcenko", "Victor Izquierdo-Roca", "Xavier Fontane", "Mykhailo Ya Valakh", "E K Arushanov", "Alejandro Perez-Rodriguez" ], "corpus_id": 124219406, "doc_id": "124219406", "n_citations": 23, "n_key_citations": 0, "score": 0, "title": "Optical phonons in the wurtzstannite Cu 2 ZnGeS 4 semiconductor: Polarized Raman spectroscopy and first principle calculations", "venue": "", "year": 2014 }, { "abstract": "By applying the Born Huang expansion, originally developed for coupled nucleus electron systems, to the full nucleus electron photon Hamiltonian of non relativistic quantum electrodynamics (QED) in the long wavelength approximation, we deduce an exact set of coupled equations for electrons on photonic energy surfaces and the nuclei on the resulting polaritonic energy surfaces. This theory describes seamlessly many body interactions between nuclei, electrons and photons including the quantum fluctuation of the electromagnetic field and provides a proper first principle framework to describe QED chemistry phenomena. Since the photonic surfaces and the corresponding non adiabatic coupling elements can be solved analytically, the resulting expansion can be brought into a compact form which allows us to analyze aspects of coupled nucleus electron photon systems in a simple and intuitive manner. Furthermore, we discuss structural differences between the exact quantum treatment and Floquet theory and show how existing implementations of Floquet theory can be adjusted to adhere to QED. From this generalized Born Huang expansion an adapted Born Oppenheimer approximation for nuclei on polaritonic surfaces can be deduced. This form allows a direct application of first principle methods of quantum chemistry such as coupled cluster or configuration interaction approaches to QED chemistry. By restricting the basis set of this generalized Born Oppenheimer approximation we furthermore bridge quantum chemistry and quantum optics by recovering simple models of coupled matter photon systems employed in quantum optics and polaritonic chemistry. We finally highlight numerically that simple few level models can lead to physically wrong predictions, even in weak coupling regimes, and show how the presented derivations from first principles help to check and derive physically reliable simplified models.", "author_names": [ "Christian Schafer", "Michael Ruggenthaler", "Angel Rubio Max Planck Institute for the Structure", "Dynamics of Matter", "Center for High Energy Physics", "" ], "corpus_id": 54222518, "doc_id": "54222518", "n_citations": 62, "n_key_citations": 4, "score": 0, "title": "Ab initio nonrelativistic quantum electrodynamics: Bridging quantum chemistry and quantum optics from weak to strong coupling", "venue": "", "year": 2018 }, { "abstract": "A new module, which includes both the turbulence electron heating and the electron neutral cooling rate correction associated with the Farley Buneman onstability (FBI) in the National Center for Atmospheric Research Thermosphere Ionosphere Electrodynamics Global Circulation Model, enables us, for the first time, to investigate the intimate coupling between polar turbulence electron heating and thermosphere disturbances in the context of a first principle, self consistent model. Our simulation results show that during geomagnetic storms, after taking the FBI effects into account, Joule heating is almost doubled in the E region at these locations of strong convection electric field. This increases ~6% the phase speed of traveling atmosphere disturbances at around 400 km that are launched from both hemispheres. Additional heating sources also directly produce a divergence in the zonal wind with magnitudes of 20 m/s in the lower thermosphere. However, the FBI impact on the thermosphere at F region altitudes is not so straightforward. E region anomalous electron heating in association with the FBI can change the phase speeds and magnitudes of traveling atmosphere disturbances from high latitudes in both hemispheres and consequently modify global neutral wind circulation at F region altitudes. This, in turn, can affect the neutral temperature through adiabatic compressional heating and expansive cooling by about 40 K. This study demonstrates how the ionosphere thermosphere physical processes across different temporal and spatial scales are tightly coupled together throughout the whole upper atmosphere domain.", "author_names": [ "Jing Liu", "Wenbin Wang", "Alan G Burns", "Meers M Oppenheim", "Yakov S Dimant" ], "corpus_id": 62789038, "doc_id": "62789038", "n_citations": 7, "n_key_citations": 0, "score": 0, "title": "Faster Traveling Atmosphere Disturbances Caused by Polar Ionosphere Turbulence Heating", "venue": "", "year": 2018 }, { "abstract": "Perturbing the following systems (x,y) y(1 by),x(1 by))x 0, y(1 ax) x(1 ax) x 0, having a linear center with two vertical straight lines (ab0) of singularity inside the class of all piecewise polynomials of degree n, we give the estimate of the maximum number H(n) of limit cycles bifurcating from the period annulus around the center based on the argument principle and the first order averaging theory. It shows that H(n) is at least [n 2 1 bigger than the maximum number of limit cycles bifurcating from the period annulus around the linear center with two parallel straight lines of singularity in [Li Liu, 2015]", "author_names": [ "Yunfei Gao", "Linping Peng", "Changjian Liu" ], "corpus_id": 2191180, "doc_id": "2191180", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "Bifurcation of Limit Cycles from a Class of Piecewise Smooth Systems with Two Vertical Straight Lines of Singularity", "venue": "Int. J. Bifurc. Chaos", "year": 2017 }, { "abstract": "Abstract In this work, first principle DFT calculations are carried out to identify the active center and reveal the reaction pathway on nitrogen and boron doped carbon catalysts in acetylene hydrochlorination. Various different dopant configurations including pyridine, graphitic, and pyrrolic are explored and compared. The different geometries of dopants give the distinct electronic structure, which indicate that pyridine configuration with three dopants around a vacancy, have more states around Fermi level. The adsorption of acetylene (C2H2) is predicted to be the first step of the reaction as it has much bigger binding energy than another reactant, HCl. Boron and nitrogen doping exhibit opposite effect on the charge transfer between adsorbed C2H2 and the catalyst. The curvature of tube also influences acetylene adsorption and the binding energy decreases with increasing tube diameter. Moreover, the detailed reaction pathway is revealed from the calculations. A balanced activity for C2H2 adsorption and HCl activation is required to improve catalytic performance and too strong binding of C2H2 hinders the followed steps on the pathway and cause large barrier. This work clarify the confusions facing by the metal free doped carbon catalyst and lay out solid base for the future improvements in acetylene hydrochlorination.", "author_names": [ "Sajjad Ali", "Yiyang Qiu", "Zan Lian", "Samson O Olanrele", "Guojun Lan", "Yandong Li", "Dang Sheng Su", "Bo Li" ], "corpus_id": 104421284, "doc_id": "104421284", "n_citations": 15, "n_key_citations": 0, "score": 1, "title": "Screening of active center and reactivity descriptor in acetylene hydrochlorination on metal free doped carbon catalysts from first principle calculations", "venue": "Applied Surface Science", "year": 2019 }, { "abstract": "Abstract The addition of active elements is an excellent method to improve the wettability and interfacial bonding strength between Ag and ZrB2. To quantitatively evaluate the interfacial behavior and structure stability of Ag (1 1 1)/ZrB2 (0 0 0 1) interface, the role of Ti, Zr and Hf additions in the interfacial bonding strength and electronic properties was investigated comprehensively by first principle calculations. The B terminated ZrB2 (0 0 0 1) surface is more active than the Zr terminated surface under the lower B chemical potential. For the Ag (1 1 1)/ZrB2 (0 0 0 1) interface, the interface with center site presents the largest work of adhesion W a and the lowest interfacial energy for both Zr and B terminations. The Ti, Zr and Hf additions can greatly improve the W a for Ag/ZrB2 interface (especially with the B termination) when the Ag atom in 1st layer of Ag slab is substituted by active elements. The calculation on the electronic structure shows that the ionic bonding across Ag/ZrB2 interface is further enhanced while adding the active elements due to the strong interactions between the active elements and the closest B atomics. These results provide crucial fundamental insights to enhance the wettability of Ag/ZrB2 system by the addition of active elements.", "author_names": [ "Xiangzhao Zhang", "Puhao Xu", "Mingfeng Zhang", "Guiwu Liu", "Ziwei Xu", "Jian Yang", "Guan-jun Qiao" ], "corpus_id": 216253327, "doc_id": "216253327", "n_citations": 9, "n_key_citations": 0, "score": 1, "title": "Improving the wettability of Ag/ZrB2 system by Ti, Zr and Hf addition: An insight from first principle calculations", "venue": "", "year": 2020 }, { "abstract": "Combined liver/thoracic transplantation (cLiThTx) is a complex procedure for end stage/advanced liver and heart(H)/lung(Lu) disease. To avoid futile use of multiple organs in single recipients, results should be scrutinously analyzed. Single center cLiThTx (04/2000 12/2015) were reviewed for the following: demographics, indications, surgical technique, complications, rejection, and five year patient survival. Results are reported as median (range) Fourteen consecutive patients underwent cLiThTx: 3 cLiHTx, 10 cLiLuTx, and 1 cLiHLuTx. Recipient age was 42 years (17 63 years) Most frequent indications were cystic fibrosis (n 5) hepatopulmonary fibrosis (n 2) amyloidosis (n 2) and epithelioid hemangio endothelioma (n 2) Thoracic organs were transplanted first, except in three where LiTx preceded LuTx. In the latter, lungs were preserved by normothermic ex vivo lung perfusion. Stenting was performed for stenosis of bile duct (n 4) hepatic artery (n 2) and bronchus (n 2) Abdominal interventions were required for bleeding (n 3) evisceration (n 1) and adhesiolysis (n 1) One liver (cLiLuTx) was lost to hepatic artery thrombosis 3 months post transplant and successfully retransplanted. One patient (cLiHTx) died 4 months post transplant (myocardial infarction) Follow up was 4 years (2 months 16 years) One liver and 5 pulmonary rejections occurred, all mild and reversible. Two patients developed bronchiolitis obliterans, one is clinically well 16 years post transplant, and the other successfully retransplanted. Estimated 5 year patient survival is 90% CLiThTx is safe with excellent short /long term surgical and immunological results.", "author_names": [ "Laurens J Ceulemans", "Sebastien Strypstein", "Arne P Neyrinck", "Stijn E Verleden", "David Ruttens", "Diethard Monbaliu", "Paul R De Leyn", "Johan Vanhaecke", "Bart Meyns", "Frederik Nevens", "Geert M Verleden", "Dirk E Van Raemdonck", "Jacques Pirenne" ], "corpus_id": 24436863, "doc_id": "24436863", "n_citations": 24, "n_key_citations": 0, "score": 0, "title": "Combined liver thoracic transplantation: single center experience with introduction of the 'Liver first' principle", "venue": "Transplant international official journal of the European Society for Organ Transplantation", "year": 2016 }, { "abstract": "Abstract The electronic structure and transfer characteristic of holes and electrons of GaN/ZnO heterojunction polar interface with cation vacancy were calculated by first principle. Results show that the defect levels induced by VZn in Zn N interface are made up of N 2p and O 2p states. The degeneracy of the defect levels and intrinsic CBM reduce the band gap of system. However, the defect level formed by the O 2p state may become a recombination center, which is not conducive to the separation of holes and electrons. In contrast, the defect level induced by VGa in the Ga O interface does not become a recombination center, and the larger built in electric polarization intensity in the interface can effectively prevent the recombination of holes and electrons. In addition, the spin polarization of p states weakly bound electrons induced by cation vacancy leads to spin band splitting in the conduction band, which reduces the effective mass of electron and increases the transfer velocity difference between holes and electrons, so that photogenerated holes and electrons can be effectively separated.", "author_names": [ "Congyan Li", "Qingyu Hou" ], "corpus_id": 214467668, "doc_id": "214467668", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Built in magnetic electrical coupling enhances photocatalytic performance of GaN/ZnO: A first principle study", "venue": "", "year": 2020 }, { "abstract": "Abstract The interfacial properties including interfacial energy, work of adhesion and electronic structure of Al(100)/Al3Zr(100) were calculated by first principle calculations based on the density functional theory. The seven layered Al(100) slab and nine layered Al3Zr(100) slab were employed to construct the interface models. And six Al(100)/Al3Zr(100) interfaces, including Top, Bridge and Center sites for the Al and AlZr terminations, were considered to study. The interfaces with center site stacking of both terminations are the most stable as they have the largest adhesion work (Wad) The interfacial bonding characteristics is a combination of covalent and metallic bond for the AlZr terminated center site interface, but only metallic for the Al terminated center one. Under the whole range of m Z r s l a b m Z r b u l k the interfacial energy of AlZr terminated center interface is negative, which is much smaller than that of a Al and its melt (0.15J/m2) indicating that Al3Zr particle is an effective nucleant for a Al primary grains.", "author_names": [ "Tianxing Yang", "Mingzhi Wei", "Zongye Ding", "Xiujun Han", "Jianguo Li" ], "corpus_id": 216209127, "doc_id": "216209127", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "First principle calculations on the Al/L12 Al3Zr heterogeneous nucleation interface", "venue": "", "year": 2020 }, { "abstract": "Surface states that have a dz 2 symmetry around the center of the surface Brillouin zone (BZ) have been regarded common in closely packed surfaces of rare earth metals. In this work, we report the electronic structure of dhcp La (0001) thin films by ultrahigh energy resolution angle resolved photoemission spectroscopy (ARPES) and first principle calculations. Our first principle analysis is based on the many body approach, therefore, density function theory (DFT) combined with dynamic mean field theory (DMFT) The experimentally observed Fermi surface topology and band structure close to the Fermi energy qualitatively agree with first principle calculations when using a renormalization factor of between 2 and 3 for the DFT bands. Photon energy dependent ARPES measurements revealed clear kZ dependence for the hole like band around the BZ center, previously regarded as a surface state. The obtained ARPES results and theoretical calculations suggest that the major bands of dhcp La (0001) near the Fermi level originate from the bulk La 5d orbits as opposed to originating from the surface states.", "author_names": [ "Xingyu Ji", "Qiuyun Chen", "Xinchun Lai", "Li Huang", "Shiyong Tan" ], "corpus_id": 214403046, "doc_id": "214403046", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Electronic structure of La (0001) thin films on W (110) studied by photoemission spectroscopy and first principle calculations", "venue": "", "year": 2020 } ]
A CMOS implement-ation of controller based all digital phase locked loop (ADPLL)
[ { "abstract": "Purpose Biomedical radio frequency (RF) transceivers require miniaturized forms with long battery life and low power consumption. The medical implant communication service (MICS) band in the frequency range of 402 405 MHz is widely used for medical RF transceivers because the MICS band signals have reasonable propagation characteristics and are suited to achieve good results. The implementation of the RF front end for medical devices has many challenges as these dictate low power consumption. In particular, phase locked loop is one of the most critical blocks of the RF front end. The purpose of this paper is to the design of controller based all digital phase locked loop (ADPLL) in a 45 nm CMOS process. Design/methodology/approach Initially, an open loop architecture phase frequency detector (PFD) is designed. Then based on the concept of differential buffer, a differential ring oscillator (RO) is built using capacitive boosting technique. After that, the frequency controller block is built by proper mathematical modeling that does the job of loop filter, which behaves like a phase interpolator. Frequency controller block has tuning register block, tuning word register. The tuning block is built using the Metal Oxide Semiconductor (MOS) caps. Finally, the integration of all the blocks is done and the ADPLL architecture that locks at 402 MHz is achieved. Findings The designed PFD is dead zone free that operates at 1 GHz. The differential RO oscillates at 495 MHz. The proposed ADPLL operates at 402 MHz with measured phase noise of 98.36 at 1 MHz offset. This ADPLL exhibits rms jitter of 4.626 ps with a total power consumption of 216.5 uW. Research limitations/implications A time to digital converter (TDC) less controller based low power ADPLL covering the MICS frequency band for biomedical applications has been designed in 45 nm/0.68 V CMOS technology. The ADPLL proposed in this draft uses differential oscillator with capacitively boosted technique which reduced the operating voltage to as low as 0.68 V. This ADPLL has a bandwidth of 20 kHz and works at reference frequency of 20 MHz consumed power of 216.5 uW, while generating an output frequency of 402 MHz. The tuning range is from 375 to 428 MHz. With the phase noise of 98.36 dbc/Hz at 1 MHz, a frequency controller block replaces the usage of TDC. Social implications The designed ADPLL will definitely pave way to greater research arena in the field of biomedical field. This ADPLL is a unique combination that combines electronics and biomedical field. The designed ADPLL is itself a broader application to biomedical field that will have a positive impact on the society. Originality/value The implementation of open loop PFD and RO using the capacitive boosting technique is a unique combination. This is comprehended well with frequency controller block that eliminates the usage of TDC and behaves as phase interpolator. The entire design of ADPLL which suits the application of MICS band of frequency has been designed carefully to work at low power.", "author_names": [ "Vikas Balikai", "Harish Mallikarjun Kittur" ], "corpus_id": 218928041, "doc_id": "218928041", "n_citations": 0, "n_key_citations": 0, "score": 1, "title": "A CMOS implementation of controller based all digital phase locked loop (ADPLL)", "venue": "", "year": 2020 }, { "abstract": "A design procedure of an all digital phase locked loop (ADPLL) based on phase selection mechanism with loop stability independent of process, supply voltage and temperature is presented. A poly phase filter and a phase interpolator are used to generate multiple phases to reduce the phase error. The modeling of proposed ADPLL structure is extensively investigated and mathematically described. For a phase and a frequency step input change, the closed loop system of the proposed ADPLL eliminates phase error. Time domain response of the behavioral level simulation of the proposed structure on 130 nm CMOS technology with 0.7V supply voltage reveals the presented analytical model.", "author_names": [ "Saichandrateja Radhapuram", "Jung-Nam Bae", "Ikkyun Jo", "Weimin Wang", "Toshimasa Matsuoka" ], "corpus_id": 53813008, "doc_id": "53813008", "n_citations": 2, "n_key_citations": 1, "score": 0, "title": "ANALYSIS OF A CONTROLLER BASED ALL DIGITAL PHASE LOCKED LOOP", "venue": "", "year": 2015 }, { "abstract": "This paper presents a 2.57GHz All Digital Phase Locked Loop(ADPLL) Based on the digital controlled Ring Oscillator, which uses a frequency and phase detector controller architecture with high resolution and wide locking range. In order to speed up the phase locking, reduce the instantaneous phase locked differential, this dissertation adopts a forward prediction method. The DCO uses 3 stage ring oscillator, the oscillator frequency is controlled by the coarse tune words(CTW) and the fine tune words(FTW) the CTW to control the output frequency fast approaching the target frequency and the FTW to control the final target frequency, in addition, in order to make the DCO work in a linear zone, a normal open oscillation circuit is designed. The layout of the ADPLL circuit is completed by 0.18um CMOS process, which area is 0.3416 mm2 (including pad) The post simulation results show that the maximum output clock frequency is over 2.57GHZ.", "author_names": [ "Ruan Weihua", "Wang Haipeng" ], "corpus_id": 209335312, "doc_id": "209335312", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "A 2.57GHz All Digital Phase Locked Loop Based on the digital controlled Ring Oscillator", "venue": "2019 11th International Conference on Information Technology and Electrical Engineering (ICITEE)", "year": 2019 }, { "abstract": "This paper presents a design of All Digital Phase Locked Loop (ADPLL) for wireless applications. It is designed using master and slave Dflipflop for linear phase detector, counter based loop filter and ring oscillator based Digital controlled oscillator(DCO) The programmable divider is used in the feed back loop which is used has a frequency synthesizer for wireless applications. It is implemented in 180nm CMOS technology in Cadence EDA tool. The proposed ADPLL has locking period of 50ps and the operating frequency range of 4.7GHz and power consumption of 26mW.", "author_names": [ "Ravikanti Swetha", "J Manjula", "A Ruhan Bevi" ], "corpus_id": 54681359, "doc_id": "54681359", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Design of All Digital Phase Locked Loop for Wireless Applications", "venue": "", "year": 2018 }, { "abstract": "A low voltage controller based all digital phase locked loop (ADPLL) utilized in the medical implant communication service (MICS) frequency band was designed in this study. In the proposed design, controller based loop topology is used to control the phase and frequency to ensure the reliable handling of the ADPLL output signal. A digitally controlled oscillator with a delta sigma modulator was employed to achieve high frequency resolution. The phase error was reduced by a phase selector with a 64 phase signal from the phase interpolator. Fabricated using a 130 nm CMOS process, the ADPLL has an active area of 0.64 mm2, consumes 840 mW from a 0.7 V supply voltage, and has a settling time of 80 ms. The phase noise was measured to be 114 dBc/Hz at an offset frequency of 200 kHz. key words: all digital phase locked loop, controller, digitally controlled oscillator, phase interpolator, CMOS, MICS", "author_names": [ "Jung-Nam Bae", "Saichandrateja Radhapuram", "Ikkyun Jo", "Weimin Wang", "Takao Kihara", "Toshimasa Matsuoka" ], "corpus_id": 36211705, "doc_id": "36211705", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "A Design of 0.7 V 400 MHz All Digital Phase Locked Loop for Implantable Biomedical Devices", "venue": "IEICE Trans. Electron.", "year": 2016 }, { "abstract": "This paper presents a power efficient sub sampling all digital phase locked loop with less than 90fsrms jitter performance. A stochastic flash time to digital converter is utilized and obtains sub picosecond effective resolution. A \"folded\" common mode resonator is included within the digitally controlled oscillator to enhance performance while maintaining a compact design. The ADPLL covers 9 to 12.1 GHz (29.4% fractional tuning range) Measured phase noise of 105.2/ 109/ 124.85 dBc/Hz at 10.6 GHz for 0.1/1/10 MHz frequency offset respectively. Integrated phase noise lower than 45 dBc was recorded for the whole tuning range for an integration range between 10 KHz to 40 MHz. The ADPLL consumes 15.5 mA from a 0.8 V analog supply and 7 mA from a 0.7 V digital supply, for a total power consumption of 17.3 mW and a peak jitter power figure of merit (FoMj) of 248 dB. Fabricated in 16nm FinFet CMOS, the silicon area is 0.24 mm2.", "author_names": [ "Run Levinger", "Evgeny Shumaker", "A Farber", "S Bershansky", "Nir Geron", "Ofir B Degani", "Ashoke Ravi", "Rotem Banin", "E Banin", "J Kadry", "Gil Horovitz" ], "corpus_id": 231823581, "doc_id": "231823581", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "A 9 to 12.1 GHz Sub Sampling ADPLL based on a Stochastic Flash TDC and a DCO with a \"Folded\" Common Mode Resonator Exhibiting less than 90fs Jitter and a peak FoMj of 248 dB in 16nm FinFet CMOS", "venue": "2020 50th European Microwave Conference (EuMC)", "year": 2021 }, { "abstract": "In this article, we introduce a fractional N all digital phase locked loop (ADPLL) architecture based on a single LC tank, featuring an ultra wide tuning range (TR) and optimized for ultra low area in 10 nm FinFET CMOS. Underpinned by excellent switches in the FinFET technology, a high turn on/off capacitance ratio of LC tank switched capacitors, in addition to an adjustable magnetic coupling technique, yields almost an octave TR from 10.8 to 19.3GHz. A new method to compensate for the tracking bank resolution can maintain its quantization noise level over this wide TR. A new scheme is adopted to overcome the metastability resolution problem in a fractional N ADPLL operation. A low complexity TDC gain estimator reduces the digital core area by progressive averaging and time division multiplexing. Among the published fractional N PLLs with an area smaller than 0.1mm2, this work achieves an rms jitter of 725fs in an internal fractional N mode of ADPLL's phase detector (2.7 4.825GHz) yielding the best overall jitter figure of merit (FOM) of 232dB. This topology features small area (0.034mm2) wide TR (56.5% and good supply noise rejection (1.8%/V) resulting in FOMs with normalized TR (FOMT) of 247dB, and normalized TR and area (FOMTA) of 262dB.", "author_names": [ "Min-Shueh Yuan", "Chia-Chun Liao", "Chih-Hsien Chang", "Yu-Tso Lin", "Tsung-Hsien Tsai", "Tien-Chien Huang", "Hsien-Yuan Liao", "Chung-Ting Lu", "Hung-Yi Kuo", "Augusto Ronchini Ximenes", "Robert Bogdan Staszewski" ], "corpus_id": 233333059, "doc_id": "233333059", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "A Compact Transformer Based Fractional N ADPLL in 10 nm FinFET CMOS", "venue": "IEEE Transactions on Circuits and Systems I: Regular Papers", "year": 2021 }, { "abstract": "This dissertation presents a proposed all digital phase locked loop and a digitally controlled oscillator with low power consumption for fractional N frequency synthesis applications. The basic operation of the conventional PLL based frequency synthesizers is first briefly reviewed, followed by the literature review of some reported digital PLL based frequency synthesizer. An all digital PLL is thus proposed, including the system architecture and implementations of its sub blocks. In the proposed all digital PLL, the PFD TDC pair used in many reported digital PLLs is replaced by a customized time to digital converter. A novel Schmitt trigger based digital controlled oscillator is proposed to achieve a wide linear tuning range with low power consumption. The novel locking process of the proposed ADPLL is separated into frequency and phase acquisition. Instead of \"ahead\" or \"behind\" comparison, the time todigital converter is used to measure the frequency difference accurately, which greatly reduces the lock in time. The phase acquisition only takes two reference clocks. One cycle for resetting the DCO and the other cycle for updating the control considering the path delay. To further prove the feasibility of the novel ADPLL, a fractional N frequency synthesizer is implemented based on the proposed ADPLL. An extra TDC is applied to obtain the fractional value avoiding the use of fractional divider, which is the main source of fractional spur in a fractional N frequency synthesizer. The proposed Fractional N frequency synthesizer is implemented using a 0.9V 32nm Practical Transistor Model. The phase noise performance, the frequency locking speed as well as the tuning range of the digitally controlled oscillator was measured and well agrees with the theoretical analysis.", "author_names": [ "A Master Thesis Presented", "Jun Zhao" ], "corpus_id": 61597040, "doc_id": "61597040", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "A Low Power CMOS Design of An All Digital Phase Locked Loop", "venue": "", "year": 2011 }, { "abstract": "A low voltage controller based all digital phase locked loop (ADPLL) utilized in the medical implant communication service (MICS) frequency band is designed. The controller based loop topology is used to control the phase and frequency for reliable handling of the ADPLL output signal. The digitally controlled oscillator with the delta sigma modulator is employed to achieve high frequency resolution. The phase error is reduced by the phase selector with 64 phase signal from the phase interpolator. Fabricated in a 130 nm CMOS process, the ADPLL has an active area of 0.64 mm2. It consumes 840 mW from a 0.7 V supply voltage and has a settling time of 80 ms. The measured phase noise is 114.6 dBc/Hz at 200 kHz offset frequency.", "author_names": [ "Jung-Nam Bae", "Saichandrateja Radhapuram", "Ikkyun Jo", "Takao Kihara", "Toshimasa Matsuoka" ], "corpus_id": 22473219, "doc_id": "22473219", "n_citations": 9, "n_key_citations": 2, "score": 0, "title": "A low voltage design of controller based ADPLL for implantable biomedical devices", "venue": "2015 IEEE Biomedical Circuits and Systems Conference (BioCAS)", "year": 2015 }, { "abstract": "In this paper, we apply various area reduction techniques on an inductor capacitor (LC) tank oscillator in order to make its size comparable to that of ring oscillators (ROs) while still retaining its salient features of excellent phase noise and low sensitivity to supply variations. The resulting oscillator employs a proposed ultracompact split transformer topology that provides a 1:2 passive voltage gain and is less susceptible to common mode electromagnetic interference than are regular high quality factor LC tanks, thus making it desirable in system on a chip environments. The oscillator, together with a proposed dc coupled buffer, is incorporated within an all digital phase locked loop (ADPLL) intended for wireline, digital clocking, and less stringent wireless systems. The ADPLL architecture introduces a look ahead time to digital converter that exploits a deterministic phase prediction to reduce power consumption and phase detection complexity. The ADPLL is realized in 40 nm CMOS and has the smallest reported area of 0.0625 mm2 among LC tank oscillators while providing fractional N operation, wide tuning range of 45% (from 9.4 to 14.8 GHz) very low voltage supply sensitivity of 80 MHz/V, and integrated figure of merit jitter (FoMjitter) better than 230 dB. A separate identical ADPLL was implemented using an RO instead, for completeness and systematic comparisons.", "author_names": [ "Augusto Ronchini Ximenes", "Gerasimos Vlachogiannakis", "Robert Bogdan Staszewski" ], "corpus_id": 22237355, "doc_id": "22237355", "n_citations": 14, "n_key_citations": 2, "score": 0, "title": "An Ultracompact 9.4 14.8 GHz Transformer Based Fractional N All Digital PLL in 40 nm CMOS", "venue": "IEEE Transactions on Microwave Theory and Techniques", "year": 2017 } ]
Dramatic size reduction of waveguide bends on a micron-scale silicon photonic platform
[ { "abstract": "We demonstrate theoretically and experimentally how highly multimodal high index contrast waveguides with micron scale cores can be bent, on an ultra broad band of operation, with bending radii below 10 um and losses for the fundamental mode below 0.02 dB/90deg. The bends have been designed based on the Euler spiral and fabricated on 4 um thick SOI. The proposed approach enabled also the realization of 180deg bends with 1.27 um effective radii and 0.09 dB loss, which are the smallest low loss bends ever reported for an optical waveguide. These results pave the way for unprecedented integration density in most semiconductor platforms.", "author_names": [ "Matteo Cherchi", "Sami Ylinen", "Mikko Harjanne", "Markku Kapulainen", "Timo Aalto" ], "corpus_id": 207326293, "doc_id": "207326293", "n_citations": 125, "n_key_citations": 2, "score": 1, "title": "Dramatic size reduction of waveguide bends on a micron scale silicon photonic platform.", "venue": "Optics express", "year": 2013 }, { "abstract": "Even though submicron silicon waveguides have been proposed for dense integration of photonic devices, to date the lightwave circuits on the market mainly rely on waveguides with micron scale core dimensions. These larger waveguides feature easier fabrication, higher reliability and better interfacing to optical fibres. Single mode operation with large core dimensions is obtained with low lateral refractive index contrast. Hence, the main limitation in increasing the level of integration and in reducing the cost of micron scale waveguide circuits is their mm to cm scale minimum bending radius. Fortunately, single mode rib waveguides with a micron scale silicon core can be locally transformed into multi mode strip waveguides that have very high lateral index contrast. Here we show how Euler spiral bends realized with these waveguides can have bending radii below 10 \\mu}m and losses below 0.02 dB/90{\\deg} for the fundamental mode, paving way for a novel densely integrated platform based on micron scale waveguides.", "author_names": [ "Matteo Cherchi", "Sami Ylinen", "Mikko Harjanne", "Markku Kapulainen", "Timo Aalto" ], "corpus_id": 118620592, "doc_id": "118620592", "n_citations": 58, "n_key_citations": 1, "score": 0, "title": "New silicon photonics integration platform enabled by novel micron scale bends", "venue": "", "year": 2013 }, { "abstract": "In this letter, a reflection type arrayed waveguide grating (AWG) (de)multiplexer using high reflection photonic crystal reflector (PCR) in the Indium phosphide Membrane on Silicon (IMOS) platform is proposed and experimentally demonstrated for the first time. This reflection type AWG enables a 35% size reduced footprint compared with the traditional transmission type AWG having the same spectral parameters. Considering the realized performance of silicon nanowire R AWGs, an acceptable performance <inline formula> <tex math notation=\"LaTeX\"$680\\\\times ,190\\\\mu \\text {m}{2} /tex math>/inline formula> size, 6.7 dB loss, and 10 dB crosstalk) is obtained. By using the ultra small <inline formula> <tex math notation=\"LaTeX\"$5.4\\\\times ,0.7\\\\mu \\text {m}{2} /tex math>/inline formula> PCR, merely 1.1 dB power loss higher than the corresponding transmission type AWG is implemented. The PCR is a standard building block with a reflectivity of >90% Besides, the length reduction of the arrayed waveguide will contribute to minimize the accumulated phase error in fabrication.", "author_names": [ "Xuebing Zhang", "Jorn P van Engelen", "Sander F G Reniers", "Zizheng Cao", "Yuqing Jiao", "Antonius M J Koonen" ], "corpus_id": 189824294, "doc_id": "189824294", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Reflecting AWG by Using Photonic Crystal Reflector on Indium Phosphide Membrane on Silicon Platform", "venue": "IEEE Photonics Technology Letters", "year": 2019 }, { "abstract": "The minimum bending radius of optical waveguides is typically the most important parameter that defines the footprint and cost of a photonic integrated circuit. In optical fibers and in planar waveguides with equally large mode fields ~10 mm) the bending radii are typically in the cm scale. The main advantage of using a high index waveguide core with a thickness below 1 mm is the ability to realise single mode bends with bending radii of just a few micrometers. In this paper we review the dependence of the minimum bending radius on the size and shape of waveguides with the main emphasis on silicon on insulator (SOI) waveguides. Then we present simulation and measurement results from advanced waveguide bends and mirrors that have been integrated with 4 10 mm thick single mode SOI waveguides. We show that multi step patterning and novel designs allow the reduction of the bending radius by up to three orders of magnitude while also reducing the bending losses by approximately one order of magnitude when compared to traditional rib waveguide bends on 4 mm SOI. This allows to use the mm scale SOI waveguides for making almost as compact photonic integrated circuits as those based on sub mm SOI waveguides.", "author_names": [ "Timo Aalto", "Matteo Cherchi", "Mikko Harjanne", "Sami Ylinen", "Markku Kapulainen" ], "corpus_id": 121514299, "doc_id": "121514299", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Bend size reduction on the SOI rib waveguide platform", "venue": "Photonics West Optoelectronic Materials and Devices", "year": 2013 }, { "abstract": "Silicon photonics is a very promising solution to achieve high speed and energy efficient optical interconnects at reduced cost of production. For several years now, Silicon photonic platforms have offered high performance passive and active compact components, with first 100 Gb/s silicon photonics commercial transceivers on the market. However, interferometric devices still remain sensitive to temperature changes. Silicon nitride appears as an appealing material for CMOS compatible, energy efficient and cost effective photonics. Its low optical index contrast with the SiO2 cladding provides low loss waveguide and a better tolerance to fabrication imperfections while its optical index is much less sensitive to temperature variations. In particular, the monolithic integration of multiple Si and SiN layers on the same platform provides a promising solution for Coarse Wavelength Division Multiplexing (CWDM) transceiver applications for which thermal stability is essential. We report here on a 200mm CMOS compatible platform where SiN is co integrated with Si to benefit from the advantages of both materials. We present the fabrication flow of this enhanced platform and we show the wafer scale characterization of its passive Si, SiN and hybrid components, assessing their performances in term of 4 wavelength CWDM application in the O band. Building on the CEA LETI silicon photonics fabrication line, we present the monolithic integration of a low stress PECVD SiN deposited at 300degC, which make it compatible with the standard SOI platform with doped active devices. The success of the integration is confirmed by the preserved performances of Si components (waveguide, bend and fiber grating coupler losses) as well as propagation losses as low as 0.8dB/cm for a single mode SiN waveguide. Furthermore, characterizing micro ring resonators, the resonance shift with temperature allows us to extract a 10 fold reduction of the SiN thermo optic coefficient compared to Si. An essential building block of the Si SiN platform is the Si SiN interlayer transition. We present a complete study of such transitions with both simulation and experimental data of various geometries, and we demonstrate state of the art insertion losses of 0.09 0.01 dB over the O band for the TE mode at the wafer scale. We show as well the realization of hybrid Si SiN grating couplers, compatible with a standard packaging fiber angle of 8deg. In such couplers, the main SiN grating is combined with a Si grating placed underneath and a longitudinal shift between gratings allows the tuning of the coupler's directionality. Consequently, we achieve a dramatic improvement in terms of bandwidth with respect to the standard all Si fiber couplers, going from a 23nm 1dB bandwidth for Si couplers to more than 50nm for hybrid couplers. These wideband hybrid couplers are key for CWDM where a flat transmission spectrum is needed over the O band. Finally, to complete the CWDM components review, we present SiN Echelles grating (de)multiplexer for 4 channels CWDM in the O band showing quasi absolute thermal insensitiveness and low insertion losses.", "author_names": [ "Quentin Wilmart", "Daivid Fowler", "Corrado Sciancalepore", "Karim Hassan", "Laetitia Adelmini", "Stephanie Garcia", "Daniel Robin-Brosse", "Stephane Malhouitre", "Segolene Olivier" ], "corpus_id": 115964457, "doc_id": "115964457", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Enhanced hybrid Si SiN photonic platform and performances of passive components for CWDM applications (Conference Presentation)", "venue": "", "year": 2018 }, { "abstract": "Sinusoidal anti coupling (AC) symmetric waveguides provide a means to design dense waveguide arrays that have minimal inter waveguide crosstalk for high density integration of photonic circuits. Also, the polarization sensitivity of sinusoidal AC symmetric waveguides and the reduction of wavelength dependence that is achieved by the sinusoidal waveguides can be used to design broadband polarization beam splitters (PBSs) for polarization diversity systems. In this thesis, I demonstrate the use of sinusoidal bends to suppress the optical power exchange between pairs of symmetric strip waveguides for both transverse electric (TE) and transverse magnetic (TM) modes as well as to separate the TE and TM modes into two output symmetric strip waveguides on a silicon on insulator platform. I design, model, simulate, and analyze sinusoidal AC symmetric waveguide pairs for both the TE and TM modes. Then, based on the TE sinusoidal AC waveguide structure, I design, simulate, and analyze a PBS using a symmetric directional coupler (DC) with sinusoidal bends. I also compare the modal dispersions of the sinusoidally bent symmetric DC, which is used in the PBS, with the modal dispersions of an equivalent straight symmetric DC. I measure the fabricated test devices and evaluate their performances. The TE sinusoidal AC device, which has a gap width of 200 nm, has an average crosstalk suppression ratio (SR) of 38.2 dB, and the TM sinusoidal", "author_names": [ "Fan Zhang" ], "corpus_id": 116181748, "doc_id": "116181748", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Sinusoidal anti coupling symmetric strip waveguides on a silicon on insulator platform", "venue": "", "year": 2017 }, { "abstract": "1. Background To solve the metal electronic interconnect problem by optical interconnect, silicon photonics is promising and is the main stream due to the natural compatibility with micro electronics CMOS process lines [1] but laser problem still remains for silicon photonics. Though the III V/Si hybrid platform [2] can solve the laser problem, it seems difficult to integrate monolithically III V/Si hybrid photonics and Si CMOS electronics due to the thermal budget difference between III V and Si. To realize the real monolithic integration for electronics and photonics, we develop a unique platform called 'III V CMOS photonics platform' which uses III V OI wafers by direct wafer bonding to fabricate high performance electronics and photonics devices [3] On this platform, sharp bends [4] AWGs [4] grating couplers [5] modulators/switches [6] MOSFETs [7] have been demonstrated so far. In addition, we have demonstrated the waveguide InGaAs MSM PDs [8] However the demonstrated PD exhibited relatively large dark current. Thereby, in this paper, we introduce an InP/InAlAs layer as Schottky barrier enhancement (SBE) layer between the InGaAs absorption layer and metal electrode to reduce the dark current. 2. Fabrication For device fabrication, we prepare III V OI wafers by direct wafer bonding. At first, i InP/i InGaAs/i InAlAs/i InP are grown on an InP wafer. A 2.3mm thick SiO2 BOX layer is also thermally grown on a Si wafer. After depositing Al2O3 on both wafers by atomic layer deposition (ALD) the two wafers are bonded together. Finally, the InP/InAlAs/InGaAs/InP on SiO2/Si wafer is obtained by etching the InP substrate. By the III V OI wafers, we fabricate the waveguide InGaAs MSM PD as shown in Fig. 1. At first, we form InP photonic wire waveguides and InGaAs mesas by deep ultra violet (DUV) lithography and reactive ion etching (RIE) Then, we etch the InP/InAlAs/InGaAs on top of the InP waveguides. We deposit Al2O3 for passivation by ALD and open a contact window on InGaAs mesa. Finally, we form the interdigitated electrodes by Ni deposition and lift off. 3. Experimental results As the Fig. 2 shows, the dark current of the PD can be decreased to 7 nA at 1V bias after the SBE layer insertion onto the InGaAs layer, which has a 2 order reduction in comparison with the first demonstration. When 2 V bias is applied, we obtain the photocurrent of 32 mA. By taking into account of 8 dB coupling loss, the intrinsic responsivity is estimated to approximately 0.2 A/W. The SBE layer may also block some photo generated carriers, resulting in relatively low responsivity, which can be improved by the gradually changed SBE layer. Acknowledgements This work was supported by Grant in Aid for Young Scientists (A) from MEXT. The authors would like to thank O. Ichikawa, T. Osada, M. Hata, Sumitomo Chemical Company Ltd. Tsukuba, Japan, for their technical support. Reference", "author_names": [ "Yongpeng Cheng" ], "corpus_id": 55743878, "doc_id": "55743878", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Dark current reduction of waveguide InGaAs MSM photodetector on III V CMOS photonics platform by InAlAs cap layer", "venue": "", "year": 2014 }, { "abstract": "A hybrid integrated light source was developed with a configuration in which a laser diode (LD) array was mounted on a silicon optical waveguide platform for interchip optical interconnection. This integrated light source is composed of 13 channel stripes with a pitch of 20 or 30 mm. The output power of each LD in the 400 or 600 mm long LD array was over 40 mW at room temperature without cooling. An output power uniformity was 1.3 dB including an LD array power uniformity. The use of a SiON waveguide with a spot size converter resulted in an optical coupling loss of 1 dB between an LD and SiON waveguide. The integrated light source including 52 output ports demonstrated a reduction in the footprint per channel. We also demonstrated a light source with over 100 output ports in which the number of output ports is increased by using a waveguide splitter and multichip bonding. These integrated light sources are practical candidates for use with photonic integrated circuits for high density optical interconnection.", "author_names": [ "Takanori Shimizu", "Nobuaki Hatori", "Makoto Okano", "Masashige Ishizaka", "Yutaka Urino", "Tsuyoshi Yamamoto", "Masahiko Mori", "Takahiro Nakamura", "Yashuhiko Arakawa" ], "corpus_id": 135867004, "doc_id": "135867004", "n_citations": 32, "n_key_citations": 3, "score": 0, "title": "Multichannel and high density hybrid integrated light source with a laser diode array on a silicon optical waveguide platform for interchip optical interconnection", "venue": "", "year": 2014 }, { "abstract": "All optical signal processing implemented in silicon photonics is considered as a promising route to solve several bottlenecks for the realization of future dense and mixed integrated electronic and photonic chips including ultrahigh data bit rate issues and power consumption constraints. In the context of the planar silicon photonics technology, a dramatic reduction of the needed power to reach optical nonlinear effects is obtained due to the sub micrometer size of silicon wires ~450nmX260nm) in the telecommunication wavelength window, although silicon does not exhibit second order response (kh^(2) due to the centrosymmetry of its lattice. Moreover, third order effects (kh^(3) are partially spoiled in this material due to the strength of the two photon absorption (TPA) effect, which in turn generates free carriers inducing additional absorption and refractive index changes. One way to overcome this limitation is the hybrid integration on silicon of low index soft materials with luminescence or nonlinear optical properties lacking to silicon. In this context, the present work is devoted to the study of third order nonlinearities in silicon based integrated structures exploiting enhanced electromagnetic field effects (e.g. in Si resonators and slow light waveguides) First, we have developed a dedicated single beam non destructive method to characterize the instantaneous third order effects though the quantification of complex effective waveguide susceptibility. The method is named \"Bi directional top hat D Scan\" and consists on a temporal analogous of the top hat Z Scan. We have established an analytical and numerical model and we report the first measurement of a silicon waveguide by using a pulse shaping set up and a bi directional procedure. The originality of our methods stands in the capability to measure in two steps the 3rd order nonlinear Figure Of Merit (FOM) independently of the injection losses, and the effective nonlinear waveguide parameters (Kerr and TPA) taking into account measured coupling losses at each facet. Furthermore, we apply the method to other integrated novel materials including Ge rich GeSi alloys, carbon nanotube doped thin films, and chalcogenide waveguides. Additionally, two further enhancements of light matter nonlinear interactions have been explored within this work: optical microcavities and slow light waveguides. In the first picture, index variations caused by non linearities shift the resonance frequencies precluding the coincidence with the excitation signal frequency, thereby decreasing the injection efficiency. In order to maintain the benefit of light localization throughout the pulsed excitation, we have experimentally and numerically studied the behavior of a designed and fabricated silicon nanobeam cavity excited by a high power tailored chirped pulse whose spectral phase relation compensates for the nonlinear frequency drift of the cavity resonance. We report a numerical study of this first experimental demonstration of the coherent excitation of a nonlinear micro cavity, leading to an enhanced intra cavity nonlinear interaction. Finally, we have dedicated efforts to engineer, fabricate and characterize silicon slot photonic crystal waveguides (SPhCW) in order to compensate their strong dispersion present in the slow light regime while taking benefit from large group index light propagation. We showed that their frequency dispersion properties can be engineered from anomalous to normal dispersion, along with zero group velocity dispersion (ZGVD) crossing points exhibiting a Normalized Delay Bandwidth Product (NDBP) as high as 0.156. The reported results provide the first experimental evidence for an accurate control of the dispersion properties of fillable periodical slotted structures in silicon photonics, which is of direct interest for on chip all optical data treatment using nonlinear optical effects in hybrid on silicon technologies.", "author_names": [ "Serna Otalvaro", "Samuel Felipe" ], "corpus_id": 113866077, "doc_id": "113866077", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Design and characterization of Silicon Photonic structures for third order nonlinear effects", "venue": "", "year": 2016 }, { "abstract": "Mid infrared (mid IR) spectroscopy is a nearly universal way to identify chemical and biological substances, as most of the molecules have their vibrational and rotational resonances in the mid IR wavelength range. Commercially available mid IR systems are based on bulky and expensive equipment, while lots of efforts are now devoted to the reduction of their size down to chip scale dimensions. The use of silicon photonics for the demonstration of mid IR photonic circuits will benefit from reliable and high volume fabrication to offer high performance, low cost, compact, lightweight and power consumption photonic circuits, which is particularly interesting for mid IR spectroscopic sensing systems that need to be portable and low cost. Among the different materials available in silicon photonics, Germanium (Ge) and Silicon Germanium (SiGe) alloys with a high Ge concentration are particularly interesting because of the wide transparency window of Ge up to 15 um. In this context, the objective of this thesis is to investigate a new Ge rich graded SiGe platform for mid IR photonic circuits. Such new plateform was expected to benefit from a wide transparency wavelength range and a high versatility in terms of optical engineering (effective index, dispersion, During this thesis, different waveguides platforms based on different graded profiles have been investigated. First it has been shown that waveguides with low optical losses of less than 3 dB/cm can be obtained in a wide wavelength range, from 5.5 to 8.5 um. A proof of concept of sensing based on the absorption of the evanescent component of the optical mode has then been demonstrated. Finally, elementary building blocs have been investigated. The first Bragg mirror based Fabry Perot cavities and racetrack resonators have been demonstrated around 8 um wavelength. A broadband dual polarization MIR integrated spatial heterodyne Fourier Transform spectrometer has also been obtained. All these results rely on material and device design, clean room fabrication and experimental characterization. This work was done in the Framework of EU project INsPIRE in collaboration with Pr. Giovanni Isella from Politecnico Di Milano.", "author_names": [ "Qiankun Liu" ], "corpus_id": 199890447, "doc_id": "199890447", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "SiGe photonic integrated circuits for mid infrared sensing applications", "venue": "", "year": 2019 } ]
improve LSO light output
[ { "abstract": "Photonic crystals (PhCs) are optical materials which can affect the propagation of light in multiple ways. In recent years PhCs contributed to major technological developments in the field of semiconductor lasers, light emitting diodes and photovoltaic applications. In our case we are investigating the capabilities of photonic crystal slabs with the aim to improve the performance of heavy inorganic scintillators. To study the combination of scintillators and PhCs we use a Monte Carlo program to simulate the light propagation inside a scintillator and a rigorous coupled wave analysis (RCWA) framework to analyse the optical PhC properties. The simulations show light output improvements of a wide range of scintillating materials due to light scattering effects of the PhC slabs. First samples have been produced on top of 1.2 x 2.6 x 5 mm LSO (cerium doped Lutetium Oxyorthosilicate, Lu2SiO5:Ce3+ scintillators using electron beam lithography and reactive ion etching (RIE) Our samples show a 30 60% light output improvement when compared to unstructured reference crystals which is in close accordance with our simulation results. In addition, a theoretical investigation of the restrictions of the current PhC sample is given which concludes with prospects for improved future designs.", "author_names": [ "Arno Knapitsch", "Etiennette Auffray", "Christian Wolfgang Fabjan", "Jean Louis Leclercq", "Xavier Letartre", "Radoslaw Mazurczyk", "Paul Lecoq" ], "corpus_id": 7366122, "doc_id": "7366122", "n_citations": 26, "n_key_citations": 0, "score": 0, "title": "Effects of Photonic Crystals on the Light Output of Heavy Inorganic Scintillators", "venue": "IEEE Transactions on Nuclear Science", "year": 2013 }, { "abstract": "UV and /spl gamma/ ray excited luminescence and nuclear spectroscopy were used to study the relationship between the scintillation mechanisms of LSO and the spectroscopic characteristics obtained with PMT and APD readouts at room temperature. No correlation was found between scintillation decay time and light output. Like other investigators, we observed the existence of two distinct luminescence centers, Ce1 and Ce2, that mainly give rise to short (420 nm) and long (440 nm) emission wavelengths. Our measurements showed that different LSO crystals excited by /spl gamma/ rays have emission spectra with largely different shapes and maxima depending on the relative population and luminescence efficiency of these centers. It was also found that the poor energy resolution of LSO and YSO scintillators is well correlated with the coexistence of the two competing luminescence mechanisms. The prevalence of either Ce1 or Ce2 luminescence tends to reduce the variance of light emission and, thus, to improve energy resolution. Inversely, the coexistence of the two centers increases variance and degrades energy resolution.", "author_names": [ "A Saoudi", "Catherine M Pepin", "Daniel Houde", "Roger Lecomte" ], "corpus_id": 109737129, "doc_id": "109737129", "n_citations": 28, "n_key_citations": 4, "score": 0, "title": "Scintillation light emission studies of LSO scintillators", "venue": "1998 IEEE Nuclear Science Symposium Conference Record. 1998 IEEE Nuclear Science Symposium and Medical Imaging Conference (Cat. No.98CH36255)", "year": 1998 }, { "abstract": "In order to improve image quality in Positron Emission Tomography (PET) different routes are being pursued such as fast timing resolution for time of flight PET, higher spatial resolution by the use of smaller scintillator pixels and the use of depth of interaction information. The detection of the depth of interaction (DOI) of a gamma ray within a detector, deploying pulse shape discrimination (PSD) has been used to increase sensitivity and spatial resolution, especially at the edge of the field of view (FOV) The DOI information is used to reduce the parallax error; thus improving spatial resolution. Commonly, different scintillator materials with different decay times and light output and other differentiating factors, such as density, emission spectra, etc. are used for DOI detectors. We present a multilayer phoswich detector comprised of LSO with different decay times in the range from 30 ns to 47 ns. The difference in decay times is achieved by co doping LSO:Ce with Ca, resulting in short decay times of ~30 ns. The use of a cut light guide allows the use of regular Photomultiplier tubes, giving the opportunity of a potential DOI detector replacement for current detectors. We were able to identify each pixel in the different detector layers and thus, to determine the depth of the photon interaction and achieve a timing resolution of 345 ps.", "author_names": [ "Florian Bauer", "Mehmet Aykac", "Lars I Eriksson", "Matthias J Schmand" ], "corpus_id": 23583369, "doc_id": "23583369", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Depth of Interaction With a 3 Dimensional Checkerboard Arrangement LSO LSO Block", "venue": "IEEE Transactions on Nuclear Science", "year": 2010 }, { "abstract": "To improve the spatial resolution and uniformity in modern high resolution brain PET systems over the entire field of view (FOV) it is necessary to archive the depth of interaction (DOI) information and correct for spatial resolution degradation. In this work the authors present the performance results of a high resolution LSO/GSO phoswich block detector with DOI capability. This detector design will be used in the new CTI High Resolution Research Tomograph, ECAT HRRT. The two crystal layer (19/spl times/19/spl times/7.5 mm/sup 3/ and a light guide are stacked on each other and mounted on a (2/spl times/2) PMT set, so that the corners of the phoswich are positioned over the PMT centers. The crystal phoswich is cut into a 8/spl times/8 matrix of discrete crystals. The separation of the LSO and the GSO layer by pulse shape discrimination allows discrete DOI information to be obtained. The high light output and the light guide design results in an accurate identification of the 128 single crystals per block. Flood source measurements document a very good homogeneity of events, energy centroid stability and energy resolution (14 20% FWHM) per single crystal. An intrinsic resolution of /spl sim/1.3 mm and the DOI feasibility is extracted by coincidence measurements with a single GSO crystal.", "author_names": [ "Matthias J Schmand", "Lars A Eriksson", "Michael E Casey", "Mark S Andreaco", "Charles L Melcher", "Klaus Wienhard", "Gerd Flugge", "Ronald Nutt" ], "corpus_id": 78194215, "doc_id": "78194215", "n_citations": 73, "n_key_citations": 5, "score": 0, "title": "Performance results of a new DOI detector block for a high resolution PET LSO research tomograph HRRT", "venue": "1997 IEEE Nuclear Science Symposium Conference Record", "year": 1997 }, { "abstract": "To improve the spatial resolution and uniformity in modern high resolution brain PET systems over the entire field of view (FOV) it is necessary to archive the depth of interaction (DOI) information and correct for spatial resolution degradation. In this work the authors present the performance results of a high resolution LSO/GSO phoswich block detector with DOI capability. This detector design will be used in the new CTI High Resolution Research Tomograph, ECAT HRRT. The two crystal layer (19/spl times/19/spl times/7.5 mm/sup 3/ and a light guide are stacked on each other and mounted on a (2/spl times/2) PMT set, so that the corners of the phoswich are positioned over the PMT centers. The crystal phoswich is cut into a 8/spl times/8 matrix of discrete crystals. The separation of the LSO and the GSO layer by pulse shape discrimination allows discrete DOI information to be obtained. The high light output and the light guide design results in an accurate identification of the 128 single crystals per block. Flood source measurements document a very good homogeneity of events, energy centroid stability and energy resolution (14 20% FWHM) per single crystal. An intrinsic resolution of /spl sim/1.3 mm and the DOI feasibility is extracted by coincidence measurements with a single GSO crystal.", "author_names": [ "Matthias J Schmand", "Lars A Eriksson", "Michael E Casey", "Mark S Andreaco", "Charles L Melcher", "Klaus Wienhard", "Gerd Flugge", "Ronald Nutt" ], "corpus_id": 198472054, "doc_id": "198472054", "n_citations": 99, "n_key_citations": 3, "score": 0, "title": "Performance results of a new DOI detector block for a high resolution PET LSO research tomograph HRRT", "venue": "", "year": 1997 }, { "abstract": "Superlattice InAs/GaSb light emitting diodes with peak emission wavelength of 8.6 mm and output power approaching 190 mW at 77 K from a 120 x 120 mm2 mesa are demonstrated. Output power in excess of 600 m.W was demonstrated from a 520 x 520 mm mesa at 1 A drive current and 50% duty cycle. Devices were grown by molecular beam epitaxy on lightly n doped GaSb substrates and employed a 16 stage cascaded active region configuration to improve current efficiency and increase optical output. Emitting regions were coupled by semi metallic tunnel junctions consisting of a p GaSb layer and a thickness graded InAs/GaSb superlattice stack.", "author_names": [ "Edwin John Koerperick", "Dennis T Norton", "Jonathon T Olesberg", "B V Olson", "John P Prineas", "Thomas F Boggess" ], "corpus_id": 22816890, "doc_id": "22816890", "n_citations": 29, "n_key_citations": 2, "score": 0, "title": "Cascaded Superlattice InAs/GaSb Light Emitting Diodes for Operation in the Long Wave Infrared", "venue": "IEEE Journal of Quantum Electronics", "year": 2011 }, { "abstract": "Novel approach to improve the light extraction efficiency of InGaN quantum wells light emitting diodes (LEDs) using SiO2 microspheres was presented, leading to ~232% increase of the LEDs output power.", "author_names": [ "Yik-Khoon Ee", "Pisist Kumnorkaew", "Ronald A Arif", "James F Gilchrist", "Nelson Tansu" ], "corpus_id": 42536425, "doc_id": "42536425", "n_citations": 41, "n_key_citations": 7, "score": 0, "title": "Enhancement of Light Extraction Efficiency of InGaN Quantum Wells LEDs Using SiO2 Microspheres", "venue": "2007 Conference on Lasers and Electro Optics (CLEO)", "year": 2007 }, { "abstract": "As time of flight PET (TOF PET) gains popularity in clinical diagnostic, the coincidence timing resolution of PET systems becomes more crucial. The most common scintillators for commercial TOF PET systems are Lu based ones such as LSO or LYSO for their high light output, high density and fast decay time. Recently, LGSO with 0.025% Ce (LGSO fast) was developed which has faster decay time than LGSO with Ce concentration of 0.1 mol% (LGSO standard) Using the LGSO fast in conjunction with silicon photomultiplier (Si PM) may improve the timing performance for the development of TOF PET systems. Consequently, we tested the basic performance of LGSO fast and coupled them with Si PMs to measure the coincidence timing resolution using a high bandwidth digital oscilloscope. The performance was also evaluated for LGSO standard and other Lu based scintillators such as lutetium fine silicate (LFS) and two types of LYSOs from other manufacturers. The light output was largest for one of the LYSO from one manufacture and smallest for the LYSO from other manufacture. Decay time was fastest for LGSO fast (33.8 ns) and slowest for one of the LYSO (39.9 ns) Coincidence timing resolution for 2.9 mm long Lu based scintillators, LGSO fast was the best (156.5 ps) and one of the LYSO showed the worst (235.1 ps) For the 20 mm long scintillators, coincidence timing resolution was also best for LGSO fast (273.2 ps) and worst for one of the LYSO (481.8 ps) We conclude that LGSO fast combined with Si PM showed best timing performance among measured Lu based scintillators. Also within the same Lu based scintillators such as LYSOs, we found that the performance differences were sometimes significant and quality control of the Lu based scintillator is essential to achieve good performance in PET systems.", "author_names": [ "Seiichi Yamamoto", "Satoshi Okumura", "Natsuki Kato", "J Y Yeom" ], "corpus_id": 123799163, "doc_id": "123799163", "n_citations": 13, "n_key_citations": 1, "score": 1, "title": "Timing measurements of lutetium based scintillators combined with silicon photomultipliers for TOF PET system", "venue": "", "year": 2015 }, { "abstract": "1 Introduction 12 The CAPTAIN Detector 42.1 Cryostats 52.2 Cryogenics 62.3 Electronics 72.4 TPC 72.4.1 CAPTAIN TPC 72.4.2 Prototype TPC 92.5 Photon Detection System 92.6 Laser Calibration System 102.7 Special Run Modes 112.7.1 Tests of Doping Liquid Argon to Improve Light Output 113 Neutrons 123.1 Physics Importance 123.2 High intensity neutron running 143.3 Low intensity neutron running 153.3.1 Low energy neutron run 153.3.2 High energy neutron run 153.4 Run Plans 164 Neutrinos 174.1 Running at NuMI 174.1.1 On axis running in NuMI 174.1.2 O axis running in NuMI 204.2 Running at the SNS 204.3 Stopped Pion Source at the BNB 234.4 Other Neutrino Possibilities 245 Conclusions 251", "author_names": [ "The Captain Collaboration H Berns", "Hongsheng Chen", "David B Cline", "J R Danielson", "Z Djurcic", "Stephen R Elliott", "Gerald T Garvey", "Victor M Gehman", "Christopher Grant", "Elena Guardincerri", "Richard W Kadel", "Thomas Kutter", "D Lee", "Kwang-ryeol Lee", "Q P Liu", "W C Louis", "Christopher Michael Mauger", "C Mcgrew", "Robert McTaggart", "J Medina", "William J Metcalf", "Geoffrey B Mills", "Jacqueline Mirabal-Martinez", "Stuart Lee Mufson", "Emilija Pantic", "O Prokofiev Mufson", "Veljko Radeka", "J Ramsey", "Keith Rielage", "Himansu Sahoo", "Constantine Sinnis", "Michael Burghard Smy", "Walter Sondheim", "Ion Alexandru Stancu", "Robert C Svoboda", "Matthew Szydagis", "C Taylor", "A Teymourian", "Craig E Thorn", "Craig E Tull", "Martin Tzanov", "Rick van de Water", "H G Wang", "Chiaki Yanagisawa", "A Yarritu", "C Zhang" ], "corpus_id": 119268094, "doc_id": "119268094", "n_citations": 27, "n_key_citations": 2, "score": 0, "title": "The CAPTAIN Detector and Physics Program", "venue": "", "year": 2013 }, { "abstract": "Relevant information in positron emission tomography is currently being obtained mostly by analog signal processing methods. New digital PET scanner architectures are now becoming available, which offer greater flexibility and easier reconfiguration capability as compared to previous PET designs. Moreover, new strategies can be devised to extract more information with better accuracy from the digitized detector signals. Trained artificial neural networks (ANN) have been investigated to improve coincidence timing resolution with different types of Avalanche PhotoDiode (APD) based detectors. The signal at the output of a charge sensitive preamplifier was digitized with an off the shelf, free running 100 MHz, 8 bit analog to digital converter and time discrimination was performed with ANNs implemented in field programmable gate array (FPGA) Results show that ANNs can be particularly efficient with slow and low light output scintillators, such as BGO, but less so with faster luminous crystals, such as LSO. In reference to a fast PMT plastic detector, a time resolution of 6.5 ns was achieved with a BGO APD detector. With LSO, the ANN was found to be competitive with other digital techniques developed in previous works. ANNs implemented in FPGAs provide a fast and flexible circuit that can be easily reconfigured to accommodate various detectors under different signal/noise conditions.", "author_names": [ "Jean-Daniel Leroux", "D Rouleau", "Catherine M Pepin", "J -B Michaud", "Jules Cadorette", "Rejean Fontaine", "Roger Lecomte" ], "corpus_id": 25591540, "doc_id": "25591540", "n_citations": 20, "n_key_citations": 0, "score": 0, "title": "Time Discrimination Techniques Using Artificial Neural Networks for Positron Emission Tomography", "venue": "IEEE Transactions on Nuclear Science", "year": 2009 } ]
Two-dimensional ferromagnet/semiconductor transition metal dichalcogenide contacts: p-type Schottky
[ { "abstract": "We study the ferromagnet/semiconductor contacts formed by transition metal dichalcogenide monolayers, focusing on semiconducting MoS$}_{2} and WS$}_{2} and ferromagnetic VS$}_{2} We investigate the degree of $p$ type doping and demonstrate tuning of the Schottky barrier height by vertical compressive pressure. An analytical model is presented for the barrier heights that accurately describes the numerical findings and is expected to be of general validity for all transition metal dichalcogenide metal/semiconductor contacts. Furthermore, magnetic proximity effects induce a 100% spin polarization at the Fermi level in the semiconductor where the spin splitting increases up to 0.70 eV for increasing pressure.", "author_names": [ "Liyong Gan", "Qingyun Zhang", "Yingchun Cheng", "Udo Schwingenschlogl" ], "corpus_id": 55066102, "doc_id": "55066102", "n_citations": 41, "n_key_citations": 0, "score": 1, "title": "Two dimensional ferromagnet/semiconductor transition metal dichalcogenide contacts: p type Schottky barrier and spin injection control", "venue": "", "year": 2013 }, { "abstract": "We develop a new technique for transferring metal contacts to create ultrathin solar cells from 2D materials. Two dimensional transition metal dichalcogenides are promising candidates for ultrathin optoelectronic devices due to their high absorption coefficients and intrinsically passivated surfaces. To maintain these near perfect surfaces, recent research has focused on fabricating contacts that limit Fermi level pinning at the metal semiconductor interface. Here, we develop a new, simple procedure for transferring metal contacts that does not require aligned lithography. Using this technique, we fabricate vertical Schottky junction WS2 solar cells, with Ag and Au as asymmetric work function contacts. Under laser illumination, we observe rectifying behavior and open circuit voltage above 500 mV in devices with transferred contacts, in contrast to resistive behavior and open circuit voltage below 15 mV in devices with evaporated contacts. One sun measurements and device simulation results indicate that this metal transfer process could enable high specific power vertical Schottky junction transition metal dichalcogenide photovoltaics, and we anticipate that this technique will lead to advances for two dimensional devices more broadly.", "author_names": [ "Cora M Went", "Joeson Wong", "Phillip Jahelka", "Michael D Kelzenberg", "Souvik Biswas", "Matthew S Hunt", "Abigail Carbone", "Harry A Atwater" ], "corpus_id": 102351264, "doc_id": "102351264", "n_citations": 20, "n_key_citations": 0, "score": 0, "title": "A new metal transfer process for van der Waals contacts to vertical Schottky junction transition metal dichalcogenide photovoltaics", "venue": "Science Advances", "year": 2019 }, { "abstract": "Two dimensional transition metal dichalcogenides are promising candidates for low cost and high specific power solar cells due to their high absorption coefficients and intrinsically passivated surfaces. Here, we demonstrate dramatically improved photovoltaic performance in vertical Schottky junction WS2 solar cells with transferred metal contacts rather than evaporated metal contacts. We use 16 nm thick WS2 as the photovoltaic absorber, with Ag and Au as asymmetric work function contacts, where the Au top contact is transferred to avoid Fermi level pinning. For simpler fabrication, we develop a new procedure for transferring metal contacts that has high yield and does not require an aligned lithography step. Under one sun illumination, we measure an opencircuit voltage of 256 mV, a short circuit current density of 4.10 mA/cm2, and a fill factor of 0.44. Simulations of further optimized devices indicate that such a vertical transferred contact Schottkyjunction device geometry is promising for high efficiency transition metal dichalcogenide photovoltaics with scalable photoactive areas. As silicon solar cells continue to decrease in cost, researchers are seeking new materials and devices for beyond Si only photovoltaics, and also for applications beyond commercial utilityscale solar, such as efficient building integrated, aerospace and vehicle integrated solar. New ultrathin and processable materials may have advantages in these applications that demand high specific power (i.e. power per unit weight) and superior thermal performance1. Two dimensional (2D) semiconducting transition metal dichalcogenides (TMDs) including MoS2, WS2, MoSe2, and WSe2, are particularly promising for high specific power photovoltaics. With absorption coefficients 1 2 orders of magnitude higher than conventional semiconductors, monolayer <1 nm thick) TMDs can absorb as much sunlight as about 15 nm of GaAs or 50 nm of Si2. Due to their layered structure and out of plane van der Waals bonding, TMDs have intrinsically passivated surfaces with no dangling bonds and can form heterostructures without the constraint of lattice matching. In their monolayer form, TMDs have direct bandgaps at ideal energies for tandem solar cells with Si, and high photoluminescence quantum yields, especially upon passivation3 5. In their multilayer form, TMDs have indirect bandgaps and lower photoluminescence quantum yields, but also smaller exciton binding energies and ideal bandgaps for single junction solar cells approaching the Shockley Queisser efficiency limit6. Both multilayer and monolayer TMDs can achieve near unity broadband absorption in the visible range7,8. The theoretical maximum power conversion efficiency achievable for multilayer and monolayer single junction solar cells is similar, due to the tradeoff between bandgap energy and photoluminescence quantum yield9. Different carrier collection techniques have been explored in multilayer and monolayer TMD photovoltaics. Monolayer TMD solar cells usually collect carriers laterally, as tunneling dominates transport in vertical monolayer devices. The carrier separating junctions formed in monolayer TMD solar cells include p n junctions defined by split gate electrodes10 12, p n junctions defined by type II band alignment between two TMDs13 16, p n junctions defined by chemical doping17, and Schottky junctions18,19. In multilayer TMD solar cells, both lateral and vertical devices have been fabricated. Internal quantum efficiency (IQE) >70% has been demonstrated in 3 nm MoS2/9 nm WSe2 vertical p n junctions20. AM1.5G power conversion efficiencies of 0.4% and 2.8% have been achieved in ultrathin (11 nm)21 and thicker (120 nm)22 chemically doped vertical p n junctions of MoS2, respectively, and AM1.5G power conversion efficiency 0.7% has been achieved in lateral Schottky junctions with a 3 nm thick TMD23. Typically, Schottky junctions are among the least efficient of carrier separating junctions, as there is infinite surface recombination velocity at the metal semiconductor interface, the junctions occur near the contacts, and Fermi level pinning limits the achievable surface bandbending24. However, recent work by Liu et al shows that transferring rather than evaporating metal contacts onto TMDs can yield interfaces with no Fermi level pinning, where the Schottky barrier height can be predicted by the ideal Schottky Mott rule18. They fabricate gated monolayer and multilayer lateral Schottky junction devices and demonstrate high VOC values (1.02 V for monolayer and 0.76 V for multilayer) under laser illumination18. To date, Schottky junctions with transferred metal contacts have not been explored in vertical and ungated architectures, which are necessary for photovoltaic applications. Further, a simple procedure for transferring metal contacts without an aligned lithography step has yet to be developed. Here, we demonstrate vertical Schottky junction solar cells with multilayer TMD absorber layers and transferred metal contacts. We explore multilayer vertical devices that possess a scalable photoactive area, and are therefore aligned with conventional large area photovoltaics. Ultrathin (16 nm) WS2 forms the absorber layer, while Au and Ag form the asymmetric workfunction contacts. To facilitate device fabrication, we develop a simple, scalable procedure for transferring metal contacts. Devices made with transferred metal contacts show diode like I V behavior and high VOC, while similar devices made with evaporated metal contacts show ohmic I V behavior and near zero VOC. We demonstrate peak external quantum efficiency (EQE) of >40% and peak IQE of >60% in transferred contact devices. Using a solar simulator, we measure a photovoltaic power conversion efficiency of 0.46% Finally, we explore the potential of this device architecture by simulating the performance of further optimized devices. Results Fabrication of vertical WS2 Schottky junction solar cells. We prepare vertical WS2 Schottkyjunction solar cells made from 16 nm thick WS2 absorber layers, with Ag (fAg 4.3 eV) and Au (fAu 5.1 eV) as asymmetric work function contacts (Fig. 1a)18. Template stripped Ag, which exhibits an RMS roughness <0.5 nm, forms both the electron collecting bottom contact and back reflector for all devices25. We mechanically exfoliate WS2 directly onto the Ag substrate. The subwavelength thick WS2 achieves broadband, angle insensitive absorption on top of the highly reflective Ag, giving the WS2 a deep purple color as illustrated in Fig. 1b7,26. For transferredcontact devices, we transfer thin Au disks from a thermally oxidized Si donor substrate to form the semi transparent hole collecting top contact, using the process described in the following section. Both the top surface of the template stripped Ag and the bottom surface of the transferred Au inherit the smoothness of the SiO2/Si donor substrate, leading to near atomically sharp metalWS2 interfaces18,25. For comparison, we also fabricate devices by direct evaporation of thin Au disks onto the WS2 using standard photolithography techniques. The ideal band diagram of this Schottky junction solar cell is shown in Fig. 1c. We assume a doping concentration of 1014 cm 3 for WS2, as provided by the bulk crystal vendor. Since the length of the depletion region at a Schottky junction between bulk WS2 and either Au or Ag is on the order of 1 mm, the device is fully depleted. We measure the final thicknesses of the WS2 and the Au to be 16 nm and 19 nm, respectively, using atomic force microscopy (Fig. 1d) Metal transfer process. We develop a new, simple process for transferring metal contacts onto TMDs (Fig. 2) This process relies on a self assembled monolayer (SAM) to reduce the adhesion between the Au and the SiO2/Si donor substrate27, a thermoplastic polymer to preferentially pick up or drop down the metal28, and a variable peeling rate to tune the velocity dependent adhesion between a metal and a viscoelastic stamp27. Briefly, we create a SAM on clean thermally oxidized Si chips in a vacuum desiccator27. We then deposit 20 nm of Au via electron beam evaporation. Using photolithography, we define the contact areas with positive photoresist and a positive photomask. We etch the Au outside the masked contact areas, then dissolve the remaining photoresist in acetone, leaving Au disks on the SAM coated SiO2/Si substrates. We prepare a polydimethylsiloxane (PDMS) stamp coated with the thermoplastic polymer polypropylene carbonate (PPC) on a glass slide28. In a 2D transfer setup, we align and slowly lower the stamp onto a contact at 60oC. We set the temperature to 40oC, and once the stage reaches that temperature, we raise the transfer arm rapidly to peel the stamp and pick up the contact. We align the contact with the target TMD and slowly lower the stamp down at 60oC, and then slowly peel it away immediately after contact at the same temperature. The contact delaminates from the PDMS/PPC stamp and sticks to the TMD. Further details of the procedure are provided in Supplementary Note 1. This metal transfer technique has worked in 15 out of 16 devices fabricated thus far (94% yield) It works with both 20 nm and 100 nm of Au, and can likely be extended to other metals. A substantial advantage of this technique is that, whereas prior metal transfer techniques require a final aligned electron beam lithography step to expose the contact area18, this technique only utilizes unaligned photolithography to define the initial contacts on the SiO2/Si donor substrate. Comparison of transferred and evaporated metal contacts. We measure I V curves under illumination with a 633 nm laser focused to a ~1 mm2 spot in a confocal microscope at room temperature. In devices with transferred metal contacts, we observe rectifying I V curves and a pronounced photovoltaic effect (Fig. 3a) We measure a VOC of 510 mV under the maximum laser excitation. Short circuit current follows a power law as a f", "author_names": [ "Cora M Went", "Joeson Wong", "Phillip Jahelka", "Michael D Kelzenberg", "Souvik Biswas", "Harry A Atwater" ], "corpus_id": 204897753, "doc_id": "204897753", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Transferred metal contacts for vertical Schottky junction transition metal dichalcogenide photovoltaics", "venue": "", "year": 2019 }, { "abstract": "Two dimensional (2D) metal semiconductor transition metal dichalcogenide (TMDC) vertical heterostructures play a crucial role in device engineering and contact tuning fields, while their direct integration still challenging. Herein, a robust epitaxial growth method is designed to construct multiple lattice matched 2D metal semiconductor TMDC vertical stacks (VSe2/MX2, M: Mo, W; X: S, Se) by a two step chemical vapor deposition method. Intriguingly, the metallic VSe2 preferred to nucleate and extend from the energy favorable edge site of the semiconducting MX2 underlayer to form VSe2/MX2 vertical heterostructures. This growth behavior was also confirmed by density functional theory calculations of the initial adsorption of VSe2 adatoms. In particular, the formation of Schottky diode or Ohmic contact type band alignments was detected for the stacks between VSe2 and p type WSe2 or n type MoSe2, respectively. This work hereby provides insights into the direct integration, band alignment engineering, and potential applications of such 2D metal semiconductor stacks in next generation electronics, optoelectronic devices, and energy related fields.", "author_names": [ "Zhepeng Zhang", "Yue Gong", "Xiaolong Zou", "Porun Liu", "Pengfei Yang", "Jianping Shi", "Liyun Zhao", "Qing Zhang", "Lin Gu", "Yanfeng Zhang" ], "corpus_id": 58599879, "doc_id": "58599879", "n_citations": 44, "n_key_citations": 0, "score": 0, "title": "Epitaxial Growth of Two Dimensional Metal Semiconductor Transition Metal Dichalcogenide Vertical Stacks (VSe2/MX2) and Their Band Alignments.", "venue": "ACS nano", "year": 2019 }, { "abstract": "We demonstrated n and p type carrier injections into a transition metal dichalcogenide (TMD) WSe2 using van der Waals (vdW) contacts of two dimensional (2D) materials: graphite for an n type contact and NbSe2 for a p type contact. Instead of conventional methods such as the evaporation of metals on TMD, 2D metals were transferred onto WSe2 in order to form van der Waals contacts. With these contacts, we demonstrated a small Schottky barrier height for both carrier polarities. Our finding reveals the potential of a high performance vdW metal/semiconductor contact for use in electronics applications.", "author_names": [ "Yohta Sata", "Rai Moriya", "Satoru Masubuchi", "Kenji Watanabe", "Takashi Taniguchi", "Tomoki Machida" ], "corpus_id": 119049780, "doc_id": "119049780", "n_citations": 23, "n_key_citations": 1, "score": 0, "title": "N and p type carrier injections into WSe2 with van der Waals contacts of two dimensional materials", "venue": "", "year": 2017 }, { "abstract": "It is a big challenge to make a Schottky barrier (SB) free hole contact to MoS2 with a high ionization of ~6.0 eV. Here, using first principles calculations, in a recently discovered large family of two dimensional transition metal carbides or nitrides (MXenes) we have found six materials (V2CO2, Cr2CO2, Mo2CO2, V4C3O2, Cr2NO2, and V2NO2) that can be used as metal contacts to monolayer MoS2 with vanishing p type Schottky barriers at contacting interfaces, resulting in highly efficient hole injection into MoS2. We reveal that the successful achievements of the SB free hole contacts at these MoS2/MXene interfaces depend on not only the high work functions of the MXenes but also the absence of the formation of interfacial gap states that usually result in strong Fermi level pinning in the midgap of a semiconductor. We further propose that efficient charge injection into MoS2 facilitated by SB free contact could also increase the hydrogen evolution reaction (HER) activity of the 2H MoS2 basal plane by improv.", "author_names": [ "Jinxuan You", "Chen Si", "Jian Zhou", "Zhimei Sun" ], "corpus_id": 104415080, "doc_id": "104415080", "n_citations": 22, "n_key_citations": 0, "score": 0, "title": "Contacting MoS2 to MXene: Vanishing p Type Schottky Barrier and Enhanced Hydrogen Evolution Catalysis", "venue": "", "year": 2019 }, { "abstract": "In the active and growing explorations of the rapidly emerging two dimensional (2D) electronic and optoelectronic devices based upon atomically thin semiconductors and their heterostructures, developing better understanding of electrostatic gating is very important, especially for realizing logic and switching devices by employing 2D field effect transistors (FETs) with low subthreshold swing (SS) and high on off ratio (I_{\\mathrm{O}\\mathrm{n}/I_\\text{Off} In this study, we propose and demonstrate a method that includes a combination of two probe and four probe $I$ $V$ measurements on 2D transition metal dichalcogenide (TMDC) FETs to uncover the evolution of resistance of channel and contacts separately, upon change of gate voltage during switching between On and Off states. In 2D TMDC FETs with Schottky barrier (SB) contacts, we demonstrate that switching between On and Off states is primarily attained by modulating SBs due to change of electric field via electrostatic gating. Therefore, transistor characteristics is mostly determined by the contact resistance change upon the application of gate voltage. We present our method for 2D TMDC (MoS2, MoTe2) FETs. In addition, we also perform C V measurements to investigate the presence of interface trap states and quantum capacitance in TMDC FETs.", "author_names": [ "Arnob Islam", "Xia Liu", "Bradley Odhner", "Mary Anne Tupta", "Philip X -L Feng" ], "corpus_id": 57763803, "doc_id": "57763803", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Investigation of Electrostatic Gating in Two Dimensional Transitional Metal Dichalcogenide (TMDC) Field Effect Transistors (FETs)", "venue": "2018 IEEE 13th Nanotechnology Materials and Devices Conference (NMDC)", "year": 2018 }, { "abstract": "Here we propose a design to optimise measurement of the transverse spin voltage resulting from the spin Hall effect (SHE) generated by shining circularly polarised light on a transition metal dichalcogenide (TMD) monolayer. There is currently a lot of interest in trying to create ohmic contacts to single layer TMDs due to the inherent Schottky barriers present at the metal to semiconductor interface, but the more successful methods either cover the entire flake or rely on a temporary doping with a low half life. We propose using graphene as an intermediary contact with top gates only over the contacted regions leaving a central area of the TMD flake exposed so that the laser can reach it unimpeded. To maximise the SHE, the strength of the spin orbit coupling of the atmospherically stable TMDs have been reviewed. Armed with this information, and given that WSe2 is an intrinsically p type TMD, it was found to be the best candidate for investigating the SHE in such two dimensional materials. We have successfully both exfoliated and used Raman spectroscopy to characterise graphene and TMD flakes, using photoluminescence (PL) spectroscopy for the characterisation of the latter as well: whereas Raman spectroscopy has proven to be very effective in determining the layer number of the graphene and WSe2 flakes, in the case of MoS2, PL spectra can be more definitive in distinguishing monolayer from multilayer flakes. We unexpectedly, as WSe2 is thought to be atmospherically stable, observed a change in the visibility of WSe2 over a matter of four weeks, even when stored in a vacuum. The corresponding PL spectrum was also found to be heavily suppressed. Here we also report out attempts at stacking graphene onto TMD flakes to produce ohmic contacts.", "author_names": [ "K Conder" ], "corpus_id": 197616266, "doc_id": "197616266", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Optimisation of transition metal dichalcogenide devices for measurement of spin Hall voltages generated by optical spin orientation", "venue": "", "year": 2018 }, { "abstract": "Author(s) Zahin, Adiba Advisor(s) Lake, Roger K Abstract: ABSTRACT OF THE DISSERTATIONSchottky Barrier Heights at Two Dimensional Metallic and SemiconductingTransition Metal Dichalcogenide InterfacesbyAdiba ZahinMaster, Graduate Program in Electrical and Computer EngineeringUniversity of California, Riverside, September 2017Dr. Roger K. Lake, ChairpersonSeveral advances have been made in the realization of electronic devices that utilizeatomically thin two dimensional (2D) materials. The semiconducting transition metaldichalcogenides in particular have been used to demonstrate a wide range of deviceswhich include steep tunnel field effect transistors [1,2] photodetectors [3,4] field effecttransistors [5, 6] and chemical sensors [7, 8] A variety of experimental [9, 10] and theoretical [11, 12] studies have been devoted to understand the interface formed betweenthe bulk metals that are deposited on the surface of the 2D transition metal dichalcognides. There is growing evidence that the Schottky like transport behavior observedin TMDC metal contacts is a consequence of strong Fermi level pinning (FLP) Theorigin of the Fermi level pinning in metal TMDC interfaces has been attributed to theformation of interface dipoles [11] defects at the metal TMDC interface and the existence of metal induced gap states (MIGS) which arise from the exponential decay ofthe wavefunction of the metal Fermi level into the TMDC band gap [13, 14] One approach to minimize the effect of Fermi level pinning would be achieving an epitaxiallyclean interface between the metal and the semiconducting TMDC. Prior experimentalstudies of the contact resistance between the 2H/1T polytypes of MoS2 succeeded inidemonstrating record low contact resistance [15] Indeed recent study shows that, FLP", "author_names": [ "Adiba Zahin" ], "corpus_id": 139873405, "doc_id": "139873405", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Schottky Barrier Heights at Two Dimensional Metallic and Semiconducting Transition Metal Dichalcogenide Interfaces", "venue": "", "year": 2017 }, { "abstract": "Transition metal dichalcogenides are a promising avenue for fabricating high performance silicon in a simplified manner, by exploiting their two dimensional properties. This paper looks at simulating monolayers of four such materials on silicon, namely molybdenum disulfide and diselenide, and tungsten disulfide and diselenide. Materials parameters determined by density functional theory were used in a semiconductor device simulator, TCAD, to assess the potential performances for solar cells using these layers as a front surface contact layer. Results are presented for molybdenum disulfide on both n and p type silicon, showing a kink in the current voltage curve already reported in the literature. A potential efficiency of 19.2% is identified for an n type molybdenum disulfide on p type silicon device.", "author_names": [ "Jing Zhao", "Yuanfeng Xu", "Fajun Ma", "Anita W Y Ho-baillie", "Hao Zhang", "Stephen P Bremner" ], "corpus_id": 54436716, "doc_id": "54436716", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Simulation of Solar Cells Employing 2 Dimensional Transition Metal Dichalcogenide Silicon Front Surfaces", "venue": "2018 IEEE 7th World Conference on Photovoltaic Energy Conversion (WCPEC) (A Joint Conference of 45th IEEE PVSC, 28th PVSEC 34th EU PVSEC)", "year": 2018 } ]
A graphene-based broadband optical modulator
[ { "abstract": "Integrated optical modulators with high modulation speed, small footprint and large optical bandwidth are poised to be the enabling devices for on chip optical interconnects. Semiconductor modulators have therefore been heavily researched over the past few years. However, the device footprint of silicon based modulators is of the order of millimetres, owing to its weak electro optical properties. Germanium and compound semiconductors, on the other hand, face the major challenge of integration with existing silicon electronics and photonics platforms. Integrating silicon modulators with high quality factor optical resonators increases the modulation strength, but these devices suffer from intrinsic narrow bandwidth and require sophisticated optical design; they also have stringent fabrication requirements and limited temperature tolerances. Finding a complementary metal oxide semiconductor (CMOS) compatible material with adequate modulation speed and strength has therefore become a task of not only scientific interest, but also industrial importance. Here we experimentally demonstrate a broadband, high speed, waveguide integrated electroabsorption modulator based on monolayer graphene. By electrically tuning the Fermi level of the graphene sheet, we demonstrate modulation of the guided light at frequencies over 1 GHz, together with a broad operation spectrum that ranges from 1.35 to 1.6 um under ambient conditions. The high modulation efficiency of graphene results in an active device area of merely 25 um2, which is among the smallest to date. This graphene based optical modulation mechanism, with combined advantages of compact footprint, low operation voltage and ultrafast modulation speed across a broad range of wavelengths, can enable novel architectures for on chip optical communications.", "author_names": [ "Ming Liu", "Xiaobo Yin", "Erick Ulin-Avila", "Baisong Geng", "Thomas Zentgraf", "Long Ju", "Feng Wang", "Xiang Zhang" ], "corpus_id": 2260490, "doc_id": "2260490", "n_citations": 2450, "n_key_citations": 49, "score": 1, "title": "A graphene based broadband optical modulator", "venue": "Nature", "year": 2011 }, { "abstract": "Abstract By exploiting the electroabsorption effect of graphene, we present a graphene based polarization insensitive optical modulator. The waveguide structure consists of a silica substrate, high index silicon strip waveguide, Si3N4 dielectric spacer, two graphene layers, and two metal electrodes. The modulator performance is comprehensively studied in terms of attenuation, insertion loss, modulation depth, and bandwidth. We achieve broadband >16 dB attenuation graphene based optical modulator over a 35 nm wavelength range (covering C band) with an imbalance of no >1 dB and insertion loss of <2 dB for transverse magnetic and transverse electric polarized modes. Moreover, the electrical properties such as energy per bit consumption (Ebit) are also studied.", "author_names": [ "Xiao Hu", "Jian Wang" ], "corpus_id": 125820642, "doc_id": "125820642", "n_citations": 23, "n_key_citations": 0, "score": 0, "title": "Design of graphene based polarization insensitive optical modulator", "venue": "", "year": 2018 }, { "abstract": "A graphene based plasmonic valley slot waveguide modulator has been presented, which consists of a layer of graphene Al2O3 graphene and two trapezoidal metal strips separated by valley slot region. Designed modulator has advantage of enhancement of mode confinement and provides an electro optic modulation with 'proper' (graphene's in plane) electric field of surface plasmons. The influences of geometric parameters and chemical potential of graphene on modulator performance have been investigated. By optimizing the geometric parameters, the designed modulator could achieve a 3 dB modulation depth only with 290 nm long waveguide and low energy consumption of 1 fj/bit. Also, this modulator can work over a broad wavelength range from 1400 to 1600 nm. These results indicate that proposed modulator could be applied as a high performance broadband optical modulator in photonic integrated circuits.", "author_names": [ "Mehdi Shirdel", "Mohammad Ali Mansouri-Birjandi" ], "corpus_id": 213921430, "doc_id": "213921430", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "A broadband graphene modulator based on plasmonic valley slot waveguide", "venue": "", "year": 2019 }, { "abstract": "Graphene is an excellent electronic and photonic material for developing electronic photonic integrated circuits in Si based semiconductor devices with ultra wide operational bandwidth. As an extended application, here we propose a broadband silicon optical modulator using a graphene integrated hybrid plasmonic waveguide, and investigate the optical characteristics numerically at a wavelength of 1.55 mm. The optical device is based on the surface plasmon polariton absorption of graphene. By electrically tuning the graphene's refractive index as low as that of a noble metal, the hybrid plasmonic waveguide supports a strongly confined highly lossy hybrid long range surface plasmon polariton strip mode, and hence light coupled from an input waveguide experiences significant power attenuation as it propagates along the waveguide. Over the entire C band from 1.530 to 1.565 mm wavelengths, the on/off extinction ratio is larger than 13.7 dB. This modulator has the potential to play a key role in realizing graphene Si waveguide based integrated photonic devices.", "author_names": [ "Jin-Soo Shin", "Jin Tae Kim" ], "corpus_id": 22460630, "doc_id": "22460630", "n_citations": 36, "n_key_citations": 1, "score": 0, "title": "Broadband silicon optical modulator using a graphene integrated hybrid plasmonic waveguide.", "venue": "Nanotechnology", "year": 2015 }, { "abstract": "We investigate a high efficiency broadband terahertz wave modulator with structures made from the conjugated polymer MEH PPV, graphene, and Si, irradiated with an external excitation laser. We demonstrate a strategy that can alleviate the tradeoffbetween the requirements of modulation depth and modulation speed in polymer/silicon terahertz wave modulators. Using terahertz time domain and continuous wave systems, we measured both the terahertz transmission modulation properties and the time responses of the modulator structures. The conjugated polymer/graphene/silicon structure achieved a high modulation factor of93% for transmission as well as improved the modulation speed of the devices based on polymer/silicon. The high modulation efficiency of the polymer/graphene/silicon structure was induced by the enhancement in carrier density and the extremely high carrier mobility of graphene, respectively.", "author_names": [ "Bo Zhang", "Guocui Wang", "Hongyu Ji", "Bin Li", "Jing-ling Shen" ], "corpus_id": 53106027, "doc_id": "53106027", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Graphene based Organic Optical Terahertz Modulator", "venue": "2018 43rd International Conference on Infrared, Millimeter, and Terahertz Waves (IRMMW THz)", "year": 2018 }, { "abstract": "We investigate a high efficiency broadband terahertz wave modulator with structures made from the conjugated polymer [2 methoxy 5 (2' ethylhexyloxy) 1, 4 phenylennevinylene] graphene, and Si, irradiated with an external excitation laser. We demonstrate a strategy that can alleviate the tradeoff between the requirements of modulation depth and modulation speed in polymer/silicon terahertz wave modulators. Using terahertz time domain and continuous wave systems, we measured both the terahertz transmission modulation properties and the time responses of the modulator structures. The conjugated polymer/graphene/silicon structure achieved a high modulation factor of 93% for transmission as well as improved the modulation speed of the devices based on polymer/silicon. The high modulation efficiency of the polymer/graphene/silicon structure was induced by the enhancement in carrier density and the extremely high carrier mobility of graphene, respectively.", "author_names": [ "Guocui Wang", "Bo Zhang", "Hongyu Ji", "Xin Liu", "Ting He", "Longfeng Lv", "Yanbing Hou", "Jing-ling Shen" ], "corpus_id": 126245736, "doc_id": "126245736", "n_citations": 27, "n_key_citations": 0, "score": 0, "title": "Monolayer graphene based organic optical terahertz modulator", "venue": "", "year": 2017 }, { "abstract": "We demonstrate a graphene based electro optic free space modulator yielding a reflectance contrast of 20% over a strikingly large 250nm wavelength range, centered in the near infrared telecom band. Our device is based on the original association of a planar Bragg reflector, topped with an electrically contacted double layer graphene capacitor structure employing a high work function oxide shown to confer a static doping to the graphene in the absence of an external bias, thereby reducing the switching voltage range to 1V. The device design, fabrication and opto electric characterization is presented, and its behavior modeled using a coupled optical electronic framework.", "author_names": [ "Thomas Wood", "Jeremy Lhuillier", "Malik Kemiche", "Pierre Demongodin", "Bertrand Vilquin", "Pedro Rojo Romeo", "Ali Belarouci", "Lotfi Berguiga", "Segolene Callard", "Xavier Letartre", "Christelle Monat" ], "corpus_id": 222166315, "doc_id": "222166315", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Low voltage, broadband graphene coated Bragg mirror electro optic modulator at telecom wavelengths.", "venue": "Optics express", "year": 2020 }, { "abstract": "Abstract In this article, a few layered graphene dielectric multilayer (metamaterial) electro optic modulator has been proposed in the mid and far infrared range that works on electro absorption mechanism. Graphene, both mono layer and few layer, is an actively tunable optical material that allows control of inter band and intra band transition by tuning its chemical potential. Utilizing this unique feature of graphene, we propose a multilayer graphene dielectric stack where few layer graphene is preferred over mono layer graphene. Although the total thickness of the stack still remains in the nanometer range, this device can exhibit superior performances in terms of (i) high modulation depth, (ii) ultra broadband performance, (iii) ultra low insertion loss due to inherent metamaterial properties, (iv)nano scale footprint, (v) polarization independence and (vi) capability of being integrated to a silicon waveguide. Interestingly, these superior performances, achievable by using few layer graphene with carefully designed metamaterial, may not be possible with mono layer graphene. Our proposals have been validated by both the effective medium theory and general transfer matrix method.", "author_names": [ "Ayed Al Sayem", "Mahdy Rahman Chowdhury Mahdy", "Ifat Jahangir", "Md Saifur Rahman" ], "corpus_id": 119295395, "doc_id": "119295395", "n_citations": 26, "n_key_citations": 0, "score": 0, "title": "Ultrathin ultra broadband electro absorption modulator based on few layer graphene based anisotropic metamaterial", "venue": "", "year": 2015 }, { "abstract": "Graphene based optical modulators have recently attracted much attention because of their characteristic ultrafast and broadband response. Their modulation depth (MD) and overall transmittance (OT) however, are often limited by optical loss arising from interband transitions. We report here an all optical, all fiber optical modulator with a Mach Zehnder interferometer structure that has significantly higher MD and OT than graphene based loss modulators. It is based on the idea of converting optically induced phase modulation in the graphene cladded arm of the interferometer to intensity modulation at the output of the interferometer. The device has the potential to be integrable into a photonic system in real applications.", "author_names": [ "Shaoliang Yu", "Xiaoqin Wu", "Keren Chen", "Bigeng Chen", "Xin Guo", "Daoxin Dai", "Limin Tong", "Wei-Tao Liu", "Y R Shen" ], "corpus_id": 138838089, "doc_id": "138838089", "n_citations": 108, "n_key_citations": 0, "score": 0, "title": "All optical graphene modulator based on optical Kerr phase shift", "venue": "", "year": 2016 }, { "abstract": "Optical modulators are commonly used in communication and information technology to control intensity, phase, or polarization of light. Electro optic, electroabsorption, and acousto optic modulators based on semiconductors and compound semiconductors have been used to control the intensity of light. Because of gate tunable optical properties, graphene introduces new potentials for optical modulators. The operation wavelength of graphene based modulators, however, is limited to infrared wavelengths due to inefficient gating schemes. Here, we report a broadband optical modulator based on graphene supercapacitors formed by graphene electrodes and electrolyte medium. The transparent supercapacitor structure allows us to modulate optical transmission over a broad range of wavelengths from 450 nm to 2 mm under ambient conditions. We also provide various device geometries including multilayer graphene electrodes and reflection type device geometries that provide modulation of 35% The graphene supercapacitor structure together with the high modulation efficiency can enable various active devices ranging from plasmonics to optoelectronics.", "author_names": [ "Emre O Polat", "Coskun Kocabas" ], "corpus_id": 14960475, "doc_id": "14960475", "n_citations": 148, "n_key_citations": 0, "score": 0, "title": "Broadband optical modulators based on graphene supercapacitors.", "venue": "Nano letters", "year": 2013 } ]
Linearity and Efficiency in 5G Transmitters
[ { "abstract": "Every new generation of mobile systems should provide higher capacity, serve more users, be more energy efficient, and have lower cost. Radio access hardware constitutes a major bottleneck for reaching these goals, which is a particularly noticeable issue now that 5G communication systems are being developed. A combination of breakthroughs in communication theory as in massive multiple input/multiple output (MIMO) [1] highly integrated semiconductor and packaging technologies, and extended spectrum allocations has enabled a paradigm shift in the way radio hardware will be realized. Today's high power, fewantenna, sectorized systems will soon be replaced with highly integrated active antenna systems having up to hundreds of individually driven low power radios operating with very wideband signals.", "author_names": [ "Christian Fager", "Thomas Eriksson", "Filipe M Barradas", "Katharina Hausmair", "Telmo Reis Cunha", "Jose Carlos Pedro" ], "corpus_id": 96432286, "doc_id": "96432286", "n_citations": 39, "n_key_citations": 6, "score": 1, "title": "Linearity and Efficiency in 5G Transmitters: New Techniques for Analyzing Efficiency, Linearity, and Linearization in a 5G Active Antenna Transmitter Context", "venue": "IEEE Microwave Magazine", "year": 2019 }, { "abstract": "Phased array transmit systems use several antennas to combine RF power amplifiers in free space. If all the RF channels are identical, then the transmit spectrum in the far field, including both linear and nonlinear components, would be a scaled version of the output spectrum of each channel. However, random variations in the nonlinear components (AM AM and AM PM conversion) between the channels improves the nonlinearity of the overall array as the number of elements increases. In this paper, measured results are used to show that the adjacent channel power ratio, which is one metric of linearity, improves with the number of elements at a fixed backoff from the 1 dB compression point. Also, for a 100 Mbaud 64QAM signal and a fixed ACPR of 32 dBc, a 256 element phased array can be operated at $P_{1dB} 2\\mathbf{dB} while an 8 element phased array would need to be operated at $P_{1dB} 4\\mathbf{dB} for the same ACPR level. This work has great implications on the overall efficiency of 5G phased arrays since it implies that large phased arrays can be operated at less back off than small phased arrays (or single antennas with high power amplifiers) Thus, in reality and taking the ACPR as the figure of merit, phased arrays are 2 dB more efficient than what is predicted by standard system simulations which assume the same non linear response for all the phased array channels.", "author_names": [ "Bhaskara Rupakula", "Abdurrahman H Aljuhani", "Gabriel M Rebeiz" ], "corpus_id": 52051908, "doc_id": "52051908", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Linearity and Efficiency Improvements in Phased Array Transmitters with Large Number of Elements and Complex Modulation", "venue": "2018 IEEE/MTT S International Microwave Symposium IMS", "year": 2018 }, { "abstract": "Linearity, efficiency, and power consumption are the key figures of merit for modern communication system design [1] as data rates increase toward millimeter wave communication systems like 5G. A common problem is that both high linearity and efficiency are not simultaneously feasible in power amplifier (PA) design. Hence, when a PA is operated near saturation for highest efficiency, undesired harmonic and intermodulation distortions have to be taken into account [2] [3] A transceiver comprises several circuit elements, such as a low noise amplifier, mixer, and PA. In this transceiver chain, the PA usually exhibits the highest power consumption (i.e. it is worthwhile to maximize the efficiency of this circuit component to increase overall system performance) [4]", "author_names": [ "Thomas Ackermann", "Julian Potschka", "Tim Maiwald", "Amelie Hagelauer", "Georg Fischer", "Robert Weigel" ], "corpus_id": 219314273, "doc_id": "219314273", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "A Robust Digital Predistortion Algorithm for 5G MIMO: Modeling a MIMO Scenario With Two Nonlinear MIMO Transmitters Including a Cross Coupling Effect", "venue": "IEEE Microwave Magazine", "year": 2020 }, { "abstract": "Energy efficiency of 5G communication networks and beyond is a major challenge that is yet solved due to high power consumption and low linearity of massive array transmitters. This paper contributes to the existing framework of research in this area that is today mainly dominated by the RF component level and algorithmic solutions by investigating various possible design trade offs for highly integrated active antenna elements as employed in such array transmitters. We demonstrate how to exploit antenna element design to synthesize the optimal loading conditions of a given power amplifier (PA) to, e.g. maximize its power added efficiency (PAE) minimize nonlinear behavior, or find the desired trade off between both. The numerical example with a K band PA integrated antenna element illustrates that moderate nonlinear effects of the PA can be significantly reduced (with up to 10 20 dB in third order intermodulation distortion) by tuning the antenna design, with a relatively small PAE loss 5% The effects of antenna array mutual coupling and corresponding cross talk between PAs when beamsteering are discussed for a small scale linear array of such elements.", "author_names": [ "Wan-Chun Liao", "Thomas Emanuelsson", "Rob Maaskant", "Artem R Vilenskiy", "Thomas Eriksson", "Marianna V Ivashina" ], "corpus_id": 233435459, "doc_id": "233435459", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Power Efficiency and Linearity of Highly Integrated Transmitting Array Antennas", "venue": "2021 15th European Conference on Antennas and Propagation (EuCAP)", "year": 2021 }, { "abstract": "One of the biggest challenges in modern transmitter (TX) design, when going from the fourth generation (4G) to fifth generation (5G) communications network, is to handle the increased linearity requirements without introducing any compromise in the energy efficiency of the TX line up. In analog systems, high quality for the TX signal can be only achieved when using very linear operation of the (analog) power amplifier (PA) This severely limits the achievable efficiency in practical TX line ups. Alternatively, a nonlinear PA can be used, which is linearized by digital pre distortion (DPD) circuitry. This later approach is commonly used in (4G) macro cell base stations, but it comes at the cost of increased system complexity and high supply power for the advanced DPD unit. When going towards 5G handset, or massive multiple input multiple output (mMIMO) 5G base station units, that facilitate beamforming and higher data rates to their end users. The required RF output power per individual transmitter is rather low (at most only a few watts) However, since many more transmitters are used in 5G applications (e.g. a factor 64 x to 256 x more than in 4G base stations) the use of an advanced DPD units in each individual TX lineup, with their related high power consumption becomes simply impractical. Consequently, to address these changing needs, it is highly desirable to find new circuit level TX solutions, that overcome the traditional linearity efficiency trade off. To achieve this goal, this PhD work is focused on the utilization and tailoring of digital device operation, as facilitated by advanced CMOS technologies, towards the needs of modern wireless applications with their wideband complex modulated TX signals. The circuit techniques developed within this thesis, target an inherently linear amplitude code word (ACW) to TX output signal transfer, as such omitting completely the need for a power hungry advanced DPD unit, or alternatively, rely on a much more simple and consequently less power hungry DPD unit for the most demanding applications (e.g. when handling large modulation bandwidths) The circuit techniques developed in thesis, allow excellent drain and TX line up efficiency, while being compatible with wideband efficiency enhancement techniques like Doherty. The proposed circuit techniques are also able to correct for process, voltage, load and temperature variations of the application.", "author_names": [ "Mohsen Hashemi" ], "corpus_id": 235083646, "doc_id": "235083646", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Energy Efficient and Intrinsically Linear Digital Polar Transmitters", "venue": "", "year": 2020 }, { "abstract": "Highly efficient power amplifiers (PAs) and associated linearization techniques have been developed to accommodate the explosive growth in the data transmission rate and application of massive multiple input multiple output (mMIMO) systems. In this paper, energy efficient integrated Doherty PA monolithic microwave integrated circuits (MMICs) and linearization techniques are reviewed for both the sub 6 GHz and millimeter wave (mm Wave) fifth generation (5G) mMIMO systems; different semiconductor processes and architectures are compared and analyzed. Since the 5G protocols have not yet been finalized and PA specifications for mMIMO are still under consideration, it is worth investigating novel design methods to further improve their efficiency and linearity performance. Digital predistortion techniques need to evolve to be adapted in mMIMO systems, and some creative linearity enhancement techniques are needed to simultaneously improve the compensation accuracy and reduce the power consumption.", "author_names": [ "Xin Liu", "Guansheng Lv", "Dehan Wang", "Wenhua Chen", "Fadhel M Ghannouchi" ], "corpus_id": 211730362, "doc_id": "211730362", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Energy efficient power amplifiers and linearization techniques for massive MIMO transmitters: a review", "venue": "Frontiers of Information Technology Electronic Engineering", "year": 2020 }, { "abstract": "The modern spectral, and thereby linearity, requirements force 5G phased array transmitter systems to operate at reduced power efficiency, as they can no longer use voluminous filtering. To reduce the linearity requirements of the transmitter, we consider the case of an array consisting of closely spaced radiating elements operating at different frequencies. The coupled tones from one element to another create reverse intermodulation distortion (RIMD) We explain how RIMD is created within a power amplifier (PA) and derive an estimate for the power of the RIMD components. We provide a set of measurements for an X Band GaAs PA and draw a direct comparison between RIMD and IMD. We show that RIMD has a third order behaviour up to very high reverse power levels, opening up the perspective for higher output power operation as well as simpler and lower power predistortion in multi tone array systems such as 5G and radar.", "author_names": [ "Anton N Atanasov", "Mark S Oude Alink", "Frank E van Vliet" ], "corpus_id": 233227742, "doc_id": "233227742", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Reverse Intermodulation in Multi Tone Array Transmitters", "venue": "2020 IEEE BiCMOS and Compound Semiconductor Integrated Circuits and Technology Symposium (BCICTS)", "year": 2020 }, { "abstract": "THE growing demand of modern communication network with high data rate including 5G, point to point backhaul systems requires energy efficiency and wideband TXs for beamforming. In the analog intensive TX architectures, DACs are essential to convert the digital baseband to analog signal. However, the power consumption of DACs with gigabits data rate is watt level, which decrease the system efficiency significantly especially for portable device. Meanwhile, to support high data rate wireless transmission with high order QAM modulations, high linearity, large dynamic range are required for TXs. Digital intensive TXs eliminate DACs, and provide solutions to break trade off between PA efficiency and linearity [1] A 4 element digital modulated polar phased array TX based on phase modulation phase shifting is proposed [2] with state of the art phase error, gain error, and system efficiency. However, the delay mismatch between the amplitude and phase path affects the modulation bandwidth, which is even more critical for millimeter wave TX. Power DAC based digital quadrature TX is a promising candidate for the wideband modulation at millimeter wave bands comparing to the polar/outphasing counterparts. However, with the increasing power DAC resolution, the parasitics of the complex interconnections of power DAC unit cells cause efficiency degradation due to the load impedance mismatch of the unit cells. To address above issues, we propose a prototype of 2x10 bit digital quadrature TX [3] with notched matching and modeswitch topology for beamforming transmission to improve the TX efficiency, bandwidth, and data rate. To improve the scanning resolution and gain error of phased array TX, a current limited digital phase shifter for digital assisted phasedarray TX is proposed [4] The design mechanism with high resolution, low gain error, and wideband operation is analyzed, and a 3 7GHz prototype phase shifter is then demonstrated. Based on the mechanism [4] a 90 98GHz digital assisted phased array TX with high phase resolution is reported [5] The gain variation is reduced with an integrated digital assisted variable gain PA. In this report, we descript our recent research progress on a digital quadrature TX [3] and a digital assisted phasedarray TX [5] for high resolution beamforming transmission. Fabricated in 28nm/40nm CMOS technology, the proposed", "author_names": [ "Huizhen Jenny Qian", "Xun Luo" ], "corpus_id": 209491547, "doc_id": "209491547", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Mixed Signal Architectures of Wideband Transmitters for High Resolution Beamforming Transmission", "venue": "", "year": 2019 }, { "abstract": "The 5G wireless revolution presents some dramatic challenges to the design of handsets and communication infrastructures, as 5G targets higher than 10 Gbps download speed using millimeter wave (mm Wave) spectrum with multiple input multiple output (MIMO) antennas, connecting densely deployed wireless devices for Internet of Everything (IoE) and very small latency time for ultrareliable machine type communication, etc. The broadband modulation bandwidth for 5G RF transmitters (i.e. maximum possibly even above 1 GHz) demands high power efficiency and stringent linearity from its power amplifier (PA) Additionally, the phased array MIMO antennas with numerous RF front ends (RFFEs) will require unprecedented high integration level with low cost, making the design of 5G PA one of the most challenging tasks. As the centimeter wave (cm Wave) 5G systems will probably be deployed on the market earlier than their mm Wave counterparts, we will review in this paper the latest development on 15 GHz and 28 GHz 5G cm Wave PAs extensively, while also covering some key mm Wave PAs in the literature. Our review will focus on the available options of device technologies, novel circuit and system architectures, and efficiency enhancement techniques at power back off for 5G PA design.", "author_names": [ "Donald Yu-Chun Lie", "Jill C Mayeda", "Yan Li", "Jerry Lopez" ], "corpus_id": 51876190, "doc_id": "51876190", "n_citations": 26, "n_key_citations": 1, "score": 0, "title": "A Review of 5G Power Amplifier Design at cm Wave and mm Wave Frequencies", "venue": "Wirel. Commun. Mob. Comput.", "year": 2018 }, { "abstract": "There is a growing interest in exploring antenna electronic co designs that leverage antennas (including their low loss metal structures, design versatility, multi feed driving capabilities) as a new design paradigm to radically advance mm wave front end performance. In particular, enhancing the peak/back off transmitting efficiency is essential for future mm wave wireless communications, such as 5G, which will predominantly use complex modulations with large peak to average power ratio (PAPR) and extra power back off (PBO) for linearity and reliability. Consequently, mm wave transmitters often operate at deep PBO (9 to 12dB) with poor average efficiency. Although mm wave Doherty/Outphasing PAs have been widely explored, there is limited success in high order active load modulation for deep PBO efficiency enhancement with high PA linearity, mainly due to the complexity and prohibitive loss of on chip passive networks. On the other hand, most existing antenna electronic radiators only follow basic Class AB/B efficiency roll off with rapidly degraded PBO efficiency [1,2] Some use active load modulation on a single multi feed antenna, whose simple structures support only 6dB PBO efficiency enhancement and limited PBO extension [3]", "author_names": [ "Huy Thong Nguyen", "Sensen Li", "Hua Wang" ], "corpus_id": 71151490, "doc_id": "71151490", "n_citations": 14, "n_key_citations": 1, "score": 0, "title": "4.6 A mm Wave 3 Way Linear Doherty Radiator with Multi Antenna Coupling and On Antenna Current Scaling Series Combiner for Deep Power Back Off Efficiency Enhancement", "venue": "2019 IEEE International Solid State Circuits Conference (ISSCC)", "year": 2019 } ]
modern semiconductor devices for integrated circuits
[ { "abstract": "3. Electrons and holes are the major characters in the play and carry opposite charge. Their mass however is altered from the mass of an electron in vacuum. The altered mass is called e ective mass, mn and mp 4. The band model is the tool required for quantitative analysis of semiconductors. From this model one can get the energy gap, E K diagrams allowing the determination of e ective masses, analysis of the energy levels with in the gap and the conduction/valence bands etc", "author_names": [ "Chenming Calvin Hu" ], "corpus_id": 107567934, "doc_id": "107567934", "n_citations": 399, "n_key_citations": 32, "score": 1, "title": "Modern Semiconductor Devices for Integrated Circuits", "venue": "", "year": 2009 }, { "abstract": "In this article a universal system for photoelectric measurements (USPM) is described, with several subsystems allowing comprehensive characterization of various semiconductor devices, primarily MOS (metal oxide semiconductor) structures. MOS structures are fundamental components of all modern integrated circuits and the key parameters of these structures can be determined by several electrical and photoelectric techniques. In particular, photoelectric investigations are very important and useful in the characterization of micro and nanoelectronic semiconductor devices since they offer very accurate and reproducible measurements of important electric parameters, e.g. barrier heights on interfaces of multilayered structures. In the case of integrated circuits with structures of nm aerial dimensions, photoelectric measurements have to be made on test structures with larger aerial dimensions, accompanying the integrated circuits, since the minimum diameter of the light beam used is in the range of micrometers. The USPM system has been designed and built in our laboratory and allows very precise and sensitive measurements to be taken.", "author_names": [ "Krzysztof Piskorski", "M Niemiec", "L Borowicz", "Henryk M Przewlocki" ], "corpus_id": 114021442, "doc_id": "114021442", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "A measurement system for the photoelectric and electrical characterization of modern semiconductor devices", "venue": "", "year": 2017 }, { "abstract": "Radio frequency integrated circuits (RF ICs) built the core of modern information and communication systems. Graphene based devices like transistors, diodes or varactors have been recognized from the very beginning as promising candidates in future RF ICs, having the potential to significant outperform established devices based on Silicon or III/V semiconductor materials in terms of speed, functionality or flexibility. However, so far the potential of graphene in RF electronics has mainly been demonstrated on a single device level because the realization of more complex integrated RF circuits was limited by a non mature fabrication technology and large variations in the device performances. In this talk I will present the MMIC (monolithic microwave integrated circuit) process for graphene based RF circuits developed at AMO (figure 1) [1,2] and discuss especially the challenges and possible solutions related to device variability and yield. Different RF ICs have been realized so far, which are designed for future WiFi communication systems in 5G and for IoT applications [3] As one example, a double balanced mixer designed for operation from 6 12 GHz has been realized using a graphene based diode ring and metal based passive components (figure 2) This integrated circuit was fabricated on a glass substrate and provides a down conversion loss of only 10dB, which is identical to GaAs based mixers, but achieved with a much more flexible and cheaper production technology. References [1] A Askar et al. Microwave Conference (GeMiC) 2015 German 299 302 (2015) [2] M Shaygan et al, Nanoscale, 9 (2017) 11944. [3] MS Elsayed et al. Nanoscale, 10 (2018) 93.", "author_names": [ "Daniel Neumaier" ], "corpus_id": 203610922, "doc_id": "203610922", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Integrated Circuits for RF Communication with Graphene based Devices", "venue": "", "year": 2018 }, { "abstract": "Thermo mechanical stress accumulation in the power electronic integrated circuit (PIC) devices influences their lifetime and reliability. In order to determine both the temperature and the stress accumulation, numerical simulation is a very important tool in the design of PIC devices for quantifying and enhancing their lifetime and reliability. An open source solution integrated in Salome Meca and Code_Aster based on the finite element method (FEM) is used in this paper to analyze the thermo mechanical behavior on a simple metal semiconductor structure, which is typically found in a PIC. The computational time and accuracy of the simulations results is improved by finding the optimal mesh configuration. A convergence study is computed for five different cases by progressively reducing the size of the discretization elements (hence, increasing the number of mesh elements) in the area of interest. The results for an optimal relative error and computational time are compared with the results obtained using the commercial software Comsol. The simulated mechanical stress is compared with similar results reported in literature.", "author_names": [ "Adrian Bojita", "Alexandru M Avram", "Marius Purcar", "Calin Munteanu", "Vasile Topa" ], "corpus_id": 34983059, "doc_id": "34983059", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Thermo mechanical simulation of the metal semiconductor structures of power integrated circuits", "venue": "2017 International Conference on Modern Power Systems (MPS)", "year": 2017 }, { "abstract": "Photonic integrated circuits that are manufactured with mature semiconductor technology hold great promise for realizing scalable quantum technology. Efficient interfaces between quantum emitters and nanophotonic devices are crucial building blocks for such implementations on silicon chips. These interfaces can be realized as nanobeam optical cavities with high quality factors and wavelength scale mode volumes, thus providing enhanced coupling between nanoscale quantum emitters and nanophotonic circuits. Realizing such resonant structures is particularly challenging for the visible wavelength range, where many of the currently considered quantum emitters operate, and if compatibility with modern semiconductor nanofabrication processes is desired. Here we show that photonic crystal nanobeam cavities for the visible spectrum can be designed and fabricated directly on substrate with high quality factors and small mode volumes. We compare designs based on deterministic and mode matching methods and find the latter advantageous for on substrate realizations. Our results pave the way for integrating quantum emitters with nanophotonic circuits for applications in quantum technology.", "author_names": [ "Jan Olthaus", "Philip P J Schrinner", "Doris E Reiter", "Carsten Schuck" ], "corpus_id": 202537646, "doc_id": "202537646", "n_citations": 9, "n_key_citations": 0, "score": 0, "title": "Optimal Photonic Crystal Cavities for Coupling Nanoemitters to Photonic Integrated Circuits", "venue": "Advanced Quantum Technologies", "year": 2019 }, { "abstract": "Abstract Metal oxide semiconductor field effect transistor (MOSFET) is the main building block in low power and high performance very large scale integration (VLSI) chips for the last few decades. Device scaling is the guiding force toward technological advancements, which allows more devices to be integrated on a single die thereby allowing greater functionality per chip. The ultimate goal of scaling is to build an individual transistor that is smaller, faster, cheaper, and consuming low power. We see an exponential growth in device complexity in today's nanoscaled chip. However, device scaling to deep nanometer regime leads to exponential increase in leakage current and excessive heat generation. Moreover, process variability has caused a serious limitation to further scaling. It is believed that with a mix of chemistry, physics, and engineering, nanoelectronics may provide a solution to increasing fabrication costs and may allow integrated circuits to be scaled beyond the limits of the modern transistor. Carbon nanotube (CNT) and nanowire (NW) based FETs (CNTFET and NWFET) have been analyzed and characterized in the laboratory and also been demonstrated as prototypes. This work first presents, a detailed explanation on chemical bonding and crystalline structures of these new devices and then an extensive simulation and analysis of CNTFET and NWFET devices and compared the results with conventional MOSFET and double gate MOSFET. From this study, it reveals that these new devices have got some excellent properties and favorable characteristics, which will definitely lead the future semiconductor devices in the post silicon era.", "author_names": [ "Saurabh Chaudhury", "Sanjeet Kumar Sinha" ], "corpus_id": 115679792, "doc_id": "115679792", "n_citations": 8, "n_key_citations": 0, "score": 0, "title": "Carbon Nanotube and Nanowires for Future Semiconductor Devices Applications", "venue": "", "year": 2019 }, { "abstract": "Introduction. The modern stage of development of electronics is characterized by the widespread use of integrated circuits (IC) Assessment of working conditions in a promising, developing production of electronic components with hygienic positions is an urgent task.The aim of the study is to conduct a hygienic assessment of working conditions of workers in the main professions in the production of IC.Materials and methods. Hygienic research conducted at three modern enterprises for the production of chips and semiconductor devices, included the study of the conditions and nature of work of workers in the main professions. 215 chemical analyses for the content of harmful substances were carried out in order to assess the air environment and 270 measurements of the levels of physical factors at 18 workplaces.Results. Findings of hygienic assessment of working conditions for employees engaged in manufacture of microcircuits: operators of chemical processing, precision photolithography (PPL) diffusion processes, vacuum deposition, and IMC assemblers are reported. Based on the analysis of the technological process and the list of materials used and time study data, the studies of workplace air pollution, sound levels, artificial lighting, microclimate parameters (temperature, humidity, air velocity) working process parameters were carried out. The jobs in which work is characterized by toxic chemicals exposure, precision visual operations using optical instruments during 55% of the shift were singled out. Priority occupational health risk factors for workers employed in modern IMC production and main areas of working environment optimization were revealed.Conclusions. Based on the conducted hygienic studies, musculoskeletal system load combined with visual strain were found to be the priority occupational health risk factors for microcircuit production workers. Manual operations taking up a significant part of the working process and introduction of automated IMC technological processes being insufficient, preventive measures should be aimed at organizing a rational working regime that provides reducing of visual strain and musculoskeletal system load.", "author_names": [ "M N Kir'yanova", "O L Markova", "Evgenia V Ivanova" ], "corpus_id": 204082217, "doc_id": "204082217", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Features of formation of working conditions of workers of the main professions in the production of integrated circuits", "venue": "Russian Journal of Occupational Health and Industrial Ecology", "year": 2019 }, { "abstract": "The silicon Integrated circuits (ICs) continues to have an unprecedented impact on improving almost every aspect of modern society including communications, military, security, healthcare, energy saving, industrial automation, transport, and entertainment. Over the last four decades, the relentless pursuit of IC device miniaturization for manufacturing high performance and high density IC chips and system on a chip (SoC) led to the creation of Internet and social media. The semiconductor components are used in smart cars, smart homes, smart cities, smart health, smart energy, smart security, smart appliances, and so on. The Internet enables connecting any and every smart devices or \"things\" creating \"Internet of Things\" (IoT) or Internet of everything (IoE) And, the IoT connected smart devices constitute a smart environment and integrated ecosystem that can be accessed via personal computers, tablets, and smartphones from anywhere without human interaction. However, the performance of nanoscale MOSFETs in the design and manufacturing of \"smart\" electronic products necessary to create smart networks or \"smart things\" to enable smart environments and integrated ecosystems is inadequate due to the fundamental physical limitations such as short channel effects (SCEs) Shrinking conventional bulk MOSFET device dimensions in the decananometer regime degrades device performance including degradation in the subthreshold swing and decrease in device turn on voltage. As a result, the scaled MOSFETs cannot be turned off easily by lowering the gate voltage leading to excessive leakage current. Due to SCEs, the device characteristics become increasingly sensitive to process variation that imposes a serious challenge for continued scaling of bulk MOSFETs for the nanometer technology nodes. In addition, at gate length below 20 nm, the sub surface leakage paths are weakly controlled by the gate irrespective of gate oxide thickness and their potential barriers can be easily lowered by drain bias through the enhanced electric field coupling to the drain. Thus, to surmount the continuous scaling challenges of conventional bulk MOSFET devices new device technologies such as FinFET has emerged as the real alternative to MOSFETs. This tutorial provides the basic features and operating principles of FinFETs required for the understanding of design and manufacturing of advanced ICs at the nanometer nodes for smart electronic products. In addition, this tutorial also discusses the emerging undoped or lightly doped channel MOSFETs with performance comparable to FinFETs for design and manufacturing of smart IC products.", "author_names": [ "Samar K Saha" ], "corpus_id": 52935872, "doc_id": "52935872", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "TUTORIAL 01: Advanced Silicon Devices for VLSI Circuits and Systems at Nanometer Nodes", "venue": "2018 IEEE International Conference on Semiconductor Electronics (ICSE)", "year": 2018 }, { "abstract": "Abstract There had been tremendous growth in the field of Integrated circuits (ICs) in the past fifty years. Scaling laws mandated both lateral and vertical dimensions to be reduced and a steady increase in doping densities. Most of the modern semiconductor devices have invariably heavily doped regions where Fermi Dirac Integrals are required. Several attempts have been devoted to developing analytical approximations for Fermi Dirac Integrals since numerical computations of Fermi Dirac Integrals are difficult to use in semiconductor devices, although there are several highly accurate tabulated functions available. Most of these analytical expressions are not sufficiently suitable to be employed in semiconductor device applications due to their poor accuracy, the requirement of complicated calculations, and difficulties in differentiating and integrating. A new approximation has been developed for the Fermi Dirac integrals of the order 1/2 by using Prony's method and discussed in this paper. The approximation is accurate enough (Mean Absolute Error (MAE) 0.38% and easy enough to be used in semiconductor device equations. The new approximation of Fermi Dirac Integrals is applied to a more generalized Einstein Relation which is an important relation in semiconductor devices.", "author_names": [ "Ahmed Alqurashi", "Chettypalayam R Selvakumar" ], "corpus_id": 126380720, "doc_id": "126380720", "n_citations": 7, "n_key_citations": 1, "score": 0, "title": "A new approximation of Fermi Dirac integrals of order 1/2 for degenerate semiconductor devices", "venue": "", "year": 2018 }, { "abstract": "In this paper a review of the design and properties of silicon light emitting devices fabricated in conventional CMOS/BiCMOS IC process technology is given. The performance of both two and three terminal Si LEDs for monolithic integration in optoelectronic systems is described, followed by a discussion of the differences observed between avalanche LED (i.e. two terminal device, diode) and field emission LED (i. e. three terminal device, gate controlled diode)", "author_names": [ "Kaikai Xu", "Guannpyng Li" ], "corpus_id": 110235199, "doc_id": "110235199", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "The Path Forward: Silicon Electro Optical Interface for Modern Complementary Metal Oxide Semiconductor Integrated Circuits (CMOS ICs)", "venue": "", "year": 2013 } ]
Semiconductor Power Devices: Physics, Characteristics, Reliability
[ { "abstract": "Power Semiconductor Devices Key Components for Efficient Electrical Energy Conversion Systems. Semiconductor Properties. pn Junctions. Short introduction to power device technology. pin Diodes. Schottky Diodes. Bipolar Transistors. Thyristors. MOS Transistors. IGBTs. Packaging and Reliability of Power Devices. Destructive Mechanisms in Power Devices. Power Device Induced Oscillations and Electromagnetic Disturbances. Power Electronic Systems. Appendix. Index.", "author_names": [ "Josef Lutz", "Heinrich Dr Schlangenotto", "Uwe Scheuermann", "Rik W De Doncker" ], "corpus_id": 106910905, "doc_id": "106910905", "n_citations": 344, "n_key_citations": 44, "score": 1, "title": "Semiconductor Power Devices: Physics, Characteristics, Reliability", "venue": "", "year": 2011 }, { "abstract": "", "author_names": [ "Leo Lorenz" ], "corpus_id": 67874714, "doc_id": "67874714", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Semiconductor Power Devices: Physics, Characteristics, Reliability [Book Review]", "venue": "IEEE Power Electronics Magazine", "year": 2019 }, { "abstract": "", "author_names": [ "Philip Hower" ], "corpus_id": 543642, "doc_id": "543642", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Semiconductor Power Devices, Physics, Characteristics, Reliability, by Josef Lutz, Heinrich Schlangenotto, Uwe Scheuermann, and Rik De Doncker, Springer Verlag, Berlin Heidelberg (2011) ISBN 978 3 642 11124 2", "venue": "Microelectron. Reliab.", "year": 2012 }, { "abstract": "Silicon technology, which is the most mainstream semiconductor technology, poses serious limitations on fulfilling the market demands in high frequency and high power applications. In response to these limitations, wide bandgap III nitride devices, including AlxGa1 xN/GaN heterojunction field effect transistors (HFETs) were introduced at about two decades ago to satisfy these rapidly growing market demands for high power/high frequency amplifiers and high voltage/high temperature switches. The most appealing features of III nitride technologies, and particularly AlxGa1 xN/GaN HFETs, in these applications, are the polarization induced high sheet carrier concentration, high breakdown voltage, high electron saturation velocity, and high maximum operating temperature. Therefore, the development of enhancement mode AlGaN/GaN HFETs is one of the most important endeavours in the past two decades. Low frequency noise (LFN) spectroscopy, empowered by a proper physics based model, is received as a capable tool for reliability studies. As a result, devising a physics based LFN model for AlGaN/GaN HFETs can be capable of not only evaluating the alternative techniques proposed for realization of enhancement mode AlGaN/GaN HFETs, but also more importantly forecasting the reliability, and noise performance of these devices. In this dissertation, for the first time, a physics based model for the low frequency drain noise current of AlGaN/GaN HFETs is proposed. The proposed model, through including the thermally activated and quantum tunneling processes of trapping/de trapping of electrons of channel into and out of the trap sites located both in the barrier and buffer layer of these HFETs, provides a descriptive picture for the LFN behavior of these devices. This work also aims to experimentally investigate the low frequency noise current characteristics of both conventional and newly proposed devices (i.e. fin and island isolated AlGaN/GaN HFETs) at various temperatures (i.e. 150, 300, and 450 K) and bias points in order to address the possible difficulties in performance of these devices. Matching of the trends proposed by the physics based model to the experimentally recorded LFN spectra of AlGaN/GaN HFETs designed according to a newly proposed technological variant for positive shifting the threshold voltage, confirms the accuracy and predicting power of the proposed model. The insights gained from this model on the latter group of devices provide evidence for the challenges of the aforementioned technological variants, and as a result offer assistance in proposing remedies for those challenges. In formulating the LFN model, a massive discrepancy between the predictions of the existing analytical relationships used by others in evaluating the subband energy levels of AlGaN/GaN HFETs and the realities of the polarization induced electron concentration of these HFETs was spotted. Careful evaluation of the polarization properties of these heterostructures unmasked the inaccuracy of the assumption of zero penetration of the electron wave into both the AlGaN barrier layer and the GaN buffer layer as the culprit in this discrepancy. In response to this observation, a model based on the variational method for calculating the first and second subband energy levels of AlGaN/GaN HFETs is developed. On the basis of this model, more accurate analytical frameworks for calculating these subband energy levels in AlGaN/GaN HFETs for a variety of barrier thicknesses and Al mole fractions in the barrier layer are proposed.", "author_names": [ "Farzin Manouchehri" ], "corpus_id": 108136385, "doc_id": "108136385", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Reliability Driven Experimental and Theoretical Study of Low Frequency Noise Characteristics of AlGaN/GaN HFETs", "venue": "", "year": 2014 }, { "abstract": "High voltage HFET's fabricated from nitride semiconductors utilizing the AlGaN/GaN heterojunction demonstrate excellent RF performance with RF output power greater than an order of magnitude higher than available from GaAs and InP based devices. However, the nitride devices demonstrate a reliability problem where the dc current and RF output power continually decrease as a function of time. The reliability problem is related to the conduction characteristics of the gate electrode and an electron tunneling mechanism where electrons leak from the gate to the surface of the semiconductor. In this work the physics responsible for this behavior are investigated and described", "author_names": [ "Robert Trew", "Yu Liu", "W Kuang", "Griff L Bilbro" ], "corpus_id": 17660484, "doc_id": "17660484", "n_citations": 16, "n_key_citations": 2, "score": 0, "title": "The Physics of Reliability for High Voltage AlGaN/GaN HFET's", "venue": "2006 IEEE Compound Semiconductor Integrated Circuit Symposium", "year": 2006 }, { "abstract": "In semiconductor devices manufacturing, various materials with different physico chemical characteristics are connected and over hundreds of sequenced processing steps are necessary. In this regard, thermomechanical stress due to compressive and tensile strain is a serious aspect inside the device. Residual thermomechanical stress due to large difference in coefficients of thermal expansion between the materials generates reliability problems not only at the bonded interfaces but also for the lifetime of the active regions of the high power semiconductors. In this study, blue LEDs based on gallium nitride (GaN) bonded to a silicon carrier by a gold layer were soldered with eutectic gold tin (AuSn) on a copper board or an aluminum insulated metal (AI IMS) For both boards a reflow process in presence of formic acid vapor was used for soldering. The assemblies were studied using Raman spectroscopy. A finite element model was developed to simulate the thermomechanical stress present in the assemblies. Measured and simulated values were compared and evaluated at room temperature, at 50degC and at 180degC. The results suggest guidelines for the optimization of the assembling process of LED based microelectronic devices.", "author_names": [ "E Liu", "Fosca Conti", "Raffaella Signorini", "Enrico Brugnolotto", "Sri Krishna Bhogaraju", "Gordon Elger" ], "corpus_id": 171096269, "doc_id": "171096269", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Modelling Thermo Mechanical Stress in GaN LEDs Soldered on Copper Substrate with Simulations Validated by Raman Experiments", "venue": "2019 20th International Conference on Thermal, Mechanical and Multi Physics Simulation and Experiments in Microelectronics and Microsystems (EuroSimE)", "year": 2019 }, { "abstract": "Short circuit withstand capability is a key requirement for semiconductor power devices in a number of strategic application domains, including traction, renewable energies and power distribution. Indeed, though clearly a non intentional operational mode, sort circuit can be nonetheless a relatively frequent event. Due to its associated considerable electro thermal stress levels, a thorough analysis of both single pulse withstand capability and device aging as a result of repetitive stress are mandatory before widespread deployment of new device technologies. In this paper, the focus is on latest generation commercial gate injection GaN transistors, in the 600 V rating class. Extensive experimental analysis is presented, putting forward an interpretation of the underlying degradation and failure mechanisms, supported by coupled electro thermal device models, incorporating both the functional and structural characteristics of the devices. The findings highlight a remarkable robustness of a specific type of p gate GaN HEMTs, referred to as gate injection transistors (GITs) against short circuit stress, making them a potentially very attractive and competitive technology in the voltage class of relevance.", "author_names": [ "Alberto Castellazzi", "Asad Fayyaz", "Siwei Zhu", "Thorsten Oeder", "Martin Pfost" ], "corpus_id": 19166302, "doc_id": "19166302", "n_citations": 11, "n_key_citations": 2, "score": 0, "title": "Single pulse short circuit robustness and repetitive stress aging of GaN GITs", "venue": "2018 IEEE International Reliability Physics Symposium (IRPS)", "year": 2018 }, { "abstract": "High voltage HFET's fabricated from nitride semiconductors utilizing the AlGaN/GaN heterojunction or GaAs using field plates demonstrate excellent RF output power performance. The nitride HFET's produce RF output power greater than an order of magnitude higher than available from GaAs and InP based devices, and GaAs FET's fabricated with field plates can produce RF output power about a factor of two greater than standard FET's. However, the FET's demonstrate a reliability problem where the dc current and RF output power continually decrease as a function of time. The problem is more serious in the nitride HFET's, although both nitride based and GaAs based devices suffer reliability problems. The reliability problem is related to the conduction characteristics of the gate electrode and an electron tunneling mechanism where electrons leak from the gate to the surface of the semiconductor. In this work the physics responsible for this behavior are investigated and described. Physics based models suitable for use in RF circuit harmonic balance simulators have been developed, with excellent agreement between measured and simulated data. Design techniques to reduce the reliability problem will be discussed.", "author_names": [ "Robert Trew", "Ying Liu", "W Kuang", "Griff L Bilbro" ], "corpus_id": 109074412, "doc_id": "109074412", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Reliability modeling of high voltage AlGaN/GaN and GaAs field effect transistors", "venue": "SPIE OPTO", "year": 2008 }, { "abstract": "In recent years, a new kind of semiconductor detector called SiPM has been developed to detect a single optical photon as an alternative to PMT APD. SiPM have many advantages in terms of very fast timing response, high gain at low bias voltage, low power consumption, good temperature to voltage stability, insensitive to magnetic fields. Reliability, ruggedness, compactness, no excess light damages and long life span are our favorable characteristics. This device SiPM is a promising to find wide spread uses in nuclear medical imaging application, Environmental chemical, high energy physics and many other fields. Silicon photomultipliers are Silicon single photon sensitive devices built from an avalanche photodiode (APD) array on common Si substrate. The idea behind this device is the detection of single photon events in sequentially connected Si APDs. The dimension of each single APD can vary from 20 to 100 micrometers, and their density can be up to 103 mm2 Every APD in SiPM operates in Geiger mode and is coupled with the others by a polysilicon quenching resistor. Although the device works in digital/switching mode, the SiPM is an analog device because all the microcells are read in parallel making it possible to generate signals within a dynamic range from a single photon to 1000 photons for just a single square millimeter area device. The supply voltage (Vb) depends on APD technology used, and typically varies between 25 V and 70 V, thus being from 30 to 50 times lower than the voltage required for a traditional photomultiplier tubes (PMTs) operation. SiPM has been invented in Russia in Moscow Engineering Physics Institute [1] This design idea was then used with some variations by SensL, Photonique, Hamamatsu, KETEK, Voxtel Inc.", "author_names": [ "Chauhan Paresh", "Yashwant B Acharya" ], "corpus_id": 125201792, "doc_id": "125201792", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "A Comprehensive Study, Characterization Simulation of Silicon Photo Multiplier: A New Device for Low Light Detection", "venue": "", "year": 2013 }, { "abstract": "High voltage HFETpsilas fabricated from the AlGaN/GaN heterojunction demonstrate excellent RF output power performance. The nitride HFETpsilas produce RF output power greater than an order of magnitude higher than available from GaAs and InP based devices. However, the HFETs demonstrate a reliability problem where the dc current and RF output power continually decrease as a function of time. The reliability problem is shown to be related to the conduction characteristics of the gate electrode and an electron tunneling mechanism where electrons leak from the gate to the surface of the semiconductor. In this work the physics responsible for this behavior are investigated and described. Physics based models suitable for use in RF circuit harmonic balance simulators have been developed, with excellent agreement between measured and simulated data.", "author_names": [ "J Trew", "W Kuang", "Yu Liu", "Griff L Bilbro" ], "corpus_id": 24399381, "doc_id": "24399381", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Time dependent RF performance degradation modeling of AlGaN/GaN HFETs", "venue": "MIKON 2008 17th International Conference on Microwaves, Radar and Wireless Communications", "year": 2008 } ]
Electronic Devices: Conventional Current Version
[ { "abstract": "Table of Contents 1. Semiconductors Basics 2. Diode Applications 3. Special Purpose Diodes 4. Bipolar Junction Transistors (BJT's) 5. Transistor Bias Circuits 6. BJT Amplifiers 7. Power Amplifiers 8. Field Effect Transistors (FETs) 9. FET Amplifiers 10. Amplifier Frequency Response 11. Thyristors 12. The Operational Amplifier 13. Basic Op Amp Circuits 14. Special Purpose Op Amp Circuits 15. Active Filters 16. Oscillators 17. Voltage Regulators 18. Communications Circuits", "author_names": [ "Thomas L Floyd" ], "corpus_id": 107090668, "doc_id": "107090668", "n_citations": 41, "n_key_citations": 5, "score": 1, "title": "Electronic Devices: Conventional Current Version", "venue": "", "year": 2008 }, { "abstract": "The conventional line frequency magnetic ballast is heavy and bulky thereby making the device to be too large for installation within the compact fluorescent lamp (CFL) In this paper single stage electronic ballast with duty ratio controlled current fed resonant inverter is proposed. A fluorescent lamp power circuit is designed by combining a buck boost power factor corrector with a current fed resonant inverter. This combination allows a single power processing unit that has less the number of circuit components. The proposed circuit has reduced circulating current in the resonant tank and also uses a simple gate driver such that isolation devices are not required. Simulation waveforms are provided to highlight the merits of the proposed circuit for a 40W lamp. The design, analysis and simulation is done using MATLAB SIMULINK version 8.", "author_names": [ "B Adhavan", "Parvathareddy Deepthi", "Vaidhyanathan Jagannathan" ], "corpus_id": 18054259, "doc_id": "18054259", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "A Single Stage Electronic Ballast with Duty Ratio Controlled Current Fed Resonant Inverter", "venue": "2011 International Conference on Process Automation, Control and Computing", "year": 2011 }, { "abstract": "PART I BASIC DC PRINCIPLES AND CIRCUITS 1 PRINCIPLES OF ELECTRICITY 2 COMPONENTS AND CIRCUIT MEASUREMENTS 3 OHM'S LAW AND POWER 4 SERIES CIRCUITS 5 PARALLEL CIRCUITS 6 SERIES PARALLEL CIRCUITS 7 CIRCUIT ANALYSIS TECHNIQUES 8 MAGNETISM PART II BASIC AC PRINCIPLES AND CIRCUITS 9 ALTERNATING CURRENT AND AC MEASUREMENTS 10 INDUCTORS AND TRANSFORMERS 11 RESISTIVE INDUCTIVE (RL) CIRCUITS 12 CAPACITORS 13 RESISTIVE CAPACITIVE (RC) CIRCUITS 14 RLC CIRCUITS AND RESONANCE 15 FREQUENCY RESPONSE AND PASSIVE FILTERS 16 RL AND RC CIRCUIT PULSE RESPONSE PART III ELECTRONIC DEVICES AND CIRCUITS 17 INTRODUCTION TO SOLID STATE COMPONENTS: DIODES 18 BASIC DIODE CIRCUITS 19 BIPOLAR JUNCTION TRANSISTOR OPERATION AND BIASING 20 BJT AMPLIFIERS 21 FIELD EFFECT TRANSISTORS AND CIRCUITS 22 OPERATIONAL AMPLIFIERS 23 ACTIVE FILTERS AND OSCILLATORS 24 SWITCHING CIRCUITS 25 DISCRETE AND INTEGRATED VOLTAGE REGULATORS 26 THYRISTORS AND OTHER DEVICES Appendix A Conversions and Units Appendix B Resistor Standard values and Color Codes Appendix C Specification Sheets Appendix D Selected Equation Derivations Appendix E h Parameters Appendix F Polar and Rectangular Notations Appendix G Glossary Appendix H Answers to Selected Odd Numbered Problems Index", "author_names": [ "Robert T Paynter", "B J Toby Boydell" ], "corpus_id": 106745708, "doc_id": "106745708", "n_citations": 1, "n_key_citations": 1, "score": 0, "title": "Electronics Technology Fundamentals: Conventional Flow Version", "venue": "", "year": 2008 }, { "abstract": "A number of theoretical works predicts high values of the electronic efficiency for sub terahertz gyrotrons operating at cyclotron harmonics even at low operating voltages. However, the achievement of an acceptable output radiation power by such devices faces significant difficulties associated with mode competition, creation of an electron beam with a relatively large current, high ohmic losses in walls and manufacturing cavities with the necessary accuracy. To solve these problems, the variants of low voltage gyrotrons with a conventional cavity and a recently proposed version of a sectioned cavity are analyzed in detail, and the calculation of the electron optical system for them is given. It is shown that when the micron precision of manufacturing cavities is achieved, it is possible to obtain output efficiency values of up to 5% and power up to 100 W at frequencies of about 0.4 THz and higher at the second cyclotron harmonic with a low operating voltage of 5 kV.", "author_names": [ "Roey Ben-Moshe", "V L Bratman", "N A Zavol'sky", "Yu K Kalynov", "Alexei E Fedotov", "Moshe Einat" ], "corpus_id": 202744251, "doc_id": "202744251", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "106 THE POSSIBILITY OF EFFICIENT SUB TERAHERTZ SECOND HARMONIC GENERATION IN LOW VOLTAGE GYROTRONS", "venue": "", "year": 2018 }, { "abstract": "family of origin therapy and diversity. how physics confronts reality einstein was correct but bohr won the game wildflowers of texas field guide homework helpers earth science 2014 chevrolet cruze ls manual mcgraw hill project management quiz solutions last sword of power oracle general ledger implementation guide release 12 hot and bright a book about the sun amazing science the making of mr gray anatomy bodies books fortune contents of this document conflict resolution personal prentice hall gold geometry work answers form electronic devices conventional current version solution 3 electrical trade theory march 2014 question paper memorandum 2013 ap statistics exam answers someone to love me bluford 4 anne schraff the larion senators the eldarn sequence book 3 gold manga anne bernadette castueras 2004 mazda service manual tribute overstreet comic book price guide free mathletics instant workbooks series l solutions will shortz presents ferocious kenken 200 challenging logic puzzles that make you smarter the pillow book of the flower samurai 04 dodge gr caravan v6 engine diagram unmasking apocalyptic texts a guide to preaching and teaching relationships and biodiversity living environment lab answers short prose reader 13th edition lesson 72 practice b answers organizational behavior book 12th edition modern advanced accounting in canada third edition business data communications and networking microeconomics 9th edition colander smart board 680 installation guide james river paper day of reckoning how hubris ideology and greed are tearing america apart dell inspiron 9100 manual pediatric surgery journal definition unsaturated solution examples mathematics question papers n5 management information systems haag 8th edition innovative financial business solutions llc ware house tutorial pro engineer guess the celebrity game answers the secret diary of mario balotelli holes anatomy and physiology textbook tenth edition eoc zombie school chapter one cat acert engine torque specs downloaded solution manual of daniel w hart power difference equations an introduction with applications 2nd edition fujitsu amilo user guide andreu mas colell microeconomic theory solution manual", "author_names": [ "H Russell Searight" ], "corpus_id": 142138428, "doc_id": "142138428", "n_citations": 13, "n_key_citations": 1, "score": 0, "title": "Family of origin therapy and diversity", "venue": "", "year": 1997 }, { "abstract": "This paper discusses the reliability testing results of a lead free version of the micro SMD, National Semiconductor's Wafer Level Chip Scale Package (WL CSP) The micro SMD, a true wafer scale package has proven to be highly adaptable in the conventional assembly process, requiring no special considerations during the surface mount assembly operation. The current micro SMD utilizes standard Sn/Pb solder bumps as the interconnect medium. Based on evaluations of the various options available for the lead free solder, micro SMD devices bumped with Sn/Ag/Cu solder were tested during this evaluation. There are two bump sizes currently available for the micro SMD package, a 170 micron bump diameter and a 300 micron bump diameter. This paper addresses the impact of board assembly conditions, package solder type, package bump size, and thermal cycling profiles on the reliability of the lead free WL CSPs. This paper will address the initial evaluations on the 170 micron bumped micro SMD packages. Results of this work are used to determine viable combinations of lead free and eutectic solder. The lead free version of the micro SMD is in synch with the next packaging evolutionary stage toward a lead free assembly process.", "author_names": [ "Vikas Patwardhan", "Nikhil Kelkar", "Luu Nguyen" ], "corpus_id": 7675729, "doc_id": "7675729", "n_citations": 8, "n_key_citations": 0, "score": 0, "title": "Lead free wafer level chip scale package: assembly and reliability", "venue": "52nd Electronic Components and Technology Conference 2002. (Cat. No.02CH37345)", "year": 2002 }, { "abstract": "Abstract Electronic devices play a vital role in our lives and are expected to play an even bigger role in the future considering their immense contribution in every field. Current trends are drifting towards fabricating powerful devices with minimum size, which in turn puts a lot of pressure on the heat dissipation requirement in electronic device packaging, which is very crucial for their performance and life cycle. Presently available thermal interface materials (TIM) fail to fulfil the demand of high heat transfer, opening doors for research on more advanced TIM. Carbon based materials hold great promise in a plethora of applications. Fabricating TIM using carbon based materials is considered best for efficient heat dissipation between the heat producing device and the heat dissipating device. This review article provides a summary of the state of the art research covering the basics of TIM, the heat transfer mechanism, conventional TIM used and recent graphene based TIM. It also covers topics related to the characterisation and parameters that should be taken into consideration in the fabrication processes. A systematic understanding in this field is provided through this article to trigger research in overcoming the limitations that persist in fabricating highly efficient TIM for commercial applications.", "author_names": [ "Junaid Khan", "Syed Abdul Momin", "Mustapha Mariatti" ], "corpus_id": 224932456, "doc_id": "224932456", "n_citations": 16, "n_key_citations": 0, "score": 0, "title": "A review on advanced carbon based thermal interface materials for electronic devices", "venue": "", "year": 2020 }, { "abstract": "", "author_names": [ "Thomas L Floyd" ], "corpus_id": 63791776, "doc_id": "63791776", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Electronic Devices (Conventional Flow Version) (7th Edition)", "venue": "", "year": 2004 }, { "abstract": "BACKGROUND In recent years, there has been a rapid increase in the use of both electronic nicotine delivery systems (ENDS) and electronic devices among U.S. youth. Informed by the Diffusion of Innovations Theory (DIT) it was hypothesized that elevated use of electronic devices (EUED) prospectively would predict ENDS use among youth. METHODS Data were drawn from the Population Assessment of Tobacco and Health (PATH) Study, a longitudinal cohort study in a nationally representative sample. Participants who were 12 17 years old, and naive to both conventional cigarettes and ENDS at baseline (N 11,325) were sampled. A total of 8723 respondents had matched data from Wave 1 to Wave 2 and 6051 respondents had matched data for all the three waves. Multivariable sequential logistic regressions were conducted to examine determinants of ENDS use in later waves using R version 3.5.2. RESULTS Among youth who were naive to both ENDS and conventional cigarettes at baseline, those with EUED were more likely to initiate ENDS use in later years than those without EUED even after controlling for exposure to ENDS advertisements and other well established covariates of ENDS use. Daily (adjusted odds ratio [AOR] ranges from 2.76 to 3.56) and weekly (AOR ranges from 2.16 to 2.65) social networking service (SNS) users were more likely to initiate ENDS use than non users of SNS in the adjusted models. CONCLUSIONS The findings support the hypothesis that EUED prospectively predicts ENDS use among youth. The use of DIT framework helps understand the link between EUED and ENDS use.", "author_names": [ "Shieun Lee", "Dae-Hee Han", "Angela Chow", "Dong-Chul Seo" ], "corpus_id": 199436939, "doc_id": "199436939", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "A prospective longitudinal relation between elevated use of electronic devices and use of electronic nicotine delivery systems.", "venue": "Addictive behaviors", "year": 2019 }, { "abstract": "Multiple sclerosis (MS) is an autoimmune condition influenced by both genetic and environmental factors. Dirty electricity generated by electronic equipment is one of the environmental factors that may directly or indirectly impact MS susceptibility. The current Study aimed to evaluate the relationship between the usage time of electronic equipment and susceptibility to MS in North West Iranian people. This approach was carried out upon 471 MS diagnosed patients and 453 healthy participants as control group in East Province of Azerbaijan. By utilizing structured questionnaires, the information of all participants about usage status of some electronic devices was obtained. Data were analyzed by IBM SPSS Statistics version 18.0 and the quantitative variables were analyzed by Chi Square and Independent sample t tests. P values below or equal to 0.05 were considered as significant. Among the evaluated items in this approach, the utilization of cell phones and satellite television dishes were significantly higher in MS patients (p 0.001, p 0.07) Furthermore, a correlation was observed between sleeping with cell phone and/or laptop under the pillow (p 0.011) and MS disease; however, there was no significant differences between MS patients and controls in computer using and television watching. Our study reinforces the concept that the utilization of some electronic devices and the continuous exposure to dirty electricity would increase the risk of MS disease thereupon by enhancing the cognizance of adverse effects of dirty electricity and reducing the time spent over electronic devices during adolescence and adulthood the occurrence probability of MS could be declined.", "author_names": [ "fatemeh khaki-khatibi", "Alireza Nourazarian", "Fatemeh Ahmadi", "Mehdi Farhoudi", "Daryoush Savadi-Oskouei", "Mahya Pourostadi", "Mohammad Asgharzadeh" ], "corpus_id": 59541216, "doc_id": "59541216", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Relationship between the use of electronic devices and susceptibility to multiple sclerosis", "venue": "Cognitive Neurodynamics", "year": 2019 } ]
Emerging CMOS Compatible Magnetic Memories and Logic
[ { "abstract": "As scaling of electronic semiconductor devices the main driving force behind an outstanding increase of computing power of modern electronic circuits displays signs of saturation, the main focus of engineering research in microelectronics shifts towards finding new computing paradigms. The future solutions must be scalable and energy efficient while delivering high computational performance, superior to that of CMOS based circuits. In order to benefit from the outstanding potential of highly advanced silicon processing technology, the emerging solutions must be CMOS compatible. Emerging nonvolatile memories, including magnetoresistive memories, satisfy the necessary requirements: Purely electrically addressable magnetoresistive random access memories yield all aforementioned conditions. They possess a simple structure, require fewer extra masks for fabrication, and offer endurance and speed superior to those of flash memory. Fast operation of magnetoresistive memories makes them a viable contender to static random access memory and flash memory for embedded applications in Systems on Chip. Having nonvolatile memory very close to CMOS removes the necessity of the energy intensive data transfer from the main memory to the CPU and offers a prospect of data processing in the nonvolatile segment, where the same elements are used to store and to process the information. This opens perspectives for conceptually new low power and highperformance computing paradigms based on logic in memory and in memory computing.", "author_names": [ "Viktor Sverdlov", "Simone Fiorentini", "Johannes Ender", "Wolfgang Goes", "Roberto L De Orio", "Siegfried Selberherr" ], "corpus_id": 216103813, "doc_id": "216103813", "n_citations": 3, "n_key_citations": 0, "score": 1, "title": "Emerging CMOS Compatible Magnetic Memories and Logic", "venue": "2020 IEEE Latin America Electron Devices Conference (LAEDC)", "year": 2020 }, { "abstract": "With an ever increasing demand for energy efficiency, processors with instant on and zero leakage features are highly appreciated in energy harvesting as well as \"normally off\" applications. Recently, zero standby power and fast switching nonvolatile processors (NVPs) have been proposed based on emerging nonvolatile memories (NVMs) such as ferroelectric RAM or spin transfer torque magnetic RAM. However, previous NVPs store all data to NVM upon every power interruption, resulting in high energy consumption and degraded NVM endurance. This paper presents a 65 nm fully CMOS logic compatible ReRAM based NVP supporting time space domain adaption. It incorporates adaptive nonvolatile controller, nonvolatile flip flops, and nonvolatile static random access memory (nvSRAM) with self write termination. Data redundancy in both time and space domain is fully exploited to reduce store/restore time/energy and boost clock frequency. The NVP operates at >100 MHz and achieves 20 ns/0.45 nJ restore time/energy, realizing >6 \\times and >6000 \\times higher speed and energy efficiency of restore and >4 \\times faster operating frequency compared with that of state of the art.", "author_names": [ "Zhibo Wang", "Yongpan Liu", "Albert Lee", "Fang Su", "Chieh-Pu Lo", "Zhe Yuan", "Jinyang Li", "Chien-Chen Lin", "Wei-Hao Chen", "Hsiao-Yun Chiu", "Wei-En Lin", "Ya-Chin King", "Chrong Jung Lin", "Pedram Khalili Amiri", "Kang L Wang", "Meng-Fan Chang", "Huazhong Yang" ], "corpus_id": 3458130, "doc_id": "3458130", "n_citations": 8, "n_key_citations": 1, "score": 0, "title": "A 65 nm ReRAM Enabled Nonvolatile Processor With Time Space Domain Adaption and Self Write Termination Achieving 4x Faster Clock Frequency and 6x Higher Restore Speed", "venue": "IEEE J. Solid State Circuits", "year": 2017 }, { "abstract": "With CMOS transistors' scaling showing signs of saturation, an exploration of new working principles suitable for emerging microelectronic devices accelerates. The electron spin is attractive for new device applications as a complement and a possible replacement of the electron charge currently employed by CMOS. The electron spin displays the two well defined projections on an axis and is thus suitable for digital applications. In magnetic tunnel junctions (MTJs) the free magnetic layer possesses two orientations relative to the fixed layer: parallel and antiparallel. As the parallel and antiparallel magnetization configurations are characterized by different resistances, the thereby stored information can be read. MTJs enable a spin based type of non volatile magnetoresistive memory. MTJs are fabricated with a CMOS friendly process and are quite CMOS compatible. The relative magnetization configuration can be written by means of a spin transfer torque (STT) or a spin orbit torque (SOT) acting on the free layer. The torques are caused by spin polarized electrical currents and not by a magnetic field. Electrically addressable non volatile magnetoresistive memories are attractive for stand alone and embedded applications. The state of the art concepts of STT and SOT memory, in particular the required modeling approaches, are reviewed, with a particular focus on a fast external magnetic field free switching in advanced SOT MRAM.", "author_names": [ "Viktor Sverdlov", "Siegfried Selberherr" ], "corpus_id": 208035328, "doc_id": "208035328", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "CMOS Technology Compatible Magnetic Memories", "venue": "2019 8th International Symposium on Next Generation Electronics (ISNE)", "year": 2019 }, { "abstract": "As the technology node shrinks down to 90 nm and below, high power becomes one of the major critical issues for CMOS high speed computing circuits (e.g. logic and cache memory) due to the increasing leakage currents and data traffic. Emerging non volatile memories are under intense investigation to bring the non volatility into the logic circuits and then eliminate completely the standby power issue. Thanks to its quasi infinite endurance, high speed and easy 3D integration at the back end process of CMOS IC fabrication, Magnetic RAM (MRAM) is considered as one of the most promising candidates. A number of hybrid MRAM/CMOS logic circuits have been proposed and prototyped successfully in the last years. In this introduction paper for the invited special session at NEWCAS 2013, we present an overview and current status of these logic circuits and discuss their potential applications in the future.", "author_names": [ "Weisheng Zhao", "Guillaume Prenat", "Jacques-Olivier Klein", "Bernard Dieny", "Claude Chappert", "Dafine Ravelosona" ], "corpus_id": 1475207, "doc_id": "1475207", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Emerging hybrid logic circuits based on non volatile magnetic memories", "venue": "2013 IEEE 11th International New Circuits and Systems Conference (NEWCAS)", "year": 2013 }, { "abstract": "With CMOS device scaling slowing down, exploring new devices' working principles becomes paramount. The electron spin, as a complement to the charge, attracts much attention. The electron spin is characterized by the two well defined projections on a given axis and is suitable for digital applications. Magnetic tunnel junctions (MTJs) feature different resistances in parallel and antiparallel magnetization configuration and enable spin based types of non volatile magnetic memories. MTJs are quite CMOS compatible as they are fabricated with a CMOS friendly process. The relative magnetization configuration is manipulated by means of a spin transfer torque (STT) acting on the free layer. The electrically addressable non volatile STT memory is nearing mass production for stand alone and embedded applications. The current status and modeling approaches of state of the art STT and spin orbit torque memory are briefly reviewed.", "author_names": [ "Viktor Sverdlov", "Siegfried Selberherr" ], "corpus_id": 203655411, "doc_id": "203655411", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Spin Based CMOS Compatible Memories", "venue": "2019 IEEE 9th International Nanoelectronics Conferences (INEC)", "year": 2019 }, { "abstract": "For many decades CMOS devices have been successfully scaled down to achieve higher speed and increased performance of integrated circuits at lower cost. Today's charge based CMOS electronics encounters two major challenges: power dissipation and variability. Spintronics is a rapidly evolving research and development field, which offers a potential solution to these issues by introducing novel 'more than Moore' devices. Spin based magnetoresistive random access memory (MRAM) is already recognized as one of the most promising candidates for future universal memory. Magnetic tunnel junctions, the main elements of MRAM cells, can also be used to build logic in memory circuits with non volatile storage elements on top of CMOS logic circuits, as well as versatile compact on chip oscillators with low power consumption. We give an overview of CMOS compatible spintronics applications. First, we present a brief introduction to the physical background considering such effects as magnetoresistance, spin transfer torque (STT) spin Hall effect, and magnetoelectric effects. We continue with a comprehensive review of the state of the art spintronic devices for memory applications (STT MRAM, domain wallmotion MRAM, and spin orbit torque MRAM) oscillators (spin torque oscillators and spin Hall nano oscillators) logic (logic in memory, all spin logic, and buffered magnetic logic gate grid) sensors, and random number generators. Devices with different types of resistivity switching are analyzed and compared, with their advantages highlighted and challenges revealed. CMOScompatible spintronic devices are demonstrated beginning with predictive simulations, proceeding to their experimental confirmation and realization, and finalized by the current status of application in modern integrated systems and circuits. We conclude the review with an outlook, where we share our vision on the future applications of the prospective devices in the area.", "author_names": [ "Alexander Makarov", "Thomas Windbacher", "Viktor Sverdlov", "Siegfried Selberherr" ], "corpus_id": 43984401, "doc_id": "43984401", "n_citations": 56, "n_key_citations": 3, "score": 0, "title": "CMOS compatible spintronic devices: a review", "venue": "", "year": 2016 }, { "abstract": "Spin orbit torque (SOT) magnetic random access memory (MRAM) is an emerging non volatile memory that offers efficient and reliable sub ns switching. It is considered as a promising candidate to tackle the replacement of SRAM memories in low level caches (L1 3) In this paper, we review the fundamentals of spin orbit torque and we describe full scale integration of perpendicular magnetized SOT MRAM devices on 300mm wafers, using manufacturable methods and CMOS compatible processes. We demonstrate state of the art properties of W based top pinned magnetic tunnel junction (MTJ) with perpendicularly magnetic anisotropy (PMA) that possess large endurance >1011) and that can be switched at subnanosecond regimes (210ps) with power as low as 130pJ on 60nm devices. We conclude by opening current research focus and recent progress made by our team.", "author_names": [ "Kevin Garello", "Farrukh Yasin", "Gouri Sankar Kar" ], "corpus_id": 195224095, "doc_id": "195224095", "n_citations": 12, "n_key_citations": 0, "score": 0, "title": "Spin Orbit Torque MRAM for ultrafast embedded memories: from fundamentals to large scale technology integration", "venue": "2019 IEEE 11th International Memory Workshop (IMW)", "year": 2019 }, { "abstract": "The recent advent of spin transfer torque (STT) has shed a new light on MRAM with the promises of much improved performances and greater scalability to very advanced technology nodes. As a result, MRAM is now viewed as a credible solution for stand alone and embedded applications where the combination of non volatility, speed and endurance is key. Whereas the technology is nearing maturity for DRAM replacement, with the exception of process scaling to sub 20nm which remains a challenge, circuit designers are now actively looking at SoCs where MRAM could bring in better performance and lower power consumption in data intensive applications as well as instant on capability in mobile applications. In this paper we present a review of the MRAM technology and a methodology for ASIC design using a custom full digital hybrid CMOS/Magnetic Process Design Kit. We finish by a few examples showing that magnetic memories can be efficiently integrated in logic designs, for both safety and low power purposes.", "author_names": [ "Guillaume Prenat", "Gregory di Pendina", "Christophe Layer", "Olivier Goncalves", "K Jaber", "Bernard Dieny", "Ricardo C Sousa", "Ioan Lucian Prejbeanu", "Jean Pierre Nozieres" ], "corpus_id": 40616089, "doc_id": "40616089", "n_citations": 1, "n_key_citations": 1, "score": 0, "title": "Magnetic memories: From DRAM replacement to ultra low power logic chips", "venue": "DATE", "year": 2014 }, { "abstract": "Spintronics is a continuously expending area of research and development at the merge between magnetism and electronics. It aims at taking advantage of the quantum characteristic of the electrons, i.e. its spin, to create new functionalities and new devices. Spintronic devices typically rely on the Magneto Resistive (MR) effects, which consists in a dependence of the electrical resistance upon the magnetic configuration. These devices can be used to conceive innovative nonvolatile memories, high perfomance logic circuits, RF oscillators or field/current sensors. We present here how these hybrid CMOS/Magnetic logic circuits can help circumventing some of the limits of CMOS only microelectronics in terms of reliability or power consumption as well as the tools required to design such circuits.", "author_names": [ "Guillaume Prenat", "Bernard Dieny", "Gregory di Pendina", "Kholdoun Torki" ], "corpus_id": 18095919, "doc_id": "18095919", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Hybrid CMOS Magnetic Process Design Kit and application to the design of reliable and low power non volatile logic circuits", "venue": "", "year": 2014 }, { "abstract": "of the Thesis Energy Performance Characterization of CMOS/Magnetic Tunnel Junction (MTJ) Hybrid Logic Circuits by Fengbo Ren Master of Science in Electrical Engineering University of California, Los Angeles, 2011 Professor Dejan Markovic, Chair Magnetic Tunnel Junction (MTJ) devices are CMOS compatible with high stability, high reliability and non volatility. All these features are promising for building non volatile CMOS/MTJ hybrid logic circuits that do not consume offstate leakage current and that supports ultra low power operation. However, most existing proposals for this purpose so far lack an energy performance analysis and a comparison to CMOS circuits. In this work, we analyze and compare the energy performance characteristics of a wide range of CMOS/MTJ hybrid circuits over the device, circuit and architectural levels. This will include device switching energies, logic in memory MTJ (LIM MTJ) logic circuit, two MTJ reading circuits and two CMOS/MTJ hybrid lookup table (LUT) architectures. Our analysis shows that the existing LIM MTJ logic style has no advantage in energy performance over its equivalent CMOS design, and that with the switching energy of MTJ considered, the CMOS/MTJ hybrid circuit requiring frequent MTJ switching is hardly energy efficient. Our simulation results also show that the cross coupled inverter based MTJ reading circuit has 4 times greater perfor", "author_names": [ "Fengbo Ren", "Kang L Wang", "Chih-Kong Ken Yang", "Dejan Markovic" ], "corpus_id": 7926126, "doc_id": "7926126", "n_citations": 5, "n_key_citations": 0, "score": 0, "title": "Energy Performance Characterization of CMOS Magnetic Tunnel Junction MTJ Hybrid Logic Circuits", "venue": "", "year": 2011 } ]
rolled-up nanotechnology for nanomembrane
[ { "abstract": "Monolithic capacitors operating at radio frequencies (RF) serve as critical components in integrated circuits for wireless communication. Design and fabrication innovations for high capacitance density RF capacitors are highly desired for the miniaturization of RFIC chips. However, practical and simple solutions are limited by existing capabilities in three dimensional (3D) structure construction and the effective configuration of electrodes. We report a unique route to achieve unprecedentedly high capacitance density at a high operating frequency through a capacitor configuration of 3D coil interdigital electrodes using planar semiconductor processing compatible materials and fabrication methods. A systematic mechanical electrical design principle is demonstrated, and fabricated devices show a maximum 21.5 pF capacitance, which is 17.2x larger after rolling up. The corresponding capacitance density is as large as 371 pF mm 2, with resonant frequency of 1.5 GHz. The performance could be improved significantly by simply rolling up more turns with minimal change to the area footprint.", "author_names": [ "Lei Sang", "Hao Zhou", "Zhendong Yang", "Mark Kraman", "Hao Zhao", "Julian A Michaels", "Dane J Sievers", "Jose E Schutt-Aine", "Xiuling Li", "Wen Huang" ], "corpus_id": 163166079, "doc_id": "163166079", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Monolithic radio frequency SiN x self rolled up nanomembrane interdigital capacitor modeling and fabrication.", "venue": "Nanotechnology", "year": 2019 }, { "abstract": "The investigation of charge transport in organic nanocrystals is essential to understand nanoscale physical properties of organic systems and the development of novel organic nanodevices. In this work, we fabricate organic nanocrystal diodes contacted by rolled up robust nanomembranes. The organic nanocrystals consist of vanadyl phthalocyanine and copper hexadecafluorophthalocyanine heterojunctions. The temperature dependent charge transport through organic nanocrystals was investigated to reveal the transport properties of ohmic and space charge limited current under different conditions, for instance, temperature and bias.", "author_names": [ "Vineeth Kumar Bandari", "Lakshmi Varadharajan", "Longqian Xu", "Abdur Rehman Jalil", "Mirunalini Devarajulu", "Pablo F Siles", "Feng Zhu", "Oliver G Schmidt" ], "corpus_id": 38575332, "doc_id": "38575332", "n_citations": 6, "n_key_citations": 0, "score": 0, "title": "Charge transport in organic nanocrystal diodes based on rolled up robust nanomembrane contacts", "venue": "Beilstein journal of nanotechnology", "year": 2017 }, { "abstract": "Multilayer vanadium dioxide (VO2) actuators are a widespread concern as these micro/nano actuators present a fast and efficient dynamic response when VO2 occurs in metal insulator transition (MIT) at 68 degC. By tuning the O2 flow rate during oxide deposition and rolled up nanotechnology, a microactuator based on a single layered vanadium oxide nanomembrane with vertical component gradient is fabricated. Upward bending of the nanomembrane is driven by the release of the compressive strain gradient which is revealed through the difference in Raman shift of the vibration mode. Combining strain engineering, the initial curvature of microactuators is tuned in a wide range by the thickness of the nanomembranes. The actuation behavior from low curvature to high final curvature across the MIT is observed which depends on the nanomembrane thickness. Initial compressive strain distribution of the rolled up nanomembrane decreases the MIT temperature simultaneously. Thus, taking advantage of the tunable MIT and reversible shape transformation, micro/nano actuators with tunable triggering temperature, controllable initial curvature and large displacement actuation are fabricated for curvature engineering in micromechanical systems.", "author_names": [ "Xing Li", "Borui Xu", "Xuefei Zhou", "Chuanling Men", "Ziao Tian", "Yongfeng Mei" ], "corpus_id": 184486654, "doc_id": "184486654", "n_citations": 2, "n_key_citations": 0, "score": 1, "title": "Rolled up single layered vanadium oxide nanomembranes for microactuators with tunable active temperature.", "venue": "Nanotechnology", "year": 2019 }, { "abstract": "Pyrolysis, which involves thermal decomposition of materials at elevated temperatures, has been commonly applied in the chemical industry. Here we explored the pyrolysis process for 3D nanofabrication. By strain engineering of nanomembranes on a thermal responsive polymer as the sacrificial layer, we demonstrated that diverse 3D rolled up microstructures with different functions could be achieved without any additional solution and drying process. We carefully studied the effect of molecular weight of the polymer in the pyrolysis process and identified that the rapid breakdown of molecular backbone to a monomer is the key for nanomembrane releasing and rolling. Preferential rolling direction and corresponding dynamics were studied by analyzing the real time video of the rolling process. We further demonstrated the versatile functions of the fabricated 3D structures as catalytic microengines and optical resonators. The simple fabrication methodology developed here may have great potential in producing functional 3D tubular micro /nanostructures.", "author_names": [ "Fei Ma", "Borui Xu", "Shuai Wu", "Lu Wang", "Biran Zhang", "Gaoshan Huang", "Ai Du", "Bin Zhou", "Yongfeng Mei" ], "corpus_id": 140242109, "doc_id": "140242109", "n_citations": 6, "n_key_citations": 0, "score": 0, "title": "Thermal controlled releasing and assembling of functional nanomembranes through polymer pyrolysis.", "venue": "Nanotechnology", "year": 2019 }, { "abstract": "We employ glass microtube structures fabricated by rolled up nanotechnology to infer the influence of scaffold dimensionality and cell confinement on neural stem cell (NSC) migration. Thereby, we observe a pronounced morphology change that marks a reversible mesenchymal to amoeboid migration mode transition. Space restrictions preset by the diameter of nanomembrane topography modify the cell shape toward characteristics found in living tissue. We demonstrate the importance of substrate dimensionality for the migration mode of NSCs and thereby define rolled up nanomembranes as the ultimate tool for single cell migration studies.", "author_names": [ "Britta Koch", "Anne K Meyer", "Linda Helbig", "Stefan M Harazim", "Alexander Storch", "Samuel Sanchez", "Oliver G Schmidt" ], "corpus_id": 7183453, "doc_id": "7183453", "n_citations": 29, "n_key_citations": 1, "score": 0, "title": "Dimensionality of Rolled up Nanomembranes Controls Neural Stem Cell Migration Mechanism", "venue": "Nano letters", "year": 2015 }, { "abstract": "Energy storage devices, acting as complementing units for renewable energy sources, play a key role in modern society, and they serve as the dominant power supply for most portable electronics. At the heart of the development of next generation energy storage devices lies the exploration of intrinsic material properties, architectural design and fabrication methods. Rolled up nanotechnology, a unique method to self assemble nanomembranes into 3D structures using strain engineering, has advanced the development of energy storage devices with superior performance. In this review, the recent progress of employing rolled up nanotechnology is focused to design rational electrodes for Li ion (LIBs) and Li O2 batteries. A comprehensive summary of the fabrication and application of diverse rolled up structures in these electrochemical energy storage devices is provided. Apart from this, the great potential of this approach is also highlighted for scalable miniaturized microdevice fabrication, including micro LIBs, microsupercapacitors, and microscale ultracompact capacitors. These devices offer a promising platform not only for electrochemical microprobes, but also for lab on a chip applications. Finally, prospects and challenges of employing rolled up nanotechnology for future research and development in the broad field of energy storage systems are discussed.", "author_names": [ "Junwen Deng", "Xueyi Lu", "Lixiang Liu", "L Zhang", "Oliver G Schmidt" ], "corpus_id": 98987816, "doc_id": "98987816", "n_citations": 36, "n_key_citations": 0, "score": 0, "title": "Introducing Rolled Up Nanotechnology for Advanced Energy Storage Devices", "venue": "", "year": 2016 }, { "abstract": "Abstract Rolled up nanotechnology involves the deposition of strained material layers for subsequent release and relaxation into functional structures with applications spanning several disciplines. Originally developed for use with semiconductor materials, over the last decade the processes involved in rolled up nanotechnology have been applied across a wide palette of materials resulting in applications (among others) in micro robotics, energy storage, electronics, and photonics. Here we highlight the key advancements and future directions in rolled up photonics, focusing on the diverse demonstrations of rolled up three dimensional microresonators which enable integrated sensing, micro lasing, and out of plane routing of light.", "author_names": [ "Stefan Bottner", "Matthew R Jorgensen", "Oliver G Schmidt" ], "corpus_id": 138452487, "doc_id": "138452487", "n_citations": 10, "n_key_citations": 1, "score": 0, "title": "Rolled up nanotechnology: 3D photonic materials by design", "venue": "", "year": 2016 }, { "abstract": "Artificial microvasculature, particularly as part of the blood brain barrier, has a high benefit for pharmacological drug discovery and uptake regulation. We demonstrate the fabrication of tubular structures with patterns of holes, which are capable of mimicking microvasculatures. By using photolithography, the dimensions of the cylindrical scaffolds can be precisely tuned as well as the alignment and size of holes. Overlapping holes can be tailored to create diverse three dimensional configurations, for example, periodic nanoscaled apertures. The porous tubes, which can be made from diverse materials for differential functionalization, are biocompatible and can be modified to be biodegradable in the culture medium. As a proof of concept, endothelial cells (ECs) as well as astrocytes were cultured on these scaffolds. They form monolayers along the scaffolds, are guided by the array of holes and express tight junctions. Nanoscaled filaments of cells on these scaffolds were visualized by scanning electron microscopy (SEM) This work provides the basic concept mainly for an in vitro model of microvasculature which could also be possibly implanted in vivo due to its biodegradability.", "author_names": [ "Rerngchai Arayanarakool", "Anne K Meyer", "Linda Helbig", "Samuel Sanchez", "Oliver G Schmidt" ], "corpus_id": 13096582, "doc_id": "13096582", "n_citations": 24, "n_key_citations": 0, "score": 0, "title": "Tailoring three dimensional architectures by rolled up nanotechnology for mimicking microvasculatures.", "venue": "Lab on a chip", "year": 2015 }, { "abstract": "Two types of on chip RFIC transformers based on CMOS compatible strain induced self rolled up membrane (S RuM) nanotechnology, with extremely small footprint, are demonstrated. The rolled up transformers, with their 3D tubular form factors, dramatically reduce the substrate parasitic effects and push the maximum working frequency into millimeter wave bands with a coupling coefficient, k, as high as 0.92. The 3D stand up nature also allows the tube transformers to be less susceptible to residue stress in the substrate and thus compatible with flexible platforms for wearable RF applications. The demonstrated samples with a turn ratio, n, of 5.5:1 only occupies 805 mm2 on chip area (s) which is 12x smaller than that of the best planar transformer with the same turn ratio, and its figure of merit n*k/s, is therefore 6046/mm2, enhanced by 15x.Copyright (c) 2015 by ASME", "author_names": [ "Wen Huang", "Moyang Li", "Songbin Gong", "Xiuling Li" ], "corpus_id": 112192838, "doc_id": "112192838", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "RFIC Transformer With 12x Size Reduction and 15x Performance Enhancement by Self Rolled Up Membrane Nanotechnology", "venue": "", "year": 2015 }, { "abstract": "Germanium Tin (GeSn) alloys have attracted great amounts of attention as these group IV semiconductors present direct band gap behavior with high Sn content and are compatible with current complementary metal oxide semiconductor technology. In this work, three dimensional tubular GeSn/Ge micro resonators with a diameter of around 7.3 mm were demonstrated by rolling up GeSn nanomembranes (NM) grown on a Ge on insulator wafer via molecular beam epitaxy. The microstructural properties of the resonators were carefully investigated and the strain distributions of the rolled up GeSn/Ge microcavities along the radial direction were studied by utilizing micro Raman spectroscopy with different excitation laser wavelengths. The values of the strains calculated from Raman shifts agree well with the theoretical prediction. Coupled with fiber tapers, as fabricated devices present a high quality factor of up to 800 in the transmission spectral measurements. The micro resonators fabricated via rolled up nanotechnology and GeSn/Ge NMs in this work may have great potential in photonic micro and nanodevices.", "author_names": [ "Xiang Wu", "Ziao Tian", "Hui Cong", "Yang Wang", "Riyanto Edy", "Gaoshan Huang", "Zengfeng Di", "Chunlai Xue", "Yongfeng Mei" ], "corpus_id": 51722115, "doc_id": "51722115", "n_citations": 7, "n_key_citations": 0, "score": 0, "title": "Infrared tubular microcavity based on rolled up GeSn/Ge nanomembranes.", "venue": "Nanotechnology", "year": 2018 } ]
loss balancing SVPWM for active NPC converters
[ { "abstract": "This paper presents a novel loss balancing modulation method for more evenly distributing semiconductor losses in multilevel active neutral point clamped (ANPC) converters. The presented method is achieved by optimally utilizing the redundant switching states of space vector pulse width modulation (SVPWM) in ANPC converters. A comparison of the effect of losses distribution between the proposed loss balancing SVPWM (LB SVPWM) method and the conventional phase shifted PWM (PS PWM) methods is carried out in simulation. The effectiveness of the presented LB SVPWM method is also verified in ANPC converters based on all SiC MOSFETs. The results show that the proposed method can distribute the device losses more evenly, especially for all SiC based ANPC converters, which can in turn improve the output power capacity and switching frequency. In addition, with utilization of the introduced loss balancing SVPWM method in ANPC converters, 15% higher output voltage and lower harmonic distortion can be achieved compared to PS PWM modulated ANPC converters.", "author_names": [ "Xin Jing", "Jiangbiao He", "Nabeel A O Demerdash" ], "corpus_id": 27013418, "doc_id": "27013418", "n_citations": 17, "n_key_citations": 1, "score": 2, "title": "Loss balancing SVPWM for active NPC converters", "venue": "2014 IEEE Applied Power Electronics Conference and Exposition APEC 2014", "year": 2014 }, { "abstract": "The three level neutral point clamped (NPC) converter has attracted much attention in high power medium voltage applications, but it is well known that the NPC topology suffers from unequal power loss distribution among its semiconductor devices. The power loss unbalance problem becomes especially severe when the converter is operating at low fundamental frequencies. To overcome the loss unbalance problem of the NPC converter, the active NPC (ANPC) technique was introduced. However, it is demonstrated in this paper that in many cases, the conventional modulation method for the ANPC converter only marginally relieves the loss unbalance problem. This paper proposes a new modulation scheme (named the adaptive doubled frequency modulation) for the three level ANPC converter, which significantly improves the power loss and thermal sharing among the semiconductor devices. The basic idea of the proposed scheme is adaptively adjusting the duty cycles of the switching states for every switching cycle, so as to optimize the power loss distribution. Simulation and experimental results verify this new method.", "author_names": [ "Yi Deng", "Jun Li", "Kee Ho Shin", "Tero Viitanen", "Maryam Saeedifard", "Ronald G Harley" ], "corpus_id": 40244451, "doc_id": "40244451", "n_citations": 48, "n_key_citations": 4, "score": 0, "title": "Improved Modulation Scheme for Loss Balancing of Three Level Active NPC Converters", "venue": "IEEE Transactions on Power Electronics", "year": 2017 }, { "abstract": "This paper describes the operation of modular multilevel converter, an emerging and highly attractive topology for medium and high voltage applications. A new pulsewidth modulation (PWM) scheme for an arbitrary number of voltage levels is introduced and evaluated. On the basis of this PWM scheme, the semiconductor losses are calculated, and the loss distribution is illustrated.", "author_names": [ "Steffen Rohner", "Steffen Bernet", "Marc Hiller", "Rainer Sommer" ], "corpus_id": 2551513, "doc_id": "2551513", "n_citations": 801, "n_key_citations": 46, "score": 0, "title": "Modulation, Losses, and Semiconductor Requirements of Modular Multilevel Converters", "venue": "IEEE Transactions on Industrial Electronics", "year": 2010 }, { "abstract": "The three level neutral point clamped voltage source converter (NPC VSC) is widely used in high power medium voltage (MV) applications. To overcome its major drawback the unequal loss distribution among the semiconductors the use of active NPC (ANPC) switches was previously proposed. In this paper, a simple feedforward loss control scheme for the ANPC converter is presented. The principle of operation of the ANPC VSC is experimentally verified for the first time. Electrical measurements on a low voltage test bench prove the proper function of the ANPC converter. Infrared junction temperature measurements confirm the improved loss distribution using the new loss control scheme. Finally, the authors demonstrate the superior performance of the loss controlled ANPC converter in various MV applications.", "author_names": [ "Thomas Bruckner", "Steffen Bernet", "Peter K Steimer" ], "corpus_id": 16743187, "doc_id": "16743187", "n_citations": 102, "n_key_citations": 4, "score": 0, "title": "Feedforward Loss Control of Three Level Active NPC Converters", "venue": "IEEE Transactions on Industry Applications", "year": 2007 }, { "abstract": "Neutral point (NP) voltage drift is the main technical drawback of NP clamped (NPC) three level inverters. Traditional space vector pulsewidth modulation (SVPWM) is incapable of controlling the NP voltage for high modulation indexes and low power factors. Virtual SVPWM (VSVPWM) is capable of controlling the NP voltage under full modulation indexes and full power factors. However, this modulation strategy is more complex than SVPWM, increases the switching frequency, and deteriorates the output waveforms of the inverter. A novel PWM concept that includes NP voltage balancing conditions is proposed. Based on this concept, a hybrid modulation scheme that uses both SVPWM and VSVPWM is presented for complete control of the NP voltage in NPC three level inverters. The performance of this new modulation approach and its benefits over SVPWM and VSVPWM are verified by simulation and experiments.", "author_names": [ "Weidong Jiang", "Shao-wu Du", "Liu Chang", "Yi Zhang", "Qing Zhao" ], "corpus_id": 33433387, "doc_id": "33433387", "n_citations": 121, "n_key_citations": 3, "score": 0, "title": "Hybrid PWM Strategy of SVPWM and VSVPWM for NPC Three Level Voltage Source Inverter", "venue": "IEEE Transactions on Power Electronics", "year": 2010 }, { "abstract": "The matrix converter is an array of controlled semiconductor switches that connects directly the three phase source to the three phase load. This converter has several attractive features that have been investigated in the last two decades. In the last few years, an increase in research work has been observed, bringing this topology closer to the industrial application. This paper presents the state of the art view in the development of this converter, starting with a brief historical review. An important part of the paper is dedicated to a discussion of the most important modulation and control strategies developed recently. Special attention is given to present modern methods developed to solve the commutation problem. Some new arrays of power bidirectional switches integrated in a single module are also presented. Finally, this paper includes some practical issues related to the practical application of this technology, like overvoltage protection, use of filters and ride through capability.", "author_names": [ "Patrick William Wheeler", "Jose R Rodriguez", "Jon C Clare", "Lee Empringham", "Alejandro Weinstein" ], "corpus_id": 43276224, "doc_id": "43276224", "n_citations": 1818, "n_key_citations": 104, "score": 0, "title": "Matrix converters: a technology review", "venue": "IEEE Trans. Ind. Electron.", "year": 2002 }, { "abstract": "This paper presents a neutral point voltage control strategy for the three level active neutral point clamped (ANPC) converter using selective harmonic elimination pulsewidth modulation (SHE PWM) The control strategy introduces a small change in the switching angles, which varies the duty cycle of the zero level switching state, controls the neutral point current and, therefore, the neutral point voltage. The decision to vary a given switching angle takes into account the polarity of the output currents and the voltage across the lower dc link capacitor. The effectiveness of the proposed strategy is verified through extensive simulation studies and experimentally validated using a low power laboratory prototype.", "author_names": [ "Sridhar R Pulikanti", "Mohamed S A Dahidah", "Vassilios G Agelidis" ], "corpus_id": 10830791, "doc_id": "10830791", "n_citations": 95, "n_key_citations": 3, "score": 0, "title": "Voltage Balancing Control of Three Level Active NPC Converter Using SHE PWM", "venue": "IEEE Transactions on Power Delivery", "year": 2011 }, { "abstract": "The neutral point (NP) potential of the three level neutral point clamped converters is needed to maintain balancing. Zero sequence voltage is the only freedom degree when carrier based pulsewidth modulation is utilized. Appropriate zero sequence voltage should be identified to control the NP potential. The relationship between the neutral current and injected zero sequence voltage is studied comprehensively, and two balancing algorithms of NP potential, respectively adopting searching optimization and interpolation methods, are presented. The theoretical optimum zero sequence voltage for controlling NP potential can be obtained by the latter proposed algorithm. Simulation and experimental results are shown to verify the validity and practicability of the proposed algorithms.", "author_names": [ "Chenchen Wang", "Yongdong Li" ], "corpus_id": 2620035, "doc_id": "2620035", "n_citations": 218, "n_key_citations": 11, "score": 0, "title": "Analysis and Calculation of Zero Sequence Voltage Considering Neutral Point Potential Balancing in Three Level NPC Converters", "venue": "IEEE Transactions on Industrial Electronics", "year": 2010 }, { "abstract": "About the Authors. Preface. Acknowledgements. 1 Introduction. 1.1 Wind Power Development. 1.2 Photovoltaic Power Development. 1.3 The Grid Converter The Key Element in Grid Integration of WT and PV Systems. 2 Photovoltaic Inverter Structures. 2.1 Introduction. 2.2 Inverter Structures Derived from H Bridge Topology. 2.3 Inverter Structures Derived from NPC Topology. 2.4 Typical PV Inverter Structures. 2.5 Three Phase PV Inverters. 2.6 Control Structures. 2.7 Conclusions and Future Trends. 3 Grid Requirements for PV. 3.1 Introduction. 3.2 International Regulations. 3.3 Response to Abnormal Grid Conditions. 3.4 Power Quality. 3.5 Anti islanding Requirements. 3.6 Summary. 4 Grid Synchronization in Single Phase Power Converters. 4.1 Introduction. 4.2 Grid Synchronization Techniques for Single Phase Systems. 4.3 Phase Detection Based on In Quadrature Signals. 4.4 Some PLLs Based on In Quadrature Signal Generation. 4.5 Some PLLs Based on Adaptive Filtering. 4.6 The SOGI Frequency Locked Loop. 4.7 Summary. 5 Islanding Detection. 5.1 Introduction. 5.2 Nondetection Zone. 5.3 Overview of Islanding Detection Methods. 5.4 Passive Islanding Detection Methods. 5.5 Active Islanding Detection Methods. 5.6 Summary. 6 Grid Converter Structures forWind Turbine Systems. 6.1 Introduction. 6.2 WTS Power Configurations. 6.3 Grid Power Converter Topologies. 6.4 WTS Control. 6.5 Summary. 7 Grid Requirements for WT Systems. 7.1 Introduction. 7.2 Grid Code Evolution. 7.3 Frequency and Voltage Deviation under Normal Operation. 7.4 Active Power Control in Normal Operation. 7.5 Reactive Power Control in Normal Operation. 7.6 Behaviour under Grid Disturbances. 7.7 Discussion of Harmonization of Grid Codes. 7.8 Future Trends. 7.9 Summary. 8 Grid Synchronization in Three Phase Power Converters. 8.1 Introduction. 8.2 The Three Phase Voltage Vector under Grid Faults. 8.3 The Synchronous Reference Frame PLL under Unbalanced and Distorted Grid Conditions. 8.4 The Decoupled Double Synchronous Reference Frame PLL (DDSRF PLL) 8.5 The Double Second Order Generalized Integrator FLL (DSOGI FLL) 8.6 Summary. 9 Grid Converter Control for WTS. 9.1 Introduction. 9.2 Model of the Converter. 9.3 AC Voltage and DC Voltage Control. 9.4 Voltage Oriented Control and Direct Power Control. 9.5 Stand alone, Micro grid, Droop Control and Grid Supporting. 9.6 Summary. 10 Control of Grid Converters under Grid Faults. 10.1 Introduction. 10.2 Overview of Control Techniques for Grid Connected Converters under Unbalanced Grid Voltage Conditions. 10.3 Control Structures for Unbalanced Current Injection. 10.4 Power Control under Unbalanced Grid Conditions. 10.5 Flexible Power Control with Current Limitation. 10.6 Summary. 11 Grid Filter Design. 11.1 Introduction. 11.2 Filter Topologies. 11.3 Design Considerations. 11.4 Practical Examples of LCL Filters and Grid Interactions. 11.5 Resonance Problem and Damping Solutions. 11.6 Nonlinear Behaviour of the Filter. 11.7 Summary. 12 Grid Current Control. 12.1 Introduction. 12.2 Current Harmonic Requirements. 12.3 Linear Current Control with Separated Modulation. 12.4 Modulation Techniques. 12.5 Operating Limits of the Current Controlled Converter. 12.6 Practical Example. 12.7 Summary. Appendix A Space Vector Transformations of Three Phase Systems. A.1 Introduction. A.2 Symmetrical Components in the Frequency Domain. A.3 Symmetrical Components in the Time Domain. A.4 Components 0 on the Stationary Reference Frame. A.5 Components dq0 on the Synchronous Reference Frame. Appendix B Instantaneous Power Theories. B.1 Introduction. B.2 Origin of Power Definitions at the Time Domain for Single Phase Systems. B.3 Origin of Active Currents in Multiphase Systems. B.4 Instantaneous Calculation of Power Currents in Multiphase Systems. B.5 The p q Theory. B.6 Generalization of the p q Theory to Arbitrary Multiphase Systems. B.7 The Modified p q Theory. B.8 Generalized Instantaneous Reactive Power Theory for Three Phase Power Systems. B.9 Summary. Appendix C Resonant Controller. C.1 Introduction. C.2 Internal Model Principle. C.3 Equivalence of the PI Controller in the dq Frame and the P+Resonant Controller in the Frame. Index.", "author_names": [ "Remus Teodorescu", "Marco Liserre", "Pedro Rodriguez" ], "corpus_id": 109257777, "doc_id": "109257777", "n_citations": 2201, "n_key_citations": 291, "score": 0, "title": "Grid Converters for Photovoltaic and Wind Power Systems", "venue": "", "year": 2011 }, { "abstract": "This paper presents transformerless multilevel power converters as an application for high power and/or high voltage electric motor drives. Multilevel converters: (1) can generate near sinusoidal voltages with only fundamental frequency switching; (2) have almost no electromagnetic interference or common mode voltage; and (3) are suitable for large voltampere rated motor drives and high voltages. The cascade inverter is a natural fit for large automotive all electric drives because it uses several levels of DC voltage sources, which would be available from batteries or fuel cells. The back to back diode clamped converter is ideal where a source of AC voltage is available, such as in a hybrid electric vehicle. Simulation and experimental results show the superiority of these two converters over two level pulsewidth modulation based drives.", "author_names": [ "Leon M Tolbert", "Fang Zheng Peng", "Thomas G Habetler" ], "corpus_id": 113925318, "doc_id": "113925318", "n_citations": 1093, "n_key_citations": 22, "score": 0, "title": "Multilevel converters for large electric drives", "venue": "", "year": 1999 } ]
Hydrothermal synthesized. Photodetector
[ { "abstract": "Abstract As one of the few two dimensional intrinsic p type semiconductor materials, tin (II) sulfide (SnS) has gradually emerged in the field of layered metal chalcogenides (LMCs) and has attracted enormous attention due to its superior properties, such as high absorption and low power consumption. In this work, SnS is obtained by a simple, effective and low cost hydrothermal synthesis route. The morphology, phase structure and chemical composition of the obtained SnS are characterized. The results show that the as synthesized SnS has a belt like structure with high purity and crystallinity. The belt length and width are ~15 mm and ~5 mm, respectively. Photodetectors based on such SnS are fabricated, and the photoresponse characteristics are also explored. The photodetectors show excellent and ultrafast photoresponse performance in a broad spectral range in the visible and near infrared regions. The rise and decay time under illumination at the near infrared wavelength of 850 nm laser are short as 1.1 ms and 1.3 ms, respectively. The ultrafast photoresponse performance makes SnS a promising candidate for Vis NIR photodetectors.", "author_names": [ "He Tian", "Chao Fan", "Gangzha Liu", "Shuo Yuan", "Yonghui Zhang", "Mengjun Wang", "Erping Li" ], "corpus_id": 181672949, "doc_id": "181672949", "n_citations": 19, "n_key_citations": 0, "score": 1, "title": "Ultrafast broadband photodetector based on SnS synthesized by hydrothermal method", "venue": "Applied Surface Science", "year": 2019 }, { "abstract": "Hydrothermal technique is utilized to synthesize 2D/1D heterostructure based on MoS2 (nanosheets)/WS2 (nanorods) for photodetector application. The sensor was fabricated by drop cast technique. X Ray Diffraction (XRD) Fourier Transform Infra red (FTIR) Spectroscopy, Field Emission Scanning Electron Microscopy (FESEM) UV Visible (Uv Vis) Raman and X Ray Photoelectron spectroscopy (XPS) were performed to characterize the synthesized sample. The optical sensor based on heterostructure was studied as a function of laser wavelength (lex) 635 nm (red) 785 nm (infra red) and 1064 nm (near infra red) and power of illuminated laser sources. The device exhibits photoresponsivity in a broadband range from the visible to the near infrared (600 1065 nm, yield a photoresponsivity (Rp) 15 mA/W and high specific detectivity (D* 24 x 106 jones at lex 785 nm) The photoresponsive characteristics of MoS2/WS2 heterostructure hold the principle of simple power law.", "author_names": [ "Nahid Chaudhary", "Manika Khanuja" ], "corpus_id": 203945616, "doc_id": "203945616", "n_citations": 9, "n_key_citations": 0, "score": 0, "title": "Architectural Design of Photodetector Based on 2D (MoS2 Nanosheets)/1D (WS2 Nanorods) Heterostructure Synthesized by Facile Hydrothermal Method", "venue": "", "year": 2019 }, { "abstract": "In this work, pure and copper mixed oxide PAni nanofiber thin films are successfully synthesized on silicon substrates by hydrothermal method and spin coating technique at room temperature with thickness of about 325 nm. The structural, surface morphological, optical and photoconductivity properties have been investigated. The XRD results showed that PAni films have crystalline nature, CuO and PAni/CuO nanostructure composites are monoclinic polycrystalline structure. The FESEM images of PAni clearly indicate that it has nanofiber like structure, whereas the CuO film has spongelike shape. The surface morphology analysis of PAni/CuO composite shows that nanofiber caped with inorganic material which is CuO is a core shell structure. Optical characterization shows that the direct electronic transition is allowed in the energy gap. The values of energy gap for PAni nanofibers and CuO are 3.98 eV and 5.29 eV respectively. The spectral response of PAni nanofibers, CuO and PAni/CuO composite was studied. The values of responsivity and quantum efficiency of PAni/CuO composite are larger than those for pure PAni nanofibers. One can conclude that with mixing, the sensitivity is higher than that without mixing and is found to be 220 PAni/CuO composite exhibits fast rise time of 0.32 s with full time of 0.41 s, while slow rise time of 0.67 s and 0.38 s was respectively observed for PAni nanofibers and CuO with full time of 3.32 s and 1.19 s.", "author_names": [ "M S Ahmed", "Mariam Iftikhar", "A Bakr Nabeel" ], "corpus_id": 210894363, "doc_id": "210894363", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Photodetector Properties of Polyaniline/CuO Nanostructures Synthesized by Hydrothermal Technique", "venue": "", "year": 2019 }, { "abstract": "In this study, pure Co3O4 nano structure and doping with 4 and6 of Yttrium is successfully synthesized by hydrothermal method.The XRD examination, optical, electrical and photo sensingproperties have been studied for pure and doped Co3O4 thin films.The X ray diffraction (XRD) analysis shows that all films arepolycrystalline in nature, having cubic structure.The optical properties indication that the optical energy gap followsallowed direct electronic transition calculated using Tauc equationand it increases for doped Co3O4. The photo sensing properties ofthin films are studied as a function of time at different wavelengths tofind the sensitivity for these lights.High photo sensitivity doped Co3O4 with 6% of Yttrium, is a118.774% at wavelength 620 nm, while for pure Co3O4 films nosensitivity at the same wavelength. So, higher sensitivity is found fordoping Co3O4 with fast rise and fall times less than 1s.", "author_names": [ "Suhad A Hamdan" ], "corpus_id": 207996985, "doc_id": "207996985", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Synthesized pure cobalt oxide nanostructure and doped with yttrium by hydrothermal method for photodetector applications", "venue": "Iraqi Journal of Physics (IJP)", "year": 2019 }, { "abstract": "Bismuth telluride (Bi2Te3) as a typical of topological insulator, which possesses narrow band gap and exhibited fascinating performance on photodetector filed. In this work, we have fabricated Bi2Te3/graphene heterostructure via a facile one pot hydrothermal method. The as prepared composites used as electrode materials of photoelectrochemical (PEC) type photodetector. From the results of photoelectrochemical tests, we can obviously find Bi2Te3/graphene heterostructure have a remarkable improvement on photoresponse compared to sole Bi2Te3, which effectively demonstrates effective photocarrier generation and transfer at the interface between graphene and Bi2Te3 can enhance the properties of photoresponse. Moreover, owing to the self powered ability of PEC type photodetector, it can work under the bias potential of 0 V and exhibited prominent photoresponse which can reach to 2.2 mA/W. Meanwhile, the photocurrent density of the prepared Bi2Te3/graphene heterostructure based photodetector can almost linearly rise with the increased irradiation power density. Even if the light intensity reduced to 40 mW/cm2, the photocurrent density can also reach 67 mA/cm2, which ensure photodetection ability of the as prepared Bi2Te3/graphene under low light intensity. The excellent performance of Bi2Te3/graphene heterostructure for PEC type photodetector hold the great promising in the field of photoelectric detection.", "author_names": [ "Bo Wang", "Zongyu Huang", "Pinghua Tang", "Siwei Luo", "Yundan Liu", "Jun Li", "Xiang Qi" ], "corpus_id": 208214221, "doc_id": "208214221", "n_citations": 6, "n_key_citations": 0, "score": 0, "title": "One pot synthesized Bi2Te3/graphene for self powered photoelectrochemical type photodetector.", "venue": "Nanotechnology", "year": 2019 }, { "abstract": "The present low yielding growth techniques of semiconducting 2H phase molybdenum disulfide (MoS2) hamper its widespread applications. In this article, we report a novel hydrothermal chemical approach to synthesize micron sized few layer 2H MoS2 on a large scale. Sodium molybdate and ammonium thiocyanate have been used as precursors to obtain template free 2H MoS2 in solution. Detailed microscopic and spectroscopic characterizations reveal that the bottom up synthesized few layer MoS2 flakes are highly crystalline having the hexagonal 2H phase. Photodetector devices comprising a p type silicon (p Si)/n MoS2 heterostructure have been fabricated for the first time using solution processed 2H MoS2 synthesized by the bottom up approach. The heterojunction diode exhibits a high rectification ratio >103) with broad band photoresponse over the visible range. Because of the visible light photoresponse, as synthesized MoS2 along with reduced graphene oxide (MoS2 RGO hybrids) have been utilized to study the potential of this two dimensional (2D) heterostructure for visible light driven photocatalytic Rhodamine B dye degradation. This study demonstrates the potential of solution processed MoS2 for integration with silicon and growth of 2D heterostructures for visible light induced multifunctional applications.", "author_names": [ "Anupam Midya", "Arup Ghorai", "Subhrajit Mukherjee", "Rishi Maiti", "Samit Kumar Ray" ], "corpus_id": 101135176, "doc_id": "101135176", "n_citations": 84, "n_key_citations": 0, "score": 0, "title": "Hydrothermal growth of few layer 2H MoS2 for heterojunction photodetector and visible light induced photocatalytic applications", "venue": "", "year": 2016 }, { "abstract": "Dumbbell and flower like ZnO nano crystals were grown via hydrothermal process. The as prepared dumbbells, with length of 0.8 10 um and edge length of 0.3 0.8 um possess a hexagonal structure, while flowers with lengths ranging from 1 6 um with hexagonal structure have been synthesized. The effect of temperature, solution concentration and growth time on the size and shapes of the ZnO nanostructures has been studied using Field emission scanning electron microscope (FESEM) and X ray diffractometer (XRD) Further the optical properties of nanostructures were investigated by Photoluminescence (PL) spectroscopy, which shows emission in UV and visible regions. From Diffused reflectance spectroscopic analysis (DRA) it was observed that ZnO nanodumbbells and nanoflowers have a direct band gap of 3.27 eV and 3.25 eV respectively. The I V plot showed dependence of current values under dark and illumination over the annealing temperature during the growth stage. Thus we report a control over the shape and dimension of nanostructures by varying various parameters having implications for (opto)electronic devices.", "author_names": [ "Tejendra Dixit", "Anubha Bilgaiyan", "I A Palani", "Vipul Singh" ], "corpus_id": 22969265, "doc_id": "22969265", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Influence of Parametric Variations on Hydrothermal Growth of ZnO Nanostructures for Hybrid Polymer/ZnO Based Photodetector.", "venue": "Journal of nanoscience and nanotechnology", "year": 2016 }, { "abstract": "Abstract The photoresponse properties of Bi 2 Se 3 nanosheets prepared by a simple hydrothermal intercalation and exfoliation route are studied. Photoelectrochemical results indicate that the as prepared Bi 2 Se 3 nanosheets devices have excellent sensitivity, high speed and good reproducibility as a photodetector, which are superior to the bulk Bi 2 Se 3 Especially, the response time, responsivity, and external quantum efficiency are found to be about 0.7 s, 20.48 mA/W, and 8.36 e 0 respectively. It is proposed that the two dimensional nanostructure of Bi 2 Se 3 can be effectively used in high performance nanoscale photodetectors.", "author_names": [ "Chengjie Zang", "Xiang Qi", "Long Ren", "Guolin Hao", "Yundan Liu", "Jun Li", "Jianxin Zhong" ], "corpus_id": 94173266, "doc_id": "94173266", "n_citations": 43, "n_key_citations": 0, "score": 0, "title": "Photoresponse properties of ultrathin Bi2Se3 nanosheets synthesized by hydrothermal intercalation and exfoliation route", "venue": "", "year": 2014 }, { "abstract": "We have successfully synthesized Fe doped ZnO nanorods by a new and simple method in which the adopted approach is by using ammonia as a continuous source of OH for hydrolysis instead of hexamethylenetetramine (HMT) The energy dispersive X ray (EDX) spectra revealed that the Fe peaks were presented in the grown Fe doped ZnO nanorods samples and the X ray photoelectron spectroscopy (XPS) results suggested that Fe3+ is incorporated into the ZnO lattice. Structural characterization indicated that the Fe doped ZnO nanorods grow along the c axis with a hexagonal wurtzite structure and have single crystalline nature without any secondary phases or clusters of FeO or Fe3O4 observed in the samples. The Fe doped ZnO nanorods showed room temperature (300 K) ferromagnetic magnetization versus field (M H) hysteresis and the magnetization increases from 2.5 uemu to 9.1 uemu for Zn0.99Fe0.01O and Zn0.95Fe0.05O, respectively. Moreover, the fabricated Au/Fe doped ZnO Schottky diode based UV photodetector achieved 2.33 A/W of responsivity and 5 s of time response. Compared to other Au/ZnO nanorods Schottky devices, the presented responsivity is an improvement by a factor of 3.9.", "author_names": [ "Chan Oeurn Chey", "Ansar Masood", "Anastasia V Riazanova", "Xianjie Liu", "K Venkata Rao", "Omer Nur", "Magnus Willander" ], "corpus_id": 39039852, "doc_id": "39039852", "n_citations": 19, "n_key_citations": 0, "score": 0, "title": "Synthesis of fe doped zno nanorods by rapid mixing hydrothermal method and its application for high performance UV photodetector", "venue": "", "year": 2014 }, { "abstract": "Titanium dioxide (TiO2) has drawn a potential research interest for ultraviolent (UV) photodetector (PD) applications because of its tunable bandgap in UV absorption region, low absorption coefficient in visible region, n type semiconducting property, and excellent chemical stabilities. In this study, attempts were made to explore the performances of TiO2 nanostructures such as nanotubes (NTs) and nanorods (NRs) based UV PDs embedded with 23 nm plasmonic silver (Ag) nanoparticles (NPs) which offer the local surface plasmonic resonance or near field enhancement. The vertical TiO2 NTs and NRs with high uniformity and height of 1 um were successfully synthesized using simple and low cost electrochemical anodization and hydrothermal growth techniques, respectively onto Ti substrates. From the x ray diffraction analysis, it was ascertained that the anatase phase has been formed for NTs, whereas the rutile phase dominated the NRs. All these nanostructures were characterized by various material characterization techniques such as field emission scanning electron microscopy, x ray photoelectron spectroscopy, UV vis NIR and Raman spectroscopy to investigate their surface and structural morphologies and absorption spectra. Efforts were put to fabricate Ag/ (with or without Ag NPs) TiO2 nanostructures/ Ti based UV PDs, where Ag has been utilized as top electrode and Ti served the purpose for bottom electrode. The electrical characteristics such as current voltage, responsivity, detectivity, external quantum efficiency, rise and decay times were systematically investigated under 365 nm UV light radiance. Among all the UV PDs, TiO2 NTs anchored with the Ag NPs offer better photocurrent, responsivity (1.37 AW 1) detectivity (5.18 x 1010 Jones) external quantum efficiency (465.42% rise (0.43 s) and decay (0.70 s) times. In order to have better insight on the device operational principle, a band diagram was proposed and it was realized that desorption of oxygen ions, increment of free electron carriers, and localized surface plasmonic effect were responsible for obtaining improved photoresponse.", "author_names": [ "P Joshna", "Arnab Hazra", "Karumbaiah N Chappanda", "Prasant Kumar Pattnaik", "Souvik Kundu" ], "corpus_id": 208693033, "doc_id": "208693033", "n_citations": 10, "n_key_citations": 1, "score": 0, "title": "Fast response of UV photodetector based on Ag nanoparticles embedded uniform TiO2 nanotubes array", "venue": "Semiconductor Science and Technology", "year": 2019 } ]
EfficienSAT-based ATPG techniques for all multiple stuck-at faults
[ { "abstract": "Due to the continuous shrinking of semiconductor technology, there are more and more subtle errors or faults widely distributed in manufactured chips, and traditional \"single\" stuck at fault model may become inappropriate. It is definitely better if all combinations of multiple faults can be completely tested. In this paper, we present ATPG (Automatic Test Pattern Generation) techniques targeting all multiple stuck at faults, i.e. all combinations of stuck at faults. That is, given \"n\" possibly faulty locations in a circuit, the target set of faults consists of 3n 1 fault combinations, as each possibly faulty location is under stuck at 1, stuck at 0, or normal/non faulty. Traditional ATPG flows use fault simulators to eliminate all detectable faults by the current set of test vectors. The problem, however, fault simulators represent fault lists explicitly in the sense that all possible faults are enumerated in the fault lists. This prevents us from dealing with ultra large fault lists, such as 3n 1 faults. We need \"implicit\" representation of faults in order to deal with such huge numbers of faults or fault combinations. We present SAT based formulations for ATPG of circuits having very large numbers of faults by implicitly eliminating detected faults. We solve a set of SAT problems whose constraints increase pure incrementally (here \"pure\" means never deleting constraints) and so the entire solving process can be very efficient, as all learnings obtained so far are valid in the following SAT problems. Experiments are performed on combinational parts of ISCAS89 circuits in their AIG (AND Inverter Graph) representations. We have successfully generated the complete set of test vectors assuming that faults happen only at outputs of gates. Our ATPG techniques can also start with a given set of test vectors. If we start the ATPG processes with a set of test vectors for single stuck at faults, we can obtain the set of additional test vectors required for multiple stuck at faults. Results are a little bit surprising in the sense that we need very few additional test vectors for multiple faults, although the numbers of fault combinations are exponentially larger. As far as we know, for the first time, complete test vectors for all stuck at faults for ISCAS89 circuits where faults happen only at outputs of gates in AIG representations of the circuits have been obtained.", "author_names": [ "Masahiro Fujita", "Alan Mishchenko" ], "corpus_id": 9592418, "doc_id": "9592418", "n_citations": 22, "n_key_citations": 2, "score": 1, "title": "Efficient SAT based ATPG techniques for all multiple stuck at faults", "venue": "2014 International Test Conference", "year": 2014 }, { "abstract": "As fabricated circuitry becomes larger and denser, the modern industrial automatic test pattern generation techniques, which focus on the detection of single faults, become more likely to overlook multiple (simultaneous) faults. Although there are exponentially more multiple faults than single faults in any given circuit design, only a few additional test patterns are needed to cover all of the multiple faults, if the test generation starts from the complete test set for single faults. In this paper, we first show the case where test patterns for single faults are sufficient to cover all multiple faults, and then explain in which conditions some of the multiple faults may be overlooked. Based on this analysis, we propose a method that can efficiently generate the complete test set for double faults without traversing all the faults. Since most of the double faults can be detected by the single faults' test set, the proposed method only selects the uncovered double faults by analyzing the propagation paths of single faults, and then generating new test patterns only for those uncovered faults. The experimental results show that based on the single faults' test set, the proposed method only needs to create a small number of additional test patterns to cover all double faults in most of the given circuits. By repeating the same process, the proposed method can be incrementally applied to deal with all multiple faults.", "author_names": [ "Peikun Wang", "Conrad Jinyong Moore", "Amir Masoud Gharehbaghi", "Masahiro Fujita" ], "corpus_id": 3408424, "doc_id": "3408424", "n_citations": 7, "n_key_citations": 2, "score": 0, "title": "An ATPG Method for Double Stuck At Faults by Analyzing Propagation Paths of Single Faults", "venue": "IEEE Transactions on Circuits and Systems I: Regular Papers", "year": 2018 }, { "abstract": "Fault Diagnosis is a critical process to identify the locations of physical defects in advanced integrated circuits. Current diagnosis tools often report multiple types of faults as defect candidates. Thus an efficient method to distinguish different types of faults is highly desired. Stuck at and bridging faults are two most commonly used DC fault models during diagnosis. In this paper we present an efficient diagnosis pattern generation procedure to distinguish stuck at faults and bridging faults. Two major techniques are proposed. The first one is a fault inactivation method (FIM) that can quickly distinguish most fault pairs by inactivating one fault while detecting the other in each fault pair. The second one is a fault types transformation method (FTTM) that can transform the problem of distinguishing a stuck at fault and a bridging fault into the problem of detecting a stuck at fault. Both methods involve only one copy of the original circuit and require only an ordinary ATPG tool for stuck at faults. Furthermore, both methods can deal with multiple fault pairs at a time and thus not only is the required CPU time small but also the dynamic test compaction capability of the ATPG tool can be utilized. Experiments on a large number of randomly selected fault pairs in ISCAS'89 and IWLS'05 benchmark circuits have been carried out. The results show that the FIM can distinguish about 91.9% of distinguishable fault pairs quickly and the FTTM can distinguish all other distinguishable fault pairs and identify all equivalent fault pairs. The average ratio of the number of diagnosis patterns over that of the test patterns for stuck at faults is only 0.64. On average, one diagnosis pattern can distinguish 10.89 fault pairs.", "author_names": [ "Cheng-Hung Wu", "Kuen-Jong Lee" ], "corpus_id": 12883420, "doc_id": "12883420", "n_citations": 9, "n_key_citations": 3, "score": 0, "title": "An Efficient Diagnosis Pattern Generation Procedure to Distinguish Stuck at Faults and Bridging Faults", "venue": "2014 IEEE 23rd Asian Test Symposium", "year": 2014 }, { "abstract": "Test compaction is an important aspect in the post production test since it is able to reduce the test data and the test costs, respectively. Current automatic test pattern generation (ATPG) methods treat all faults independently from each other which limits the test compaction capability. We propose a new optimization satisfiability (SAT) based ATPG for compact test set generation with high fault coverage as well as a new retargeting stage for test set reduction. The ATPG is based on a novel multiple target test generation formulation using optimization techniques. Robust SAT based solving algorithms are leveraged to determine compatible fault groups which can be detected by the same test. The proposed technique can be used during initial compact test generation as well as a post process to increase the compactness of existing test sets, e.g. generated by commercial tools, in an iterative manner. Experimental results show that the proposed SAT based approach is able to produce highly compacted test sets with high fault coverage for stuck at as well as transition faults. The approach is able to produce lower pattern counts than a commercial ATPG tool. For one industrial circuit, the test set size can even be reduced down to 26% of the size generated by a commercial ATPG tool.", "author_names": [ "Stephan Eggersgluss", "Kenneth Schmitz", "Rene Krenz-Baath", "Rolf Drechsler" ], "corpus_id": 10066835, "doc_id": "10066835", "n_citations": 10, "n_key_citations": 2, "score": 0, "title": "On Optimization Based ATPG and Its Application for Highly Compacted Test Sets", "venue": "IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems", "year": 2016 }, { "abstract": "Crosstalk faults have emerged as a significant mechanism for circuit failure. Long signal nets are of particular concern because they tend to have a higher coupling capacitance to overall capacitance ratio. A typical long net also has multiple aggressors. In generating patterns to create maximal crosstalk noise on a net, it may not be possible to activate all aggressors logically or simultaneously. Therefore, pattern generation must focus on activating a maximal subset of aggressors switching around the same time the victim net switches. This is a well known problem. In this paper, we present a novel solution assuming a unit delay model for the gates, combining 0 1 integer linear program (ILP) with traditional stuck at fault ATPG. The maximal aggressor activation is formulated as a linear programming problem while the fault effect propagation is treated as an ATPG problem and the gate delays are subsumed by a circuit transformation. The proposed technique was applied to ISCAS 85 benchmark circuits. Results indicate that percentage of total capacitance that can be switched varies from 30 80%", "author_names": [ "Kunal P Ganeshpure", "Sandip Kundu" ], "corpus_id": 16110775, "doc_id": "16110775", "n_citations": 13, "n_key_citations": 0, "score": 0, "title": "On ATPG for multiple aggressor crosstalk faults in presence of gate delays", "venue": "2007 IEEE International Test Conference", "year": 2007 }, { "abstract": "Crosstalk faults have emerged as a significant mechanism of circuit failure due to decreasing process geometries and increasing operation frequencies. Long signal nets are highly susceptible to crosstalk faults because they tend to have a higher coupling capacitance to overall capacitance ratio. Moreover, a typical long net also has multiple aggressors. In generating patterns to create maximal crosstalk induced delay on a victim net, it may be impossible to activate all aggressors logically or simultaneously to constructively induce maximum noise at the victim. Therefore, pattern generation must focus on activating a maximal subset of aggressors, weighted by actual coupling capacitance value, in close temporal proximity of the victim net transition. This max satisfiability problem is constrained by fault effect propagation condition which involves determining an input signal assignment so as to propagate the fault effect at the victim to the primary output. In this paper, we present Automatic Test Pattern Generation (ATPG) solutions for multiple aggressor crosstalk faults for zero and unit delay models and compare the magnitude of crosstalk induced delay at the victim net. Our solution involves a combination of 0 1 Integer Linear Programming (ILP) for maximal aggressor excitation. Fault effect propagation is solved independently by using traditional stuck at fault ATPG or by generating additional ILP constraints thus forming a integrated ILP formulation with error propagation. The effect of gate delays is summed by circuit transformation. The proposed technique was applied to ISCAS85 benchmark circuits. Results indicate that the percentage of total capacitance that can be switched varies from 75 100% for zero delay and 30 80% for variable delay case while achieving propagation of the fault effect to primary output.", "author_names": [ "Kunal P Ganeshpure", "Sandip Kundu" ], "corpus_id": 16643859, "doc_id": "16643859", "n_citations": 19, "n_key_citations": 1, "score": 0, "title": "On ATPG for Multiple Aggressor Crosstalk Faults", "venue": "IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems", "year": 2010 }, { "abstract": "A testable realization of Generalized Reed Muller (GRM) or EXOR Sum of Products (ESOP) expression has been proposed that admits a combined universal test set of size (2n+6) for detection of stuck at and bridging faults. For GRM implementation, the test set detects all single stuck at and bridging faults (both OR and AND type) and a large number of multiple bridging faults. For ESOP, a few single bridging faults may remain untested, occurrence of which can be avoided by employing a design and layout technique. The test set is independent of the function and the circuit under test and can be stored in a ROM on chip for built in self test. For several benchmark circuits, the size of the test set is found to be much smaller than an ATPG generated test set or those of the previous methods.", "author_names": [ "Hafizur Rahaman", "Debesh Kumar Das", "Bhargab B Bhattacharya" ], "corpus_id": 24658587, "doc_id": "24658587", "n_citations": 13, "n_key_citations": 0, "score": 0, "title": "Easily testable realization of GRM and ESOP networks for detecting stuck at and bridging faults", "venue": "17th International Conference on VLSI Design. Proceedings.", "year": 2004 }, { "abstract": "Failures caused by phenomena such as crosstalk or power supply noise are gaining in importance in advanced nanoscale technologies. The detection of such complex defects benefits from the satisfaction of certain constraints, for instance justifying specific transitions on neighbouring lines of the defect location. We present a SAT based ATPG tool that supports the enhanced conditional multiple stuck at fault model (ECMS@ This model can specify multiple fault locations along with a set of hard conditions imposed on arbitrary lines; hard conditions must hold in order for the fault effect to become active. Additionally, optimisation constraints that may be required for best coverage can be specified via a set of soft conditions. The introduced tool justifies as many of these conditions as possible, using a mechanism known as SAT with preferences. Several applications are discussed and evaluated by extensive experimental data. Furthermore, a novel fault clustering technique is introduced, thanks to which the time required to classify all stuck at faults in a suite of industrial benchmarks was reduced by up to 65%", "author_names": [ "Alexander Czutro", "Matthias Sauer", "Tobias Schubert", "Ilia Polian", "Bernd Becker" ], "corpus_id": 35186417, "doc_id": "35186417", "n_citations": 18, "n_key_citations": 1, "score": 0, "title": "SAT ATPG using preferences for improved detection of complex defect mechanisms", "venue": "2012 IEEE 30th VLSI Test Symposium (VTS)", "year": 2012 }, { "abstract": "Decreasing process geometries and increasing operating frequencies have made VLSI circuits more susceptible to signal integrity related failures. Capacitive crosstalk is one of the causes of such kind of failures. Crosstalk fault results from switching of neighboring lines that are capacitively coupled. Long nets are more susceptible to crosstalk faults because they tend to have a higher coupling capacitance to overall capacitance ratio. A typical long net has multiple aggressors. In generating patterns to create maximal crosstalk noise, it may not be possible to activate all aggressors at the same time. Therefore, pattern generation must focus on activating a maximal subset of aggressors weighted by actual coupling capacitance values. This is a variant of max satisfiability problem. Unlike a traditional max satisfiability problem, here we must deal with signal propagation to an observable output. In this paper, the authors present a novel solution that combines 0 1 integer linear program (ILP) with traditional stuck at fault ATPG. The maximal aggressor activation is formulated as a linear programming problem while the fault effect propagation is treated as an ATPG problem. The problems are separated by min cut circuit partitioning technique based on Kernighan Lin Fiduccia Mattheyses (KLFM) method. This proposed technique was applied to ISCAS 85 benchmark circuits. Results indicated that 75 100% of the aggressors could be switched for generating crosstalk noise while satisfying requirement of sensitizing a path to the output", "author_names": [ "Kunal P Ganeshpure", "Sandip Kundu" ], "corpus_id": 1300821, "doc_id": "1300821", "n_citations": 22, "n_key_citations": 3, "score": 0, "title": "Automatic Test Pattern Generation for Maximal Circuit Noise in Multiple Aggressor Crosstalk Faults", "venue": "2007 Design, Automation Test in Europe Conference Exhibition", "year": 2007 }, { "abstract": "Contest (cone oriented test pattern generator) an ATPG (automatic test pattern generation) tool for very large combinational digital circuits, is presented. Contest is based on four major ideas. Cone oriented circuit partitioning reduces the circuit complexity and increases the number of dominators. The propagation graph is a dynamic data structure that keeps track of all paths from the fault location to a primary output. The multiple backtrace procedure reduces contradictory node assignments by examination of fanout nodes and dynamic implications. The pattern parallel fault dropping technique is based on Hamming distance variations of generated test patterns. Experimental results for benchmark circuits containing up to 40000 nodes illustrate the superiority of the ATPG system. For these circuits a 100% fault coverage for all detectable stuck at faults and a 100% redundancy identification are achieved.<ETX>", "author_names": [ "Udo Mahlstedt", "Torsten Gruning", "Cengiz Ozcan", "Wilfried Daehn" ], "corpus_id": 10641461, "doc_id": "10641461", "n_citations": 30, "n_key_citations": 3, "score": 0, "title": "Contest: a fast ATPG tool for very large combinational circuits", "venue": "1990 IEEE International Conference on Computer Aided Design. Digest of Technical Papers", "year": 1990 } ]
Principles of Semiconductor Devices
[ { "abstract": "Thank you for downloading principles of semiconductor devices. Maybe you have knowledge that, people have search hundreds times for their favorite novels like this principles of semiconductor devices, but end up in malicious downloads. Rather than enjoying a good book with a cup of tea in the afternoon, instead they cope with some harmful bugs inside their laptop. principles of semiconductor devices is available in our book collection an online access to it is set as public so you can get it instantly. Our digital library spans in multiple countries, allowing you to get the most less latency time to download any of our books like this one. Merely said, the principles of semiconductor devices is universally compatible with any devices to read.", "author_names": [ "Marko Becker" ], "corpus_id": 63941562, "doc_id": "63941562", "n_citations": 122, "n_key_citations": 7, "score": 1, "title": "Principles Of Semiconductor Devices", "venue": "", "year": 2016 }, { "abstract": "", "author_names": [ "Laszlo Solymar", "D B Walsh", "Richard R A Syms" ], "corpus_id": 139307112, "doc_id": "139307112", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Principles of semiconductor devices", "venue": "", "year": 2018 }, { "abstract": "PART I INTRODUCTION TO SEMICONDUCTORS 1 lNTRODUCTION TO CRYSTALS AND CURRENT CARRIERS IN SEMICONDUCTORS, THE ATOMIC BOND MODEL 1.1 INTRODUCTION TO CRYSTALS 1.1.1 Atomic Bonds 1.1.2 Three Dimensional Crystals 1.1.3 Two Dimensional Crystals: Graphene and Carbon Nanotubes 1.2 CURRENT CARRIERS 1.2.1 Two Types of Current Carriers in Semiconductors 1.2.2 N*Type and P Type Doping 1.2.3 Electroneutrality Equation 1.2.4 Electron and Hole Generation and Recombination in Thermal Equilibrium 1.3 BASICS OF CRYSTAL GROWTH AND DOPING TECHNIQUES 1.3.1 Crystal Growth Techniques 1.3.2 Doping Techniques Summary Problems Review Questions 2 THE ENERGY BAND MODEL 12.1 ELECTRONS AS WAVES 2.1.1 De Broglie Relationship Between Particle and Wave Properties 2.1.2 Wave Function and Wave Packet 2.1.3 Schrodinger Equation 2.2 ENERGY LEVELS IN ATOMS AND ENERGY BANDS IN CRYSTALS 2.2.1 Atomic Structure 2.2.2 Energy Bands in Metals 2.2.3 Energy Gap and Energy Bands in Semiconductors and Insulators 12.3 ELECTRONS AND HOLES AS PARTICLES 2.3.1 Effective Mass and Real E k Diagrams 2.3.2 The Question of Electron Size: The Uncertainty Principle 2.3.3 Density of Electron States 2.4 POPULATION OF ELECTRON STATES, CONCENTRATIONS OF ELECTRONS A:\"D HOLES 2.4.1 Fermi Dirac Distribution 2.4.2 Maxwell Boltzmann Approximation and Effective Density of States 2.4.3 Fermi Potential and Doping 2.4.4 Nonequilibrium Carrier Concentrations and Quasi Fermi Levels Summary Problems Review Questions 3 DRIFT 3.1 ENERGY BANDS WITH APPLIED ELECTRIC FIELD 3.1.1 Energy Band Presentation of Drift Current 3.1.2 Resistance and Power Dissipation due to Carrier Scattering 3.2 OHM'S LAW, SHEET RESISTANCE, AND CONDUCTIVITY 3.2.1 Designing Integrated Circuit Resistors 3.2.2 Differential Form of Ohm's Law 3.2.3 Conductivity Ingredients 3.3 CARRIER MOBILITY 3.3.1 Thermal and Drift Velocities 3.3.2 Mobility Definition 3.3.3 Scattering Time and Scattering Cross Section 3.3.4 Mathieson's Rule 3.3.5 Hall Effect Summary Problems Review Questions 4 DlFFUSION 4.1 DIFFUSION CURRENT EQUATION 4.2 DIFFUSION COEFFICIENT 4.2.1 Einstein Relationship L4.2.2 Haynes Shockley Experiment 4.2.3 Arrhenius Equation 4.3 BASIC CONTINUITY EQUATION Summary Problems Review Questions 5 GENERATION AND RECOMBINATION 5.1 GENERATION AND RECOMBINATION MECHANISMS 5.2 GENERAL FORM OF THE CONTINUITY EQUATION 5.2.1 Recombination and Generation Rates 5.2.2 Minority Carrier Lifetime 5.2.3 Diffusion Length 5.3 GENERATION AND RECOMBINATION PHYSICS AND SHOCKLEYREAD HALL (SRH) THEORY 5.3.1 Capture and Emission Rates in Thermal Equilibrium 5.3.2 Steady State Equation for the Effective Thermal Generation/Recombination Rate 5.3.3 Special Cases 5.3.4 Surface Generation and Recombination Summary Problems Review Questions PART II FUNDAMENTAL DEVICE STRUCTURES 6 JUNCTIONS 6.1 P N JUNCTION PRINCIPLES 6.1.1 p Junction in Thermal Equilibrium 6.1.2 Reverse Biased P N Junction 6.1.3 Forward Biased P K Junction 6.1.4 Breakdown Phenomena 6.2 DC MODEL 6.2.1 Basic Current Voltage (I V) Equation 6.2.2 Important Second Order Effects 6.2.3 Temperature Effects 6.3 CAPACITA CE OF REVERSE BIASED P I JUNCTION 6.3.1 C V Dependence 6.3.2 Depletion Layer Width: Solving the Poisson Equation 6.3.3 SPICE Model for the Depletion Layer Capacitance 6.4 STORED CHARGE EFFECTS 6.4.1 Stored Charge and Transit Time 6.4.2 Relationship Between the Transit Time and the Minority Carrier Lifetime 6.4.3 Switching Characteristics: Reverse Recovery Time 6.5 METAL SEMICONDUCTOR CONTACT 6.5.1 Schottky Diode: Rectifying Metal Semiconductor Contact 6.5.2 Ohmic Metal Semiconductor Contacts Summary Problems Review Questions 7 MOSFET 7.1 MOS CAPACITOR 7.1.1 Properties of the Gate Oxide and the Oxide Semiconductor Interface 7.1.2 C V Curve and the Surface Potential Dependence on Gate Voltage 7.1.3 Energy Band Diagrams 7.1.4 Flat4Band Capacitance and Debye Length 7.2 MOSFET PRINCIPLES B.1.1 MOSFET Structure 7.2.2 MOSFET as a Voltage Controlled Switch B.1.3 The Threshold Voltage and the Body Effect B.1.4 MOSFET as a Voltage Controlled Current Source: Mechanisms of Current Saturation 7.3 PRINCIPAL CURRENT VOLTAGE CHARACTERISTICS AND EQUATIONS 7.3.1 SPICE LEVEL 1 Model 7.3.2 SPICE LEVEL 2 Model 7.3.3 SPICE LEVEL 3 Model: Principal Effects 7.4 SECO:\\D OROER EFFECTS 7.4.1 Mobility Reduction with Gate Voltage 7.4.2 Velocity Saturation (Mobility Reduction with Drain Voltage) 7.4.3 Finite Output Resistance 7.4.4 Threshold Voltage Related Short Channel Effects 7.4.5 Threshold Voltage Related Narrow Channel Effects 7.4.6 Subthreshold Current 7.5 Nanoscale MOSFETs 7.5.1 Down Scaling Benefits and Rules 7.5.2 Leakage Currents 7.5.3 Advanced MOSFETs 7.6 MOS BASED MEMORY DEVICES 7.6.1 1C1T DRAM Cell 7.6.2 Flash Memory Cell Summary Problems Review Questions 8 BJT 8.1 B.JT PRINCIPLES 8.1.1 BJT as a Voltage Controlled Current Source 8.1.2 BJT Currents and Gain Definitions 8.1.3 Dependence of and Current Gains on Technological Parameters 8.1.4 The Four Modes of Operation: BJT as a Switch 8.1.5 Complementary BJT 8.1.6 BJT Versus MOSFET 8.2 PRINCIPAL CURRENT VOLTAGE CHARACTERISTICS, EBERE MOLL MODEL IN SPICE 8.2.1 Injection Version 8.2.2 Transport Version 8.2.3 SPICE Version 8.3 SECOND*ORDER EFFECTS 8.3.1 Early Effect: Finite Dynamic Output Resistance 8.3.2 Parasitic Resistances 8.3.3 Dependence of Common Emitter Current Gain on Transistor Current: Low Current Effects 8.3.4 Dependence of Common Emitter Current Gain on Transistor Current: Gummel Poon Model for High Current Effects 8.4 HETEROJUNCTION BIPOLAR TRANSISTOR Summary Problems Review Questions PART III SUPPLEMENTARY TOPICS 9 PHYSICS OF NANOSCALE DEVICES 9.1 SINGLE CARRIER EVENTS 9.1.1 Beyond the Classical Principle of Continuity 9.1.2 Current Time Form of Uncertainty Principle 9.1.3 Carrier Supply Limit to Diffusion Current 9.1.4 Spatial Uncertainty 9.1.5 Direct Nonequilibrium Modeling of Single Carrier Events 9.2 TWO DIMENSIONAL TRANSPORT IN MOSFETs AND HEMTs 9.2.1 Quantum Confinement 9.2.2 HEMT Structure and Characteristics 9.2.3 Application of Classical MOSFET Equations to Two Dimensional Transport in MOSFETs and HEMTs 9.3 ONE DIMENSUIONAL TRANSPORT IN NANOWIRES AND CARBON NANOTUBES 9.3.1 Ohmic Transport in Nanowire and Carbon Nanotube FETs 9.3.2 One Dimensional Ballistic Transport and the Quantum Conductance Limit Summary Problems Review Questions 10 DEVICE ELECTRONICS, EQUIVALENT CIRCUITS A D SPICE PARAMETERS 10.l DIODES 10.1.1 Static Model and Parameters in SPICE 10.1.2 Large Signal Equivalent Circuit in SPICE 10.1.3 Parameter Measurement 10.1.4 Small Signal Equivalent Circuit 10.2 MOSFET 10.2.1 Static Model and Parameters LEVEL 3 in SPICE 10.2.2 Parameter Measurement 10.2.3 Large Signal Equivalent Circuit and Dynamic Parameters in SPICE 10.2.4 Simple Digital ~1od.el 10.2.5 Small Signal Equivalent Circuit 10.3 BJT 10.3.1 Static Model and Parameters: Ebers Moll and Gummel Poon Levels in SPICE 10.3.2 Parameter Measurement 10.3.3 Large Signal Equivalent Circuit and Dynamic Parameters in SPICE 10.3.4 Small Signal Equivalent Circuit Summary Problems Review Questions 11 PHOTONIC DEVICES 11.1 LIGHT EMITTING DIODES (LED) 11.2 PHOTODETECTORS AND SOLAR CELLS 11.2.1 Biasing for Photodetector and Solar Cell Applications 11.2.2 Carrier Generation in Photodetectors and Solar Cells 11.2.3 Photocurrent Equation 11.3 LASERS 11.3.1 Stimulated Emission, Inversion Population, and Other Fundamental Concepts 11.3.2 A Typical Heterojunction Laser Summary Problems Review Questions 12 JFET AND MESFET 12.1 JFET 12.1.1 JFET Structure 12.1.2 JFET Characteristics 12.1.3 SPICE Model and Parameters 12.2 MESFET 12.2.1 MESFET Structure 12.2.2 MESFET Characteristics 12.2.3 SPICE Model and Parameters Summary Problems Review Questions 13 POWER DEVICES 13.1 POWER DIODES 13.1.1 Drift Region in Power Devices 13.1.2 Switching Characteristics 13.1.3 Schottky Diode 13.2 POWER MOSFET 13.3 IGBT 13.4 THYRISTOR Summary Problems Review Questions", "author_names": [ "Sima Dimitrijev" ], "corpus_id": 118357594, "doc_id": "118357594", "n_citations": 374, "n_key_citations": 2, "score": 1, "title": "Principles of semiconductor devices", "venue": "", "year": 2005 }, { "abstract": "", "author_names": [ "Serge Luryi" ], "corpus_id": 203528424, "doc_id": "203528424", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Physical Principles of Semiconductor Devices", "venue": "", "year": 2014 }, { "abstract": "", "author_names": [ "George D Vendelin", "Anthony M Pavio", "Ulrich L Rohde" ], "corpus_id": 28173585, "doc_id": "28173585", "n_citations": 76, "n_key_citations": 5, "score": 0, "title": "Principles of Semiconductor Devices [Book Review]", "venue": "IEEE Circuits and Devices Magazine", "year": 2006 }, { "abstract": "We present two different methods which both enable large scale first principles device simulations including electron phonon coupling (EPC) The methods are based on Density Functional Theory and Nonequilibrium Greens Functions (DFT NEGF) calculations of electron transport. The inelastic current is in both methods calculated in a post processing step to a self consistent DFT calculation. The first method is based on first order perturbation theory in the EPC self energy within the Lowest Order Expansion (LOE) approximation. The method requires calculation of the first principles EPC in the device region and it includes the effect of each phonon mode on the current perturbatively. This approach is made practical by calculating the EPC of the device region using a smaller periodic reference system. In addition, the phonon modes are assembled into a small number of energy intervals in which phonon modes are described collectively. The second method involves calculating the electron transmission for a single configuration where the atoms are displaced according to the phonon temperature of the system. Thus, this method has a computational cost equivalent to conventional elastic transport calculations. Both methods have been implemented in the Atomistix ToolKit (ATK) and we apply the methods for calculating the inelastic current in a silicon n i n junction and for calculation of phonon limited mobilities of silicon nanowires.", "author_names": [ "Tue Gunst", "Mads Brandbyge", "Mattias Lau Nohr Palsgaard", "Troels Markussen", "Kurt Stokbro" ], "corpus_id": 25242223, "doc_id": "25242223", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "New approaches for first principles modelling of inelastic transport in nanoscale semiconductor devices with thousands of atoms", "venue": "2017 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD)", "year": 2017 }, { "abstract": "", "author_names": [ "Muhammad Ashraful Alam" ], "corpus_id": 138760027, "doc_id": "138760027", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "ECE 606: Principles of Semiconductor Devices", "venue": "", "year": 2008 }, { "abstract": "", "author_names": [ "Harry E Talley", "D Daugherty" ], "corpus_id": 135729025, "doc_id": "135729025", "n_citations": 5, "n_key_citations": 0, "score": 0, "title": "Physical principles of semiconductor devices", "venue": "", "year": 1976 }, { "abstract": "We report in this paper ab initio quantum transport simulations of different types of single layer 2 D semiconductors: transition metal and group IV dichalcogenides in the 2H or 1T phase as well as black phosphorus. The electron and hole phonon limited mobilities of eight selected 2 D crystals are first analyzed before using these materials as n or p type channels of ultra scaled single gate transistors, computing their I V characteristics in the presence of electron phonon scattering, and comparing them to each other. Finally, the properties of metal MoS2 contacts are investigated. It is revealed that the current tends to flow at the edge of the metal layer before entering the semiconductor, thus limiting the injection efficiency.", "author_names": [ "Mathieu Luisier", "Aron Szabo", "Christian Stieger", "Cedric Klinkert", "Sascha Bruck", "A Jain", "Lukas Novotny" ], "corpus_id": 13012643, "doc_id": "13012643", "n_citations": 15, "n_key_citations": 1, "score": 0, "title": "First principles simulations of 2 D semiconductor devices: Mobility, I V characteristics, and contact resistance", "venue": "2016 IEEE International Electron Devices Meeting (IEDM)", "year": 2016 }, { "abstract": "Precise manipulation of organic donor acceptor interfaces using spacer layers is demonstrated to suppress interface recombination in an organic photovoltaic device. These strategies lead to a dramatic improvement in a model bilayer system and bulk heterojunction system. These interface strategies are applicable to a wide variety of donor acceptor systems, making them both fundamentally interesting and technologically relevant for achieving high efficiency organic electronic devices.", "author_names": [ "Wanyi Nie", "Gautam Gupta", "Brian Keith Crone", "Feilong Liu", "Darryl L Smith", "P P Ruden", "Cheng-Yu Kuo", "Hsinhan Tsai", "Hsing-Lin Wang", "Hao Li", "Sergei Tretiak", "Aditya D Mohite" ], "corpus_id": 13490118, "doc_id": "13490118", "n_citations": 17, "n_key_citations": 0, "score": 0, "title": "Interface Design Principles for High Performance Organic Semiconductor Devices", "venue": "Advanced science", "year": 2015 } ]
Black and white image automatic coloring machine
[ { "abstract": "A wafer bin map (WBM) which is the result of an electrical die sorting test, provides information on which bins failed what tests, and plays an important role in finding defective wafer patterns in semiconductor manufacturing. Current wafer inspection based on WBM has two problems: good/bad WBM classification is performed by engineers and the bin code coloring scheme does not reflect the relationship between bin codes. To solve these problems, we propose a neural network based bin coloring method called Bin2Vec to make similar bin codes are represented by similar colors. We also build a convolutional neural network based WBM classification model to reduce the variations in the decisions made by engineers with different expertise by learning the company wide historical WBM classification results. Based on a real dataset with a total of 27,701 WBMs, our WBM classification model significantly outperformed benchmarked machine learning models. In addition, the visualization results of the proposed Bin2Vec method makes it easier to discover meaningful WBM patterns compared with the random RGB coloring scheme. We expect the proposed framework to improve both efficiencies by automating the bad wafer classification process and effectiveness by assigning similar bin codes and their corresponding colors on the WBM.", "author_names": [ "Junhong Kim", "Hyungseok Kim", "Jaesun Park", "Kyounghyun Mo", "Pilsung Kang" ], "corpus_id": 116259654, "doc_id": "116259654", "n_citations": 4, "n_key_citations": 0, "score": 1, "title": "Bin2Vec: A Better Wafer Bin Map Coloring Scheme for Comprehensible Visualization and Effective Bad Wafer Classification", "venue": "Applied Sciences", "year": 2019 }, { "abstract": "Generative Adversarial Networks (GANs) has shown its dramatical success, especially in computer vision applications. In this paper, inspired by traditional GANs, we propose Multi GANs which is an architecture of multiple generative adversarial networks that works together. Whilst, the GANs are successful to generate images which looks realistic but the real world problems are much more complicated than a GANs can perform a desirable outcome to the whole of the problem space. Therefore, our approach divides each problem space into the several smaller and of course much more homogeneous subspaces. We propose then a GANs for each sub space that can learn to mimic any distribution of data with lower lost. The results of each GANs for all sub spaces then merge together to perform the original preliminary space. We evaluated our approach on Pseudo Coloring which is a very difficult and ill posed problem among the computer vision community. The experimental results show much more realistic characteristics for the generated images also its superiority in comparison to the traditional approaches.", "author_names": [ "Mohammad Zare", "Kimia Bazargan Lari", "Mahdi Jampour", "Pirooz Shamsinejad" ], "corpus_id": 199488391, "doc_id": "199488391", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Multi GANs and its application for Pseudo Coloring", "venue": "2019 4th International Conference on Pattern Recognition and Image Analysis (IPRIA)", "year": 2019 }, { "abstract": "The recovery of musical heritage currently necessarily involves its digitalization, not only by scanning images, but also by the encoding in computer readable formats of the musical content described in the original manuscripts. In general, this encoding can be done using automated tools based with what is named Optical Music Recognition (OMR) or manually writing directly the corresponding computer code. The OMR technology is not mature enough yet to extract the musical content of sheet music images with enough quality, and even less from handwritten sources, so in many cases it is more efficient to encode the works manually. However, being currently MEI (Music Encoding Initiative) the most appropriate format to store the encoding, it is a totally tedious code to be manually written. Therefore, we propose a new format named *mens allowing a quick manual encoding, from which both the MEI format itself and other common representations such as Lilypond or the transcription in MusicXML can be generated. By using this approach, the antiphony Salve Regina for eight voice choir written by Jeronimo de la Torre (1607 1673) has been successfully encoded and transcribed.", "author_names": [ "David Rizo Valero", "Nieves Pascual Leon", "Craig Stuart Sapp" ], "corpus_id": 67883279, "doc_id": "67883279", "n_citations": 3, "n_key_citations": 1, "score": 0, "title": "White Mensural Manual Encoding: from Humdrum to MEI", "venue": "", "year": 2019 }, { "abstract": "We introduce the new Birds to Words dataset of 41k sentences describing fine grained differences between photographs of birds. The language collected is highly detailed, while remaining understandable to the everyday observer (e.g. \"heart shaped face,\" \"squat body\" Paragraph length descriptions naturally adapt to varying levels of taxonomic and visual distance drawn from a novel stratified sampling approach with the appropriate level of detail. We propose a new model called Neural Naturalist that uses a joint image encoding and comparative module to generate comparative language, and evaluate the results with humans who must use the descriptions to distinguish real images. Our results indicate promising potential for neural models to explain differences in visual embedding space using natural language, as well as a concrete path for machine learning to aid citizen scientists in their effort to preserve biodiversity.", "author_names": [ "Maxwell Forbes", "Christine Kaeser-Chen", "Piyush Sharma", "Serge J Belongie" ], "corpus_id": 202542687, "doc_id": "202542687", "n_citations": 17, "n_key_citations": 3, "score": 0, "title": "Neural Naturalist: Generating Fine Grained Image Comparisons", "venue": "EMNLP/IJCNLP", "year": 2019 }, { "abstract": "This paper describes a non invasive, automatic, and robust method for calibrating a scalable RGB D sensor network based on retroreflective ArUco markers and the iterative closest point (ICP) scheme. We demonstrate the system by calibrating a sensor network comprised of six sensor nodes positioned in a relatively large industrial robot cell with an approximate size of 10 mx10 mx4 m. Here, the automatic calibration achieved an average Euclidean error of 3 cm at distances up to 9.45 m. To achieve robustness, we apply several innovative techniques: Firstly, we mitigate the ambiguity problem that occurs when detecting a marker at long range or low resolution by comparing the camera projection with depth data. Secondly, we use retroreflective fiducial markers in the RGB D calibration for improved accuracy and detectability. Finally, the repeating ICP refinement uses an exact region of interest such that we employ the precise depth measurements of the retroreflective surfaces only. The complete calibration software and a recorded dataset are publically available and open source.", "author_names": [ "Atle Aalerud", "Joacim Dybedal", "Geir Hovland" ], "corpus_id": 91187736, "doc_id": "91187736", "n_citations": 9, "n_key_citations": 0, "score": 0, "title": "Automatic Calibration of an Industrial RGB D Camera Network Using Retroreflective Fiducial Markers", "venue": "Sensors", "year": 2019 }, { "abstract": "Artificial Intelligent Systems are increasingly used to support early diagnosis of multiple relevant diseases. The spread of these systems is boosted by the application of machine learning techniques on datasets (also in the form of videos and images) obtained from different information sources. A key role is played by artificial vision systems that are in charge of reasoning on data acquired from different devices, including smartphones. The facility to disseminate and share information let to the globalization of medical protocols previously used just in some world's areas. This is the case of tongue inspection, widely used in Traditional Chinese Medicine (TCM) to perform a diagnosis, which allows physicians to obtain useful indications on the state of internal organs by observing the color and the consistency of patient's tongue. The current interest in tongue's image analysis is also motivated by the possibility of performing a first self analysis on a possible disease suggesting further medical investigation. The paper is a non exhaustive overview of the features most frequently used in artificial vision systems contextualized to tongue analysis. It highlights shortcomings in some of the existing studies and provides insights for future research. Our work aims to provide a unifying view that can support the researchers working on Tongue Colored Image Analysis.", "author_names": [ "Eugenio Vocaturo", "Ester Zumpano", "Pierangelo Veltri" ], "corpus_id": 197928226, "doc_id": "197928226", "n_citations": 6, "n_key_citations": 0, "score": 0, "title": "On discovering relevant features for tongue colored image analysis", "venue": "IDEAS", "year": 2019 }, { "abstract": "Verification and robustness testing of machine learning algorithms for autonomous driving is crucial. Due to the increasing complexity and quantity of those systems in a single vehicle, just driving the required distance with a newly developed vehicle is not feasible anymore: billions of hours on the street without failure are necessary to qualify for industry standards like ISO 26262. That is where simulation comes into play: machine learning algorithms are trained and evaluated on well known image data sets like KITTI or Cityscapes. But today's data sets mostly contain images taken under perfect weather conditions and therefore do not harden optical object detection algorithms against various weather conditions. This paper focuses on reusing these established and labeled data sets by augmenting them with adverse weather effects like snow and fog. Those effects are rendered physically correct and life like while being added to existing real world images. Thanks to easy parametrization the weather influences may be varied as necessary and allow for finely tuned learning and optimization processes. The weather effects are evaluated with regard to realism and impact on an established object detection algorithm. These newly created weather influenced images may be used to validate or train new object detection algorithms.", "author_names": [ "Alexander von Bernuth", "Georg Volk", "Oliver Bringmann" ], "corpus_id": 208633105, "doc_id": "208633105", "n_citations": 6, "n_key_citations": 0, "score": 0, "title": "Simulating Photo realistic Snow and Fog on Existing Images for Enhanced CNN Training and Evaluation", "venue": "2019 IEEE Intelligent Transportation Systems Conference (ITSC)", "year": 2019 }, { "abstract": "The article discusses one of the latest ways to colorize a black and white image using deep learning methods. For colorization, a convolutional neural network with a large number of layers (Deep convolutional) is used, the architecture of which includes a ResNet model. This model was pre trained on images of the ImageNet dataset. A neural network receives a black and white image and returns a colorized color. Since, due to the characteristics of ResNet, an input multiple of 255 is received, a program was written that, using frames, enlarges the image for the required size. During the operation of the neural network, the CIE Lab color model is used, which allows to separate the black and white component of the image from the color. For training the neural network, the Place 365 dataset was used, containing 365 different classes, such as animals, landscape elements, people, and so on. The training was carried out on the Nvidia GTX 1080 video card. The result was a trained neural network capable of colorizing images of any size and format. As example we had a speed of 0.08 seconds and an image of 256 by 256 pixels in size. In connection with the concept of the dataset used for training, the resulting model is focused on the recognition of natural landscapes and urban areas.", "author_names": [ "Dar'ia Mikhalina", "Daria Mikhalina", "Aleksandr Kuz'menko", "Konstantin Dergachev", "Vitalii Shkaberin", "Vitaliy Shkaberin" ], "corpus_id": 208226323, "doc_id": "208226323", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Image Colorization", "venue": "GraphiCon'2019 Proceedings. Volume 2", "year": 2019 }, { "abstract": "In this study, deep learning method coupled with near infrared (NIR) hyperspectral imaging (HSI) technique was used for nondestructively determining total viable count (TVC) of peeled Pacific white shrimp. Firstly, stacked auto encoders (SAE) was conducted as a big data analytical method to extract 20 deep hyperspectral features from NIR hyperspectral image (900 1700 nm) of peeled shrimp stored at 4 degC, and the extracted features were used to predict TVC by fully connected neural network (FNN) The SAE FNN method obtained high prediction accuracy for determining TVC, with RP2 0.927. Additionally, TVC spatial distribution of peeled shrimp during storage could be visualized via applying the established SAE FNN model. The results demonstrate that SAE FNN combined with HSI technique has a potential for non destructive prediction of TVC in peeled shrimp, which supply a novel method for the hygienic quality and safety inspections of shrimp product.", "author_names": [ "Shiting Wen", "Jinqiu Yang" ], "corpus_id": 146717667, "doc_id": "146717667", "n_citations": 5, "n_key_citations": 0, "score": 0, "title": "Using deep learning and hyperspectral imaging to predict total viable count (TVC) in peeled Pacific white shrimp", "venue": "Journal of Food Measurement and Characterization", "year": 2019 }, { "abstract": "Blood cell segmentation is an important research topic in Hematology and other related fields. In this article, a technique for microscopic images segmentation is proposed in order to extract the white blood cells (WBC) and its components (nucleus, cytoplasm) from the red blood cells and plasma. The image is represented in different color spaces, Haralick features extracted from the chromatic co occurrence matrices (CCM) are used to characterize the textures present in these color images. A pre treatment is carried out to extract the background (plasma) in order to reduce the execution time and noise. Segmentation has been done by supervised pixel based classification using support vector machines (SVM) The proposed method was tested on twenty seven real microscopic color images with promising results and nucleus recognition accuracy reaching 95%", "author_names": [ "Mohammed Lamine Benomar", "Mourtada Benazzouz", "Mostafa El Habib Daho" ], "corpus_id": 201622476, "doc_id": "201622476", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Colour Texture Features Based Approach for White Blood Cells Segmentation", "venue": "2019 International Conference on Networking and Advanced Systems (ICNAS)", "year": 2019 } ]
develoment technology node
[ { "abstract": "Moore's law, the principle that has powered semiconductor scaling for the past 50 years is nearing its end. However, the industry would like to pursue a dimensional scaling roadmap to reap the full benefit of technology innovation. Results shown on this paper demonstrate traditional dimensional scaling approaches involving multi patterned lithography would skyrocket the manufacturing cost. Design level techniques collectively known as scaling boosters, and innovative Complementary FET (CFET) devices would help to reduce the cost of the technology nodes. To the best of our knowledge, this is the first approach where semiconductor node transitions are benchmarked based on their economic feasibility. To summarize, we have formulated a cost driven approach that can guide the industry to continue semiconductor scaling.", "author_names": [ "Arindam Mallik", "Julien Ryckaert", "Ryan Ryoung han Kim", "Peter Debacker", "Stefan Decoster", "Frederic Lazzarino", "Romain Ritzenthaler", "Naoto Horiguchi", "Diederik Verkest", "Anda Mocuta" ], "corpus_id": 198930913, "doc_id": "198930913", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Economics of semiconductor scaling a cost analysis for advanced technology node", "venue": "2019 Symposium on VLSI Technology", "year": 2019 }, { "abstract": "This paper signifies the transient analysis of the PLL and is implemented in cadence tool using 180nm technology node. Frequency is expected to be in GHz range for present communication systems to increase the speed and therefore PLL is designed to produce the frequency in GHz range. The designed PLL consists of Phase frequency detector/Charge pump (PFD/CP) second order Low pass filter (LPF) and Schmitt trigger based current starved voltage controlled oscillator (CSVCO) PLL is designed to achieve a stable frequency output. The designed PLL produces 1.084 GHz with 2.382mW of average power consumption.", "author_names": [ "Sanjana Hokrani", "T C Thanuja", "Krishna Kumaraswamy" ], "corpus_id": 215738638, "doc_id": "215738638", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Design and implementation of Phase Locked Loop on 180nm Technology node", "venue": "2018 4th International Conference for Convergence in Technology (I2CT)", "year": 2018 }, { "abstract": "For more than a decade, the semiconductor manufacturing industry has anticipated the introduction of Extreme Ultraviolet Lithography (EUVL) into high volume manufacturing (HVM) The readiness of the supporting EUV resists is one of the requirements for HVM. While the industry is planning to introduce EUVL into HVM at 7 nm node, it is important to address the availability of the resists for future generations and in particular for the high NA EUVL which will have the patterning capability down to 8 nm half pitch. In this study we report on the performance of promising EUV resists evaluated by EUV interference lithography (EUV IL) at the Swiss Light Source (SLS) at the Paul Scherrer Institut (PSI) We evaluated EUV resists that are being developed as candidate materials for future technology nodes and we assessed their potential for high NA EUV lithography. Several new chemically amplified resists (CARs) and non CAR resists have been investigated with the aim to resolve patterns down to 10 nm hp. While, up to now, CARs performance reached down to 13 nm half pitch (hp) only, we report about a recent CAR that can partially resolve lines down to 11 nm hp. Moreover, some other non CAR resists have achieved resolutions down to 10 nm. We evaluated essential parameters, such as critical dimension (CD) and line edge roughness as a function of dose and we estimated the exposure latitude (EL) Furthermore, we report on the ultimate extendibility of CAR platform materials in manufacturing, and on novel resist platforms developed to address the challenges in the patterning at hp 10 nm.", "author_names": [ "Zuhal Tasdemir", "Xiao-long Wang", "Iacopo Mochi", "Lidia van Lent-Protasova", "Marieke Meeuwissen", "Rolf Custers", "Gijsbert Rispens", "Rik Hoefnagels", "Yasin Ekinci" ], "corpus_id": 139608034, "doc_id": "139608034", "n_citations": 10, "n_key_citations": 0, "score": 1, "title": "Evaluation of EUV resists for 5nm technology node and beyond", "venue": "Photomask Technology", "year": 2018 }, { "abstract": "Crackstops play an important role in protecting the IC prime from moisture ingress as well as impinging cracks. The introduction of thinner BEoL dielectric layers and ULK materials in advanced CMOS technology resulted in more vulnerable crackstop structures. Through Silicon Via (TSV) technology allows the creation of solid copper monolith walls that lack any seams or weak points associated with the conventional layer by layer construction of traditional die seals or crackstops. It was found in previous work [1] that the solid copper crackstop monolith was a superior structure with nearly two times the likelihood to stop the propagation of impinging cracks. However, laboratory experiments demonstrated that cracks were able to bypass the impenetrable solid copper wall through either the underlying silicon interface or unintended thin oxide interface on the upper section of the monolith wall. To address this issue a series of different crackstop architectures that use through silicon via technology have been proposed. These designs incorporate and leverage different architectural elements in an attempt to force the crack's propagation path into the solid copper wall. To assess the various proposed crackstop design's efficiency at stopping a crack, a series of FEM simulations were performed. Simulations were used to study the possibility of crack propagation in a BEoL/Far BEoL stack at the following interfaces: a) copper/copper or copper/aluminum interface; b) the dielectric surrounding the crackstop; and c) the copper/Si interface. Simulations showed in the case of an added bumper extension that cracks are three times less likely to propagate in the Far BEOL at the Al/Cu interface and two times less likely to propagate at the Cu/Si interface. Simulations also showed that the bumper extension with sacrificial dielectric is very efficient in trapping the cracks. Cracks were two times more probable to propagate away from the Cu/Si interface into the sacrificial dielectric and above it.", "author_names": [ "Mohamed A Rabie", "Nicholas Alexander Polomoff", "Md Khaled Hassan", "Victoria L Calero-DdelC", "Danielle Degraw", "Michael Hecker", "Michael Thiele", "El Mehdi Bazizi" ], "corpus_id": 51972167, "doc_id": "51972167", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Innovative Design of Crackstop Wall for 14nm Technology Node and Beyond", "venue": "2018 IEEE 68th Electronic Components and Technology Conference (ECTC)", "year": 2018 }, { "abstract": "We demonstrate an integration approach to enable 16nm half pitch interconnects suitable for the 5nm technology node using 193i Lithography, SADP, SAQP, three times Litho Etch (LE3) and tone inversion. A silicon verified DOE experiment on a SAQP process suggests a tight process window for core etch and spacer depositions. We also show a novel process flow which enable us to pattern tight pitch metal cut (block) and effectively scale the trench CD to 12nm at pitch 32nm. Finally we discuss line resistance and resistivity obtained for the 16nm and 12nm trenches created using 193i integration flow.", "author_names": [ "B Briggs", "C J Wilson", "Katia Devriendt", "Marleen H van der Veen", "Stefan Decoster", "Sara Paolillo", "Janko Versluijs", "Els Kesters", "Farid Sebaai", "Nicolas Jourdan", "Zaid El-Mekki", "N Heylen", "P Verdonck", "D Wan", "Olalla Varela Pedreira", "Kris Croes", "Shibesh Dutta", "Julien Ryckaert", "Arindam Mallik", "Stephane Lariviere", "Jurgen Bommels", "Zsolt Tokei" ], "corpus_id": 43826195, "doc_id": "43826195", "n_citations": 8, "n_key_citations": 0, "score": 0, "title": "N5 technology node dual damascene interconnects enabled using multi patterning", "venue": "2017 IEEE International Interconnect Technology Conference (IITC)", "year": 2017 }, { "abstract": "In 28nm technology node, developing an enough lithographic process window of PO layer is one of the most basic requirements. Bigger PO DOF means better PO CD uniformity, which is very important to the device performance.", "author_names": [ "Bin-Jie Jiang", "Shimizu Yu", "Zhibiao Mao" ], "corpus_id": 12823736, "doc_id": "12823736", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "DOF enhancement of 3bar PO pattern in 28nm technology node", "venue": "2017 China Semiconductor Technology International Conference (CSTIC)", "year": 2017 }, { "abstract": "The overlay control is one of the main challenges for advanced lithography in sub 28 nm technology node. There are two kind of overlay metrology in use in semiconductor industry: most conventional image based overlay (IBO) metrology and advanced diffraction based overlay(DBO) metrology. In this paper we will compare these two methods through 3 critical production layers, focusing on the accuracy and the total measurement uncertainty (TMU) for the standard overlay targets of both techniques. The results show that both the accuracy and TMU of DBO method are superior to the traditional IBO method, which makes DBO method applicable at the 28nm and below technology node.", "author_names": [ "Jian Xu", "Long Qin", "Qiaoli Chen", "Hui Zhi", "Yanyun Wang", "Zhengkai Yang", "Zhibiao Mao" ], "corpus_id": 42564681, "doc_id": "42564681", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Diffraction based and image based overlay evaluation for advanced technology node", "venue": "2017 China Semiconductor Technology International Conference (CSTIC)", "year": 2017 }, { "abstract": "Single PR (photoresist) photo works well in implantation process for planar transistors, however, it suffers severe PR residue issue in FinFET technology node due to the three dimensional fin/gate structure and large wafer surface topography. New integration scheme with BARC (Bottom Anti Reflective Coating) coating/etching was developed to solve this problem. In this work, BARC etching process was compared on two different types of commercial etcher. Key factors which influenced the BARC etching uniformity, remaining PR thickness and BARC profile were discussed. Finally, we delivered a BARC etching process with desired physical profile and improved device performance.", "author_names": [ "Long-Juan Tang", "Qiu-hua Han", "Hai-yang Zhang" ], "corpus_id": 20387188, "doc_id": "20387188", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Barc open in FinFET technology node", "venue": "2017 China Semiconductor Technology International Conference (CSTIC)", "year": 2017 }, { "abstract": "The Root Mean Square current (Irms) has been explored on both N doped un silicided poly and silicided poly at 40nm technology node. It is found that poly resistance current (RI) curves show an initially high resistance due to Schottky Rectifying contact. However, poly Irms can be estimated similar to metal Irms. Parameters for poly Irms calculation is provided on the basis of 5degC Joule heating.", "author_names": [ "Xiangfu Zhao", "Wei-Ting Kary Chien", "Kelly Yang" ], "corpus_id": 44209671, "doc_id": "44209671", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Exploration of poly Irms based on 40nm technology node", "venue": "2017 China Semiconductor Technology International Conference (CSTIC)", "year": 2017 }, { "abstract": "In this paper, a cylindrical double gate all around technology is merged with junctionless technology to maximize gate control as well as to avoid loss due to the formation of junction in the source channel drain region. This advancement allows downscaling a junctionless cylindrical double gate all around FET (JL CDGAA FET) up to 5nm channel length with acceptable performance in many of its parameters. A comparative study between JL CDGAA FET and GAA FET is also presented in this work.", "author_names": [ "N M Mahmud Hossain", "Sakib Quader", "A Siddik", "Md Iqbal Bahar Chowdhury" ], "corpus_id": 46755585, "doc_id": "46755585", "n_citations": 6, "n_key_citations": 0, "score": 0, "title": "TCAD based performance analysis of junctionless cylindrical double gate all around FET up to 5nm technology node", "venue": "2017 20th International Conference of Computer and Information Technology (ICCIT)", "year": 2017 } ]
Raman studies of semiconducting oxide nanobelts
[ { "abstract": "Crystalline nanobelts of ZnO and SnO2 were prepared from a thermal evaporation of oxide powders inside an alumina tube in the absence of catalysts. Typical dimensions of the nanobelt samples ranged from approximately 10 to 100 microns in length, 30 to 300 nm in width, and 6 to 30 nm in thickness. Room temperature Raman spectra were obtained on pressed mats of nanobelt samples and compared with the corresponding spectra of the starting oxide powders and bulk materials. Collectively, our Raman data indicated that the as prepared nanobelt samples used in this study were oxygen deficient. Upon annealing at 900 degrees C in flowing oxygen for 1 h, the nanobelt samples exhibited Raman features that corresponded to those expected in respective bulk semiconducting oxides. The dimensions of the nanobelts were a bit too large to expect significant quantum size effects on the phonon structure similar to those observed in carbon nanotubes and short period semiconductor superlattices.", "author_names": [ "K Mcguire", "Zhengwei Pan", "Zhong Lin Wang", "Daniel E Milkie", "Jose Luis Menendez", "Apparao M Rao" ], "corpus_id": 15193328, "doc_id": "15193328", "n_citations": 55, "n_key_citations": 0, "score": 1, "title": "Raman studies of semiconducting oxide nanobelts.", "venue": "Journal of nanoscience and nanotechnology", "year": 2002 }, { "abstract": "Abstract In this study, we report a synthesis of nanobelts form zinc (Zn) doped molybdenum oxide (MoO 3 with different weight percentages (2% 3.3% 4% and 5% The synthesized nanobelts grain size is of 45 nm. The preparation process and characterization techniques are presented in detail. Structural properties are studied by using the X ray diffraction technique, scanning electron microscopy and transmission electron microscopy. The optical properties are investigated by using the Fourier transform infrared spectroscopy, UV Vis reflectance spectra analysis, Raman spectroscopy, and fluorescence techniques. It was founded that Zn doping concentration highly influences the crystallographic structure where it passes from the insertion to the substitution alloys. The band gap decreases from 2.96 eV to 2.83 eV. The good structural and optical properties were obtained for the 5%Zn. The photocatalytic effect of the materials was studied for the pure and 5%Zn doped MoO 3 and it was denoted that Zn doping influences it highly.", "author_names": [ "Amal L Al-Otaibi", "Taher Ghrib", "Mody Alqahtani", "M A Alharbi", "Ridha Hamdi", "Imen Massoudi" ], "corpus_id": 197265392, "doc_id": "197265392", "n_citations": 13, "n_key_citations": 0, "score": 0, "title": "Structural, optical and photocatalytic studies of Zn doped MoO3 nanobelts", "venue": "Chemical Physics", "year": 2019 }, { "abstract": "", "author_names": [ "Aurangzeb Khan", "Saima Khan", "W M Jadwisienczak", "Martin E Kordesch" ], "corpus_id": 99426899, "doc_id": "99426899", "n_citations": 15, "n_key_citations": 0, "score": 0, "title": "Raman Spectroscopic Studies of Monoclinic Gallium Oxide b Ga 2 O 3 Nanostructures: A Comparison Between Nanowires and Nanobelts", "venue": "", "year": 2009 }, { "abstract": "", "author_names": [ "Aurangzeb Khan", "Saima Khan", "W M Jadwisienczak", "Martin E Kordesch" ], "corpus_id": 137120653, "doc_id": "137120653", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Raman spectroscopic studies of monoclinic Gallium Oxide \\beta Ga$_{2}$O$_{3} Nanostructures: A comparison between nanowires vs. nanobelts", "venue": "", "year": 2009 }, { "abstract": "The structure, conductivity, and sensor properties of nanostructured semiconducting composites consisting of binary mixtures of In 2 O 3 with SnO 2 ZnO and CeO 2 additives were investigated. The study considered the dependence of these properties on composition of materials and temperature. Such binary composites have been found to be promising for highly sensitive conductometric sensors for H 2 and CO. To prepare the nanocomposites, In 2 O 3 crystals of 70 nm average size were kept for long duration in aqueous solutions of Sn, Zn, and Ce salts. The resulting slurry was subjected to heat treatment at 300 degC for 4 5 hrs in order to decompose the salts into the corresponding oxides which were deposited on the surface of the In 2 O 3 nanocrystals. The structure of the composites was analyzed by Raman spectroscopy, transmission electron spectroscopy (TEM) and X ray spectroscopy. It is shown that in the synthesized In 2 O 3 SnO 2 In 2 O 3 ZnO, and In 2 O 3 CeO 2 nanocomposite systems, the value of SnO 2 CeO2, and ZnO nanocluster size is 8 9 nm. The resistance and sensor properties of the nanocomposites changes dramatically for different concentrations of components in mixtures. A kinetic model of sensor processes in rich and poor by electrons nanostructured semiconductors, which allows us to a quantitative description of the sensor effect including dependence of sensor sensitivity on temperature is presented. This consideration is based on the previously developed model of electron structure of semiconductor nanoparticle. Concurrently, experiments are performed to quantify the sensor response by corresponding nanostructured thin film as a function of temperature and hydrogen concentration upon addition of hydrogen gas to the gas medium. The measured response is described well by the theoretical model developed in this work.", "author_names": [ "leonid trakh" ], "corpus_id": 139436929, "doc_id": "139436929", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Sensor properties of In2O3 based semiconducting binary metal oxide nanocomposites L.I. Trakhtenberg, G.N. Gerasimov, V.F. Gromov, M.I. Ikim, O.J. Ilegbusi, M.A. Kozhushner, V.L. Bodneva, V.S. Posvyanskii", "venue": "", "year": 2018 }, { "abstract": "Semiconducting oxide nanoparticles have proven to be excellent in detecting extremely low concentrations of molecules through surface enhanced Raman scattering (SERS) effects. While the enhancement of the Raman activities arises from a large increase in polarizability due to charge transfer from the molecule to the semiconducting nanoparticle, little is known about how the oxide composition, nanoparticle size, solvent, or pH affects the observed Raman activities. In the current study, we examine these effects by carrying out extensive computational investigations of semiconducting TiO2, SnO2 and Fe2O3 nanoparticles and their complexes with both catechol and dopamine. An increase in the size of the oxide cluster or a decrease in the pH of the system under observation leads to enhanced Raman activities; the variation of the activities in different solvents is very much dependent on the nature of the vibrational modes. The marked increase in the Raman activities of molecules adsorbed on SnO2 or Fe2O3 over th.", "author_names": [ "Pilarisetty Tarakeshwar", "Daniel Finkelstein-Shapiro", "Sarah J Hurst", "Tijana Rajh", "Vladimiro Mujica" ], "corpus_id": 97900133, "doc_id": "97900133", "n_citations": 67, "n_key_citations": 1, "score": 0, "title": "Surface Enhanced Raman Scattering on Semiconducting Oxide Nanoparticles: Oxide Nature, Size, Solvent, and pH Effects", "venue": "", "year": 2011 }, { "abstract": "In this study, F doped vanadium oxide thin films with doping levels up to 60 at were prepared by spray pyrolysis method on glass substrates. To measure the electrochemical properties, some films were deposited on fluorine tin oxide coated glass substrates. The effect of F doping on the structural, electrical, optical and electrochemical properties of vanadium oxide samples was investigated. The X ray diffractographs analysis has shown that all the samples grow in tetragonal b V2O5 phase structure with the preferred orientation of [200] The intensity of (200) peak belonging to b V2O5 phase was strongest in the undoped vanadium oxide film. The scanning electron microscopy images show that the samples have nanorod and nanobelt shaped structure. The size of the nanobelts in the F doped vanadium oxide films is smaller than that in the pure sample and the width of the nanobelts increases from 30 to 70 nm with F concentration. With increasing F doping level from 10 to 60 at the resistivity, the transparency and the optical band gap decrease from 111 to 20 O cm, 70 to 50% and 2.4 to 2.36 eV, respectively. The cyclic voltammogram (CV) results show that the undoped sample has the most extensive CV and by increasing F doping level from 20 to 60 at the area of the CV is expanded. The anodic and cathodic peaks in F doped samples are stronger.", "author_names": [ "Maliheh Mousavi", "Gh H Khorrami", "Ahmad Kompany", "Sh Tabatabai Yazdi" ], "corpus_id": 104057732, "doc_id": "104057732", "n_citations": 6, "n_key_citations": 0, "score": 0, "title": "Structural, optical and electrochemical properties of F doped vanadium oxide transparent semiconducting thin films", "venue": "", "year": 2017 }, { "abstract": "Abstract In this study, we report the fabrication of high performance gas sensor based on a heterojunction structure in which Fe2O3 nanoparticles were used to decorate MoO3 nanobelts. The pure MoO3 nanobelts exhibited a response to 100 parts per million (ppm) of xylene (ratio of resistance to air and gas 9.08) with no obviously lower cross responses to 100 ppm of ethanol, acetone, benzene, toluene, methanol and butanol. Compared with pristine MoO3 nanobelts, Fe2O3 nanoparticles decorated nanobelts demonstrated about 2 4 times higher response toward xylene. The enhanced sensing properties of Fe2O3 nanoparticles decorated MoO3 heterostructured nanobelts can be attributed to the formation of heterojunction between Fe2O3 and MoO3. These results, combined with other reported literature, indicate that controlled engineering of surface loading/decorating is an effective strategy for designing highly sensitive and selective semiconducting metal oxide based gas sensors.", "author_names": [ "Fengdong Qu", "Xinxin Zhou", "Shendan Zhang", "Chunjie Jiang", "Shengping Ruan", "Minghui Yang" ], "corpus_id": 139359434, "doc_id": "139359434", "n_citations": 28, "n_key_citations": 0, "score": 0, "title": "Fe2O3 nanoparticles decorated MoO3 nanobelts for enhanced chemiresistive gas sensing", "venue": "Journal of Alloys and Compounds", "year": 2019 }, { "abstract": "The stimuli responsive behaviour of charge transfer donor acceptor metal organic frameworks (MOFs) remains an understudied phenomenon which may have applications in tuneable electronic materials. We now report the modification of donor acceptor charge transfer characteristics in a semiconducting tetrathiafulvalene naphthalene diimide based MOF under applied electrochemical bias and pressure. We employ a facile solid state in situ Raman spectroelectrochemical technique, applied for the first time in the characterisation of electroactive MOFs, to monitor the formation of a new complex TTFTC. DPNI from a largely neutral system, upon electrochemical oxidation of the framework. In situ pressure dependent Raman spectroscopy and powder X ray diffraction experiments performed in a diamond anvil cell revealed blue shifts in the donor and acceptor vibrational modes in addition to contractions in the unit cell which are indicative of bond shortening. This study demonstrates the utility of in situ Raman spectroscopic techniques in the characterisation of redox active MOFs and the elucidation of their electronic behaviours.", "author_names": [ "Pavel M Usov", "Chanel F Leong", "Bun Chan", "Mikihiro Hayashi", "Hiroshi Kitagawa", "Joshua J Sutton", "Keith C Gordon", "Idan Hod", "Omar K Farha", "Joseph T Hupp", "Matthew A Addicoat", "Agnieszka Beata Kuc", "Thomas Heine", "Deanna M D'Alessandro" ], "corpus_id": 52915302, "doc_id": "52915302", "n_citations": 13, "n_key_citations": 0, "score": 0, "title": "Probing charge transfer characteristics in a donor acceptor metal organic framework by Raman spectroelectrochemistry and pressure dependence studies.", "venue": "Physical chemistry chemical physics PCCP", "year": 2018 }, { "abstract": "Raman scattering enhancement was observed in systems where different metal oxide semiconductors (TiO2, Fe2O3, ZrO2 and CeO2) were modified with enediol ligands. The intensity of Raman scattering was dependent on laser frequency and correlated with the extinction coefficient of the charge transfer complex of the enediol ligands and nanoparticles. The intensity and frequency of the Raman bands was found to depend on the chemical composition of the enediol ligand and the chemical composition (and crystallinity) of the nanoparticles. The intensity of the Raman signal depends on the number of surface binding sites, electron density of the ligands and their dipole moment. We also found that Raman scattering is observed for the bioconjugated system, where a peptide is linked to the surface of the particle through a catechol linker. These studies are important since these bioconjugates can be used to form the basis of Raman based, in vitro and importantly in vivo biodetection, cell labeling and imaging, and nanotherapeutic strategies.", "author_names": [ "Sarah J Hurst", "H Christopher Fry", "David J Gosztola", "Daniel Finkelstein-Shapiro", "Vladimiro Mujica", "Tijana Rajh" ], "corpus_id": 121783823, "doc_id": "121783823", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Mechanistic studies into the Raman enhancement of enediol semiconducting nanoparticle conjugates and their use in biological applications", "venue": "NanoScience Engineering", "year": 2010 } ]
free assesment grade two
[ { "abstract": "Atomically thin transition metal dichalcogenides (TMDs) are of interest for next generation electronics and optoelectronics. Here, we demonstrate device ready synthetic tungsten diselenide (WSe2) via metal organic chemical vapor deposition and provide key insights into the phenomena that control the properties of large area, epitaxial TMDs. When epitaxy is achieved, the sapphire surface reconstructs, leading to strong 2D/3D (i.e. TMD/substrate) interactions that impact carrier transport. Furthermore, we demonstrate that substrate step edges are a major source of carrier doping and scattering. Even with 2D/3D coupling, transistors utilizing transfer free epitaxial WSe2/sapphire exhibit ambipolar behavior with excellent on/off ratios ~107) high current density (1 10 mA*mm 1) and good field effect transistor mobility ~30 cm2*V 1*s 1) at room temperature. This work establishes that realization of electronic grade epitaxial TMDs must consider the impact of the TMD precursors, substrate, and the 2D/3D interface as leading factors in electronic performance.", "author_names": [ "Yu-Chuan Lin", "B Jariwala", "Brian M Bersch", "Ke Xu", "Yifan Nie", "Baoming Wang", "Sarah M Eichfeld", "Xiaotian Zhang", "Tanushree H Choudhury", "Yi Pan", "Rafik Addou", "Christopher M Smyth", "Jun Li", "Kehao Zhang", "M Aman Haque", "Stefan Folsch", "Randall M Feenstra", "Robert M Wallace", "Kyeongjae Cho", "Susan K Fullerton-Shirey", "Joan M Redwing", "Joshua A Robinson" ], "corpus_id": 3658613, "doc_id": "3658613", "n_citations": 102, "n_key_citations": 2, "score": 1, "title": "Realizing Large Scale, Electronic Grade Two Dimensional Semiconductors.", "venue": "ACS nano", "year": 2018 }, { "abstract": "The effects of radiation and Hall current on an unsteady magnetohydrodynamic free convective flow in a vertical channel filled with a porous medium have been studied. We consider an incompressible viscous and electrically conducting incompressible viscous second grade fluid bounded by a loosely packed porous medium. The fluid is driven by an oscillating pressure gradient parallel to the channel plates, and the entire flow field is subjected to a uniform inclined magnetic field of strength Ho inclined at an angle of inclination a with the normal to the boundaries in the transverse xy plane. The temperature of one of the plates varies periodically, and the temperature difference of the plates is high enough to induce the radiative heat transfer. The effects of various parameters on the velocity profiles, the skin friction, temperature field, rate of heat transfer in terms of their amplitude, and phase angles are shown graphically.", "author_names": [ "M VeeraKrishna", "G V Subba Reddy", "Ali J Chamkha" ], "corpus_id": 125552044, "doc_id": "125552044", "n_citations": 55, "n_key_citations": 1, "score": 0, "title": "Hall effects on unsteady MHD oscillatory free convective flow of second grade fluid through porous medium between two vertical plates", "venue": "", "year": 2018 }, { "abstract": "Completeness of mast cell tumour (MCT) excision is determined by assessment of histologically tumour free margins (HTFM) The HTFM width necessary to prevent local recurrence (LR) recognized as histologic safety margin (HSM) in human oncology, has not been defined. We hypothesized that HTFM width would correlate with risk for LR and high grade tumours would require wider HTFM than low grade tumours. Records of dogs with completely excised MCTs were included. Signalment, two tier tumour grade, tumour size, HTFM width, recurrence and therapy data was collected. High grade (n 39) tumours were more likely to recur than low grade (n 51) tumours (35.9% versus 3.9% P 0.0001, with no association between HTFM width and LR. Twenty nine percent of low grade tumours had HTFM less than 3 mm; none recurred. Narrow =3 mm) histologic margins are likely adequate to prevent LR of low grade tumours. High grade tumours have significant risk of LR regardless of HTFM width.", "author_names": [ "Lauren Donnelly", "Christopher Mullin", "Jody A Balko", "Michael H Goldschmidt", "E L Krick", "C Hume", "D C Brown", "Karin U Sorenmo" ], "corpus_id": 6324957, "doc_id": "6324957", "n_citations": 45, "n_key_citations": 2, "score": 0, "title": "Evaluation of histological grade and histologically tumour free margins as predictors of local recurrence in completely excised canine mast cell tumours.", "venue": "Veterinary and comparative oncology", "year": 2015 }, { "abstract": "PURPOSE Surgery is curative therapy for pediatric low grade gliomas (LGGs) in areas of the brain amenable to complete resection. However, LGGs located in areas where complete resection is not possible can threaten both function and life. The purpose of this study was to compare two chemotherapy regimens for LGGs in children younger than age 10 years for whom radiotherapy was felt by the practitioner to pose a high risk of neurodevelopmental injury. PATIENTS AND METHODS Previously untreated children younger than age 10 years with progressive or residual LGGs were eligible. Children were randomly assigned to receive carboplatin and vincristine (CV) or thioguanine, procarbazine, lomustine, and vincristine (TPCV) Children with neurofibromatosis are reported separately. Results Of 274 randomly assigned patients who met eligibility requirements, 137 received CV and 137 received TPCV. The 5 year event free survival (EFS) and overall survival (OS) rates for all eligible patients were 45% 3.2% and 86% 2.2% respectively. The 5 year EFS rates were 39% 4% for CV and 52% 5% for TPCV (stratified log rank test P .10; cure model analysis P .007) On multivariate analysis, factors independently predictive of worse EFS and OS were younger age and tumor size greater than 3 cm(2) Tumor location in the thalamus was also associated with poor OS. CONCLUSION The difference in EFS between the regimens did not reach significance on the basis of the stratified log rank test. The 5 year EFS was higher for TPCV on the basis of the cure model analysis. Differences in toxicity may influence physician choice of regimens.", "author_names": [ "Joann L Ater", "Tianni Zhou", "Emi Holmes", "Claire M Mazewski", "Timothy N Booth", "David R Freyer", "K H Lazarus", "Roger J Packer", "M Prados", "Richard Sposto", "Gilbert Vezina", "Jeffrey H Wisoff", "Ian F Pollack" ], "corpus_id": 731148, "doc_id": "731148", "n_citations": 233, "n_key_citations": 10, "score": 0, "title": "Randomized study of two chemotherapy regimens for treatment of low grade glioma in young children: a report from the Children's Oncology Group.", "venue": "Journal of clinical oncology official journal of the American Society of Clinical Oncology", "year": 2012 }, { "abstract": "Abstract The aim of this work is to establish a two dimensional (2D) and quasi three dimensional (quasi 3D) shear deformation theories, which can model the free vibration of FG plates resting on elastic foundations using a new shear strain shape function. The proposed theories have a novel displacement field which includes undetermined integral terms and contains fewer unknowns with taking into account the effects of both transverse shear and thickness stretching. The mechanical properties of the plates are assumed to vary through the thickness according to a power law distribution in terms of the volume fractions of the constituents. The elastic foundation parameters are introduced in the present formulation by following the Pasternak (two parameters) mathematical model. Hamilton's principle is employed to determine the equations of motion. The closed form solutions are derived by using Navier's method and then fundamental frequencies are obtained by solving the results of eigenvalue problems. The efficiency of the proposed theory is ascertained by comparing the results of numerical examples with the different 2D, 3D and quasi 3D solutions found in literature.", "author_names": [ "Fatima Zohra Zaoui", "D Ouinas", "Abdelouahed Tounsi" ], "corpus_id": 139482874, "doc_id": "139482874", "n_citations": 98, "n_key_citations": 0, "score": 0, "title": "New 2D and quasi 3D shear deformation theories for free vibration of functionally graded plates on elastic foundations", "venue": "Composites Part B: Engineering", "year": 2019 }, { "abstract": "Abstract In this study, bending, free vibration, and buckling response of functionally graded porous micro plates are investigated using the classical and first order shear deformation plate theories. The Navier solution technique is utilized to obtain analytical solutions to simply supported rectangular plates. A power law distribution is used to model the variation of two material constituents through the plate thickness. Three different porosity distributions are considered and assumed to take forms of cosine functions. The microstructure dependent size effects are captured using the modified couple stress theory. Numerical results of bending, free vibration, and buckling are presented to determined the effects of constituent material variation, microstructure dependent size effects, and porosity distributions on the mechanical response of functionally graded porous micro plates.", "author_names": [ "Jinseok Kim", "Krzysztof Kamil Zur", "J N Reddy" ], "corpus_id": 139517390, "doc_id": "139517390", "n_citations": 117, "n_key_citations": 1, "score": 0, "title": "Bending, free vibration, and buckling of modified couples stress based functionally graded porous micro plates", "venue": "Composite Structures", "year": 2019 }, { "abstract": "OBJECTIVE An integrated analysis was undertaken to characterize the antitumor activity and safety profile of the oral poly(ADP ribose) polymerase inhibitor rucaparib in patients with relapsed high grade ovarian carcinoma (HGOC) METHODS Eligible patients from Study 10 (NCT01482715) and ARIEL2 (NCT01891344) who received a starting dose of oral rucaparib 600mg twice daily (BID) with or without food were included in these analyses. The integrated efficacy population included patients with HGOC and a deleterious germline or somatic BRCA1 or BRCA2 (BRCA1/2) mutation who received at least two prior chemotherapies and were sensitive, resistant, or refractory to platinum based chemotherapy. The primary endpoint was investigator assessed confirmed objective response rate (ORR) Secondary endpoints included duration of response (DOR) and progression free survival (PFS) The integrated safety population included patients with HGOC who received at least one dose of rucaparib 600mg BID, irrespective of BRCA1/2 mutation status and prior treatments. RESULTS In the efficacy population (n=106) ORR was 53.8% (95% confidence interval [CI] 43.8 63.5) 8.5% and 45.3% of patients achieved complete and partial responses, respectively. Median DOR was 9.2months (95% CI, 6.6 11.6) In the safety population (n=377) the most frequent treatment emergent adverse events (AEs) were nausea, asthenia/fatigue, vomiting, and anemia/hemoglobin decreased. The most common grade =3 treatment emergent AE was anemia/hemoglobin decreased. Treatment emergent AEs led to treatment interruption, dose reduction, and treatment discontinuation in 58.6% 45.9% and 9.8% of patients, respectively. No treatment related deaths occurred. CONCLUSIONS Rucaparib has antitumor activity in advanced BRCA1/2 mutated HGOC and a manageable safety profile.", "author_names": [ "Amit M Oza", "Anna V Tinker", "Ana Oaknin", "Ronnie Shapira-Frommer", "Iain A McNeish", "Elizabeth M Swisher", "Isabelle Ray-Coquard", "Katherine M Bell-McGuinn", "Robert L Coleman", "David M O'Malley", "Alexandra Leary", "Lee-may Chen", "Diane Provencher", "Ling Ma", "James D Brenton", "Gottfried E Konecny", "Cesar M Castro", "Heidi Giordano", "Lara Maloney", "Sandra M Goble", "Kevin K Lin", "James X Sun", "Mitch Raponi", "Lindsey Rolfe", "Rebecca S Kristeleit" ], "corpus_id": 3417750, "doc_id": "3417750", "n_citations": 142, "n_key_citations": 7, "score": 0, "title": "Antitumor activity and safety of the PARP inhibitor rucaparib in patients with high grade ovarian carcinoma and a germline or somatic BRCA1 or BRCA2 mutation: Integrated analysis of data from Study 10 and ARIEL2.", "venue": "Gynecologic oncology", "year": 2017 }, { "abstract": "BACKGROUND Less than 50% of all high grade non Hodgkin lymphoma (NHL) patients experience lasting disease free survival. Risk adapted treatment strategies require better tools for prediction of outcome. This investigation aimed to assess the value of positron emission tomography with 2 [18F]fluoro 2 deoxy D glucose (FDG PET) after two to three cycles of chemotherapy for prediction of progression free survival (PFS) and overall survival (OS) PATIENTS AND METHODS One hundred and twenty one patients with high grade NHL underwent FDG PET. The therapy response on FDG PET was correlated to PFS and OS using Kaplan Meier survival analysis. Cox regression analyses were employed to test for independence of known pretreatment prognostic factors. RESULTS Fifty FDG PET scans were negative, 19 scans showed minimal residual uptake (MRU) and 52 scans were positive. The estimated 5 year PFS was 88.8% for the PET negative group, 59.3% for the MRU group, and 16.2% for the PET positive group. Kaplan Meier analyses showed strong associations between FDG PET results and PFS (P <0.0001) and OS (P <0.01) Early interim FDG PET was independent of the other prognostic factors. CONCLUSIONS Early interim FDG PET is an accurate and independent predictor of PFS and OS. An early assessment of chemotherapy response with FDG PET could provide the basis for selection of patients for alternative therapeutic strategies.", "author_names": [ "N George Mikhaeel", "Martin Hutchings", "Paul A Fields", "Michael O'Doherty", "A R Timothy" ], "corpus_id": 24783826, "doc_id": "24783826", "n_citations": 393, "n_key_citations": 16, "score": 0, "title": "FDG PET after two to three cycles of chemotherapy predicts progression free and overall survival in high grade non Hodgkin lymphoma.", "venue": "Annals of oncology official journal of the European Society for Medical Oncology", "year": 2005 }, { "abstract": "Purpose The purpose of this study was to examine outcomes associated with hormonal maintenance therapy (HMT) compared with routine observation (OBS) after primary cytoreductive surgery and platinum based chemotherapy in women with stage II to IV low grade serous carcinoma of the ovary or peritoneum. Patients and Methods Eligibility criteria for patients from our database were: treatment with primary surgery followed by platinum based chemotherapy, stage II to IV disease, at least 2 years of follow up for patients who had not experienced recurrence, and adequate clinical information. The two groups were compared for progression free survival (PFS) and overall survival, and a multivariable Cox regression analysis was performed. Subset analyses for patients who were disease free or had persistent disease were also performed. Results Between 1981 and 2013, 203 eligible patients 133 who underwent OBS and 70 who received HMT were seen at our institution. Median PFS for patients who underwent OBS was 26.4 months, compared with 64.9 months for those who received HMT P .001) No statistically significant difference in overall survival was observed between the two groups (102.7 v 115.7 months, respectively) For subgroups of women who were disease free or had persistent disease, median PFS was superior for those who received HMT (81.1 v 30.0 months; P .001 and 38.1 v 15.2 months; P .001, respectively) Women who received HMT had a significantly lower risk of disease progression compared with those who underwent OBS (hazard ratio, 0.44; 95% CI, 0.31 to 0.64; P .001) Conclusion Women with stage II to IV low grade serous carcinoma who received HMT after primary treatment had significantly longer PFS compared with women who underwent OBS. These findings warrant further investigation using a prospective trial design.", "author_names": [ "David M Gershenson", "Diane C Bodurka", "Robert L Coleman", "Karen H Lu", "Anais Malpica", "Charlotte C Sun" ], "corpus_id": 3631669, "doc_id": "3631669", "n_citations": 111, "n_key_citations": 8, "score": 0, "title": "Hormonal Maintenance Therapy for Women With Low Grade Serous Cancer of the Ovary or Peritoneum.", "venue": "Journal of clinical oncology official journal of the American Society of Clinical Oncology", "year": 2017 }, { "abstract": "This paper presents a free vibration analysis of functionally graded materials nano plate resting on Winkler Pasternak elastic foundations based on two variable refined plate theories including the porosities effect. The small scale effects are introduced using the nonlocal elasticity theory with a new shear deformation function. The governing equations are obtained through the Hamilton's principle. The effect of material property, porosities, various boundary conditions and elastic foundation stiffnesses on free vibration functionally graded materials nanoplate are also presented and discussed in detail. The present solutions are compared with those obtained by other researchers. The results are in a good agreement with those in the literature.", "author_names": [ "I Mechab", "Belaid Mechab", "Samir Benaissa", "Boualem Serier", "Bachir Bachir Bouiadjra" ], "corpus_id": 124881063, "doc_id": "124881063", "n_citations": 58, "n_key_citations": 0, "score": 0, "title": "Free vibration analysis of FGM nanoplate with porosities resting on Winkler Pasternak elastic foundations based on two variable refined plate theories", "venue": "", "year": 2016 } ]
two level pfc
[ { "abstract": "Finding and comparing power losses in power electronics topologies is most commonly done by using IGBT switching losses from datasheets. The method in this paper, however, is an approach using a measurement setup, of which the data are used in MATLAB Simulink in combination with Plexim PLECS. Using this method, losses are analyzed in T type, flying capacitor and diode clamped multi level PFC topologies, and compared with the losses in a two level PFC circuit. Analysis of the topologies is done for both rectifier and inverter mode, using IGBTs with Si and SiC diodes. The results show the lowest losses in rectifier mode are achieved with the T type three level topology for ail simulated switching frequencies. In inverter mode, however, the diode clamped and flying capacitor topologies perform equal, with lower losses than the T type PFC, while, for switching frequencies below 30kHz, the two level topology has the lowest losses.", "author_names": [ "Bas J D Vermulst", "Jorge L Duarte" ], "corpus_id": 21877428, "doc_id": "21877428", "n_citations": 4, "n_key_citations": 0, "score": 1, "title": "Losses evaluation of two level and three level PFC topologies based on semiconductor measurements", "venue": "2013 IEEE ECCE Asia Downunder", "year": 2013 }, { "abstract": "In this paper, a comprehensive efficiency evaluation of several single phase bridgeless Power Factor Correction (PFC) boost rectifier topologies is conducted. Both two level and three level bridgeless PFC boost rectifier topologies are considered; including paralleled and interleaved variants of each. To improve efficiency, a three level bridgeless PFC boost rectifier with an AC switch is proposed. Loss analysis of the topologies are provided, which shows the advantage of three level converters and interleaved systems in achieving high efficiency.", "author_names": [ "Qiong Wang", "Bo Wen", "Rolando Burgos", "Dushan Boroyevich", "Adam M White" ], "corpus_id": 30920308, "doc_id": "30920308", "n_citations": 5, "n_key_citations": 0, "score": 0, "title": "Efficiency evaluation of two level and three level bridgeless PFC boost rectifiers", "venue": "2014 IEEE Applied Power Electronics Conference and Exposition APEC 2014", "year": 2014 }, { "abstract": "Conventional critical conduction mode (CRM) control for many boost derived power factor correction (PFC) circuits forms triangular inductor current. For three level boost (TLB) converter, the conventional method is unattractive because losses increase but waveform quality remains unchanged, compared to other topologies. In order to obtain higher efficiency and improved waveform qualities, this article focuses on the distinctive structure of TLB and its inherent degree of freedom (DOF) in current slope shaping. Based on the DOF, a new CRM control for TLB PFC is proposed and analyzed. Detailed design and digital implementation method are also provided. Different from conventional methods, the proposed CRM control divides each switching cycle into three parts including common on time of two switches, additional on time of one switch and common off time. Accordingly, TLB inductor current is synthesized in quadrangular forms. The analyses and experimental results confirm that switching frequency and peak input current of TLB can be reduced by the proposed control. Due to the reductions, efficiency, total harmonic distortion, and quality of input current are improved with practically unchanged power factor.", "author_names": [ "Moonhyun Lee", "Jong-Woo Kim", "Jih-Sheng Jason Lai" ], "corpus_id": 214594740, "doc_id": "214594740", "n_citations": 3, "n_key_citations": 3, "score": 0, "title": "Digital Based Critical Conduction Mode Control for Three Level Boost PFC Converter", "venue": "IEEE Transactions on Power Electronics", "year": 2020 }, { "abstract": "Active pulsating power buffering (PPB) is an effective technique to reduce the energy storage requirement of a single phase power factor correction (PFC) rectifier. Existing single phase solutions with active PPB, however, generally suffer from high voltage stresses, leading to increased power losses as well as the need for high voltage rating semiconductor switches. Previous works have been focusing on two level switching converter configurations, and thus have failed to address the high voltage stress problem. In this paper, a single phase three level flying capacitor PFC rectifier with PPB embedded switching is proposed. The flying capacitor not only clamps the voltage stresses of all power devices but also functions as a PPB capacitor. The operating principles, control methods, and design guidelines are detailed and the feasibility of the proposed converter is verified through a 48 W (48 V/1 A) hardware prototype. The proposed rectifier is shown to achieve nearly 50% reduction of the voltage stresses, 72% reduction of the buffering capacitor's volume, and 23.8% reduction of the magnetic core size, as compared to a state of the art two level solution recently proposed. This new approach of formulating single phase PFC rectifiers with active PPB could dramatically boost the system's efficiency and power density whilst reducing cost.", "author_names": [ "Wenlong Qi", "Sinan Li", "Huawei Yuan", "Siew-Chong Tan", "Shu Yuen Ron Hui" ], "corpus_id": 117172667, "doc_id": "117172667", "n_citations": 16, "n_key_citations": 0, "score": 0, "title": "High Power Density Single Phase Three Level Flying Capacitor Buck PFC Rectifier", "venue": "IEEE Transactions on Power Electronics", "year": 2019 }, { "abstract": "This paper introduces a flying capacitor multilevel (FCML) totem pole bridgeless power factor correction (PFC) rectifier for the high efficiency and high density switching power supplies of data centers. A 3kW four level FCML totem pole PFC with 200V GaN devices is discussed and designed in this paper. Compared with the conventional two level GaN totem pole PFC, this four level FCML GaN totem pole PFC utilizes the low voltage GaN devices, reduces the switching voltage, reduces the voltage stress on the inductor and increases the equivalent ripple frequency of the inductor. Since the reduced switching voltage also reduces the dv/dt, the EMI noises are also reduced. Based on the commercial cubic composite inductors, a modular compact and low profile inductor design method is introduced for this four level totem pole PFC. A high density 3kW four level FCML GaN totem pole PFC prototype is developed and tested. The working principles, control strategy and performance of this PFC rectifier are verified by the experimental results. The estimated peak efficiency of the prototype is 99% and the power density is about 125W/in3.", "author_names": [ "Qingyun Huang", "Qingxuan Ma", "Pengkun Liu", "Alex Q Huang", "Michael de Rooij" ], "corpus_id": 208632199, "doc_id": "208632199", "n_citations": 3, "n_key_citations": 2, "score": 0, "title": "3kW Four Level Flying Capacitor Totem Pole Bridgeless PFC Rectifier with 200V GaN Devices", "venue": "2019 IEEE Energy Conversion Congress and Exposition (ECCE)", "year": 2019 }, { "abstract": "This paper presents a three level quasi two stage single phase power factor correction (PFC) converter that has flexible output voltage and improved conversion efficiency. The proposed PFC converter features sinusoidal input current, three level output characteristic, and a wide range of output dc voltages, and it will be very suitable for high power applications where the output voltage can be either lower or higher than the peak ac input voltage, e.g. plug in hybrid electric vehicle charging systems. Moreover, the involved dc/dc buck conversion stage may only need to process partial input power rather than full scale of the input power, and therefore the system overall efficiency can be much improved. Through proper control of the buck converter, it is also possible to mitigate the double line frequency ripple power that is inherent in a single phase ac/dc system, and the resulting load end voltage will be fairly constant. The dynamic response of this regulation loop is also very fast and the system is therefore insensitive to external disturbances. Both simulation and experimental results are presented to show the effectiveness of this converter as well as its efficiency improvement against a conventional two stage solution.", "author_names": [ "Yi Tang", "Dexuan Zhu", "Chi Jin", "Peng Wang", "Frede Blaabjerg" ], "corpus_id": 34011681, "doc_id": "34011681", "n_citations": 38, "n_key_citations": 3, "score": 1, "title": "A Three Level Quasi Two Stage Single Phase PFC Converter with Flexible Output Voltage and Improved Conversion Efficiency", "venue": "IEEE Transactions on Power Electronics", "year": 2015 }, { "abstract": "In this paper, a control algorithm of a three phase three level power factor correction (PFC) rectifier, using a mathematical analysis of two level space vector modulation (SVM) is presented. The proposed control scheme was developed, in order to generate the duty cycle signals for each switching device based on the discontinuous space vector modulation (DSVM) method. For this proposal it is not essential to determine the direction of line currents and sector of vectors, only to recognize the angle of the input reference voltage. Furthermore, the number of commutations of switches and switching losses are reduced due to the DSVM method. Simulation and calculation results can confirm the feasibility of the control algorithm and performance of the proposed rectifier.", "author_names": [ "Ong-ard Tubburee", "Yuttana Kumsuwan" ], "corpus_id": 41538410, "doc_id": "41538410", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Current sector control for a three phase three level PFC rectifier", "venue": "2015 9th International Conference on Power Electronics and ECCE Asia (ICPE ECCE Asia)", "year": 2015 }, { "abstract": "In this paper, a control algorithm of a three phase three level power factor correction (PFC) rectifier, using a mathematical analysis of continuous space vector modulation (CSVM) is presented. The proposed control scheme, in order to generate the duty cycle signals for each switching device with no need of to determine the direction of line currents and sector of vectors, only to recognize the angle of the input reference voltage, is developed. For this proposal, the operating constraints of the three phase three level rectifier with the two level space vector modulation strategy are examined carefully, and the proposed control algorithm ensures that the input line currents are in phase with the respective voltages. Simulation and calculation results can confirm the feasibility of the control algorithm and performance of the proposed rectifier.", "author_names": [ "Ong-ard Tubburee", "Yuttana Kumsuwan" ], "corpus_id": 14877671, "doc_id": "14877671", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Continuous SVM strategy with current sector control concept for a three phase three level PFC rectifier", "venue": "2015 12th International Conference on Electrical Engineering/Electronics, Computer, Telecommunications and Information Technology (ECTI CON)", "year": 2015 }, { "abstract": "This paper presents LCL filter design method for three phase two level power factor correction (PFC) using line impedance stabilization network (LISN) A straightforward LCL filter design along with variation in grid impedance is not simply achievable and inevitably lead to an iterative solution for filter. By introducing of fast power switches for PFC applications such as silicon carbide, major current harmonics around the switching frequency drops in the region that LISN can actively provide well defined impedance for measuring the harmonics (i.e. 9 kHz 30MHz) Therefore, LISN can be replaced with unknown grid impedance at high frequency, simplify the model of the filter, and provide repetitive measurements. In this paper, all the filter parameters are derived with analyzing the behavior of the converter at high frequency with presence of LISN impedance. The minimum required filter capacitor is derived using the current ripple behavior of converter side inductor. The grid side inductor is achieved as a function of LISN impedance to fulfill the grid regulation. To verify the analyses, an LCL filter is designed for a 5 kW SiC based PFC. The simulation and experimental results support the validity of the method.", "author_names": [ "Alireza Kouchaki", "Morten Nymand" ], "corpus_id": 39549704, "doc_id": "39549704", "n_citations": 8, "n_key_citations": 0, "score": 0, "title": "LCL filter design for three phase two level power factor correction using line impedance stabilization network", "venue": "2016 IEEE Applied Power Electronics Conference and Exposition (APEC)", "year": 2016 }, { "abstract": "This paper presents a Scott transformer based three phase, two switch PFC (Power Factor Correction) boost rectifier for improving the power quality in a three level diode clamped inverter (DCI) feeding indirect rotor field oriented control (FOC) based induction motor drive (IMD) Sinusoidal unity power factor source current and dc link voltage regulation are achieved in the proposed rectifier only with two switches. The three level DCI is supplied by a split dc link obtained from a Scott connected transformer feeding a rectifier. It is shown that a three level DCI achieves reduced stator current with lower voltage harmonic content even at a lower switching frequency as compared to a two level inverter. This results in reduction of voltage stress on motor windings for the same amount of torque ripple when indirect rotor FOC algorithm is implemented for the IMD. A MATLAB based simulation model of proposed PFC rectifier is developed for validating the design and to verify that the power quality issues in three level DCI fed IMD are adequately addressed. The performance of the system is studied and compared with a conventional diode rectifier fed vector controlled IMD for different operating conditions of the drive.", "author_names": [ "Gurumoorthy Bhuvaneswari", "B Singh", "Sandeep Madishetti" ], "corpus_id": 44901905, "doc_id": "44901905", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "Three phase, two switch PFC rectifier fed three level VSI based FOC of induction motor drive", "venue": "2012 IEEE Fifth Power India Conference", "year": 2012 } ]
HZO W FERAM
[ { "abstract": "The HfZrOx (HZO) ferroelectric material is a promising material for ferroelectric memory and is compatible with the semiconductor process for ferroelectric random access memory (FeRAM) and negative capacitance field effect transistor. However, defects often exist in the grain boundary to influence the performance or reliability of devices. In addition, uniformity between devices must be considered when they are mass produced. Therefore, the grain size will become important in determining the performance and reliability. In this study, we use electrical measurements of current voltage, capacitance voltage, and polarization voltage measurements to test high and low temperature deposition devices, with a transmission electron microscope (TEM) image to confirm the grain size. Finally, we propose a model to explain the phenomenon and provide a method to obtain better ferroelectric memory.", "author_names": [ "Wen-Chung Chen", "Yong-Ci Zhang", "Yu-Hsuan Yeh", "Kao-Yuan Wang", "Tsung-Ming Tsai", "Jen-Wei Huang" ], "corpus_id": 236482562, "doc_id": "236482562", "n_citations": 0, "n_key_citations": 0, "score": 1, "title": "Performance Improvement by Modifying Deposition Temperature in HfZrOx Ferroelectric Memory", "venue": "IEEE Transactions on Electron Devices", "year": 2021 }, { "abstract": "The potential of thickness scaling in ferroelectric Hf0.5Zr0.5O2 (HZO) is investigated by a systematic study on MFM capacitors with HZO thickness from 9.5 nm down to 2.8 nm. We establish the thickness temperature mapping indicating a clear tradeoff between the thickness scaling and crystallization temperature, which has to be taken into account in the implementation as back end of line (BEOL) FeRAM. Utilizing the thickness scaling and high field wake up without reliability loss, we demonstrate 4 nm thick HZO having low crystallization temperature (500degC) excellent ferroelectricity (2Pr 25 mC/cm2) low operating voltage (0.7 1.2 V) and high read/write endurance (projected to 1014)", "author_names": [ "Kento Tahara", "Kasidit Toprasertpong", "Yukinobu Hikosaka", "Mitsuru Takenaka", "Shinichi Takagi" ], "corpus_id": 236982549, "doc_id": "236982549", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Strategy Toward HZO BEOL FeRAM with Low Voltage Operation 1.2 V) Low Process Temperature, and High Endurance by Thickness Scaling", "venue": "2021 Symposium on VLSI Technology", "year": 2021 }, { "abstract": "This paper experimentally demonstrates fundamental memory array operation of a ferroelectric HfO2 based 1 T1 C FeRAM. Metal/ferroelectric/metal (MFM) capacitors consisting of a TiN/ \\mathrm{Hf}_{0.5}\\mathrm{Zr}_{0.5}\\mathrm{O}_{2}\\mathrm{HZO}/TiN stack were optimized for a sub 500degC process. Structures revealed excellent performance such as remanent polarization $2\\mathrm{P}_{\\mathrm{r} 4\\vert \\mu\\mathrm{C}\\mathrm{cm}{2} endurance> 1011 cycles, and 10 years data retention at 85degC. Furthermore, the MFM capacitors were successfully integrated into a 64 kbit 1T1C FeRAM array including our dedicated circuit for array operation. Back end of line (BEOL) wiring showed no degradation of the underlying CMOS logic. Program and read operation were properly controlled resulting in 100 bit functionality at an operation voltage of2.5 Vand operating speed at 14 ns. This technology matches requirements of last level cash (LLC) and embedded non volatile memory (NVM) in low power System on a Chip (SoC) for IoT applications.", "author_names": [ "Jun Okuno", "Takafumi Kunihiro", "Kenta Konishi", "Hideki Maemura", "Yusuke Shute", "Fumitaka Sugaya", "Monica Materano", "Tarek Ali", "Kati Kuehnel", "Konrad Seide", "Uwe Schroeder", "Thomas Mikolajick", "Masanori Tsukamoto", "Taku Umebayashi" ], "corpus_id": 227277218, "doc_id": "227277218", "n_citations": 9, "n_key_citations": 0, "score": 0, "title": "SoC compatible 1 T1 C FeRAM memory array based on ferroelectric Hf0.5Zr0.5O2", "venue": "2020 IEEE Symposium on VLSI Technology", "year": 2020 }, { "abstract": "We demonstrate successful scalability of conventional 100mm diameter TiN/HZO/TiN capacitors down to 300nm by successfully co integrating them for the first time in the Back End Of Line of 130nm CMOS technology. Excellent performance are reported on those scaled bitcells, such as remnant polarization 2.PR 40mC/cm2, endurance 1011 cycles, switching speeds 100ns, operating voltages 4V, and data retention at 125degC. Presented results pave the way to 10fJ/bit ultra low power FeRAM for IoT applications.", "author_names": [ "Thomas Francois", "C Pellissier", "Stefan Slesazeck", "Viktor Havel", "Claudia Richter", "Adam Makosiej", "Bastien Giraud", "Evelyn T Breyer", "Monica Materano", "Philippe Chiquet", "Marc Bocquet", "Laurent Grenouillet", "Etienne Nowak", "Uwe Schroeder", "F Gaillard", "Jean Coignus", "Philippe Blaise", "C Carabasse", "Nicolas Vaxelaire", "Thomas Magis", "F Aussenac", "Virginie Loup" ], "corpus_id": 211210375, "doc_id": "211210375", "n_citations": 12, "n_key_citations": 0, "score": 0, "title": "Demonstration of BEOL compatible ferroelectric Hf0.5Zr0.5O2 scaled FeRAM co integrated with 130nm CMOS for embedded NVM applications", "venue": "2019 IEEE International Electron Devices Meeting (IEDM)", "year": 2019 }, { "abstract": "The recent discovery of ferroelectric behavior in doped hafnia based dielectrics, attributed to a non centrosymmetric orthorhombic phase, has potential for use in attractive applications such as negative differential capacitance field effect transistors (NCFET) and ferroelectric random access memory devices (FeRAM) Alloying with similar oxides like ZrO 2 doping with specific elements such as Si, novel processing methods, encapsulation and annealing schemes are also some of the techniques that are being explored to target structural modifications and stabilization of the non centrosymmetric phase. In this study, we utilized synchrotron based x ray diffraction in the grazing incidence in plane geometry (GIIXRD) to determine the crystalline phases in hafnia zirconia (HZO) compositional alloys deposited by atomic layer deposition (ALD) Here we compare and contrast the structural phases and ferroelectric properties of mechanically confined HZO films in metal insulator metal (MIM) and metal insulator semiconductor (MIS) structures. Both MIM and MIS structures reveals a host of reflections due to non monoclinic phases in the d spacing region between 1.75A to 4A. The non monoclinic phases are believed to consist of tetragonal and orthorhombic phases. Compared to the MIS structures a suppression of the monoclinic phase in MIM structures with 50% zirconia or less was observed. The correlation of the electrical properties with the structural analysis obtained by GIIXRD highlights the importance of understanding the effects of the underlying substrate (metal vs. Si) for different target applications.", "author_names": [ "Vineetha Mukundan", "Karsten Beckmann", "Kandabara Tapily", "Steven Consiglio", "Robert Clark", "Gert J Leusink", "Nathaniel C Cady", "Alain C Diebold" ], "corpus_id": 139293758, "doc_id": "139293758", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "Structural Correlation of Ferroelectric Behavior in Mixed Hafnia Zirconia High k Dielectrics for FeRAM and NCFET Applications", "venue": "MRS Advances", "year": 2019 }, { "abstract": "A novel 64 kbit one transistor one capacitor (1T1C) ferroelectric random access memory (FeRAM) array based on ferroelectric Hf0.5Zr0.5O2 (HZO) was proposed in a prior report. However, this array requires a low operation voltage for integration into advanced technology nodes, and its practical endurance remains unclear. To address these limitations, this study experimentally demonstrates the improved characteristics of a ferroelectric HfO2 based 1T1C FeRAM array. Thickness scaling of the ferroelectric HZO contributes to low voltage operation of 1T1C FeRAMs, yielding 100% bit functionality at an operation voltage of 2.0 V and operating speed of 16 ns. Furthermore, the endurance performance of the 1T1C FeRAM memory array was investigated for the first time. Excellent cycling endurance >108 cycles) at an accelerated stress voltage of 3.5 V at 85degC was experimentally observed. The 1 ppm RBER at 2.0 V, 100 ns, and 85degC operation was predicted to be >1018 cycles, based on the dependence of time to breakdown on the stress voltage. This technology matches the requirements of last level cache and low power systems on chips for Internet of things applications.", "author_names": [ "Jun Okuno", "Takafumi Kunihiro", "Kenta Konishi", "Hideki Maemura", "Yusuke Shuto", "Fumitaka Sugaya", "Monica Materano", "Tarek Ali", "Maximilian Lederer", "Kati Kuehnel", "Konrad Seidel", "Uwe Schroeder", "Thomas Mikolajick", "Masanori Tsukamoto", "Taku Umebayashi" ], "corpus_id": 235308348, "doc_id": "235308348", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "High Endurance and Low Voltage operation of 1T1C FeRAM Arrays for Nonvolatile Memory Application", "venue": "2021 IEEE International Memory Workshop (IMW)", "year": 2021 }, { "abstract": "Hf0.5Zr0.5O2 (HZO) ferroelectric random access memory (FeRAM) has been demonstrated in 130nm node with 1T1C structure. To scale FeRAM to 28nm or beyond, a high aspect ratio embedded DRAM like 3D cylinder capacitor is expected to ensure sufficient cell capacitance and sense margin. In this work, we investigate an alternative approach with 2T1C structure that takes advantages of a back end of line (BEOL) oxide channel writing transistor, a small planar ferroelectric capacitor, and a silicon logic reading transistor. Firstly, the proof of concept of 2T1C bit cell was experimentally demonstrated. Then, the scalability towards 28nm was simulated with array level parasitics. Thanks to the transconductance reading out mechanism, a 784 nm2 ferroelectric capacitor in 2T1C could significantly reduce energy consumption 6.5 11x compared to the traditional 1T1C FeRAM with similar cell area at 28nm.", "author_names": [ "Jae Hur", "Yuan-Chun Luo", "Wonbo Shim", "Asif Islam Khan", "Shimeng Yu" ], "corpus_id": 235307752, "doc_id": "235307752", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "A Technology Path for Scaling Embedded FeRAM to 28nm with 2T1C Structure", "venue": "2021 IEEE International Memory Workshop (IMW)", "year": 2021 }, { "abstract": "Abstract The quest for non volatile memories has attracted tremendous attention, especially in mature ferroelectric random access memory (FeRAM) with properties of high read/write speed and low power consumption. Strain engineering of multiferroic BiFeO 3 (BFO) has recently become the subject of broad research interest because of its intriguing properties. In this study, we demonstrate the switchable diode characteristics in highly strained BFO thin films. Using a unique in situ electrical transmission electron microscopy (TEM) we verify the correlation between ferroelectric resistive switching with multilevel states and polarization reversal. Structural investigation confirms that the phase transition from mixed phase to pure T like phase, accompanying with the polarization reversal by external bias, is the origin of the multilevel states. The switchable diode with multilevel resistive switching can be explained in terms of the variation of the barrier height, governed by ferroelectric polarization and polarity of the external bias. This research model, i.e. engineering of the room inside, can offer an approach toward high density memories.", "author_names": [ "C H Chiu", "Chun-Wei Huang", "Ying-Hui Hsieh", "Jui-Yuan Chen", "Chia-Fu Chang", "Y H Chu", "Wen-Wei Wu" ], "corpus_id": 114157734, "doc_id": "114157734", "n_citations": 21, "n_key_citations": 0, "score": 0, "title": "In situ TEM observation of Multilevel Storage Behavior in low power FeRAM device", "venue": "", "year": 2017 }, { "abstract": "Energy efficient, high throughput, noise immune, high density HZO FeFET Computation in Memory (CiM) is proposed. Local Multiply Global Accumulate Array is realized by source follower read, which multiplies neural network inputs and weights (FeFET VTH) and charge sharing, which accumulates multiplied values. Proposed CiM is immune to read disturb. After 10 year data retention, 3 bit/cell FeFET is feasible. x64 energy efficient, 66 TOPS/W, reliable multiply accumulate (MAC) operation is achieved.", "author_names": [ "Chihiro Matsui", "Kasidit Toprasertpong", "Shinichi Takagi", "Ken Takeuchi" ], "corpus_id": 236483165, "doc_id": "236483165", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Energy Efficient Reliable HZO FeFET Computation in Memory with Local Multiply Global Accumulate Array for Source Follower Charge Sharing Voltage Sensing", "venue": "2021 Symposium on VLSI Circuits", "year": 2021 }, { "abstract": "Energy efficient, high throughput, noise immune, high density HZO FeFET Computation in Memory (CiM) is proposed. Local Multiply Global Accumulate Array is realized by source follower read, which multiplies neural network inputs and weights (FeFET VTH) and charge sharing, which accumulates multiplied values. Proposed CiM is immune to read disturb. After 10 year data retention, 3 bit/cell FeFET is feasible. x64 energy efficient, 66 TOPS/W, reliable multiply accumulate (MAC) operation is achieved.", "author_names": [ "Chihiro Matsui", "Kasidit Toprasertpong", "Shinichi Takagi", "Ken Takeuchi" ], "corpus_id": 236983048, "doc_id": "236983048", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Energy Efficient Reliable HZO FeFET Computation in Memory with Local Multiply Global Accumulate Array for Source Follower Charge Sharing Voltage Sensing", "venue": "2021 Symposium on VLSI Technology", "year": 2021 } ]
Transmission vidéo par fibre optique en utilisant le HEVC
[ { "abstract": "Cette these porte sur la numerisation de signaux hyperfrequences en utilisant une horloge optique, possedant une gigue temporelle tres faible en comparaison des horloges electroniques. Une faible gigue est un facteur cle de l'echantillonnage a haute performance, car l'horloge commande l'ouverture d'une \"porte\" qui extrait les echantillons du signal a intervalles reguliers. Cette these decrit deux approches originales l'echantillonnage purement optique et l'echantillonnage electro optique.Une porte electro optique se constitue d'une ligne coplanaire transportant le signal electrique. Cette ligne presente une discontinuite qui devient conductrice sur commande optique grace a un materiau photoconducteur. Les alliages semi conducteurs du groupe III V sont souvent utilises car la mobilite de ces porteurs photo generes est suffisamment elevee, ce qui est favorable a une bonne conductivite a l'etat \"on\" Le GaAs, en particulier, presente l'avantage d'une conductivite faible a l'etat \"off\" du fait de la largeur de la bande interdite electronique. Cela explique l'interet de ce materiau, cependant, cela impose aussi une contrainte sur la longueur d'onde de la source laser, expliquant l'utilisation de sources autour 800 nm.Dans cette these l'utilisation de sources laser a verrouillage de modes a fibre, developpees dans le domaine des Telecoms, donc plus facilement accessibles, tout en gardant le GaAs comme materiaux actif est exploree. Cela est possible en exaltant l'efficacite de l'absorption a deux photons, effet faible dans la plupart des structures. L'approche suivie porte sur l'utilisation d'une cavite a cristaux photoniques. Le tres fort confinement et le tres faible volume occupe par le mode optique se traduit en une tres forte absorption non lineaire. De plus, la nano structuration du semi conducteur reduit de maniere considerable le temps de vie des porteurs, ce qui permet un retour suffisamment rapide a l'etat \"off\" L'etude se conclut par la demonstration de la fonction d'echantillonnage.La meme fonction a ete etudiee dans le cas ou le signal hyperfrequence se trouve sur une porteuse optique. La fonction porte \"tout optique\" est realisee par un resonateur a cristaux photoniques. La generation de porteurs par absorption a deux photons induit un deplacement spectral de la resonance, ce qui est exploite pour moduler la transmission du dispositif. Une porte optique rapide, capable en principe de traiter des signaux depassant les 50 GHz a ete montree. Cette porte requiert une puissance de commande de l'ordre de 200 fJ/impulsion, ce qui est suffisamment faible pour utiliser des sources lasers compactes (diode laser) et, de ce fait, outre sa tres faible taille, peut etre integree facilement.", "author_names": [ "Gregory Moille" ], "corpus_id": 100949281, "doc_id": "100949281", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Non Linear Dynamics in Semiconductor Nano Structures for Signal Processing", "venue": "", "year": 2016 }, { "abstract": "Cette these est consacree a la conception et l'etude theorique par modelisation numerique des lasers inp comportant dans leur cavite un reseau echantillonne. Deux configurations ont ete concues et etudiees. La premiere est un laser a une seule section comportant un reseau echantillonne etendu sur toute la longueur du laser. Grace aux proprietes particulieres de filtrage du reseau echantillonne, nous montrons que ce type de laser peut conduire a un fonctionnement de type bimode dont l'ecart en frequence est defini par les parametres geometriques et physiques de ce nouveau laser. Le probleme classique du spatial hole burning qui attenuait un des deux modes du laser a ete resolu et interprete en utilisant pour la premiere fois, un reseau echantillonne dephase. Il a aussi ete demontre que l'optimisation du coefficient de couplage peut diminuer la dependance de l'ecart entre les modes du laser par une variation de la longueur de la cavite. Par consequence, l'application visee avec ce laser est la transmission fibre radio a 60ghz. La deuxieme configuration est un laser a deux sections integrees monolithiquement dont l'une est une cavite perot fabry et l'autre une cavite comportant un reseau echantillonne. Ces deux sections sont alimentees par des courants differents, au dessus du seuil pour la cavite pf et sous le seuil pour l'autre section. Nous montrons en utilisant l'effet vernier entre les spectres optiques de la cavite pf et celui du filtre du reseau echantillonne, qu'il est possible d'obtenir ainsi un laser monomode accordable par bond. L'application visee ici est la transmission optique dwdm.", "author_names": [ "Magdy Hussein Mourad" ], "corpus_id": 169936019, "doc_id": "169936019", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Etudes et conceptions des structures lasers a reseaux echantillonnes pour des applications radio/fibre DWDM", "venue": "", "year": 2000 }, { "abstract": "Cette these presente les principales realisations accomplies dans le cadre du projet de doctorat. Cette soumission par articles presente quatre publications effectuees au cours du projet de recherche ayant comme theme central les reseaux de Bragg. Realisee pour la premiere fois en 1978, l'ecriture par laser ultra violet (UV) de reseaux de Bragg a fibre est de nos jours une technique mature et repandue autant en industrie qu'en milieu academique. Leur propriete de reflechir la lumiere se propageant au travers des fibres optiques a mene a diverses applications en telecommunications, en lasers, ainsi qu'en divers capteurs de temperature, force, pression et indice de refraction. La technique etablie de fabrication se base generalement sur l'utilisation d'un masque de phase, element relativement couteux dont les caracteristiques se repercuteront sur le reseau de Bragg obtenu lors de son balayage par rayon UV. La fibre optique etant photosensible a ces longueurs d'onde, un motif periodique (le reseau de Bragg) peut y etre ecrit La longueur maximale, la periode, sa variation a travers le reseau (le chirp) le contraste d'indice et l'apodisation sont tous des caracteristiques qui pourront dependre du masque de phase utilise. Le premier objectif du projet de recherche est d'arriver a passer outre cette forte dependance aux caracteristiques du masque de phase dans l'ecriture des reseaux de Bragg sans faire de compromis sur la qualite des composants obtenus. Ce dernier aspect est ce qui demarque particulierement les techniques presentees par cette these des autres techniques presentees dans la litterature. La demarche fondamentale pour obtenir des reseaux ultra longs de profils arbitraires consiste a substituer l'approche de balayer le laser UV a travers le masque de phase par une approche ou le faisceau est fixe et ou la fibre se deplace au travers du faisceau. Pour obtenir un motif periodique, les franges d'interference elles memes qui doivent se deplacer en tandem avec la fibre. Pour ce faire, deux techniques sont utilisees une ayant recours a des modulateurs de phase electro optiques places dans chaque bras d'un interferometre Talbot et l'autre utilisant un masque de phase monte sur actionneur piezoelectrique. Une nouvelle approche utilisant un deplacement fin de l'interferometre est demontre pour la premiere fois comme etant essentielle a l'obtention de reseaux de Bragg ultra longs de haute qualite. Des reseaux de Bragg ultra longs (jusqu'a 1 metre) de haute qualite (i.e. dont le spectre de transmission correspond aux predictions theoriques) sont obtenus pour la premiere fois. Abstract This thesis presents the principal accomplishments realized during the PhD project. The thesis is presented by publication format and is a collection of four published articles having fiber Bragg gratings as a central theme. First achieved in 1978, UV writing of fiber Bragg gratings is nowadays a common and mature technology being present in both industry and academia. The property of reflecting light guided by optical fibers lead to diverse applications in telecommunication, lasers as well as several types of sensors. The conventional fabrication technique is generally based on the use of generally expensive phase masks which determine the obtained characteristics of the fiber Bragg grating. The fiber being photosensitive at those wavelengths, a periodic pattern can be written into it. The maximal length, the period, the chirp, the index contrast and the apodisation are all characteristics that depend on the phase mask. The first objective of the research project is to be able to go beyond this strong dependance on the phase mask without deteriorating grating quality. This is what really sets apart the technique presented in this thesis from other long fiber Bragg grating fabrication techniques available in the literature. The fundamental approach to obtain ultra long fiber Bragg gratings of arbitrary profile is to replace the scheme of scanning a UV beam across a phase mask to expose a fixed fiber by a scheme where the UV beam and phase mask are fixed and where the fiber is moving instead. To obtain a periodic index variation, the interference pattern itself must be synchronized with the moving fiber. Two variations of this scheme were implanted: the first one using electro optical phase modulator placed in each arm of a Talbot interferometer and the second one using a phase mask mounted on a piezo electric actuator. A new scheme that imparts fine movements of the interferometer is also implemented for the first time and showed to be essential to achieve high quality ultra long fiber Bragg gratings. High quality theory matching ultra long fiber Bragg gratings up to 1 meter long are obtained for the first time. The possibility of fabricating high quality ultra long fiber Bragg grating of more than 10 cm (approximately the maximal phase mask length) opens a variety of new applications otherwise impossible with short fiber Bragg grating technology. Ultra long fiber Bragg gratings have unique characteristics such as high reflectivity, high dispersion and ultra narrow bandwitdth.", "author_names": [ "Mathieu Gagne" ], "corpus_id": 194471457, "doc_id": "194471457", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Fabrication et applications des reseaux de Bragg ultra longs", "venue": "", "year": 2015 }, { "abstract": "Selon l'invention, des unites d'abonnes sont connectees a une station par l'intermediaire d'une fibre optique, la station multiplexe un signal video et des signaux, a l'exception du signal video, afin de distribuer le signal multiplexe a plusieurs unites d'abonnes, et chaque unite d'abonne separe le signal recu et comprend une section de repartition en longueurs d'onde qui enleve le signal possedant une longueur d'onde specifique.", "author_names": [ "M Katayama" ], "corpus_id": 135461613, "doc_id": "135461613", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Systeme de transmission de donnees", "venue": "", "year": 2000 }, { "abstract": "L'INVENTION CONCERNE UN SYSTEME DE TRANSMISSION D'INFORMATIONS COMPORTANT UN DISPOSITIF DE REGULATION DES NIVEAUX DES INFORMATIONS. CE DISPOSITIF DE REGULATION COMPORTE DES MOYENS 27 DE FILTRAGE D'UNE PORTEUSE MULTIPLEXEE EN FREQUENCE AUX INFORMATIONS, DES MOYENS 28 DE DETECTION CRETE A CRETE DU NIVEAU DE LA PORTEUSE, ET DES MOYENS 29 DE COMPARAISON DU NIVEAU DETECTE DE LA PORTEUSE A UN NIVEAU DE REFERENCE, DELIVRANT EN SORTIE UN SIGNAL QUI EST RECU PAR L'ENTREE DE CONTROLE AUTOMATIQUE DE GAIN (CAG) D'UN AMPLIFICATEUR 24, DE SORTE QUE CE DERNIER REGULE LES NIVEAUX DES INFORMATIONS RECUES AU MOYEN DE LA PORTEUSE. APPLICATION A LA TRANSMISSION VIDEO SUR FIBRE OPTIQUE.", "author_names": [ "Bertrand Jarret", "Georges Folcke", "Adalbert Maciaszek" ], "corpus_id": 191818638, "doc_id": "191818638", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Systeme de transmission d'informations comportant un dispositif de regulation des niveaux des informations", "venue": "", "year": 1982 }, { "abstract": "L'equipe Verres et Ceramiques developpe des fibres optiques originales qui sont a la base de la realisation de capteur optique operant dans l'infrarouge. L'interet et le potentiel de ces capteurs ont ete demontres dans des domaines varies, notamment en biologie et en medecine. L'objet de ce travail consiste a exploiter ce savoir faire pour realiser des guides optiques permettant la detection et le monitoring du CO2. Des premiers resultats ont ete obtenus en transmission classique entre deux fibres infrarouges. Ils ont montre qu'il est possible de detecter jusqu'a 0.5% de CO2. L'objectif actuel est d'ameliorer la sensibilite de detection au dioxyde de carbone par une augmentation de la surface de contact gaz fibre, en utilisant des fibres microstructurees. Une autre voie consiste a fabriquer des guides planaires qui nous permettent d'envisager, a terme, la realisation de micro composants optiques en verre de chalcogenure avec une robustesse et une compacite accrue.", "author_names": [ "Frederic Charpentier", "Bruno Bureau", "Virginie Nazabal", "Frederic Smektala", "Johann Troles", "Catherine Boussard-Pledel", "Karine Le Pierres", "Herve Lhermite", "Joel Charrier", "Jean-Pierre Guin", "J L Adam" ], "corpus_id": 171920931, "doc_id": "171920931", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Guides optiques infrarouges pour la detection du CO2", "venue": "", "year": 2008 }, { "abstract": "De nombreuses applications militaires ou civiles, telles que la spectroscopie dans les bandes de transmission de l'atmosphere (bandes 3 5um et 8 12um) necessitent de disposer de sources emettant dans le moyen infrarouge (IR) Les travaux de cette these portent sur la generation de rayonnement dans la bande 3 5um par amplification parametrique (melange a quatre ondes) dans les fibres optiques en verres fluores et en verres de chalcogenures. La premiere partie de ce travail a ete consacree a l'etude theorique et a la modelisation des conditions d'accord de phase et du gain parametrique dans des fibres a saut d'indice monomodes en verres fluores ZBLAN et verres de chalcogenures As2S3 et As2Se3. La nature des resultats obtenus nous a conduit a etudier theoriquement le potentiel de l'accord de phase multimode dans les fibres en verres de chalcogenures. La deuxieme partie de ce travail a porte sur la modelisation de l'amplification parametrique dans des fibres en verres de chalcogenures microstructurees a geometrie hexagonale. Pour ce faire, un modele simplifie de la propagation dans les fibres microstructurees hexagonales a ete developpe le modele de l'indice effectif de gaine (EIM) Il a ensuite ete compare a une methode de resolution aux elements finis. Grace a cette comparaison, nous avons pu ameliorer la precision du modele EIM en determinant la valeur de plusieurs parametres empiriques. Ce modele nous a alors permis de predire l'efficacite du processus d'amplification parametrique dans les fibres microstructurees. L'ensemble de ces etudes theoriques a permis d'identifier les fibres les plus adaptees a la conversion de frequence vers la bande 3 5um. Enfin, nous avons realise un banc de mesure de la dispersion chromatique des fibres, ainsi que le dimensionnement d'un convertisseur de frequence utilisant les fibres identifiees dans l'etude theorique.", "author_names": [ "Claire Alhenc-Gelas" ], "corpus_id": 169862603, "doc_id": "169862603", "n_citations": 1, "n_key_citations": 1, "score": 0, "title": "Etude de la conversion de frequence par amplification parametrique dans les fibres optiques transparentes dans l'infrarouge", "venue": "", "year": 2012 }, { "abstract": "La fin des annees 90 a ete marquee par le developpement des transmissions par fibres optiques. Pour les transmissions longues distances, l'amplificateur a fibre dopee erbium (EDFA) s'est impose pour regenerer les signaux a 1. 55 aem. Bien que son utilisation se soit generalisee, un grand nombre d'aspects restent a approfondir, comme, par exemple, le lien existant entre la forme de la bande de gain de l'erbium et la structure du verre. Nous avons donc analyse cet aspect du probleme en utilisant la spectroscopie de haute resolution. Ainsi, des etudes par spectroscopie de selection de sites, affinement de raie resonante (RFLN) et spectroscopie de saturation (SHB) ont ete menees sur cinq matrices vitreuses tres differentes. Les experiences de RFLN nous ont permis d'analyser la position des niveaux d'energie ou encore l'elargissement des transitions optiques en fonction de la composition du materiau. Nous avons mis en evidence de grosses differences de distribution de sites ou de champ cristallin entre les materiaux. L'etude de la largeur homogene nous a permis d'isoler les mecanismes responsables de l'elargissement des transitions optiques dans nos verres. Les resultats obtenus ont ete relies au fonctionnement de l'amplificateur et a des problemes encore mal compris tels que la saturation locale du gain en regime d'amplification. Une etude plus fondamentale basee sur la spectroscopie de saturation a egalement ete menee. Nous avons ainsi pu mettre en evidence l'existence du phenomene de hole burning spectral pour l'ion erbium dans le cas d'un verre de fluorures. La dependance en temperature de la largeur homogene aux tres basses temperatures a ete analysee et reliee a la theorie des systemes a deux niveaux (TLS) Une etude de la dynamique de formation du trou caracteristique du hole burning nous a permis de proposer une hypothese quant au mecanisme a l'origine de la saturation", "author_names": [ "Laurent Bigot" ], "corpus_id": 92946620, "doc_id": "92946620", "n_citations": 1, "n_key_citations": 1, "score": 1, "title": "Structure homogene et inhomogene de la transition 4I 13/2 4I 15/2 de l'amplificateur a fibre dopee erbium dans des verres d'oxydes, de fluorures et de sulfures", "venue": "", "year": 2002 }, { "abstract": "RESUME La radio sur fibre (RoF) a ete consideree comme une technologie prometteuse qui concurrencera de maniere indisputable comme solution viable pour la distribution des systemes de communication sans fil a bande large actuels et futurs. La technologie RoF emploie la modulation d'onde sous porteuse (SCM) pour moduler la lumiere par un signal RF, qui a son tour sera transmise par la fibre. Malheureusement, la transmission du signal RF sur la fibre est sujette a un certain nombre de defauts. Ces defauts incluent le faible rendement de la conversion optique en electrique, a la dispersion chromatique de la fibre, et a la non linearite de l'emetteur optique. L'objectif de cette these est de developper des technologies de pointe pour la radio sur fibre a large bande. Les conceptions proposees devraient adresser la deformation non lineaire induite par l'emetteur optique, combattre le probleme de l'affaiblissement de la puissance optique induit par la dispersion chromatique de la fibre, et ameliorer l'efficacite de modulation optique au petit signal sans augmenter de maniere significative le cout et la complexite du systeme RoF. Pour le signal RF a large bande, nous considerons le signal a bande ultra large utilisant le multiplexage par repartition orthogonale de la frequence (ULB MB MROF) qui a ete propose comme solution pour le reseau de secteur personnel sans fil d'IEEE 802.15.3a (WPAN) D'abord, la performance de la transmission de l'ULB MB MROF par la fibre est etudiee en considerant l'impact de modulation et demodulation optique. L'analyse theorique de l'effet de la dispersion de la fibre, de la reponse de l'emetteur optique et du recepteur optique sur la performance du systeme est effectuee en considerant la distorsion de la phase et de l'amplitude. Des experiences sont realisees pour verifier notre analyse theorique et une bonne concordance est obtenue. Il est constate que l'index de modulation RF de ~4% est optimum pour l'emetteur optique avec le modulateur de Mach Zehnder, et le recepteur optique avec la reponse de Tchebychev II est le meilleur pour l'ULB MB MROF sur fibre. Aussi, la performance de la transmission sans fil est limitee par la sensibilite du recepteur ULB MB MROF. Il est aussi trouve qu'une haute puissance optique recue est exigee pour la transmission du signal de l'ULB MB MROF sur fibre. ABSTRACT Radio over fiber (RoF) has been considered as a very promising technology that will indisputably compete as a viable solution for the distribution of current and future broadband wireless communication systems such as IEEE 802.15.3a WPAN using Multiband Orthogonal Frequency Division Multiplexing Ultra Wideband (MB OFDM UWB) signal. The RoF technology makes use of subcarrier modulation (SCM) to modulate an RF signal on light, which in turn will be transmitted by optical fiber. Unfortunately, the transmission of RF signal over fiber is subject to a number of impairments. These impairments include: low optical to electrical conversion efficiency, fiber chromatic dispersion, and nonlinearity of the optical front end, etc. The objective of this thesis is to develop enabling technologies for broadband RoF systems. The proposed design platforms and techniques should address nonlinear distortion induced by the optical transmitter; combat optical power fading issue induced by the chromatic dispersion; and improve modulation efficiency of the optical small signal modulation without significantly adding excessive expense and complexity to the RoF system. First of all, the performance of MB OFDM UWB wireless over fiber transmission system is investigated considering optical modulation and demodulation aspects. Theoretical analysis of the effects of fiber chromatic dispersion, relative intensity noise (RIN) optical transmitter and optical receiver response on system performance is carried out considering amplitude and phase distortion. Experiments are conducted, which have verified our theoretical analysis and a good agreement is obtained. It is found that low RF modulation index (4% for optical transmitter with Mach Zehnder modulator (MZM) and optical receiver with Chebyshev II response is the best for MB OFDM UWB over fiber. The wireless transmission performance is limited by the UWB receiver sensitivity. Moreover, a high received optical power is required for transmission of MB OFDM UWB signal over fiber. It is also found that the parameters like laser output power, laser linewidth and fiber dispersion that control RIN, will critically affect the overall performance of a UWB over fiber system.", "author_names": [ "Bouchaib Hraimel" ], "corpus_id": 108546254, "doc_id": "108546254", "n_citations": 1, "n_key_citations": 1, "score": 0, "title": "Enabling Technologies for Distribution of Broadband Radio over Fiber", "venue": "", "year": 2010 }, { "abstract": "L'augmentation incessante des services multimedias via le reseau internet a conduit a la diffusion massive de la fibre jusqu'au plus pres de l'utilisateur dans le monde entier. La solution choisie par l'operateur historique francais sous sa marque Orange est celle du PON (Passive Optical Networks) Le choix d'une architecture Point a Multi Point optique permet de mutualiser l'infrastructure entre plusieurs utilisateurs et par consequent de minimiser le nombre de fibres posees et la taille du cable en sortie du central. Cette these aborde le sujet de la montee en debit du reseau d'acces optique utilisant le multiplexage temporel (TDM) avec une reutilisation des infrastructures existantes lors de la premiere generation de deploiement. Tout d'abord, le premier chapitre presente le contexte actuel du reseau d'acces et les evolutions futures de ses architectures. Ensuite, le second chapitre presente un panorama des technologies utilisees dans le reseau d'acces optique a partage temporel avec les contraintes liees a la montee en debit (jusqu'a 10Gbit/s) a la fois pour l'emission et la reception du mode rafale de la voie montante. Le troisieme chapitre decrit les differentes architectures realisees et testees au laboratoire dans le cadre de ces travaux de recherche. Une des architectures innovantes, presentee dans ce chapitre, met en place un reseau PON a 10Gbit/s avec une transmission sur 60 km de fibre optique d'une voie montante en mode rafale pour un budget optique avoisinant les 55dB et 40dB, respectivement avec et sans amplification optique. Enfin, la derniere partie de ce manuscrit presente des etudes sur le bruit de transmission dans une architecture bidirectionnelle integrant un amplificateur a base de semiconducteurs.", "author_names": [ "Zineb Belfqih" ], "corpus_id": 192216415, "doc_id": "192216415", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Etudes de l'augmentation de capacite des reseaux d'acces optiques de types PON bases sur l'acces multiple a repartition dans le temps", "venue": "", "year": 2008 } ]
t type inverter modeling
[ { "abstract": "A promising solution for inductive power transfer and wireless charging is presented on the basis of a single phase three level T type Neutral Point Clamped GaN based inverter with two coupled transmitting coils. The article focuses on the feasibility study of GaN transistor application in the wireless power transfer system based on the T type inverter on the primary side. An analysis of power losses in the main components of the system is performed: semiconductors and magnetic elements. System modeling was performed using Power Electronics Simulation Software (PSIM) It is shown that the main losses of the system are static losses in the filter inductor and rectifier diodes on the secondary side, while GaN transistors can be successfully used for the wireless power transfer system. The main features of the Printed Circuit Board (PCB) design of GaN transistors are considered in advance.", "author_names": [ "Viktor Shevchenko", "Bohdan Pakhaliuk", "Oleksandr Husev", "Oleksandr Veligorskyi", "Deniss Stepins", "Ryszard Strzelecki" ], "corpus_id": 225316716, "doc_id": "225316716", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Feasibility Study GaN Transistors Application in the Novel Split Coils Inductive Power Transfer System with T Type Inverter", "venue": "", "year": 2020 }, { "abstract": "This paper presents a losses model of potentially highly efficient solution for primary side of inductive power transfer on the basis of a single phase T type inverter. The article is devoted to the losses analysis under compensation condition in the basic elements of the circuit for wireless energy transfer. The influence of the inductor's basic geometrical parameters on the losses in the semiconductors and inductors for two power levels: 110W and 550W was investigated. System modeling was performed in PSIM Simulation tool, design and study of inductors in ANSYS EM Suite. It is established that increasing of the coil`s outer diameter and the wire diameter leads to decreasing of total losses and increasing the coupling coefficient and system efficiency.", "author_names": [ "Viktor Shevchenko", "Oleksandr Husev", "Oleksandr Veligorskyi", "Bohdan Pakhaliuk", "Ryszard Strzelecki" ], "corpus_id": 227220561, "doc_id": "227220561", "n_citations": 0, "n_key_citations": 0, "score": 1, "title": "Losses Model of the WPT System with Single Phase T Type Inverter", "venue": "2020 IEEE 4th International Conference on Intelligent Energy and Power Systems (IEPS)", "year": 2020 }, { "abstract": "This paper presents T type multilevel inverter topology based dynamic voltage restorer (DVR) with reduced switch count. In medium voltage and high power applications, two level voltage source inverters are insufficient because of more voltage stress on switches, large dv/dt, size, and cost of the filter. To overcome these problems, multilevel inverter based DVR is suitable, which can be directly connected to the medium voltage distribution system without injection transformer. Nearest Level Control (NLC) in the inverter is used to create the desired waveform. The instantaneous reactive power theory (IRPT) to control the proposed DVR, The IRPT control scheme is famous by the name of p q transformation. Clarke coordinates transformation is the base of this scheme It is applied to the voltage and current to represent in polar form. To verify and validate the proposed DVR performance, simulations are carried out in the MATLAB SIMULINK software environment, and the results indicate the optimal performance and desirability of the proposed DVR to compensate for the voltage sag, swell and Unbalanced in power distribution grids. Theoretical analysis and simulation results are given to show the high performance of the proposed solution.", "author_names": [ "Ehsan Akbari" ], "corpus_id": 229751036, "doc_id": "229751036", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Modeling and Control of a Novel Transformer less Dynamic Voltage Restorer Based on T type Multilevel Inverter for Power Quality Improvement", "venue": "", "year": 2019 }, { "abstract": "T type network inverter is a topology that can realize three phase output from two single phase output inverters through transformer. The purpose of this paper is to design the circuit topology and controller to make the inverter output voltage meet. Aviation power requirements. Firstly, the DC power input circuit and transformer structure are designed according to the principle of voltage vector synthesis. Then the controller is designed by state space average modeling method and generalized predictive control method. Finally, the system model is built by MATLAB/Simulink simulation software. The 115V/400Hz three phase power required to convert 27V DC power to aviation power is realized, which verifies the effectiveness of the design content of this paper.", "author_names": [ "Minghong Yu", "Jianding Han", "Rongji Wang" ], "corpus_id": 210697178, "doc_id": "210697178", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Design of Generalized Predictive Controller for T Type Network Inverter", "venue": "2019 International Conference on Artificial Intelligence and Advanced Manufacturing (AIAM)", "year": 2019 }, { "abstract": "Si IGBT and SiC MOSFET based hybrid switch is an emerging technology which combines the advantages of the two types of devices and introduces SiC benefits at significantly lower cost compared to state of the art SiC inverter technology. In this paper, a 1200V/50A Si/SiC hybrid switch is proposed. The switching characteristics and the steady state current sharing model are analyzed. The analysis indicates that the switching loss of the hybrid switch can be reduced significantly due to the zero voltage switching (ZVS) of Si IGBT. Furthermore, a hybrid switch based T type neutral point clamped (T NPC) inverter and its loss model are proposed. A 2.5kW hybrid switch based T NPC inverter prototype is designed and implemented to evaluate the efficiency improvement over a pure Si IGBT based inverter. The experimental results demonstrate that the inverter efficiency is improved by 4.75% at the switching frequency of 40kHz. The results also validate the proposed device loss model provides a good efficiency estimation of the hybrid devices based T NPC inverter.", "author_names": [ "Haichen Liu", "Tiefu Zhao", "Xuezhi Wu" ], "corpus_id": 211227840, "doc_id": "211227840", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Efficiency Improvement Evaluation and Loss Modeling of a Hybrid Switch Based T NPC Inverter", "venue": "2019 IEEE 7th Workshop on Wide Bandgap Power Devices and Applications (WiPDA)", "year": 2019 }, { "abstract": "This paper analyzes the inverter nonlinearity effect resulting in issues such as narrow pulses and the even order harmonics in three level T type inverters. These issues make the compensation of the inverter nonlinearity be difficult. Based on the analysis of the output voltage distortion, carrier based PWM methods to avoid these issues and to balance dc link voltages simultaneously are proposed using the concept of the offset voltage. The proposed PWM methods can be easily implemented by adding appropriate offset voltages to output voltage references. Also, a compensation method to alleviate inverter nonlinearity effects is proposed based on the modeling of the inverter nonlinearity. The effectiveness of proposed methods is verified by experimental results. Through the proposed algorithms, not only even harmonics but also 5th and 7th harmonic components of current are conspicuously reduced. At the same time, the neutral voltage of the inverter can be balanced effectively by the proposed PWM methods.", "author_names": [ "Hyeon-Sik Kim", "Yong-Cheol Kwon", "Seung-Jun Chee", "Seung-Ki Sul" ], "corpus_id": 6099020, "doc_id": "6099020", "n_citations": 25, "n_key_citations": 2, "score": 0, "title": "Analysis and compensation of inverter nonlinearity for three level T type inverters", "venue": "2016 IEEE Applied Power Electronics Conference and Exposition (APEC)", "year": 2016 }, { "abstract": "In common DC bus two parallel T type three level inverter systems, the neutral point (NP) potential balancing and the zero sequence circulating current (ZSCC) should be considered in practical applications. The differences of the parallel inverters in parameters, such as the filters, reference output current, and the unsynchronized switching states, will produce the ZSCC. However, the parameters and NP potential influence the amplitude of the ZSCC. In this paper, the modeling of NP potential and ZSCC in T type three level parallel inverter system is established and analyzed. In order to balance the NP potential, the compensation control based on the zero sequence voltage injection and feed back control is proposed. The combination of the compensation control and CM voltage injection is adopted to suppress the ZSCC. Finally, the effectiveness of the proposed strategy is verified by the simulation results.", "author_names": [ "Lucai Hu", "Jianzhong Zhang", "Shuai Xu", "Haifu Wu" ], "corpus_id": 201621880, "doc_id": "201621880", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Zero Sequence Circulating Current Suppression for Parallel T Type Three Level inverters", "venue": "2019 IEEE 10th International Symposium on Power Electronics for Distributed Generation Systems (PEDG)", "year": 2019 }, { "abstract": "This paper focuses on the modeling, simulation, construction and experimental testing of a Lundell Machine controller circuit with three phase inverter bridge. The controller circuit was designed and built with the capability of employing both sensorless and sensored control methods, with an added feature of enabling control of the power delivered to an additional winding, which is rare in this type of circuitry and essential for wound rotor motors such as the Lundell Machine. Alongside, a new sensorless Back Electromotive Force (BEMF) method was implemented, employing average phase to phase voltage comparison on 3 phase EMs, with the intent of reducing the cost of the controller and improving robustness. The experimental results, together with the simulation values, depict the controller performance.", "author_names": [ "N G da Silva", "Herminio Maio Graca Fernandes", "Denis Rogerio da Silva" ], "corpus_id": 18101620, "doc_id": "18101620", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Modeling, simulation and hardware implementation of a Lundell Machine controller circuit with three phase inverter bridge", "venue": "2012 International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD)", "year": 2012 }, { "abstract": "Current source inverter drives are sources of harmonic and nonharmonic distortion in supply systems. The nonharmonic components have frequencies that change with motor speed so conventional filters might not be effective in reducing their amplitude. The distortion calculation at the design stage allows preventive actions to minimise the distortion at source by varying DC link and/or AC motor parameters. The aim of the paper is to discuss the modeling of the supply side current distortion and to analyse the effects of nonideal supply conditions. The main utilizable models, both analogue and numerical, are discussed and analysed. Several laboratory and numerical experiments are reported to compare the different model characteristics and to analyse the current distortion sensitivity to the supply voltage distortion and unbalance.", "author_names": [ "Rosario Carbone", "Alfredo Testa", "Daniele Menniti", "Robert E Morrison", "E J Delaney" ], "corpus_id": 108699151, "doc_id": "108699151", "n_citations": 50, "n_key_citations": 1, "score": 0, "title": "Harmonic and interharmonic distortion in current source type inverter drives", "venue": "", "year": 1995 }, { "abstract": "Based on the terminal port theory, this paper has proposed a common mode conducted noise modeling method. Single phase inverter is chosen as an illustrative example. The T type model has been supposed as the internal structure of the terminal port, according to its features of CM impedance and the noise propagation route. The details of equivalent noise source are given and its accuracy has been verified by comparing with the concept definition. With this model, the effectiveness of the filter could be predicted quickly and accurately. The interaction effect between the EMI filter and harmonic filter has also been viewed in this paper. Theoretical analysis and experimental results are presented to verify this modeling methodology.", "author_names": [ "Shuaitao Zhang", "Baihua Zhang", "Masahito Shoyama" ], "corpus_id": 211226957, "doc_id": "211226957", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Develop Common Mode Conducted Noise Modeling Methodology with Terminal Port Theory", "venue": "2019 IEEE 13th International Conference on Power Electronics and Drive Systems (PEDS)", "year": 2019 } ]
flash adc 4 bit
[ { "abstract": "This study describes the highly digital 4 bit 200 MS flash analogue to digital converter (ADC) whose major part can be digitally synthesised thus achieving low power, reducing the time to market and is scalable with technology. The comparators used in the ADC consist of complementary metal oxide semiconductor (CMOS) based inverter and NAND NOR as standard cells. The complete flash ADC is designed in 180 nm CMOS technology with 1.8 V supply with the power consumption of 4.51 mW. The signal to noise and distortion ratio, signal to noise ratio and spurious free dynamic range are equal to 23.3, 25.2 and 30.1 dB. It provides an effective number of bits equal to 3.5. The differential non linearity (DNL) of this ADC is 0.25 LSB and integral non linearity (INL) is 0.6 LSB.", "author_names": [ "Ashima Gupta", "Anil Singh", "Alpana Agarwal" ], "corpus_id": 58015442, "doc_id": "58015442", "n_citations": 6, "n_key_citations": 2, "score": 0, "title": "Highly digital voltage scalable 4 bit flash ADC", "venue": "IET Circuits Devices Syst.", "year": 2019 }, { "abstract": "In this work a high speed flash ADC based on threshold invert quantization (TIQ) comparator has been proposed. Unlike conventional ADC resistive ladder and comparator bank has been replaced by TIQ comparator which compares the varying input with in built switching potential, 2n 1 number of TIQ requires with different switching for n bit ADC. 4 bit flash ADC has been implemented with static and dynamic TIQ; Different threshold of static TIQ is obtained by scaling the width of PMOS and NMOS individually while in dynamic TIQ input to each inverting stage acts as body voltage decide threshold voltage dynamically, threshold of TIQ is function of individual MOS width and body potential. Cadence Spectre based simulation result show that static TIQ should preferred for low power and dynamic TIQ for high speed.", "author_names": [ "Abhishek Kumar" ], "corpus_id": 214131615, "doc_id": "214131615", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Design of 4 Bit Flash ADC Using Static and Dynamic TIQ Comparator", "venue": "", "year": 2019 }, { "abstract": "Nowadays, there is the highest use of converters in the industries. The degradation of power consumption by these converters has great importance. This paper represents a new method to decrease the power consumption of the flash type analog to digital converter with 250 nm CMOS technology by using frequency which is of 1MHz. Flash type ADC requires 2 1 Comparator and its power supply voltage 2.5V. The proposed design of ADC using the Quantum Voltage Comparator eliminates the resistor ladder circuit and improves linearity of ADC. For the encoding process, the Pseudo logic encoder has been used and it provides higher data conversion rate and maintain low power consumption. The proposed 4 bit flash ADC paper having 876 MSPS speed and optimized power consumption by using the pseudo logic encoder and Quantum Voltage Comparator.", "author_names": [ "Sarang S Karale", "Dhanashri M Hogale" ], "corpus_id": 198234426, "doc_id": "198234426", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Design of 876 MSPS, 2.5v, 250nm, 4 Bit Flash ADC using Quantum Voltage Comparator and Pseudo Logic Encoder", "venue": "", "year": 2019 }, { "abstract": "In this paper design of low power 4 bit Flash ADC for high frequency applications is presented. The power consumption of the Flash ADC in this work has been reduced in two phase. In the first phase a low power dynamic comparator has been designed which consumes 329.332 uW power. In the second phase a low power Fat tree encoder has been designed. The proposed encoder design uses reduced number of gates than the conventional design. As a result the average power dissipation of the encoder block is 43.6uw. The above mentioned Flash ADC is designed using CMOS 90nm Technology in Cadence tool. The proposed design has a power consumption of 5.1096 mW at IV power supply and at a sampling frequency of 1GHz.", "author_names": [ "David Sundararaj Shylu", "S Radha", "P Sam Paul", "Parakati Sarah Sudeepa" ], "corpus_id": 211056953, "doc_id": "211056953", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Design of low power 4 bit Flash ADC in 90nm CMOS Process", "venue": "2019 2nd International Conference on Signal Processing and Communication (ICSPC)", "year": 2019 }, { "abstract": "This paper presents the design of 4 bit flash type analog to digital converter implemented in 22 nm FD SOI technology with 0.8 V supply voltage. This block is part of original sub ranging ADC whose working principle is based on the assumption that sub ADC and sub DAC exhibit high linearity while they nominal resolutions remain relatively low. The linearity of the presented flash ADC has to be as high as in case of 8 bit converter. Thus, the most challenging task was to design comparator with resolution of 1.5 mV. This goal was reached owing to ability offered by the FD SOI process to trim transistor's threshold voltage by means of modulating the back gate polarization. The resulting sampling rate is 500 Ms/s.", "author_names": [ "Zbigniew Jaworski" ], "corpus_id": 199489569, "doc_id": "199489569", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Highly Linear 4 bit Flash ADC Implemented in 22 nm FD SOI Process", "venue": "2019 MIXDES 26th International Conference \"Mixed Design of Integrated Circuits and Systems\"", "year": 2019 }, { "abstract": "This article describes a design of 4 bit Flash analogue digital converter (ADC) for Ultra Wideband (UWB) sensor systems. The ADC cell structure was designed and fabricated in 0.35 um SiGe BiCMOS technology. Proposed ADC cell achieves up to 1.1 Gs/s with maximum input signal range 1.6 Vpp at 1 MHz. The power consumption of the designed cell is 30 mA from 3.3 V power supply. For basic measurement, the ADC has been directly wires bonded to PCB. The basic measurements are represented by time domain and input output ADC characteristics. The ADC was primarily designed as a test structure for M sequence UWB SoC radar.", "author_names": [ "Miroslav Sokol", "Pavol Galajda", "Stanislav Slovak", "Martin Pecovsky" ], "corpus_id": 184465229, "doc_id": "184465229", "n_citations": 3, "n_key_citations": 0, "score": 1, "title": "Design of 4 bit Flash ADC Cell for UWB Sensor Systems", "venue": "2019 29th International Conference Radioelektronika (RADIOELEKTRONIKA)", "year": 2019 }, { "abstract": "A monolithic photon counting receiver consisting of an integrated silicon photomultiplier and a current mode analog to digital converter (ADC) was designed, simulated and fabricated in the AMS 0.35 mm SiGe BiCMOS process. The silicon photomultiplier (SiPM) consists of 64 avalanche photodiodes (APD) arranged in an 8x8 pattern with integrated 236 k quenching resistors. This silicon photomultiplier exhibits peak responsivity at 490 nm and is intended for atmospheric and oceanographic light detection and ranging (LIDAR) applications. The current mode ADC is used to directly convert photocurrent from the silicon photomultiplier into a 4 bit word. The maximum sampling rate achieved in simulation is 100 MS/s. The measured differential nonlinearity (DNL) and integral nonlinearity (INL) for the ADC are 0.5 LSB and 0.17 LSB respectively. The dynamic range of the ADC is tunable based on the reference current and varies from 5 mA 80 mA to 30 mA 480 mA. The whole system covers an area of 0.375 mm2. The fill factor of the silicon photomultiplier is 12.6%", "author_names": [ "Vikas Vinayaka", "Sachin P Namboodiri", "Shadden Abdalla", "Bryan Kerstetter", "Francisco Mata-carlos", "Daniel Senda", "James Skelly", "Angsuman Roy", "R Jacob Baker" ], "corpus_id": 155100639, "doc_id": "155100639", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Monolithic 8x8 SiPM with 4 bit Current Mode Flash ADC with Tunable Dynamic Range", "venue": "ACM Great Lakes Symposium on VLSI", "year": 2019 }, { "abstract": "This Paper introduces 4 bit flash ADC design using Linear Tunable Transconductance Element based comparators for high speed and low power consumption using180nmtech. Thermometer to binary decoder with low power consumption, less area short critical path is selected for the design of low power high speed. Proposed comparator provides improved PSRR (Power Supply Rejection Ratio) compared TIQ (Threshold Inverter Quantizer) comparator NAND based topology is used which improves PSRR as well as linearity; thus eliminates basic limitation of TIQ inverter. Keywords CMOS LTE, CMOS NAND gate, MUX Decoder, ADC, Gain Booster network.", "author_names": [ "Leela S Bitla" ], "corpus_id": 96425235, "doc_id": "96425235", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Design and Implementation Of 4 Bit Flash ADC using LTE and NAND Gate Comparator", "venue": "", "year": 2018 }, { "abstract": "A 4 BIT flash ADC is simulated in this work in 90 nm CMOS technology by altering the comparator structure. Two comparators are employed for analysis one is a comparator with cross coupled inverters other is a comparator with regenerative latch. Simulations are executed by varying the supply voltage channel width for different temperatures. Power consumption is then observed recorded ideally at 0.7 V supply voltage 1 um channel length. The minimum power consumption with comparator (cross coupled) is 14.6 uW at 10 degC maximum power consumption of 17.94 uW at 50 degC. Then by altering the comparator to comparator (regenerative latch) the minimum power consumption of 192.1 uW at 10 degC maximum power consumption of 215.5 uW at 50 degC is recorded. Temperature variation leads to the further increment in power consumption. Simulations are executed by employing SPICE based on 90 nm CMOS technology.", "author_names": [ "Anil Khatak", "Manoj Kumar", "Sanjeev Kumar Dhull" ], "corpus_id": 70058864, "doc_id": "70058864", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Design and Analysis of a 4 Bit Flash ADC Architecture with Modified Comparator", "venue": "ICICI 2018", "year": 2018 }, { "abstract": "This work proposes an efficient 4 bit flash ADC based on the StrongARM comparator architecture. The proposed design eliminates the need for the resistive ladder by systematically modifying the sizing of the input differential pair of each comparator. As a consequence, the area and the power consumed within the ladder is eliminated. Furthermore, a Helpee StrongARM circuit is introduced which enables operation at an input voltage below the threshold voltage of the transistor. An enhanced 1 out of 15 decoder converts the thermometer code from the StrongARM and the Helpee StrongARM comparators into a 1 out of n code. The proposed 4 bit flash ADC architecture, simulated in 90nm standard CMOS technology, consumes $292 \\mu \\mathrm {W} at 1.6 GHz sampling frequency, has an ENOB of 3.88 and FoM of 12.4 fJ/conv.step.", "author_names": [ "Abdullah S Almansouri", "Abdullah Alturki", "Hossein Fariborzi", "Khaled Nabil Salama", "Talal Al-Attar" ], "corpus_id": 51985411, "doc_id": "51985411", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "A 12.4fJ FoM 4 Bit Flash ADC Based on the StrongARM Architecture", "venue": "2018 14th Conference on Ph.D. Research in Microelectronics and Electronics (PRIME)", "year": 2018 } ]
Exceptional points in optics and photonics
[ { "abstract": "Exceptional points in optics Many complex systems operate with loss. Mathematically, these systems can be described as non Hermitian. A property of such a system is that there can exist certain conditions exceptional points where gain and loss can be perfectly balanced and exotic behavior is predicted to occur. Optical systems generally possess gain and loss and so are ideal systems for exploring exceptional point physics. Miri and Alu review the topic of exceptional points in photonics and explore some of the possible exotic behavior that might be expected from engineering such systems. Science, this issue p. eaar7709 BACKGROUND Singularities are critical points for which the behavior of a mathematical model governing a physical system is of a fundamentally different nature compared to the neighboring points. Exceptional points are spectral singularities in the parameter space of a system in which two or more eigenvalues, and their corresponding eigenvectors, simultaneously coalesce. Such degeneracies are peculiar features of nonconservative systems that exchange energy with their surrounding environment. In the past two decades, there has been a growing interest in investigating such nonconservative systems, particularly in connection with the quantum mechanics notions of parity time symmetry, after the realization that some non Hermitian Hamiltonians exhibit entirely real spectra. Lately, non Hermitian systems have raised considerable attention in photonics, given that optical gain and loss can be integrated as nonconservative ingredients to create artificial materials and structures with altogether new optical properties. ADVANCES As we introduce gain and loss in a nanophotonic system, the emergence of exceptional point singularities dramatically alters the overall response, leading to a range of exotic functionalities associated with abrupt phase transitions in the eigenvalue spectrum. Even though such a peculiar effect has been known theoretically for several years, its controllable realization has not been made possible until recently and with advances in exploiting gain and loss in guided wave photonic systems. As shown in a range of recent theoretical and experimental works, this property creates opportunities for ultrasensitive measurements and for manipulating the modal content of multimode lasers. In addition, adiabatic parametric evolution around exceptional points provides interesting schemes for topological energy transfer and designing mode and polarization converters in photonics. Lately, non Hermitian degeneracies have also been exploited for the design of laser systems, new nonlinear optics phenomena, and exotic scattering features in open systems. OUTLOOK Thus far, non Hermitian systems have been largely disregarded owing to the dominance of the Hermitian theories in most areas of physics. Recent advances in the theory of non Hermitian systems in connection with exceptional point singularities has revolutionized our understanding of such complex systems. In the context of optics and photonics, in particular, this topic is highly important because of the ubiquity of nonconservative elements of gain and loss. In this regard, the theoretical developments in the field of non Hermitian physics have allowed us to revisit some of the well established platforms with a new angle of utilizing gain and loss as new degrees of freedom, in stark contrast with the traditional approach of avoiding these elements. On the experimental front, progress in fabrication technologies has allowed for harnessing gain and loss in chip scale photonic systems. These theoretical and experimental developments have put forward new schemes for controlling the functionality of micro and nanophotonic devices. This is mainly based on the anomalous parameter dependence in the response of non Hermitian systems when operating around exceptional point singularities. Such effects can have important ramifications in controlling light in new nanophotonic device designs, which are fundamentally based on engineering the interplay of coupling and dissipation and amplification mechanisms in multimode systems. Potential applications of such designs reside in coupled cavity laser sources with better coherence properties, coupled nonlinear resonators with engineered dispersion, compact polarization and spatial mode converters, and highly efficient reconfigurable diffraction surfaces. In addition, the notion of the exceptional point provides opportunities to take advantage of the inevitable dissipation in environments such as plasmonic and semiconductor materials, which play a key role in optoelectronics. Finally, emerging platforms such as optomechanical cavities provide opportunities to investigate exceptional points and their associated phenomena in multiphysics systems. Ubiquity of non Hermitian systems, supporting exceptional points, in photonics. (A) A generic non Hermitian optical system involving two coupled modes with different detuning, o1,2, and gain loss values, g1,2, coupled at rate of m. The real part of the associated eigenvalues in a two dimensional parameter space of the system, revealing the emergence of an exceptional point (EP) singularity. a1 and a2 are the modal amplitudes. (B to E) A range of different photonic systems, which are all governed by the coupled mode equations. (B) Two coupled lasers pumped at different rates. (C) Dynamical interaction between optical and mechanical degrees of freedom in an optomechanical cavity. (D) A resonator with counter rotating whispering gallery modes. CW, clockwise; CCW, counterclockwise. (E) A thin metasurface composed of coupled nanoantennas as building blocks. CREDITS: IMAGE IN (A) BASED ON A CONCEPT FROM H. HODAEI ET AL. SCIENCE 346, 975 (2014) IMAGE IN (D) BASED ON CONCEPTS FROM W. CHEN ET AL. NATURE 548, 192 (2017) Exceptional points are branch point singularities in the parameter space of a system at which two or more eigenvalues, and their corresponding eigenvectors, coalesce and become degenerate. Such peculiar degeneracies are distinct features of non Hermitian systems, which do not obey conservation laws because they exchange energy with the surrounding environment. Non Hermiticity has been of great interest in recent years, particularly in connection with the quantum mechanical notion of parity time symmetry, after the realization that Hamiltonians satisfying this special symmetry can exhibit entirely real spectra. These concepts have become of particular interest in photonics because optical gain and loss can be integrated and controlled with high resolution in nanoscale structures, realizing an ideal playground for non Hermitian physics, parity time symmetry, and exceptional points. As we control dissipation and amplification in a nanophotonic system, the emergence of exceptional point singularities dramatically alters their overall response, leading to a range of exotic optical functionalities associated with abrupt phase transitions in the eigenvalue spectrum. These concepts enable ultrasensitive measurements, superior manipulation of the modal content of multimode lasers, and adiabatic control of topological energy transfer for mode and polarization conversion. Non Hermitian degeneracies have also been exploited in exotic laser systems, new nonlinear optics schemes, and exotic scattering features in open systems. Here we review the opportunities offered by exceptional point physics in photonics, discuss recent developments in theoretical and experimental research based on photonic exceptional points, and examine future opportunities in this area from basic science to applied technology.", "author_names": [ "Mohammad-Ali Miri", "Andrea Alu" ], "corpus_id": 57600483, "doc_id": "57600483", "n_citations": 503, "n_key_citations": 8, "score": 1, "title": "Exceptional points in optics and photonics", "venue": "Science", "year": 2019 }, { "abstract": "Optics Many complex systems operate with loss. Mathematically, these systems can be described as non Hermitian. A property of such a system is that there can exist certain conditions exceptional points where gain and loss can be perfectly balanced and exotic behavior is predicted to occur. Optical systems generally possess gain and loss and so are ideal systems for exploring exceptional point physics. Miri and Alu review the topic of exceptional points in photonics and explore some of the possible exotic behavior that might be expected from engineering such systems. Science this issue p. [eaar7709][1] [1] /lookup/doi/10.1126/science.aar7709", "author_names": [ "Ian S Osborne" ], "corpus_id": 127807272, "doc_id": "127807272", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Exceptional points in optics", "venue": "", "year": 2019 }, { "abstract": "The introduction of parity time (PT) symmetry in optics and photonics has initiated intense activities exploring the exotic properties of these structures, eventually leading to the more general notion of non Hermitian photonics. Efforts to understand the behavior of these systems have revealed a host of distinct features originating from the unusual character of their eigenspectra and eigenstates. These include for example, spontaneous symmetry breaking, bandgap merging, laser self termination, unidirectional invisibility, and ultra sensitivity to external perturbations. A central notion pertinent to all these effects is the concept of exceptional points (EPs) Also known as branch points, EPs are non Hermitian spectral singularities that arise when two (or more) eigenvalues and their corresponding eigenstates become identical. While exceptional points of order two have been studied thoroughly at both the theoretical and experimental level, higher order EPs are attracting attention only recently. Here we discuss a systematic approach based on a recursive bosonic quantization scheme for generating discrete photonic networks that exhibit exceptional points of any arbitrary order. We also discuss the spectral properties and the extreme dynamics near these singularities as well as their physical implementation in various photonic platforms.", "author_names": [ "Mohammad H Teimourpour", "Q Zhong", "Mercedeh Khajavikhan", "Ramy El-Ganainy" ], "corpus_id": 125788095, "doc_id": "125788095", "n_citations": 9, "n_key_citations": 0, "score": 0, "title": "Higher Order Exceptional Points in Discrete Photonics Platforms", "venue": "", "year": 2018 }, { "abstract": "Non conservative physical systems admit a special kind of spectral degeneracy, known as exceptional point (EP) at which eigenvalues and eigenvectors of the corresponding non Hermitian Hamiltonian coalesce. Dynamical parametric encircling of the EP can lead to non adiabatic evolution associated with a state flip, a sharp transition between the resonant modes. Physical consequences of the dynamical encircling of EPs in open dissipative systems have been explored in optics and photonics. Building on the recent progress in understanding the parity time \\mathscr{P}\\mathscr{T} PT symmetric dynamics in spin systems, we use topological properties of EPs to implement chiral non reciprocal transmission of a spin through the material with non uniform magnetization, like helical magnet. We consider an exemplary system, spin torque driven single spin described by the time dependent non Hermitian Hamiltonian. We show that encircling individual EPs in a parameter space results in non reciprocal spin dynamics and find the range of optimal protocol parameters for high efficiency asymmetric spin filter based on this effect. Our findings offer a platform for non reciprocal spin devices for spintronics and magnonics.", "author_names": [ "Alexey Galda", "Valerii M Vinokur" ], "corpus_id": 85497075, "doc_id": "85497075", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "Exceptional points in classical spin dynamics", "venue": "Scientific Reports", "year": 2019 }, { "abstract": "In the past decade, the concept of parity time \\mathcal{PT} symmetry, originally introduced in non Hermitian extensions of quantum mechanical theories, has come into thinking of photonics, providing a fertile ground for studying, observing, and utilizing some of the peculiar aspects of \\mathcal{PT} symmetry in optics. Together with related concepts of non Hermitian physics of open quantum systems, such as non Hermitian degeneracies (exceptional points) and spectral singularities, \\mathcal{PT} symmetry represents one among the most fruitful ideas introduced in optics in the past few years. Judicious tailoring of optical gain and loss in integrated photonic structures has emerged as a new paradigm in shaping the flow of light in unprecedented ways, with major applications encompassing laser science and technology, optical sensing, and optical material engineering. In this perspective, I review some of the main achievements and emerging areas of \\mathcal{PT} symmetric and non Hermtian photonics, and provide an outline of challenges and directions for future research in one of the fastest growing research area of photonics.", "author_names": [ "Stefano Longhi" ], "corpus_id": 119360704, "doc_id": "119360704", "n_citations": 132, "n_key_citations": 0, "score": 0, "title": "Parity Time Symmetry meets Photonics: A New Twist in non Hermitian Optics", "venue": "", "year": 2017 }, { "abstract": "The prospect of judiciously utilizing both optical gain and loss has been recently suggested as a means to control the flow of light. This proposition makes use of some newly developed concepts based on nonHermiticity and parity time (PT) symmetry ideas first conceived within quantum field theories. By harnessing such notions, recent works indicate that novel synthetic structures and devices with counterintuitive properties can be realized, potentially enabling new possibilities in the field of optics and integrated photonics. Non Hermitian degeneracies, also known as exceptional points (EPs) have also emerged as a new paradigm for engineering the response of optical systems. Such non Hermitian degeneracies are by themselves interesting entities. As opposed to standard degeneracies, at an EP, not only do the eigenvalues coalesce but so do the corresponding eigenstates. In fact, at such bifurcations, the relevant eigenvectors collapse on each other and as a result, the dimensionality of the system is abruptly reduced. This in turn has a profound effect on how the system responds to a perturbation. In this case one can show that when a perturbation of strength e acts on an Nth order EP (when N eigenvalues and eigenvectors merge) the resulting eigenvalue (frequency) splitting is now proportional to e. This indicates that the sensitivity of a set up can be enhanced by several orders of magnitude by exploiting the physics of EPs. Among many different non conservative photonic configurations, parity time (PT) symmetric arrangements are of particular interest since they provide an excellent platform to explore the physics of EPs for enhanced sensing applications. The enhanced response experimentally observed in a PTternary sensing system is shown in Fig. 1. Another intriguing property of EPs is the way they respond if the system parameters vary in such a way so as to either enclose or not enclose such points. If the contour does encircle an EP in a quasi static fashion, one can then show that the eigenvalues swap with each other and as a result, the eigenvectors follow suit. What makes this possible is the structure of the Riemann sheets in the complex domain upon which the eigenvalues move (Fig. 2) The situation is entirely different under dynamic conditions. If the parameters (coupling, detuning, birefringence etc. of a two level nonHermitian system are dynamically varied in a judicious manner, in such a way that the contour enclosing the exceptional point follows a clockwise path, then only one of the local eigenvectors will appear at the output while the other eigenstate will be drastically suppressed. This adiabatic arrangement can faithfully convert any arbitrary mixture of states into one of the local states with a nearly unity transmission coefficient. In this talk, we provide an overview of recent developments in this field. The use of other type symmetries in photonics will be also discussed. Fig. 1. (a) A ternary PT symmetric laser sensor. (b) its corresponding enhanced response. Images from Hodaei et al. Nature 548, 187 191 (2017)", "author_names": [ "Demetrios N Christodoulides" ], "corpus_id": 222266201, "doc_id": "222266201", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Parity Time and other Symmetries in Optics", "venue": "", "year": 2018 }, { "abstract": "Advances in topological photonics and non Hermitian optics have drastically changed our perception on how interdisciplinary concepts may empower unprecedented applications. Bridging the two areas could uncover the reciprocity between topology and non Hermiticity in complex systems. So far, such endeavors have focused mainly on linear optics regime. Here, we establish a nonlinear non Hermitian topological platform for control of parity time (PT) symmetry and topological edge states. Experimentally, we demonstrate that optical nonlinearity effectively modulates the gain and loss of a topological interface waveguide in a non Hermitian Su Schrieffer Heeger lattice, leading to switching between PT and non PT symmetric regimes accompanied by destruction and restoration of topological zero modes. Theoretically, we examine the fundamental issue of the interplay between two antagonistic effects: the sensitivity close to exceptional points and the robustness of non Hermitian topological modes. Realizing single channel control of global PT symmetry via local nonlinearity may herald new possibilities for light manipulation and unconventional device applications.", "author_names": [ "Shiqi Xia", "Dimitrios Kaltsas", "Daohong Song", "Ioannis Komis", "Jingjun Xu", "Alexander Szameit", "Hrvoje Buljan", "Konstantinos G Makris", "Zhigang Chen" ], "corpus_id": 226222013, "doc_id": "226222013", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Nonlinear control of PT symmetry and non Hermitian topological states", "venue": "", "year": 2020 }, { "abstract": "The ability to generate new counter intuitive optical phenomenon through the control of gain, loss and modal coupling in non Hermitian photonic systems has launched a new paradigm for optics and photonics research [1] Particularly interesting is the role of so called 'exceptional points' of the nonHermitian Hamiltonian, a non Hermitian analogue of a quantum degeneracy. To date, most of the achievements in this area have relied on precise, rigidly controlled system design. In order, to take full advantage of the possibilities presented by this new field, it would be beneficial to incorporate materials with more variable control and flexibility. Colloidal Quantum Dots (CQD) are such a material class: their properties, such as gain and loss or spectral gain position and bandwidth, may be controlled by chemical design or by manipulation of the solid state packing arrangement. Meanwhile the inherent ease in processing and scalability of these materials allows for multiple photonic elements to be fabricated. In this talk, I will present investigations on circular microdisk lasers based on core/alloyedshell CdSe/Cd1 xZnxSe1 ySy CQDs [2] Please attend the lecture to learn more.", "author_names": [ "Evan Lafalce" ], "corpus_id": 140078583, "doc_id": "140078583", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "UNIVERSITY OF UTAH Non Hermitian Laser Mode Dynamics in Coupled Quantum Dot Microdisks", "venue": "", "year": 2018 }, { "abstract": "Non Hermitian quantum mechanics with parity time (PT) symmetry is presently gaining great interest, especially within the fields of photonics and optics. Here, we give a brief overview of low dimensional semiconductor nanodevices using the example of a quantum dot with input and output leads, which are mimicked by imaginary potentials for gain and loss, and how wave functions, particle flow, coalescence of levels and associated breaking of PT symmetry may be analysed within such a framework. Special attention is given to the presence of exceptional points and symmetry breaking. Related features for musical string instruments and 'wolf notes' are outlined briefly with suggestions for further experiments.", "author_names": [ "K -F Berggren", "Felix Tellander", "Irina I Yakimenko" ], "corpus_id": 4666405, "doc_id": "4666405", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Basic modelling of transport in 2D wave mechanical nanodots and billiards with balanced gain and loss mediated by complex potentials.", "venue": "Journal of physics. Condensed matter an Institute of Physics journal", "year": 2018 }, { "abstract": "In recent years, the concept of parity time (PT) symmetry has received considerable attention in the field of optics and photonics. In PT symmetric arrangements, the interaction between gain/loss contrast and coupling leads to the formation of exceptional points in parameter space. At these junctures, not only the eigenvalues but also the eigenvectors tend to merge, resulting in a sudden reduction of the dimensionality of the eigen space. Consequently, in the vicinity of such points, the eigenfrequencies are strongly affected by external perturbationsas the system regains its original dimensionality. This unique behavior can be utilized to fundamentally enhance the sensitivity of micro resonators. Here, we experimentally investigate this effect in integrated semiconductor PT symmetric microring lasers that are biased at exceptional points. Using this arrangement, we demonstrate >10 fold enhancement in sensitivity. Our results also show that unlike standard microcavities, the parity time symmetric system responds to the square root of the perturbation. Our work provides a new avenue for enhancing the sensitivity of optical integrated sensors.", "author_names": [ "H Hodaei", "A U Hassan", "Hipolito Garcia-Gracia", "William E Hayenga", "Demetrios N Christodoulides", "Mercedeh Khajavikhan" ], "corpus_id": 125350869, "doc_id": "125350869", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Enhanced sensitivity in PT symmetric coupled resonators", "venue": "LASE", "year": 2017 } ]
supply chain management CSR
[ { "abstract": "Nowadays, the critical dimensions (CD) of semiconductor manufacturing processes are gradually declining, and various materials, reactants, or machine equipment is becoming more and more difficult. Therefore, the green supply chain management (GSCM) of smart high tech factories has also become the target of competition for cutting edge companies, because of this management. The more mature the mechanism of high production speed, lower operating costs and the higher the quality. In addition, corporate social responsibility (CSR) governance has also become an important investment evaluation item for semiconductor factories in recent years. As long as internationally renowned manufacturers start CSR, it is a pity that there are already some manufacturers. The establishment of a supply chain management system also synchronously promotes CSR, but there are few manufacturers that integrate CSR and green supply chain management, let alone the application of an intelligent management platform (IMP) This study builds a GSM platform (GSMP) based on the aforementioned problems, and the cluster analysis of K means is used to optimize the management platform to optimize the overall performance of the management platform. Through the simulation results of this study, it is found that the performance of this management platform is far superior to the current mechanism of using human operation, whether it is the speed of emergency processing or the final management operation performance, which shows that this study industry contribution.", "author_names": [ "Hsiao-Chuan Wang", "Kuo-Chi Chang", "Kai-Chun Chu", "Yuh-Chung Lin", "Tsui-Lien Hsu", "Fu-Hsiang Chang" ], "corpus_id": 227277793, "doc_id": "227277793", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Study of Green Supply Chain Management Platform Performance for Intelligent Hightech Factory Based on Advanced CSR Governance", "venue": "2020 15th International Microsystems, Packaging, Assembly and Circuits Technology Conference (IMPACT)", "year": 2020 }, { "abstract": "Introduction The growing problem of food losses and waste is a consequence of structural changes of the food market and the needs of buyers, but is also an integral part of the problems in the food chain management in context of sustainable development. Very important in this situation has a comprehensive management throughout the food chain and market communication, in particular risk communication. Appropriate communication should be carried out also because of the growing importance of corporate social responsibility (CSR) [Wrzosek et al 2014].Food losses and waste are the consequence of failure of this system and unreasonable towards the development of the food market .You should also look at a food losses and waste relate to different dimensions perception of product from the point of view of the consumer and product management processes. Effective management processes throughout the food chain \"from farm to fork\" is an important area of product management process, and it involves the ability to reduce losses and waste of these products. Product management constitutes one of the basic functions of the production process management, which is typically the responsibility of the producers (suppliers) but increasingly also of the recipients (the commerce) Thus far, the available literature has been void of information on relations between these occurrences, which prompted the authors to undertake an analysis of the aforementioned processes as well as to conduct an empirical investigation The essence of food product management is made up of all actions and decisions taken by the producers in the scope of shaping and developing the product [Krajewski 2010] The concept of sustainable development is oft discussed topics in the context of food supply chain management. have been made to determine the optimal combination of corporate social responsibility and sustainable business development. According to most concepts, sustainable supply chain should take into account a reverse chain, but it is difficult in the case of food, due to safety and recovery conditions [Tanner 2016] The study analyzes the food loss and waste in a triple perspective: the quality of product and processes, aspects of product management and marketing communication in relation to the concept of sustainable development (especially social and economic dimensions) and concept of corporate social responsibility.", "author_names": [ "Karol L Krajewski", "M Swiatkowska", "Romuald Zabrocki", "Krystian Szczepanski" ], "corpus_id": 13837461, "doc_id": "13837461", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Losses and waste in food supply chain the context of sustainable development product management CSR and market communication", "venue": "", "year": 2017 }, { "abstract": "Abstract Green supply chain management (GSCM) has attracted wide attention from academia and practitioners with the improvement of environmental awareness. Based on stakeholder theory, the purpose of this study is to explore the relationship between corporate social responsibility (CSR) green supply chain management and firm performance, and to consider the context of big data analytics capability. Based on 260 samples of Chinese manufacturing industry in three measurement periods, hierarchical multiple regression method was used to analyze the data and test our hypotheses. The empirical results show that internal CSR (management practice towards employees) and external CSR (management practice towards external stakeholders) has a positive impact on green supply chain management. Green supply chain management has a positive impact on firm performance, and big data analytics capability has a positive moderating effect on the relationship between external CSR and green supply chain management. The theoretical contributions and practical implications are also discussed.", "author_names": [ "Chenxiao Wang", "Qingpu Zhang", "Weiping Zhang" ], "corpus_id": 224973717, "doc_id": "224973717", "n_citations": 20, "n_key_citations": 0, "score": 3, "title": "Corporate social responsibility, Green supply chain management and firm performance: The moderating role of big data analytics capability", "venue": "", "year": 2020 }, { "abstract": "Abstract In the social responsibility environment, this paper considers the impacts of credit support, government subsidy and the internal cost subsidy on corporate social responsibility (CSR) behavior of supply chain members. By constructing a differential game model consisting of a single manufacturer and a single retailer, the equilibrium results of the supply chain are studied under four game situations and the validity of the theoretical derivation is verified by numerical examples. The results show that credit support only motivates the manufacturer to increase the CSR effort and government subsidy can simultaneously increase the enthusiasm of the manufacturer and the retailer to fulfill their social responsibility. Under credit support and government subsidy, when p", "author_names": [ "Ying Li" ], "corpus_id": 219462765, "doc_id": "219462765", "n_citations": 8, "n_key_citations": 0, "score": 0, "title": "Research on supply chain CSR management based on differential game", "venue": "", "year": 2020 }, { "abstract": "Abstract Corporate social responsibility (CSR) in supply chain management (SCM) is one of the burgeoning fields of the last decade. Significant interest in this area has led to a large number of publications in recent times. For this reason, this study has been carried out to provide a comprehensive framework and future research directions for this topic. This work presents a bibliometric analysis of relevant publications dealing with CSR in SCM up to April 2019. As well as the presentation of an overview of publications and citation structures, it also explores journals and countries based on a bibliometric study. To collect the relevant data for this study, we have utilized the reliable SCOPUS database. Our results highlight the significant contributions of journals, authors, universities, and countries on this topic. With the help of \"Visualization of similarities (VOS)\" viewer software, this study investigates bibliographic coupling of sources and countries. It also presents co occurrence of keywords and graphic representations of the bibliographic materials. Finally, it provides an overview of all relevant review papers in this field and a comprehensive view of related research fields.", "author_names": [ "N Modak", "Sudip Kumar Sinha", "Alok Raj", "Shibaji Panda", "Jose M Merigo", "Ana Beatriz Lopes de Sousa Jabbour" ], "corpus_id": 224898934, "doc_id": "224898934", "n_citations": 9, "n_key_citations": 0, "score": 1, "title": "Corporate social responsibility and supply chain management: Framing and pushing forward the debate", "venue": "", "year": 2020 }, { "abstract": "The notion of the sharing economy has been introduced in many sectors and provided significant benefits to consumers and asset owners. Despite the remarkable improvement of the sharing economy in recent years, its relationship with sustainability remains insufficiently researched. This study adopts a sustainable supply chain management (SSCM) perspective. A large scale survey with 420 participants showed that investment recovery (IR) practices and corporate social responsibility (CSR) conducted by sharing economy platforms significantly and positively affect customers' intention to use sharing economy based services/products, whereas internal green management (IGM) supplier green management (SGM) eco design (ECD) and customer green management (CGM) practices do not. A follow up qualitative study with ten participants provided further explanations and supported the findings of the survey. This study links the sharing economy and sustainability by testing the effectiveness of sharing economy platforms' sustainable practices and proposes the best practices for sharing economy platforms to maintain a long term sustainable marketplace.", "author_names": [ "Jiayao Hu", "Y Liu", "Tsunwai Wesley Yuen", "Ming Lim", "Jialun Hu" ], "corpus_id": 198477710, "doc_id": "198477710", "n_citations": 35, "n_key_citations": 0, "score": 0, "title": "Do green practices really attract customers? The sharing economy from the sustainable supply chain management perspective", "venue": "Resources, Conservation and Recycling", "year": 2019 }, { "abstract": "Pressure from stakeholders for sustainable development is forcing top management to reconsider its supply chain management. This form of sustainability must consider the risks, insecurities, and lack of proximity caused by any event on the global economy. Organizations must identify and manage the risks of every link in the chain, while pursuing sustainable development. Corporate social responsibility (CSR) and sustainable development must be the result of a deliberate and coordinated response by the entire organization. A suitable segmentation of suppliers allows development strategies to be prioritized. This article presents the steps that should be followed in supply chain management, the identification of risks, and the new leadership of purchasing management to develop a sustainable supply chain. To this end, some of the key industrial actions reported in the literature are outlined, and two case studies are presented to identify the steps for the segmentation and dynamic development of suppliers. This article provides reflections on the responsibilities of senior management in the new era of sustainable development and presents guidance on how to coordinate sustainable development in the supply chain.", "author_names": [ "Gregorio Rius-Sorolla", "Sofia Estelles-Miguel", "Carlos Rueda-Armengot" ], "corpus_id": 219919678, "doc_id": "219919678", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Multivariable Supplier Segmentation in Sustainable Supply Chain Management", "venue": "", "year": 2020 }, { "abstract": "Abstract This study aims to determine the effect of profitability, leverage and company size on firm value as well as the role of corporate social responsibility (CSR) in supply chain management, moderating the effect of profitability, leverage and company size on firm value. The sample comprises 28 manufacturing companies listed on the Indonesia Stock Exchange, taking their financial reports from 2015 to 2017. Data were collected from the annual financial statements of the companies obtained from the IDX. Data were analysed through classic assumption test, interaction test with moderated regression analysis and F and t test for significance. Results showed that profitability positively and significantly affects 4,679 firm value with a significance level of 0,000. Leverage negatively and significantly affects 2.682 firm value with a significance level of 0.009. The size of the company positively and significantly affects 3,851 company value with a significance level of 0,000. Interaction analysis results show that CSR moderates the effects of profitability, leverage and company size on firm value.", "author_names": [ "Anik Yuesti", "I A Rumanti", "Putu Kepramareni", "I Nengah Suardhika" ], "corpus_id": 219803492, "doc_id": "219803492", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Role of Corporate Social Responsibility in Supply Chain Management and Increasing Corporate Value", "venue": "", "year": 2020 }, { "abstract": "The purpose of this document is to identify and analyse the published definitions of the management of the green and sustainable supply chain management. A total of 30 definitions for Green Supply Chain Management (GSCM) and 19 definitions for Sustainable Supply Chain Management (SSCM) have been identified and analyzed. Following this analysis, a set of companies sustainability characteristics are proposed (social, economic, ecological and corporate social responsibility (CSR) performance) The analysis has shown that GSCM definitions are generally based on the environment characteristics. Although SSCM definitions are based on the three levers of Sustainable Development (SD) at the same time. CSR has been identified only in the SSCM definitions, since it presents a set of practices implemented by the company in order to respect the principles of SD. It is argued that the SSCM is essentially an extension of the GSCM. However, some definitions of GSCM add the social lever to the economic and ecological levers which create an overlap between the two definitions, to solve this problem, a new definition of GSCM has been proposed.", "author_names": [ "K Amrani Souhli", "Jaouad E L Hilaly", "Abdelali Ennadi" ], "corpus_id": 231895934, "doc_id": "231895934", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Green and Sustainable Supply Chain Management (GSCM and SSCM) A Comparative Literature Analysis of Definitions and the Identification of the Relationship between Environmental and Economic Pillars in GSCM", "venue": "", "year": 2020 }, { "abstract": "This study aims to examine the impact of the supply chain strategies on company risk in the emerging market, Indonesia. The samples of this study are companies listed in Indonesia Stock Exchange in 2018. According to the sampling procedure, there were 458 companies that employed corporate social responsibility during this period. The corporate social responsibility score were obtained from the content and risk analysis represented by the market based risk such as systematic risk, idiosyncratic risk, and total risk. The findings from the data analysis show that there was no CSR significant impact on company's idiosyncratic and systematic risk. However, it has a weak negative impact on total risk. The CSR dimensions that affect company risk are supply chain partnerships and tax contributions. The supply chain partnerships are negatively associated with company's systematic and idiosyncratic risk. This finding was also consistent after adding the control variables. On the contrary, tax contributions are positively associated with higher systematic and idiosyncratic risk to the company.", "author_names": [ "Amlys Syahputra Silalahi", "Doli Muhammad JaaEUR(tm)far Dalimunthe", "Aryanti Sariartha Sianipar", "Jumadil Saputra" ], "corpus_id": 228969451, "doc_id": "228969451", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "The Impact of Corporate Social Responsibility Practices through Supply Chain Management on Company Risk in Indonesia", "venue": "", "year": 2020 } ]
Electronic structure and optical properties of semiconductor nanowires
[ { "abstract": "Abstract Advances in the fabrication and characterization of nanowires polytypes have made crystal phase engineering a well established tool to tailor material properties. In this review, recent progresses in the field are described, with special focus on the central role that crystal phase has in modulating the electronic and optical properties of nanowires. We start with an overview on III V nanowires, which have been developed first and for which more experimental results already exist. Then, particular attention will be devoted to group IV polytypes which represent one of the most exciting and novel topic in the field. A survey of both theoretical and experimental efforts will be provided with the final aim of highlighting the importance of crystal phase control in materials design. Graphical abstract", "author_names": [ "Luiz Henrique Galvao Tizei", "Michele Amato" ], "corpus_id": 213861248, "doc_id": "213861248", "n_citations": 6, "n_key_citations": 0, "score": 1, "title": "Electronic structure and optical properties of semiconductor nanowires polytypes", "venue": "", "year": 2020 }, { "abstract": "Semiconductor nanostructures show many special physical properties associated with quantum confinement effects, and have many applications in the opto electronic and microelectronic fields. However, it is difficult to calculate their electronic states by the ordinary plane wave or linear combination of atomic orbital methods. In this paper, we review some of our works in this field, including semiconductor clusters, self assembled quantum dots, and diluted magnetic semiconductor quantum dots. In semiconductor clusters we introduce energy bands and effective mass Hamiltonian of wurtzite structure semiconductors, electronic structures and optical properties of spherical clusters, ellipsoidal clusters, and nanowires. In self assembled quantum dots we introduce electronic structures and transport properties of quantum rings and quantum dots, and resonant tunneling of 3 dimensional quantum dots. In diluted magnetic semiconductor quantum dots we introduce magnetic optical properties, and magnetic field tuning of the effective g factor in a diluted magnetic semiconductor quantum dot. (C) 2004 Elsevier B.V. All rights reserved.", "author_names": [ "Jian-bai Xia", "Kai Chang", "Shu-Shen Li" ], "corpus_id": 138161213, "doc_id": "138161213", "n_citations": 6, "n_key_citations": 0, "score": 0, "title": "Electronic structure and optical property of semiconductor nanocrystallites", "venue": "", "year": 2004 }, { "abstract": "In this thesis, the electronic structure and optical properties of semiconductor nanostructures are studied theoretically. Three types of nanostructures have been studied, silicon nanocrystals, free standing III V nanowires and free standing GaAs/AlGaAs nanowire superlattices. The calculations have been carried out using an atomistic, empirical tight binding approach. Silicon nanocrystals have attracted a great deal of attention after it was shown that they can emit visible light. In this thesis the highest occupied states and lowest unoccupied states of silicon nanocrystals are studied. The confinement energies of the states are calculated and the symmetries of the states are analyzed. To better understand the localization properties of the states, their wave functions are calculated and discussed. The major part of the work, contained within this thesis, regards calculations of the electronic structure and optical properties of free standing nanowires. In all the cases studied the conduction bands of the nanowires show good parabolic dispersions. Also, the degeneracy of the light and heavy hole bands of the bulk material, is broken. The band structure for GaAs, InAs and InP nanowires, oriented along the [100] crystallographic direction, with square and rectangular cross sections is studied. The effect of the cross section aspect ratio is studied with regard to band structure and wave functions. For nanowires with a square cross section the valence bands are found to show strongly non parabolic dispersions. However, when the cross section aspect ratio is increased, the dispersion of the bands become less non parabolic. For large aspect ratios the topmost valence bands show good parabolic dispersion around the Brillouin zone center. In addition the optical properties of an InP [111] oriented nanowire are studied, and the nanowire is found to have a strong optical polarization anisotropy. The band edge transition is found to be polarized parallel to the nanowire orientation. Lately, the model has been extended to handle the electronic structure of hetero structured nanowires. In this thesis the band structure of [100] oriented free standing GaAs/AlGaAs nanowire superlattices is studied. The evolution of the band structure and the opening of band gaps in the valence and conduction bands are studied as a function of AlGaAs barrier thickness and nanowire lateral size. (Less)", "author_names": [ "Martin P Persson" ], "corpus_id": 137301528, "doc_id": "137301528", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Theoretical study of electronic structure and optical properties of semiconductor nanostructures", "venue": "", "year": 2004 }, { "abstract": "This revised second edition on the \"Quantum Theory of the Optical and Electronic Properties of Semiconductors\" presents the basic elements needed to understand and engage in research in semiconductor physics. In this revised second edition misprints are corrected and some new and more detailed material is added. In order to treat the valence band structure of semiconductors, an introduction to the k.p. theory and the related description in terms of the Luttinger Hamiltonian is included. An introductory chapter on mesoscopic semiconductor structures discussing the modifications of the envelope function approximation caused by the spatial quantum confinement is also included. Many results are developed in parallel first for bulk material, and then for quasi two dimensional quantum wells, and for quasi one dimensional quantum wires. Semiconductor quantum dots are treated in a separate chapter. The discussion of time dependent and coherent phenomena in semiconductors has been considerably extended by including a section dealing with the theoretical description of photon echoes in semiconductors. A new chapter on magneto absorption has been added, in which magneto excitons and magneto plasmas in two dimensional systems are discussed. The chapter on electron kinetics due to the interaction with longitudinal optical phonons has been extended. The material is presented in sufficient detail for graduate students and researchers who have a general background in quantum mechanics, and is aimed at solid state physicists, engineers, materials and optical scientists.", "author_names": [ "Hartmut Haug", "Stephan W Koch" ], "corpus_id": 121331645, "doc_id": "121331645", "n_citations": 2439, "n_key_citations": 170, "score": 0, "title": "Quantum Theory of the Optical and Electronic Properties of Semiconductors", "venue": "", "year": 1990 }, { "abstract": "We compute the subband structure of several group IV and III V 001 110 and 111 oriented nanowires using sp 3 and sp 3 d 5 s tight binding models. In particular, we provide the band gap energy of the nanowires as a function of their radius R in the range R =1 2 0 nm. Wethen discuss the self energy corrections to the tight binding subband structure, that arise from the dielectric mismatch between the nanowires with dielectric constant in and their environment with dielectric constant out. These self energy corrections substantially open the band gap of the nanowires when in out, and decrease slower 1/ R than quantum confinement with increasing R. They are thus far from negligible in most experimental setups. We introduce a semi analytical model for practical use. This semianalytical model is found in very good agreement with", "author_names": [ "Yann-Michel Niquet", "Aurelien Lherbier", "Nguyen Hong Quang", "Marivi Fernandez-Serra", "Xavier Blase", "Christophe Delerue" ], "corpus_id": 123070448, "doc_id": "123070448", "n_citations": 191, "n_key_citations": 4, "score": 0, "title": "Electronic structure of semiconductor nanowires", "venue": "", "year": 2006 }, { "abstract": "quantum confinement of electrons and phonons. All chapters have their own references (from historical important papers to modern monographs and textbooks) and are enriched with tables of material parameters, figures and problems (105 in total) The book contains 246 two color figures, 50 tables, a subject index and a very interesting appendix \"Pioneers of Semiconductor Physics remember.\" Heavy emphasis on optical properties and photoelectron spectroscopy reflects the expertise of the authors. The book treats semiconductors as materials, no device applications are contained. It attempts to fill the gap between solid state physics textbooks and research articles. The approach is physical and intuitive rather than formal. Theories are presented to explain experimental results. In the author's opinion it needs for understanding only undergraduate physics and mathematics course materials (with the exception of group theory, which is presented as a \"crash course\" in chapter 2.3) but in fact a deeper understanding of special topics requires knowledge in second quantization. Greens functions and Freynman diagrams (for calculating light scattering) The book will be of great value for graduate students and research students in the field of semiconductor physics, for lecturers of semiconductor physics and for engineers working in the field of research and development of electronic and especially of optoelectronic devices.", "author_names": [ "Klaus Kreher" ], "corpus_id": 102265731, "doc_id": "102265731", "n_citations": 760, "n_key_citations": 97, "score": 0, "title": "Fundamentals of Semiconductors Physics and Materials Properties", "venue": "", "year": 1997 }, { "abstract": "The electronic structure of spherical PbS and PbSe quantum dots is calculated with a four band envelope function formalism. This calculation accounts for both exciton energies and wave functions with the correct symmetry of the materials. The selection rules and the strength of the dipole transitions of lead salt quantum dots are derived accounting for the symmetry of the band edge Bloch functions of the lead salts. The calculated energies of the optically allowed exciton states are found to be in good agreement with experimental data. The effects of many body perturbations, such as Coulomb interactions and intervalley scattering, are also discussed.", "author_names": [ "In Byeong Kang", "Frank W Wise" ], "corpus_id": 122042364, "doc_id": "122042364", "n_citations": 591, "n_key_citations": 12, "score": 0, "title": "Electronic structure and optical properties of PbS and PbSe quantum dots", "venue": "", "year": 1997 }, { "abstract": "This paper provides a review of the state of the art electronic structure calculations of semiconductor nanowires. Results obtained using empirical k.p, empirical tight binding, semi empirical pseudopotential, and with ab initio methods are compared. For conciseness, we will restrict our detailed discussions to free standing plain and modulated nanowires. Connections to relevant experimental data, particularly band gaps and polarization anisotropy, will be made since these results depend crucially on the electronic properties. For completeness, a brief review on the synthesis of nanowires is included.", "author_names": [ "Lok C Lew Yan Voon", "Yong Lin Zhang", "Benny Lassen", "Morten Willatzen", "Qihua Xiong", "Peter C Eklund" ], "corpus_id": 6862387, "doc_id": "6862387", "n_citations": 485, "n_key_citations": 0, "score": 0, "title": "Electronic properties of semiconductor nanowires.", "venue": "Journal of nanoscience and nanotechnology", "year": 2008 }, { "abstract": "This article surveys recent developments in the rational synthesis of single crystalline zinc oxide nanowires and their unique optical properties. The growth of ZnO nanowires was carried out in a simple chemical vapor transport and condensation (CVTC) system. Based on our fundamental understanding of the vapor liquid solid (VLS) nanowire growth mechanism, different levels of growth controls (including positional, orientational, diameter, and density control) have been achieved. Power dependent emission has been examined and lasing action was observed in these ZnO nanowires when the excitation intensity exceeds a threshold ~40 kW cm 2) These short wavelength nanolasers operate at room temperature and the areal density of these nanolasers on substrate readily reaches 1 x 1010 cm 2. The observation of lasing action in these nanowire arrays without any fabricated mirrors indicates these single crystalline, well facetted nanowires can function as self contained optical resonance cavities. This argument is further supported by our recent near field scanning optical microscopy (NSOM) studies on single nanowires.", "author_names": [ "Peidong Yang", "Haoquan Yan", "Samuel S Mao", "Richard E Russo", "Justin C Johnson", "Richard J Saykally", "N Morris", "Johnny Pham", "Rongrui He", "Heon-Jin Choi" ], "corpus_id": 9579827, "doc_id": "9579827", "n_citations": 1598, "n_key_citations": 17, "score": 0, "title": "Controlled growth of ZnO nanowires and their optical properties", "venue": "", "year": 2002 }, { "abstract": "Majoranas Arrive When a negatively charged electron meets a positron its positively charged antiparticle they annihilate each other in a flash of gamma rays. A Majorana fermion, on the other hand, is a neutral particle, which is its own antiparticle. No sightings of a Majorana have been reported in the elementary particle world, but recently they have been proposed to exist in solid state systems and suggested to be of interest as a quantum computing platform. Mourik et al. (p. 1003, published online 12 April; see the cover; see the Perspective by Brouwer) set up a semiconductor nanowire contacted on each end by a normal and a superconducting electrode that revealed evidence of Majorana fermions. Theoretically predicted particles that double as their own antiparticles emerge in a superconductor coupled indium antimonide nanowire. Majorana fermions are particles identical to their own antiparticles. They have been theoretically predicted to exist in topological superconductors. Here, we report electrical measurements on indium antimonide nanowires contacted with one normal (gold) and one superconducting (niobium titanium nitride) electrode. Gate voltages vary electron density and define a tunnel barrier between normal and superconducting contacts. In the presence of magnetic fields on the order of 100 millitesla, we observe bound, midgap states at zero bias voltage. These bound states remain fixed to zero bias, even when magnetic fields and gate voltages are changed over considerable ranges. Our observations support the hypothesis of Majorana fermions in nanowires coupled to superconductors.", "author_names": [ "Vincent Mourik", "Kun Zuo", "Sergey M Frolov", "Sebastien R Plissard", "Erik P A M Bakkers", "L P Kouwenhoven" ], "corpus_id": 18447180, "doc_id": "18447180", "n_citations": 2382, "n_key_citations": 36, "score": 0, "title": "Signatures of Majorana Fermions in Hybrid Superconductor Semiconductor Nanowire Devices", "venue": "Science", "year": 2012 } ]
silicon photonics 2D materials applications
[ { "abstract": "In the growing list of 2D semiconductors as potential successors to silicon in future devices, metal halide perovskites have recently joined the family. Unlike other conversional 2D covalent semiconductors such as graphene, transition metal dichalcogenides, black phosphorus, etc. 2D perovskites are ionic materials, affording many distinct properties of their own, including high photoluminescence quantum efficiency, balanced large exciton binding energy and oscillator strength, and long carrier diffusion length. These unique properties make 2D perovskites potential candidates for optoelectronic and photonic devices such as solar cells, light emitting diodes, photodetectors, nanolasers, waveguides, modulators, and so on, which represent a relatively new but exciting and rapidly expanding area of research. In this Review, the recent advances in emerging 2D metal halide perovskites and their applications in the fields of optoelectronics and photonics are summarized and insights into the future direction of these fields are offered.", "author_names": [ "Xiang Qi", "Yupeng Zhang", "Qingdong Ou", "Son Tung Ha", "C -W Qiu", "Han Zhang", "Yi-Bing Cheng", "Qihua Xiong", "Qiaoliang Bao" ], "corpus_id": 49482257, "doc_id": "49482257", "n_citations": 113, "n_key_citations": 0, "score": 0, "title": "Photonics and Optoelectronics of 2D Metal Halide Perovskites.", "venue": "Small", "year": 2018 }, { "abstract": "2D layered materials (2DLMs) are a subject of intense research for a wide variety of applications (e.g. electronics, photonics, and optoelectronics) due to their unique physical properties. Most recently, increasing research efforts on 2DLMs are projected toward the nonlinear optical properties of 2DLMs, which are not only fascinating from the fundamental science point of view but also intriguing for various potential applications. Here, the current state of the art in the field of nonlinear optics based on 2DLMs and their hybrid structures (e.g. mixed dimensional heterostructures, plasmonic structures, and silicon/fiber integrated structures) is reviewed. Several potential perspectives and possible future research directions of these promising nanomaterials for nonlinear optics are also presented.", "author_names": [ "Anton Autere", "Henri Jussila", "Yunyun Dai", "Yadong Wang", "Harri Lipsanen", "Zhipei Sun" ], "corpus_id": 205285367, "doc_id": "205285367", "n_citations": 266, "n_key_citations": 2, "score": 0, "title": "Nonlinear Optics with 2D Layered Materials.", "venue": "Advanced materials", "year": 2018 }, { "abstract": "Abstract Silicon photonics is becoming more and more attractive in the applications of optical interconnections, optical computing, and optical sensing. Although various silicon photonic devices have been developed rapidly, it is still not easy to realize active photonic devices and circuits with silicon alone due to the intrinsic limitations of silicon. In recent years, two dimensional (2D) materials have attracted extensive attentions due to their unique properties in electronics and photonics. 2D materials can be easily transferred onto silicon and thus provide a promising approach for realizing active photonic devices on silicon. In this paper, we give a review on recent progresses towards hybrid silicon photonics devices with 2D materials, including two parts. One is silicon based photodetectors with 2D materials for the wavelength bands from ultraviolet (UV) to mid infrared (MIR) The other is silicon photonic switches/modulators with 2D materials, including high speed electro optical modulators, high efficiency thermal optical switches and low threshold all optical modulators, etc. These hybrid silicon photonic devices with 2D materials devices provide an alternative way for the realization of multifunctional silicon photonic integrated circuits in the future.", "author_names": [ "Jiang Li", "Chaoyue Liu", "Hai-yan Chen", "Jingshu Guo", "Ming Zhang", "Daoxin Dai" ], "corpus_id": 219774548, "doc_id": "219774548", "n_citations": 4, "n_key_citations": 0, "score": 1, "title": "Hybrid silicon photonic devices with two dimensional materials", "venue": "", "year": 2020 }, { "abstract": "We have reported the first room temperature nanocavity laser with interlayer excitons in 2D heterostructures. Atomically thin layered two dimensional (2D) materials have provided a rich library for both fundamental research and device applications. Bandgap engineering and controlled material response can be achieved from artificial heterostructures. Recently, excitonic lasers have been reported using transition metal dichalcogenides; however, the emission is still the intrinsic energy bandgap of the monolayers. Here, we report a room temperature interlayer exciton laser with MoS2/WSe2 heterostructures. The onset of lasing was identified by the distinct kink in the \"L L\" curve and the noticeable spectral linewidth collapse. Different from visible emission of intralayer excitons in monolayer components, our laser works in the infrared range, which is fully compatible with the well established technologies in silicon photonics. Long lifetime of interlayer excitons relaxes the requirement of the cavity quality factor by orders of magnitude. Room temperature interlayer exciton lasers might open new perspectives for developing coherent light sources with tailored optical properties on silicon photonics platforms.", "author_names": [ "Yuanda Liu", "Hanlin Fang", "Abdullah Rasmita", "Yu Zhou", "Juntao Li", "Ting Yu", "Qihua Xiong", "Nikolay I Zheludev", "Jin Liu", "Weibo Gao" ], "corpus_id": 134234246, "doc_id": "134234246", "n_citations": 37, "n_key_citations": 0, "score": 0, "title": "Room temperature nanocavity laser with interlayer excitons in 2D heterostructures", "venue": "Science Advances", "year": 2019 }, { "abstract": "Two dimensional (2D) materials are a new type of materials under intense study because of their interesting physical properties and wide range of potential applications from nanoelectronics to sensing and photonics. Monolayers of semiconducting transition metal dichalcogenides MoS2 or WSe2 have been proposed as promising channel materials for field effect transistors. Their high mechanical flexibility, stability, and quality coupled with potentially inexpensive production methods offer potential advantages compared to organic and crystalline bulk semiconductors. Due to quantum mechanical confinement, the band gap in monolayer MoS2 is direct in nature, leading to a strong interaction with light that can be exploited for building phototransistors and ultrasensitive photodetectors. Here, we report on the realization of light emitting diodes based on vertical heterojunctions composed of n type monolayer MoS2 and p type silicon. Careful interface engineering allows us to realize diodes showing rectification and light emission from the entire surface of the heterojunction. Electroluminescence spectra show clear signs of direct excitons related to the optical transitions between the conduction and valence bands. Our p n diodes can also operate as solar cells, with typical external quantum efficiency exceeding 4% Our work opens up the way to more sophisticated optoelectronic devices such as lasers and heterostructure solar cells based on hybrids of 2D semiconductors and silicon.", "author_names": [ "Oriol Lopez-Sanchez", "Esther Alarcon Llado", "Volodymyr B Koman", "Anna Fontcuberta i Morral", "Aleksandra Radenovic", "Andras Kis" ], "corpus_id": 9970109, "doc_id": "9970109", "n_citations": 324, "n_key_citations": 5, "score": 0, "title": "Light Generation and Harvesting in a van der Waals Heterostructure", "venue": "ACS nano", "year": 2014 }, { "abstract": "Integrated circuits based on complementary metal oxide semiconductors (CMOS) are at the heart of the technological revolution of the past 40 years, enabling compact and low cost microelectronic circuits and imaging systems. However, the diversification of this platform into applications other than microcircuits and visible light cameras has been impeded by the difficulty to combine semiconductors other than silicon with CMOS. Here, we report the monolithic integration of a CMOS integrated circuit with graphene, operating as a high mobility phototransistor. We demonstrate a high resolution, broadband image sensor and operate it as a digital camera that is sensitive to ultraviolet, visible and infrared light (300 2,000 nm) The demonstrated graphene CMOS integration is pivotal for incorporating 2D materials into the next generation microelectronics, sensor arrays, low power integrated photonics and CMOS imaging systems covering visible, infrared and terahertz frequencies. Graphene quantum dots on CMOS sensor offers broadband imaging.", "author_names": [ "Stijn Goossens", "Gabriele Navickaite", "Carles Monasterio", "Shuchi Gupta", "Juan Piqueras", "Raul Perez", "Gregory Burwell", "Ivan Nikitskiy", "Tania Lasanta", "Teresa Gal'an", "Eric Puma", "Alba Centeno", "Amaia Pesquera", "Amaia Zurutuza", "Gerasimos Konstantatos", "Frank H L Koppens" ], "corpus_id": 119335499, "doc_id": "119335499", "n_citations": 264, "n_key_citations": 0, "score": 0, "title": "Broadband image sensor array based on graphene CMOS integration", "venue": "", "year": 2017 }, { "abstract": "Graphene has emerged as an ultrafast optoelectronic material for on chip photodetector applications. The 2D nature of graphene enables its facile integration with complementary metal oxide semiconductor (CMOS) microelectronics and silicon photonics, yet graphene absorbs only \\sim$2.3\\ of light. Plasmonic metals can enhance the responsivity of graphene photodetectors, but may result in CMOS incompatible devices, depending on the choice of metal. Here, we propose a plasmon enhanced photothermoelectric graphene photodetector using CMOS compatible titanium nitride (TiN) on the silicon on insulator (SOI) platform. The device performance is compared for two substrate materials: SiO$_{2} and hexagonal boron nitride (hBN) We find out that the thermoelectric performance of graphene is enhanced by hBN, but this enhancement comes at the expense of a slower device speed. Moreover, our study reveals that the bandwidth of the graphene on SiO$_{2} photodetector has a \\sim$150$$GHz theoretical limit, and \\sim\\$65$$GHz for the graphene on hBN photodetector. The device presented in this study has a high speed response with a responsivity as high as 4.4$$A/W for an ultra compact length of 3.5$\\mu$m, and exhibits a nearly flat photoresponse across the telecom C band. Furthermore, the presented device operates at zero bias, consumes zero energy, and has an ultra low intrinsic noise equivalent power (NEP$,25\\\\text{pW/\\sqrt{\\text{Hz}", "author_names": [ "Mohammed AlAloul", "Mahmoud S Rasras" ], "corpus_id": 224814306, "doc_id": "224814306", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Plasmon enhanced graphene photodetector with CMOS compatible titanium nitride", "venue": "", "year": 2020 }, { "abstract": "We demonstrate the first achievement of continuous wave (CW) pumped second harmonic generation (SHG) in few and mono layer gallium selenide (GaSe) flakes, which are coated on silicon photonic crystal (PC) cavities. Because of ultrahigh second order nonlinearity of the two dimensional (2D) GaSe and localized resonant mode in the PC cavity, SHG's pump power is greatly reduced to microwatts. In a nine layer GaSe coated PC cavity, while the optical power inside the GaSe flake is only 1.5% of that in the silicon PC slab, the SHG in GaSe is more than 650 times stronger than the third harmonic generation in silicon slab, indicating 2D GaSe's great potentials to strengthen nonlinear processes in silicon photonics. Our study opens up a new view to expand 2D materials' optoelectronic applications in nonlinear regime and chip integrated active devices.", "author_names": [ "Xuetao Gan", "Chenyang Zhao", "Siyi Hu", "Tao Wang", "Yu Song", "Jie Li", "Qinghua Zhao", "Wanqi Jie", "Jianlin Zhao" ], "corpus_id": 52136709, "doc_id": "52136709", "n_citations": 48, "n_key_citations": 3, "score": 0, "title": "Microwatts continuous wave pumped second harmonic generation in few and mono layer GaSe", "venue": "Light, science applications", "year": 2018 }, { "abstract": "Monolayers of transition metal dichalcogenides (TMDCs) have attracted a great interest for post silicon electronics and photonics due to their high carrier mobility, tunable bandgap, and atom thick 2D structure. With the analogy to conventional silicon electronics, establishing a method to convert TMDC to p and n type semiconductors is essential for various device applications, such as complementary metal oxide semiconductor (CMOS) circuits and photovoltaics. Here, a successful control of the electrical polarity of monolayer WSe2 is demonstrated by chemical doping. Two different molecules, 4 nitrobenzenediazonium tetrafluoroborate and diethylenetriamine, are utilized to convert ambipolar WSe2 field effect transistors (FETs) to p and n type, respectively. Moreover, the chemically doped WSe2 show increased effective carrier mobilities of 82 and 25 cm2 V 1 s 1 for holes and electrons, respectively, which are much higher than those of the pristine WSe2 The doping effects are studied by photoluminescence, Raman, X ray photoelectron spectroscopy, and density functional theory. Chemically tuned WSe2 FETs are integrated into CMOS inverters, exhibiting extremely low power consumption (0.17 nW) Furthermore, a p n junction within single WSe2 grain is realized via spatially controlled chemical doping. The chemical doping method for controlling the transport properties of WSe2 will contribute to the development of TMDC based advanced electronics.", "author_names": [ "Hyun Goo Ji", "Pablo Solis-Fernandez", "Daisuke Yoshimura", "Mina Maruyama", "Takahiko Endo", "Yasumitsu Miyata", "Susumu Okada", "Hiroki Ago" ], "corpus_id": 201715721, "doc_id": "201715721", "n_citations": 32, "n_key_citations": 0, "score": 0, "title": "Chemically Tuned p and n Type WSe2 Monolayers with High Carrier Mobility for Advanced Electronics.", "venue": "Advanced materials", "year": 2019 }, { "abstract": "We report a new approach for realizing a flexible photonic crystal (PC) cavity that enables wide range tuning of its resonance frequency. Our PC cavity consists of a regular array of silicon nanowires embedded in a polydimethylsiloxane (PDMS) matrix and exhibits a cavity resonance in the telecommunication band that can be reversibly tuned over 60 nm via mechanical stretching a record for two dimensional (2D) PC structures. These mechanically reconfigurable devices could find potential applications in integrated photonics, sensing in biological systems, and smart materials.", "author_names": [ "Chun Liang Yu", "Hyunwoo Kim", "Nathalie P de Leon", "Ian W Frank", "Jacob T Robinson", "Murray William McCutcheon", "Mingzhao Liu", "Mikhail D Lukin", "Marko Loncar", "Hongkun Park" ], "corpus_id": 12451296, "doc_id": "12451296", "n_citations": 47, "n_key_citations": 0, "score": 0, "title": "Stretchable photonic crystal cavity with wide frequency tunability.", "venue": "Nano letters", "year": 2013 } ]
Linearization of Voltage-Controlled Oscillators Using Floating-Gate Transistors
[ { "abstract": "This brief presents a linearization method for the voltage to current (V to I) stage of voltage controlled oscillators (VCOs) A floating gate transistor is utilized at the VCO's input stage as a low overhead method for V to I linearization. The resulting VCO is a ring oscillator with an extended linear voltage to frequency range. The ring oscillator VCO (RO VCO) has also been included in a VCO based analog to digital converter (VCO ADC) to showcase how the linearization technique can be leveraged to improve system performance. The measured results from the linearized RO VCO are compared to current state of the art designs, and the VCO ADC is compared to a standard architecture. The circuits were fabricated in a standard $0.35~\\mu \\text{m} complementary metal oxide semiconductor (CMOS) process.", "author_names": [ "Steven Andryzcik", "David W Graham" ], "corpus_id": 234131509, "doc_id": "234131509", "n_citations": 0, "n_key_citations": 0, "score": 1, "title": "Linearization of Voltage Controlled Oscillators Using Floating Gate Transistors", "venue": "IEEE Transactions on Circuits and Systems II: Express Briefs", "year": 2021 }, { "abstract": "This paper investigates the use of the body terminal of MOS transistors to improve the linearity of some key circuits used to implement analog and mixed signal circuits integrated in Fully Depleted Silicon on Insulator (FD SOI) CMOS. This technology allows to increase the body factor with respect to conventional (bulk) CMOS processes. This effect is analyzed in basic analog building blocks such as switches, simple stage transconductors and Voltage Controlled Ring Oscillators (VCROs) Approximated expressions are derived for the nonlinear characteristics and harmonic distortion of some of these circuits. As an application, transistor level simulations of two VCRO based \\Sigma \\Delta modulators designed in a 28 nm FD SOI CMOS technology are shown in order to demonstrate the benefits of the presented techniques.", "author_names": [ "Javad Ahmadi-Farsani", "Virginia Zuniga-Gonzalez", "Teresa Serrano-Gotarredona", "Bernabe Linares-Barranco", "Jose M de la Rosa" ], "corpus_id": 216437199, "doc_id": "216437199", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Enhanced Linearity in FD SOI CMOS Body Input Analog Circuits Application to Voltage Controlled Ring Oscillators and Frequency Based SD ADCs", "venue": "IEEE Transactions on Circuits and Systems I: Regular Papers", "year": 2020 }, { "abstract": "We demonstrate a novel transistor structure comprising three gate electrodes: top gate, floating gate, and bottom gate. The floating gate electrode is located between the top and bottom gates. The tri gate structure enables post fabrication V TH control by sharing the dominance of both the top and bottom gates in the floating gate without affecting the semiconductor layer. V TH is controlled for a wide range of over 3.5 V, and the experimental results of V TH controllability coincide strongly with the theoretical analysis. The capacitance ratio between either the top or bottom gate and the floating gate is investigated, and a linear relation of V TH control is achieved without changing other transistor parameters such as the subthreshold swing, on/off ratio, or carrier mobility.", "author_names": [ "Sunghoon Lee", "Tomoyuki Yokota", "Takao Someya" ], "corpus_id": 114558350, "doc_id": "114558350", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Threshold voltage control for organic thin film transistors using a tri gate structure with capacitive coupling", "venue": "", "year": 2017 }, { "abstract": "Objective: This research paper presents a review on performance comparison of different types of Voltage Controlled Oscillators to analyze power dissipation and frequency response. Methods: Designs from Ring Oscillator, Current Starved VCO, VCO with gates of PMOS transistors grounded, VCO with PMOS transistors as diode connected load, VCO with Source voltage applied to both PMOS and NMOS transistors and VCO with NMOS transistors as diode connected load are designed on 45nm CMOS Technology using Cadence Virtuoso Tool. Findings: The performance of different circuits as Transient response, Frequency Response, Stability, Power has been comparatively analyzed through different simulations. Current Starved VCO is concluded as most linear design. All design procedures, Circuit and simulation results are illustrated.", "author_names": [ "Sajotra Deepak", "Dhariwal Sandeep", "M Ravi Shankar" ], "corpus_id": 63174743, "doc_id": "63174743", "n_citations": 3, "n_key_citations": 1, "score": 0, "title": "Comparative Analysis of Voltage Controlled Oscillator using CMOS", "venue": "", "year": 2016 }, { "abstract": "This paper reports a new design of CMOS voltage controlled oscillator (VCO) using three transistor XOR gate and inversion mode MOSFET varactor tuning concept. Output frequency in the VCO has been varied by varying the output node capacitance with the use of inversion mode MOSFET tuning and back gate tuning. Further, variation in the output frequency has been obtained with the power supply tuning for different value of back gate voltages. Inversion mode MOSFET tuning has been achieved by varying the source/drain voltage from 0.6 to 2.2 V which provides the frequency variation from 1.630 to 1.232 GHz with power consumption of 296.393 \\upmu \\hbox {W}$mW for inversion mode MOS varactor width of 5 \\upmu \\hbox {m}$mm. Results have also been obtained with inversion mode MOS varactor widths of 8 and 10 \\upmu \\hbox {m}$mm. Output frequency, power consumption and phase noise results have also been reported for the different values of back gate and power supply voltages. A tuning range of 27.8, 32.7 and 34.3% has been achieved with the source/drain tuning for inversion mode MOS varactor width of 5, 8 and 10 \\upmu \\hbox {m}$mm, respectively. Moreover in power supply tuning the tuning range of approximately 134% has been obtained for different widths of inversion mode MOS varactor. VCO is showing the phase noise of ,97.216$ 97.216 dBc/Hz with an offset of 1 MHz from the carrier. Proposed VCO shows a linear tuning, low power consumption and good phase noise performance.", "author_names": [ "M Kumar" ], "corpus_id": 52095957, "doc_id": "52095957", "n_citations": 15, "n_key_citations": 0, "score": 0, "title": "Design of Linear Low Power Voltage Controlled Oscillator with I MOS Varactor and Back Gate Tuning", "venue": "Circuits Syst. Signal Process.", "year": 2018 }, { "abstract": "Abstract This paper can be divided into two parts. The first part contains a comprehensive survey on the applications of voltage controlled oscillators and the innovations in their designs. The second part presents a voltage controlled ring oscillator (VCRO) based on using a floating gate metal oxide semiconductor (FGMOS) transistor in its delay element. According to this VCRO, there are no extra elements; instead, the control behavior is included in the delay element itself. The presented VCRO is analyzed quantitatively with the expressions of the oscillation frequency in terms of the control voltage and the average power consumption derived. The presented VCRO has a good linearity over the full range from 0 V to the power supply voltage and doesn't suffer from the need to turn on the MOS transistor. The effects of the process, voltage, and temperature (PVT) variations and the technology scaling on the performance of this VCRO are also investigated. The performance of the presented VCRO is compared with that of other schemes by simulation adopting the Berkeley predictive technology model (BPTM) of the 45 nm CMOS technology with a power supply voltage, VDD, equal to 1 V.", "author_names": [ "Sherif M Sharroush" ], "corpus_id": 34155236, "doc_id": "34155236", "n_citations": 6, "n_key_citations": 0, "score": 0, "title": "A voltage controlled ring oscillator based on an FGMOS transistor", "venue": "Microelectron. J.", "year": 2017 }, { "abstract": "Abstract A VCO based ADC is a time based ADC architecture that is highly digital with regard to its composition. In this paper, we analyze the performance of an organic voltage controlled oscillator (VCO) employing different delay elements and investigate their suitability for use in a VCO based analog to digital converter (ADC) An equation to calculate the theoretical limit of the resolution of the VCO based ADC from the voltage versus frequency characteristics of the VCO was formulated. Using this equation we analysed various VCO architectures to realize the VCO based ADC. We also investigated the impact of jitter and 1/f noise on the performance of the ADC. We have employed a ring oscillator based VCO in our design. The investigated single ended delay elements were analyzed with respect to the linearity in their voltage versus frequency characteristics. This measure of linearity governs the resolution of the VCO and the VCO being the critical part of a VCO based ADC, determines the maximum possible resolution of the whole VCO based ADC. The resolution of all the investigated delay cells were calculated. Based on these results it was found out that the diode load inverter delay cell is the most promising option to realize the VCO based ADC. For such a VCO based ADC using diode load inverter delay stages, the measured results show that a maximum possible resolution of 5.8 bits can be achieved. In addition to the diode load inverter based VCO, we also measured the VCO circuit using cut off load inverter delay stages. The OTFTs use poly 3 hexylthiophene (P3HT) as the P type semiconductor. Furthermore, the circuits were fabricated in a clean room process that is compatible with printing processes for mass production.", "author_names": [ "Ramkumar Ganesan", "Jurgen Krumm", "Klaus Ludwig", "Manfred Glesner" ], "corpus_id": 109954284, "doc_id": "109954284", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "Investigation of voltage controlled oscillator circuits using organic thin film transistors (OTFT) for use in VCO based analog to digital converters", "venue": "", "year": 2014 }, { "abstract": "This paper describes the possibility of using an Electrically Programmable Analog Device (EPAD) as a gamma radiation sensor. Zero biased EPAD has the lowest fading and the highest sensitivity in the 300 Gy dose range. Dynamic bias of the control gate during irradiation was presented for the first time; this method achieved higher sensitivity compared to static biased EPADs and better linear dependence. Due to the degradation of the transfer characteristics of EPAD during irradiation, a function of the safe operation area has been found that determines the maximum voltage at the control gate for the desired dose, which will not lead to degradation of the transistor. Using an energy band diagram, it was explained why the zero biased EPAD has higher sensitivity than the static biased EPAD.", "author_names": [ "Stefan Ilic", "Aleksandar Jevtic", "Srboljub Stankovic", "Goran S Ristic" ], "corpus_id": 219724339, "doc_id": "219724339", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Floating Gate MOS Transistor with Dynamic Biasing as a Radiation Sensor", "venue": "Sensors", "year": 2020 }, { "abstract": "A voltage controlled ring oscillator is implemented with double gate complementary transistors where both the n and p channel semiconductors are deposited by inkjet printing. Top gates added to transistors in conventional ring oscillator circuits control not only threshold voltages of the constituent transistors but also the oscillation frequencies of the ring oscillators. The oscillation frequency increases or decreases linearly with applied top gate potential. The field effect transistor materials system that yields such linear behavior has not been previously reported. In this work, we demonstrate details of a material system (gate insulator, p and n channel semiconductors) that results in very linear frequency changes with control gate potential. Our use of a double layer top dielectric consisting of a combination of solution processed P(VDF TrFE) and Al2O3 deposited by atomic layer deposition leads to low operating voltages and near optimal device characteristics from a circuit standpoint. Such functional blocks will enable the realization of printed voltage controlled oscillator based analog to digital converters.", "author_names": [ "Bongjun Kim", "Jaeyoung Park", "Michael L Geier", "Mark C Hersam", "Ananth Dodabalapur" ], "corpus_id": 206390754, "doc_id": "206390754", "n_citations": 31, "n_key_citations": 0, "score": 0, "title": "Voltage Controlled Ring Oscillators Based on Inkjet Printed Carbon Nanotubes and Zinc Tin Oxide.", "venue": "ACS applied materials interfaces", "year": 2015 }, { "abstract": "Voltage controlled ring oscillators (VCROs) are the fundamental module in the field of wireless communication systems. It is basically an amplifier with the positive feedback loop in which frequency is the linear function of voltage. To fulfill low power requirement with less noise perturbation in high speed clock recovery circuits, differential voltage controlled ring oscillator (DVCRO) plays vital role. The proposed circuit is designed in differential topology for even number of stages using active load in cross coupled configuration. By minimizing the amount of noise current during high to low and low to high signal transition noise performance is improved. The frequency of oscillation can be achieved by controlling gate source voltage of control transistors. The major attributes are the linearity, stability and wide tuning range which make system design more efficient and highly attractive. The circuit is implemented using 250 nm, 180 nm and 90 nm CMOS technologies at the supply voltage of 1V and control voltage ranges from 0.01 V to 0.08 V. The circuit operates at 38.9408 GHz frequency at power consumption of 0.02341 mW with optimized phase noise performance of 150.9768 dBm/Hz which is obtained best at 90nm technology.", "author_names": [ "Tripti Kackar", "Shruti Suman", "P K Ghosh" ], "corpus_id": 11357244, "doc_id": "11357244", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Design of improved performance differential voltage controlled ring oscillator", "venue": "2016 International Conference on Electrical, Electronics, and Optimization Techniques (ICEEOT)", "year": 2016 } ]
A virtual metrology system for semiconductor manufacturing
[ { "abstract": "Nowadays, the semiconductor manufacturing becomes very complex, consisting of hundreds of individual processes. If a faulty wafer is produced in an early stage but detected at the last moment, unnecessary resource consumption is unavoidable. Measuring every wafer's quality after each process can save resources, but it is unrealistic and impractical because additional measuring processes put in between each pair of contiguous processes significantly increase the total production time. Metrology, as is employed for product quality monitoring tool today, covers only a small fraction of sampled wafers. Virtual metrology (VM) on the other hand, enables to predict every wafer's metrology measurements based on production equipment data and preceding metrology results. A well established VM system, therefore, can help improve product quality and reduce production cost and cycle time. In this paper, we develop a VM system for an etching process in semiconductor manufacturing based on various data mining techniques. The experimental results show that our VM system can not only predict the metrology measurement accurately, but also detect possible faulty wafers with a reasonable confidence.", "author_names": [ "Pilsung Kang", "Hyoungjoo Lee", "Sungzoon Cho", "D Kim", "Jinwoo Park", "Chan-Kyoo Park", "Seungyong Doh" ], "corpus_id": 3508885, "doc_id": "3508885", "n_citations": 87, "n_key_citations": 5, "score": 1, "title": "A virtual metrology system for semiconductor manufacturing", "venue": "Expert Syst. Appl.", "year": 2009 }, { "abstract": "Nowadays, the semiconductor manufacturing becomes very complex, consisting of hundreds of individual processes. If a faulty wafer is produced in an early stage but detected at the last moment, unne.", "author_names": [ "" ], "corpus_id": 216021207, "doc_id": "216021207", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "A virtual metrology system for semiconductor manufacturing", "venue": "", "year": 2009 }, { "abstract": "Abstract Virtual metrology involves the estimation of metrology values using a prediction model instead of metrological equipment, thereby providing an efficient means for wafer to wafer quality control. Because wafer characteristics change over time according to the influence of several factors in the manufacturing process, the prediction model should be suitably updated in view of recent actual metrology results. This gives rise to a trade off relationship, as more frequent updates result in a higher accuracy for virtual metrology, while also incurring a heavier cost in actual metrology. In this paper, we propose an intelligent virtual metrology system to achieve a superior metrology performance with lower costs. By employing an ensemble of artificial neural networks as the prediction model, the prediction, reliability estimation, and model update are successfully integrated into the proposed virtual metrology system. In this system, actual metrology is only performed for those wafers where the current prediction model cannot perform reliable predictions. When actual metrology is performed, the prediction model is instantly updated to incorporate the results. Consequently, the actual metrology ratio is automatically adjusted according to the corresponding circumstances. We demonstrate the effectiveness of the method through experimental validation on actual datasets.", "author_names": [ "Seokho Kang", "Pilsung Kang" ], "corpus_id": 85443560, "doc_id": "85443560", "n_citations": 21, "n_key_citations": 3, "score": 0, "title": "An intelligent virtual metrology system with adaptive update for semiconductor manufacturing", "venue": "", "year": 2017 }, { "abstract": "Automatic virtual metrology (AVM) is the highest level technology for virtual metrology (VM) applications from the perspective of automation, which could facilitate fast factory wide deployment of VM systems. However, the existing AVM system suffered several limitations during its practical deployment and operation in a fab for semiconductor manufacturing. In this paper, by leveraging the advantages of cloud computing, we propose an approach of building cloud based AVM systems, which can effectively resolve these limitations. First, a cloud based architecture is designed based on a private cloud to virtualize all servers of the AVM system for resolving the limitations of using physical servers, such as incurring high hardware cost, occupying a lot of shop floor space, and needing complex efforts in managing VM servers. Then, three automatic functional mechanisms (i.e. automatic deployment mechanism, automatic scaling mechanism, and automatic serving mechanism) are developed in an extra server (i.e. the virtual machine administrator server) to automate the deployment of VM servers, to automatically scale out/in the number of VM servers on demand, and to automatically dispatch VM servers to serve the requested VM tasks in parallel. Such an architecture design could significantly reduce the efforts of migrating the original AVM system to the cloud. Integrated testing results show that the proposed cloud based AVM system could successfully overcome the limitations of the existing AVM system, while demonstrating a significant performance improvement over the existing AVM system in predicting the production quality of wafers. Most existing VM related literature focused on the development of the VM models. To our knowledge, no papers have coped with the issues of plant wide deployment and operation of VM systems by using cloud computing. This paper could be a useful reference for industrial practitioners to construct cloud based AVM systems. An approach of building cloud based AVM systems is proposed.A cloud based AVM architecture is designed based on private cloud.The AVM system can be transformed into a cloud based version with small efforts.The limitations of the existing AVM system are addressed and overcome.This paper could be a useful reference for constructing cloud based AVM systems.", "author_names": [ "Hsien-Cheng Huang", "Yu-Chuan Lin", "Min-Hsiung Hung", "Chia-Chun Tu", "Fan-Tien Cheng" ], "corpus_id": 109194900, "doc_id": "109194900", "n_citations": 14, "n_key_citations": 1, "score": 0, "title": "Development of cloud based automatic virtual metrology system for semiconductor industry", "venue": "", "year": 2015 }, { "abstract": "In semiconductor manufacturing, optimization of the sampling measurement plan through production steps is key to maximize productive performances. The measurement plan must guarantee high quality and compliance to wafer specifications limits. In this article, the relationships between virtual metrology (VM) and actual measurements are investigated with respect to a sampling decision system (SDS) specifically, a multilevel VM strategy is relied on to provide predictive information. Such virtual measurements serve as input for the sampling decision system, which in turn suggests the optimal measurement strategy. Two approaches relying on decision theoretical concepts are discussed: the expected value of measurement information (EVofMI) and a two stage sampling decision model. The basic assumption of the SDS VM system is that it is not necessary to perform a real measurement until it is strictly needed. The two methodologies are then validated relying on simulation studies and actual chemical vapor deposition (CVD) process and measurement data. The ability of the proposed system to sample dynamically the wafer measurements in dependence of the calculated risk is then evaluated and discussed.", "author_names": [ "Daniel Kurz", "Jurgen Pilz", "Andrea Schirru", "Simone Pampuri", "Cristina De Luca" ], "corpus_id": 9117758, "doc_id": "9117758", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "A sampling decision system for semiconductor manufacturing relying on virtual metrology and actual measurements", "venue": "Proceedings of the Winter Simulation Conference 2014", "year": 2014 }, { "abstract": "In semiconductor manufacturing, metrology operations are expensive and time consuming, for this reason only a certain sample of wafers is measured. With the need of highly reliable processes, the semiconductor industry aims at developing methodologies covering the gap of missing metrology information. Virtual Metrology turns out to be a promising method; it aims at predicting wafer and/or site fine metrology results in real time and free of costs. In this paper, we present a sampling decision system that relies on virtual measurements suggesting an efficient strategy for measuring productive wafers. Several methods for evaluating when a real measurement is needed (including the expected utility of measurement information, a two stage sampling decision model and wafer quality risk values) are proposed. We further provide ideas on how to assess and update the reliability of the virtual measurements in a sampling decision system (whenever real measurements become available) In this context, we introduce equipment health factors and virtual trust factors for improving the reliability of the sampling decision system. Finally, the performance of the sampling decision system is demonstrated on a set of virtual and real metrology data from the semiconductor industry. It is shown that wafer measurements are efficiently performed when really needed.", "author_names": [ "Daniel Kurz", "Cristina De Luca", "Jurgen Pilz" ], "corpus_id": 17391377, "doc_id": "17391377", "n_citations": 15, "n_key_citations": 1, "score": 0, "title": "A Sampling Decision System for Virtual Metrology in Semiconductor Manufacturing", "venue": "IEEE Transactions on Automation Science and Engineering", "year": 2015 }, { "abstract": "In semiconductor manufacturing, metrology operations are so expensive and time consuming that only a certain number of wafers are measured. For that reason, one is interested in developing Virtual Metrology (VM) methodologies predicting wafer fine metrology results in real time and free of costs. However, currently used sampling designs do not take account of such information. In this paper, we present a sampling decision system (SDS) that relies on virtual metrology data suggesting an optimal strategy for measuring productive wafers. Considering control charts within a decision theoretical framework, the expected value of measurement information is computed by means of Monte Carlo (MC) integration; this is a way to assess the informational gain resulting from a measurement. Optimal sampling decisions are obtained using a two stage decision model. Extensions of the SDS consider bad wafer quality risk and fixed real metrology operations by cumulating past decision risks. A Bayesian conjugate Wishart model allows to update uncertainty of virtual measurements whenever a real measurement is available. The sampling decision system is extended to a set of virtual and real metrology data from the semiconductor industry. Wafer measurements are only performed when really needed.", "author_names": [ "Daniel Kurz", "Cristina De Luca", "Jurgen Pilz" ], "corpus_id": 17543149, "doc_id": "17543149", "n_citations": 8, "n_key_citations": 0, "score": 0, "title": "Sampling Decision System in semiconductor manufacturing using Virtual Metrology", "venue": "2012 IEEE International Conference on Automation Science and Engineering (CASE)", "year": 2012 }, { "abstract": "AbstractProcess condition was changed by preventative maintenance that may lead to the inconsistency of process output. In practice, process engineers have difficulties to discover the inconsistency that the defect wafer may have been produced before the next measurement. This study proposes a virtual metrology (VM) approach for maintenance compensation in semiconductor manufacturing. The tool process parameter streams were collected to predict the product metrology value, and a relation model was built to adjust the equipment settings for product recipe compensation. An empirical study in maintenance compensation of chemical vapor deposition (CVD) was conducted in a Taiwan semiconductor company for validation. The thickness difference caused by maintenance was reduced from 571A to 77A in training data and 564A to 210A in testing data. The results showed practical viability of the proposed approach and an intelligent system embedded with the developed algorithm has been implemented.", "author_names": [ "Kuo-Yi Lin", "Chia-Yu Hsu", "Hui-Chun Yu" ], "corpus_id": 4967284, "doc_id": "4967284", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "A virtual metrology approach for maintenance compensation to improve yield in semiconductor manufacturing", "venue": "Int. J. Comput. Intell. Syst.", "year": 2014 }, { "abstract": "The ability to predict end of line electrical properties of wafer in semiconductor manufacturing processes is critical to developing and maintaining a high yield. However, this is difficult because an advanced wafer manufacturing process consists of 300 400 steps, and in line metrology data is only available for a few steps and for infrequently sampled wafers. Although a large amount of equipment sensor outputs are readily available for most wafers, most of the sensor variables may not be related to the end of line properties. Further, differences in end of line properties of wafers processed by tools of the same stage do not imply differences in the values of sensor variables between these tools. Thus, it is important to develop a reliable screening and model building procedure to construct a robust virtual metrology model with good generalization capability. Despite its simplicity, this approach is found to have significantly better generalization capability than nonlinear models, as well as substantial improvement in modeling and prediction capabilities of linear models that use only in line metrology. The proposed method is also evaluated by an industrial application in a local fabrication unit.", "author_names": [ "Tian-Hong Pan", "Bi-Qi Sheng", "David Shan-Hill Wong", "Shi-Shang Jang" ], "corpus_id": 3019727, "doc_id": "3019727", "n_citations": 25, "n_key_citations": 0, "score": 0, "title": "A Virtual Metrology System for Predicting End of Line Electrical Properties Using a MANCOVA Model With Tools Clustering", "venue": "IEEE Transactions on Industrial Informatics", "year": 2011 }, { "abstract": "In semiconductor manufacturing plants, monitoring of all wafers is fundamental in order to maintain good yield and high quality standards. However, this is a costly approach and in practice only few wafers in a lot are actually monitored. With a Virtual Metrology (VM) system it is possible to partly overcome the lack of physical metrology. In a VM scheme, tool data are used to predict, for every wafer, metrology measurements. In this paper, we present a VM system for a Chemical Vapor Deposition (CVD) process. Various data mining techniques are proposed. Due to the huge fragmentation of data derived from CVD's mixed production, several kind of data clustering have been adopted. The proposed models have been tested on real productive industrial data sets.", "author_names": [ "Gian Antonio Susto", "Alessandro Beghi", "Cristina De Luca" ], "corpus_id": 38444456, "doc_id": "38444456", "n_citations": 22, "n_key_citations": 2, "score": 0, "title": "A Virtual Metrology system for predicting CVD thickness with equipment variables and qualitative clustering", "venue": "ETFA2011", "year": 2011 } ]
High Output Current Boron-Doped Diamond Metal-Semiconductor Field-Effect Transistors
[ { "abstract": "Schottky diodes and metal semiconductor field effect transistors (MESFETs) are fabricated on a quite smooth and high boron doping level diamond epitaxial layer. Forward current density maximum for the Schottky diode is 0.6 A cm 2 with the on/off ratio higher than 108. Ideality factor and barrier height for Pt metal on the boron doped diamond are evaluated to be 1.07 and 1.38 eV, respectively. Drain current maximum for the MESFET is 0.55mA mm 1, which is nine times higher than that of the previous report. Origin of it is possibly attributed to the good surface quality and high boron concentration for the B diamond.", "author_names": [ "Jiangwei Liu", "Tokuyuki Teraji", "Bo Da", "Yasuo Koide" ], "corpus_id": 204121036, "doc_id": "204121036", "n_citations": 4, "n_key_citations": 0, "score": 1, "title": "High Output Current Boron Doped Diamond Metal Semiconductor Field Effect Transistors", "venue": "IEEE Electron Device Letters", "year": 2019 }, { "abstract": "A common feature of the single walled carbon nanotube field effect transistors fabricated to date has been the presence of a Schottky barrier at the nanotube metal junctions. These energy barriers severely limit transistor conductance in the 'ON' state, and reduce the current delivery capability a key determinant of device performance. Here we show that contacting semiconducting single walled nanotubes by palladium, a noble metal with high work function and good wetting interactions with nanotubes, greatly reduces or eliminates the barriers for transport through the valence band of nanotubes. In situ modification of the electrode work function by hydrogen is carried out to shed light on the nature of the contacts. With Pd contacts, the 'ON' states of semiconducting nanotubes can behave like ohmically contacted ballistic metallic tubes, exhibiting room temperature conductance near the ballistic transport limit of 4e2/h (refs 4 6) high current carrying capability ~25 uA per tube) and Fabry Perot interferences at low temperatures. Under high voltage operation, the current saturation appears to be set by backscattering of the charge carriers by optical phonons. High performance ballistic nanotube field effect transistors with zero or slightly negative Schottky barriers are thus realized.", "author_names": [ "Ali Javey", "Jing Guo", "Qian Wang", "Mark Lundstrom", "Hongjie Dai" ], "corpus_id": 1142790, "doc_id": "1142790", "n_citations": 2638, "n_key_citations": 66, "score": 0, "title": "Ballistic carbon nanotube field effect transistors", "venue": "Nature", "year": 2003 }, { "abstract": "A diamond metal semiconductor field effect transistor (MESFET) with a Pt Schottky gate was fabricated. The MESFET exhibited clear saturation and pinchoff characteristics. The drain current of the MESFET operated at 300 degC was 20 times higher than that at room temperature due to the activation of acceptors. The breakdown voltage was highly dependent on the gate drain length and reached 1.5 kV at a gate drain length of 30 mm, which is the highest reported for a diamond FET.", "author_names": [ "H Umezawa", "Takeshi Matsumoto", "Shinichi Shikata" ], "corpus_id": 42336474, "doc_id": "42336474", "n_citations": 79, "n_key_citations": 4, "score": 0, "title": "Diamond Metal Semiconductor Field Effect Transistor With Breakdown Voltage Over 1.5 kV", "venue": "IEEE Electron Device Letters", "year": 2014 }, { "abstract": "The novel electronic properties of graphene, including a linear energy dispersion relation and purely two dimensional structure, have led to intense research into possible applications of this material in nanoscale devices. Here we report the first observation of saturating transistor characteristics in a graphene field effect transistor. The saturation velocity depends on the charge carrier concentration and we attribute this to scattering by interfacial phonons in the SiO2 layer supporting the graphene channels. Unusual features in the current voltage characteristic are explained by a field effect model and diffusive carrier transport in the presence of a singular point in the density of states. The electrostatic modulation of the channel through an efficiently coupled top gate yields transconductances as high as 150 microS microm 1 despite low on off current ratios. These results demonstrate the feasibility of two dimensional graphene devices for analogue and radio frequency circuit applications without the need for bandgap engineering.", "author_names": [ "Inanc Meric", "Melinda Y Han", "Andrea F Young", "Barbaros Ozyilmaz", "Philip Kim", "Kenneth L Shepard" ], "corpus_id": 9701646, "doc_id": "9701646", "n_citations": 1320, "n_key_citations": 41, "score": 0, "title": "Current saturation in zero bandgap, top gated graphene field effect transistors.", "venue": "Nature nanotechnology", "year": 2008 }, { "abstract": "Abstract Field effect transistors (FETs) in diamond should outperform FET structures on other wide bandgap materials like SiC and GaN in high power/high temperature applications due to the ideal diamond materials properties. However, the technology of these structures proved difficult leaving two device concepts to investigate: (1) the boron d doped p channel FET and (2) the hydrogen induced p type surface channel FET. The d channel FET approach follows a traditional design path of power FET structures. Here, simulation results have enabled the extrapolation of a maximum RF output power to 27 W/mm, a value which is indeed higher than for any FET based on III Nitrides or SiC. However, due to the narrow technological parameter window, fabricated d channel FETs are still well behind expectations. In contrast, concerning the surface channel FET the physical/chemical nature of its channel remains still under discussion. Nevertheless, results obtained with this FET concept yielded a VDmax>200 V (LG=1 mm) and a IDmax>360 mA/mm a fT=11.5 GHz and fmaxU>40 GHz (LG=0.2 mm) and a recently obtained RF power measurement at 1 GHz. Furthermore, the 1 GHz power measurement result has been obtained on a diamond quasi substrate grown on a Ir/SrTiO3 substrate. This result may therefore open up the perspective for wafer scale diamond electronics.", "author_names": [ "Aleksandar Aleksov", "Michal Kubovic", "N Kaeb", "U Spitzberg", "A Bergmaier", "G Dollinger", "Th Bauer", "Matthias Schreck", "Bernd Prof Stritzker", "Erhard Kohn" ], "corpus_id": 111165313, "doc_id": "111165313", "n_citations": 98, "n_key_citations": 1, "score": 0, "title": "Diamond field effect transistors concepts and challenges", "venue": "", "year": 2003 }, { "abstract": "We introduce the 2D counterpart of layered black phosphorus, which we call phosphorene, as an unexplored p type semiconducting material. Same as graphene and MoS2, single layer phosphorene is flexible and can be mechanically exfoliated. We find phosphorene to be stable and, unlike graphene, to have an inherent, direct, and appreciable band gap. Our ab initio calculations indicate that the band gap is direct, depends on the number of layers and the in layer strain, and is significantly larger than the bulk value of 0.31 0.36 eV. The observed photoluminescence peak of single layer phosphorene in the visible optical range confirms that the band gap is larger than that of the bulk system. Our transport studies indicate a hole mobility that reflects the structural anisotropy of phosphorene and complements n type MoS2. At room temperature, our few layer phosphorene field effect transistors with 1.0 mm channel length display a high on current of 194 mA/mm, a high hole field effect mobility of 286 cm(2)/V*s, and an on/off ratio of up to 10(4) We demonstrate the possibility of phosphorene integration by constructing a 2D CMOS inverter consisting of phosphorene PMOS and MoS2 NMOS transistors.", "author_names": [ "Han Liu", "Adam T Neal", "Zhen Zhu", "Zhe Luo", "Xianfan Xu", "David Tomanek", "Peide D Ye" ], "corpus_id": 8061668, "doc_id": "8061668", "n_citations": 2990, "n_key_citations": 28, "score": 0, "title": "Phosphorene: an unexplored 2D semiconductor with a high hole mobility.", "venue": "ACS nano", "year": 2014 }, { "abstract": "Tunnel field effect transistors (TFETs) with record high ION/IOFF ratio >106) for low voltage (0.5 V) operation are achieved by using germanium in the source region to achieve a small tunnel bandgap. The measured data are well explained by the theoretical band to band tunneling current model. Using the calibrated analytical model, the energy delay performance of TFET based technology is compared against that of conventional CMOS technology, at the 65 nm node. The TFET is projected to provide dramatic improvement in energy efficiency for performance in the range up to ~0.5 GHz.", "author_names": [ "Sung Hwan Kim", "Hei Kam", "Chenming Calvin Hu", "Tsu-Jae King Liu" ], "corpus_id": 43792299, "doc_id": "43792299", "n_citations": 251, "n_key_citations": 22, "score": 0, "title": "Germanium source tunnel field effect transistors with record high ION/IOFF", "venue": "2009 Symposium on VLSI Technology", "year": 2006 }, { "abstract": "We present a detailed description and comparison of algorithms for performing ab initio quantum mechanical calculations using pseudopotentials and a plane wave basis set. We will discuss: (a) partial occupancies within the framework of the linear tetrahedron method and the finite temperature density functional theory, (b) iterative methods for the diagonalization of the Kohn Sham Hamiltonian and a discussion of an efficient iterative method based on the ideas of Pulay's residual minimization, which is close to an order Natoms2 scaling even for relatively large systems, (c) efficient Broyden like and Pulay like mixing methods for the charge density including a new special 'preconditioning' optimized for a plane wave basis set, (d) conjugate gradient methods for minimizing the electronic free energy with respect to all degrees of freedom simultaneously. We have implemented these algorithms within a powerful package called VAMP (Vienna ab initio molecular dynamics package) The program and the techniques have been used successfully for a large number of different systems (liquid and amorphous semiconductors, liquid simple and transition metals, metallic and semi conducting surfaces, phonons in simple metals, transition metals and semiconductors) and turned out to be very reliable.", "author_names": [ "Georg Kresse", "Jurgen Furthmuller" ], "corpus_id": 137317947, "doc_id": "137317947", "n_citations": 35112, "n_key_citations": 253, "score": 0, "title": "Efficiency of ab initio total energy calculations for metals and semiconductors using a plane wave basis set", "venue": "", "year": 1996 }, { "abstract": "Graphene field effect transistors are fabricated utilizing single crystal hexagonal boron nitride (h BN) an insulating isomorph of graphene, as the gate dielectric. The devices exhibit mobility values exceeding 10,000 cm2/V sec and current saturation down to 500 nm channel lengths with intrinsic transconductance values above 400 mS/mm. The work demonstrates the favorable properties of using h BNas a gate di electric for graphene FETs.", "author_names": [ "Inanc Meric", "Cory R Dean", "Andrea F Young", "James C Hone", "Philip Kim", "Kenneth L Shepard" ], "corpus_id": 6335388, "doc_id": "6335388", "n_citations": 111, "n_key_citations": 8, "score": 0, "title": "Graphene field effect transistors based on boron nitride gate dielectrics", "venue": "2010 International Electron Devices Meeting", "year": 2010 }, { "abstract": "The microwave performance of a diamond metal semiconductor field effect transistor (MESFET) is reported for the first time. MESFETs with a gate length of 2 3 /spl mu/m and a source gate spacing of 0.1 /spl mu/m were fabricated on the hydrogen terminated surface of an undoped diamond film grown by microwave plasma chemical vapor deposition (CVD) utilizing a self aligned gate fabrication process. A maximum transconductance of 70 mS/mm was obtained on a 2 /spl mu/m gate MESFET at V/sub GS/ 1.5 V and V/sub DS/ 5 V,for which a cutoff frequency f/sub T/ and a maximum oscillating frequency f/sub max/ of 2.2 GHz and 7 GHz were obtained, respectively.", "author_names": [ "Hirotada Taniuchi", "H Umezawa", "Takuya Arima", "Minoru Tachiki", "Hiroshi Kawarada" ], "corpus_id": 44043922, "doc_id": "44043922", "n_citations": 76, "n_key_citations": 1, "score": 0, "title": "High frequency performance of diamond field effect transistor", "venue": "IEEE Electron Device Letters", "year": 2001 } ]
Organizational growth: Linking founding team strateg
[ { "abstract": "", "author_names": [ "Kathleen M Eisenhardt", "Claudia Bird Schoonhoven" ], "corpus_id": 145498654, "doc_id": "145498654", "n_citations": 2059, "n_key_citations": 92, "score": 1, "title": "Organizational Growth: Linking Founding Team, Strategy, Environment, and Growth among U.S. Semiconductor Ventures, 1978 1988.", "venue": "", "year": 1990 }, { "abstract": "We explore the role of founding teams in accounting for the enormous variation in post entry dynamics of startups. We find that successful startups in terms of growth, survival, and productivity have founding teams with higher human capital. These correlations are difficult to interpret, however, given the endogenous nature of assortative matching between workers and firms and attrition dynamics. In addition, the contribution of the founding team to firm success may derive from the organizational capital that is created in the formation period of a firm rather than the ex ante human capital each founding team member brings to the business. To shed light on these issues, we use a difference in difference identification approach that exploits exogenous attrition in the founding team due to the premature death of founding team members. We find that the loss of a founding team member due to premature death has a persistently large, negative, and statistically significant impact on post entry growth, survival, and productivity of startups. While we find that the loss of a key founding team member or those with high human capital have an especially large adverse effect, the loss of a non key or average human capital member still has a significant adverse effect. The loss of a founding team member is greater for small founding teams but the results are present in both small business intensive sectors and in the High Tech sectors of the economy. *Any opinions and conclusions expressed herein are those of the authors and do not necessarily represent the views of the U.S. Census Bureau, the Federal Reserve Board of Governors or its staff. Disclosure review number DRB B0032 CED 20190315 and CBDRB FR19 398. We thank Emek Basker, Shawn Klimek, Ron Jarmin, Martha Stinson, and participants at the 2019 Comparative Analysis of Enterprise Data and at the LSE 2019 Entrepreneurship Workshop for helpful comments. +Federal Reserve Board of Governors +U.S. Census Bureau SSUniversity of Maryland and U.S. Census Bureau PWharton School, University of Pennsylvania and U.S. Census Bureau Startups and young firms contribute disproportionately to job creation, innovation and productivity growth (Haltiwanger, Jarmin, and Miranda, 2013; Decker, Haltiwanger, Jarmin, and Miranda, 2014; Acemoglu, Akcigit, Bloom, and Kerr, 2019, forthcoming) A hallmark of young firm dynamics is the enormous dispersion in outcomes. Most startups fail in their first five years but conditional on survival, young firms grow faster than their more mature counterparts. Amongst survivors, there is tremendous dispersion in post entry growth rates. While most of the contribution by young firms to aggregate employment and output growth can be attributed to survivors that grow rapidly, relatively little is known about the sources of heterogeneity across young firms that generate up or out dynamics. In this paper, we combine data on new business starts and their founding teams to characterize the relationship between founding teams and young firm dynamics. After examining some basic facts about the relationship between key outcomes for young firms and founding teams, we use premature death shocks to identify the causal effect of an exogenous separation of a founding team member on firm outcomes. Moreover, we consider heterogeneous treatment effects based on the characteristics of the founding team members as well as the characteristics of the firms. We integrate administrative employee employer payroll data with administrative tax information covering non farm employer startups between 1990 and 2015 for a large sample of U.S. states. We focus on employer startups that organize themselves as sole proprietors or corporations where we can capture active business owners and other members of the founding team. Founding teams are identified as all workers with positive earnings in the first year after startup supplemented by business owners of sole proprietors. Using each founding team member's most recent earnings prior to joining the startup as a proxy for human capital, we document new stylized facts about the relationship between human capital composition of founding teams and startup performance. Since the effects of the founding team may be concentrated among certain individuals, we decompose the founding team into two groups: key personnel and non key personnel. Key personnel are identified as the founding team", "author_names": [ "Joonkyu Choi", "Nathan Goldschlag", "John C Haltiwanger", "Joyce Kim" ], "corpus_id": 210955449, "doc_id": "210955449", "n_citations": 1, "n_key_citations": 1, "score": 0, "title": "The Post Entry Growth and Survival of Business Startups: The Role of Founding Teams*", "venue": "", "year": 2019 }, { "abstract": "Based on social cognitive theory, we theorize that collective efficacy plays a mediating role in the relationship between paternalistic leadership and organizational commitment and that this mediating role depends on team cohesion. The empirical results from a study of 238 employees from 52 teams at manufacturing companies show that benevolent leadership and moral leadership, both components of paternalistic leadership, are positively related to organizational commitment and further that collective efficacy mediates the moral leadership organizational commitment relationship. We did not find a relationship between authoritarian leadership and organizational commitment. Besides, it was found that team cohesion negatively moderates the relationship between moral leadership and collective efficacy and positively moderates the relationship between collective efficacy and organizational commitment. Explanations and directions for future research are discussed.", "author_names": [ "Ying Chen", "Xiaohu Zhou", "Kim Klyver" ], "corpus_id": 149091451, "doc_id": "149091451", "n_citations": 28, "n_key_citations": 1, "score": 0, "title": "Collective Efficacy: Linking Paternalistic Leadership to Organizational Commitment", "venue": "", "year": 2019 }, { "abstract": "The purpose of this study is to evaluate the effect of change management on organizational growth in service industries, Peace microfinance bank is used in this case study. Descriptive survey research design was be used in this case analysis. An interview was also conducted. The population targeted was 15 management staff of peace microfinance bank, 12 responded to the survey. Purposive sampling techniques were used in selection of the respondents due to their designations. The data was collected by the use of structured questionnaire which contained 19 closed ended questions. We found that critical to the success of the change process are awareness to why change is needed and the level of urgency attached to it, top management commitment, level of team work, communication, participation and feed backs given to top management and lower staff. Moving forward we recommend for PMFB to focus on addressing resistance to change by getting all the management staff to commit fully to the change initiative. This will enable them influence other lower staff members to imbibe in the change. Being able to involve every individual in the change process can reduce resistance and enhance the quality of the change management.", "author_names": [ "Umar Ibrahim Abbas", "Onyishi Chinedu Judith" ], "corpus_id": 210973420, "doc_id": "210973420", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Evaluating the Effect of Change Management on Organizational Growth in Service Industries: A Case Study of Peace Microfinance Bank in Nigeria", "venue": "The International Journal of Business Management", "year": 2019 }, { "abstract": "To strengthen national competitiveness and sustainability, the high tech industry has been developed as the center of gravity of industrial development in each country, covering the development of new products and the expansion to new customers and markets. Although both aspects are indispensable to high tech firms' growth momentum and competitive advantages, it is difficult to develop them at the same time. In recent years, scholars have been paying an increasing amount of attention to the significance of organizational ambidexterity in different management fields. Importantly, transformed high tech firms are obliged to manage the tensions and conflicts that arise from the exploration of new knowledge and the exploitation of existing knowledge to find an appropriate balance between the two to yield synergistic effects. In this study, an original method was used to measure differences in the degree of ambidexterity. The method establishes a multiplicative term of exploration and exploitation to represent the degree of effect of ambidexterity. The higher the exploration and exploitation are, the higher the degree of ambidexterity will be. This study takes as its objects electronics manufacturing firms in Taiwan that engage in the development of new high tech products. We issued a total of 1000 questionnaires to electronics manufacturing firms in Taiwan and received 228 valid ones. The results indicate that exploitation has a positive effect on performance, and there is an inverse U shaped correlation between exploration/exploitation and performance. Ambidexterity and its interaction with the market orientation were found to have a positive influence on organizational performance. We also investigated the extent to which an organization places emphasis on resources and the influence of resource allocation on organizational performance. We suggest that the co existence of exploration and exploitation is important to organizational performance. Accordingly, developing the two capabilities at the same time conforms to the concept of ambidexterity.", "author_names": [ "Michael Yao-Ping Peng", "Ku-Ho Lin", "Dennis Liute Peng", "Peihua Chen" ], "corpus_id": 199295960, "doc_id": "199295960", "n_citations": 11, "n_key_citations": 0, "score": 0, "title": "Linking Organizational Ambidexterity and Performance: The Drivers of Sustainability in High Tech Firms", "venue": "Sustainability", "year": 2019 }, { "abstract": "Conventionally, innovation theories have long held the view that innovations stem from either the introduction of breakthrough, or the marginal improvement of, products or processes. Yet according to Shumpeter (1939) new combinations do not necessary require the introduction of new structures or the improvement of existing ones. The redeployment of existing structures (e.g. technology) in new application domains (e.g. new markets) also qualifies as a ?new combination? which is considered as an innovation. the phenomenon of creating new functions for existing structures has been named as ?exaptation? A famous example of an exaptated product is Listerine, and its shift from a surgical antiseptic to an oral health care product. The innovation and entrepreneurship literatures have only recently recognized exaptation as a mechanism for the emergence of new markets, which eventually drives entrepreneurial activity. The creation of exptative combinations requires the flexible interpretation of ?what is already known? in a manner that allow for the identification of potential functional shifts, and in linking identified functions to a fitting context. This raises the question of what determines the capacity to create new venture through new exaptative combinations? Considering that entrepreneurs usually employ knowledge that they gained through prior employment in their search for new opportunities, we argue that the previous experiences of entrepreneurial teams shape their ability to create novel technology market combinations. Since employers are rather heterogeneous in the knowledge that they possess and transfer to their employees, the entrepreneur's knowledge base is dependent on the specific organizations where he/she previously worked. Employment at organizations with substantial abundant knowledge in particular is a significant contributor to developing an individual's knowledge base that may assist him in developing the capacity to discover novel technology market combinations (Agarwal et al. 2004) Hence, we focus on how previous experiences at a large number of employers (high inter organizational mobility) and its interaction with experiences at entrepreneurially prominent organizations with different social structures (Universities vs. KIS firms) shape the creation of new market niches through exaptation. To accomplish this aim, we collected, and analyzed using a probit model, data on 62 new ventures (and their corresponding 124 founders/co founders) that have employed blockchain technology in the energy sector worldwide. Blockchain is an example of an exaptated technology as it was initially developed to keep records of all Bitcoin, the famous cryptocurrency, transactions, and was later exaptated to serve different functions in several industries. Our analysis shows that entrepreneurial teams with high levels of inter organizational mobility are more likely than those with lower levels to create new niche markets through exaptation that teams with low levels of inter organizational mobility. This effect of inter organizational mobility on exaptation is distinct, based on specific prior experience at entrepreneurially prominent organizations with different social structures. In particular, we found a significant complementarity between experience at entrepreneurially prominent universities and high levels of inter organizational mobility. On the other hand, we found a substitution effect between experience at entrepreneurially prominent KIS firms and low levels of interorganizational mobility. Our findings contribute to both innovation and entrepreneurship literatures by proposing and providing evidence that the specific experiences of entrepreneurial teams are the sources of knowledge that permits the creation of new market niches through exaptation, and by suggesting that the entrepreneurial team's experience at entrepreneurially prominent organizations with different social structures can influence the adoption of a certain entry strategy. Our findings may also encourage policy makers to challenge the current status quo (which tends to prioritize new groundbreaking technologies) by qualifying exaptative projects to receive available public grants. We also believe that our results can assist new exaptative ventures in the formation of founding teams. Agarwal, R. Echambadi, R. Franco, A. M. Sarkar, M. B. (2004) Knowledge transfer through inheritance: Spin out generation, development, and survival. Academy of Management Journal, 47(4) 501 522. Schumpeter, J. (1939) Business Cycles: A Theoretical, Historical, and Statistical Analysis of the Capitalist Process. London: McGraw Hill. Exaptation and niche market creation: the role of the founding team's prior experiences Fakher Omezzine Grenoble Ecole de Management, France Fakher.omezzine@grenoble em.com Isabel Maria Bodas Freitas Grenoble Ecole de Management, France Isabel maria.bodas freitas@grenoble em.com", "author_names": [ "Fakher Omezzine" ], "corpus_id": 201025735, "doc_id": "201025735", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Exaptation and niche market creation the role of the founding team s prior experiences", "venue": "", "year": 2018 }, { "abstract": "This study empirically examined the propositions that ethical leadership is related to employees' organizational citizenship behavior (OCB) through two psychological mechanisms: (a) a social learning mechanism, where employees emulate their supervisor's behavior such as caring about their organization; and (b) a social exchange mechanism that links ethical leadership to perceived procedural justice and employee's organizational concern. Our theoretical model was tested using data collected from employees in a pharmaceutical retail chain company. Analyses of multisource time lagged data from 93 team supervisors and 486 employees showed that supervisors' and employees' organizational concern sequentially mediated the relationship between ethical leadership and employee OCB. It was also found that the link between ethical leadership and employee OCB was sequentially mediated by perceived procedural justice and employee's organizational concern. Theoretical and practical implications of these findings are discussed.", "author_names": [ "Shen-jiang Mo", "Junqi Shi" ], "corpus_id": 141851749, "doc_id": "141851749", "n_citations": 67, "n_key_citations": 3, "score": 0, "title": "Linking Ethical Leadership to Employees' Organizational Citizenship Behavior: Testing the Multilevel Mediation Role of Organizational Concern", "venue": "", "year": 2017 }, { "abstract": "This study examined the influence of organizational identification on employee performance in teams. Drawing on social identity theory and self verification theory, we predicted that organizational identification would have positive effects on employee in role and organizational citizenship behavior (OCB) performance. Building on social exchange theory, the study further theorized that the quality of team member exchange (TMX) would amplify the impacts of organizational identification on both types of performance. Using data from automotive dealer employees in China, we found positive relationships between organizational identification and both types of performance. In addition, TMX altered the impact of organizational identification on OCB.", "author_names": [ "Yan Liu", "Raymond C H Loi", "Long Wai Lam" ], "corpus_id": 154728101, "doc_id": "154728101", "n_citations": 61, "n_key_citations": 0, "score": 0, "title": "Linking organizational identification and employee performance in teams: the moderating role of team member exchange", "venue": "", "year": 2011 }, { "abstract": "Purpose The purpose of this paper is to study the mediating role of organizational trust in the relationship between perceived organizational support and organizational justice on the one hand and their consequences, namely employees' in role performance and their levels of organizational cynicism on the other. Along with this, accounting for organizational trust as a mediator and organizational cynicism as a critical consequence the authors aim to contribute to the literature pertaining to these variables. Design/methodology/approach Random sampling technique was applied. Data were collected from 237 managerial level employees and 156 of their immediate supervisors of seven privately owned manufacturing and service sector organizations in India. A questionnaire comprising 49 items pertaining to the study constructs was administered. Item analyses were carried out to estimate the reliability of the instrument. A confirmatory factor analysis was conducted to establish the instrument's construct validity and uniqueness of the study constructs. The hypotheses of the study were tested and competing path models were compared using structural equation modeling (SEM) techniques with maximum likelihood estimates. Findings All scales were found to have acceptable reliability estimates. Results of the confirmatory factor analysis established that the manifest variables loaded significantly on their latent constructs and that the latent constructs were empirically distinct. This established the scales' construct validity. The results of the SEM procedures indicated that all hypotheses of the study could be accepted as statistically significant and that organizational trust mediates the path between the independent and the dependent variables. Research limitations/implications The study was cross sectional in design and, as such precluded causal inferences. Data were collected from private sector firms operating in India, and hence, there was a limitation regarding the findings as far as cross national generalization and generalizability among employees of state owned enterprises were concerned. Practical implications The study identified some practical implications related to its findings. These include meeting the affiliative needs of employees, managers as organizational agents must manifest organizational support by regularly interacting with their subordinates, and that managers should address their reportees not as members of a given department but as members of teams and allocate job assignments accordingly. Social implications The findings of the study lend credibility to an interplay between social exchange ideologies, equity sensitivities, and fairness heuristics. Additionally, the results of this study extend the social exchange equity theory literature which has placed social exchange variables as predictors of workplace outcomes in an Indian context. This, further leads to the enhancement of positive consequences such as task performance, and reduces negative outcomes such as cynical attitudes towards the organization. Originality/value The present research is among the first of its kind to examine the study variables vis a vis managerial employees working in India. This study would also qualify to be among the very few studies in India with reference to the social exchange, equity, and fairness heuristics theories.", "author_names": [ "Soumendu Biswas", "Kanwal Kapil" ], "corpus_id": 151836617, "doc_id": "151836617", "n_citations": 18, "n_key_citations": 1, "score": 0, "title": "Linking perceived organizational support and organizational justice to employees' in role performance and organizational cynicism through organizational trust: A field investigation in India", "venue": "", "year": 2017 }, { "abstract": "This study's main objective was to determine the effects of strategic planning activities on organizational growth. Issues had been raised by employees that the planning process tended to be inflexible and rigid since it operated in changing environment and poor adaptation to changing environment usually affected organization adversely. They perceived strategic planning process being unrealistic separated with actions which hindered growth, thus strategies not linked with actions. The Researcher in the study therefore sought to analyze the effects of strategic planning on organization growth. The specific objectives of the study was to establish the effect of setting objectives on organization growth ,to assess the effect of budgetary allocations on organization growth, to determine the effect of organization structure on organization growth and lastly to analyze the effect of performance review on organization growth. Descriptive research design was used which included questionnaires to be distributed and filled with various top managers, heads of department, middle level managers and general staff members of KEMRI. Target population in this research was Kenya Medical and Research Institute Management. The target population was divided into various management groups including Heads of departments, middle level managers and general staff members. Stratified random sampling method was used. The target population was two hundred employees. Stratified sampling method was used to draw the Sample size of 50 employees and data analyzed by use of Spss. The findings of the study revealed that strategic planning if well implemented in the organization is effective towards growth. Strategic planning was appropriate, suitable, and reasonable and accepted to the organization. It clearly indicated that strategic planning exist at KEMRI and helped the organization evaluate its business by identifying its long term goals, objectives, organized workforce, monitor performance and allocating resources thus enhancing performance in terms of growth. The researcher recommendations are that set objectives be clear, precise and measurable, organizations to seek more funds which should be directed to research instead of administration, create structures that enable individual teams deal with specifications, Performance review process should enable the actual performance be easily measured and reviewed and in future KEMRI should strive to attain ISO standards to support continuing improvement.", "author_names": [ "Odongo Melen Sophia", "Datche Owuor" ], "corpus_id": 41937531, "doc_id": "41937531", "n_citations": 16, "n_key_citations": 1, "score": 0, "title": "Effects of Strategic Planning on Organizational Growth. (A Case Study of Kenya Medical Research Institute, Kemri)", "venue": "", "year": 2015 } ]
Admittance Spectroscopy Autoencoder
[ { "abstract": "Thermal admittance spectroscopy (TAS) is frequently used to analyze the properties of trap states in semiconductor devices. We perform detailed simulations in combination with experiments to understand the effect of low carrier mobility on the analysis of trap states by TAS. We show that the apparent characteristic peak in the differential capacitance spectra is strongly dominated by the dielectric relaxation (DR) peak caused by low carrier mobilities for the case of shallow traps and low trap densities. The model for the DR dominated case is successfully applied to interpret the experimental results from poly(3 hexylthiophene 2,5 diyl) (P3HT) based diodes. In contrast, for deep states with high density of states, we are able to properly estimate the energetic position, but the low carrier mobility affects the correct determination of the attempt to escape frequency as well as the capture cross section. Our results reveal that low carrier mobilities cause inherent obstacles in accurately determining the t.", "author_names": [ "Shuo Wang", "Pascal Kaienburg", "Benjamin Klingebiel", "Diana Schillings", "Thomas Kirchartz" ], "corpus_id": 104205003, "doc_id": "104205003", "n_citations": 20, "n_key_citations": 2, "score": 1, "title": "Understanding Thermal Admittance Spectroscopy in Low Mobility Semiconductors", "venue": "", "year": 2018 }, { "abstract": "Abstract CdTe solar cells have recently reached its highest conversion efficiencies, comparable to CuInGaSe 2 and Si devices. One of the key factors for the success of these devices is the so called \"activation\" treatment, which typically consists in depositing a CdCl 2 film on the CdTe absorber layer and in a subsequent annealing in air or nitrogen atmosphere. Nevertheless CdCl 2 is a carcinogenic and water soluble compound; for this reason recent studies have found MgCl 2 as a good alternative. In this work we have studied devices (exceeding 14% efficiency) prepared by low substrate temperature CdTe deposition and activated with MgCl 2 treatment (applied by wet deposition) The devices were characterized by means of current voltage, capacitance voltage, drive level capacitance profiling and admittance spectroscopy techniques. Carrier concentration and also concentration and distribution of shallow and deep defects are presented and compared with data obtained from analogous devices but activated with the standard CdCl 2 Despite CdTe layers treated with the two different processes exhibit similar structural properties, the distribution of deep and shallow defects results to be different and moreover admittance spectroscopy technique reveals the presence of different defects, at 344 and 358 meV, which are present only in MgCl 2 treatment case.", "author_names": [ "Daniele Menossi", "Elisa Artegiani", "Andrei Salavei", "Simone Di Mare", "Alessandro Romeo" ], "corpus_id": 137746709, "doc_id": "137746709", "n_citations": 19, "n_key_citations": 1, "score": 1, "title": "Study of MgCl2 activation treatment on the defects of CdTe solar cells by capacitance voltage, drive level capacitance profiling and admittance spectroscopy techniques", "venue": "", "year": 2017 }, { "abstract": "Admittance spectroscopy is a useful tool used to study defects in semiconductor materials. However, metastable defect responses in non ideal semiconductors can greatly impact the measurement and therefore the interpretation of results. Here, admittance spectroscopy was performed on Cu2ZnSn(S,Se)4 where metastable defect response is illustrated due to the trapping of injected carriers into a deep defect state. To investigate the metastable response, admittance measurements were performed under electrically and optically relaxed conditions in comparison to a device following a low level carrier injection pretreatment. The relaxed measurement demonstrates a single capacitance signature while two capacitance signatures are observed for the device measured following carrier injection. The deeper level signature, typically reported for kesterites, is activated by charge trapping following carrier injection. Both signatures are attributed to bulk level defects. The significant metastable response observed on kes.", "author_names": [ "Mark J Koeper", "Charles J Hages", "Jian V Li", "Dean Howard Levi", "Rakesh Agrawal" ], "corpus_id": 126007523, "doc_id": "126007523", "n_citations": 12, "n_key_citations": 0, "score": 0, "title": "Metastable defect response in CZTSSe from admittance spectroscopy", "venue": "", "year": 2017 }, { "abstract": "The introduction of Cl into CH3NH3PbI3 precursors is reported to enhance the performance of CH3NH3PbI3 solar cell, which is attributed to the significantly increased diffusion lengths of carriers in CH3NH3Pb(I,Cl)3 solar cell. It has been assumed but never experimentally approved that the defect density in CH3NH3Pb(I,Cl)3 solar cell should be reduced according to the higher carrier lifetime observed from photoluminescence (PL) measurement. We have fabricated CH3NH3Pb(I,Cl)3 solar cell by adding a small amount of Cl source into CH3NH3PbI3 precursor. The performance of CH3NH3Pb(I,Cl)3 solar cell is significantly improved from 15.39% to 18.60% Results from scanning electron microscopy and X ray diffraction indicate that the morphologies and crystal structures of CH3NH3PbI3 and CH3NH3Pb(I,Cl)3 thin films remain unchanged. Open circuit voltage decay and admittance spectroscopy characterization jointly approve that Cl plays an extremely important role in suppressing the formation of defects in perovskite solar cells.", "author_names": [ "Minlin Jiang", "Fei Lan", "Bingxin Zhao", "Quan Tao", "Jiamin Wu", "Di Gao", "Guangyong Li" ], "corpus_id": 124253781, "doc_id": "124253781", "n_citations": 17, "n_key_citations": 0, "score": 0, "title": "Observation of lower defect density in CH3NH3Pb(I,Cl)3 solar cells by admittance spectroscopy", "venue": "", "year": 2016 }, { "abstract": "Abstract Heat light soaking effects on Cu(In,Ga)Se2 (CIGS) solar cells with atomic layer deposition (ALD) Zn(O,S) and chemical bath deposition (CBD) ZnS(O,OH) buffer layers were investigated using temperature dependent current voltage and admittance spectroscopy measurements. Both CBD ZnS(O,OH)/CIGS and ALD Zn(O,S)/CIGS solar cells showed a significant increase in the cell performance after the combined heat and light soaking (HLS) post treatment. Temperature dependent current voltage measurements showed a reduced roll over of current density voltage curve after the HLS post treatment, suggesting a reduced recombination at the absorber/buffer interface. Admittance spectroscopy measurement revealed a remarkable shift towards shallower energy positions for the defect N1 after HLS post treatment in both CIGS solar cells fabricated using CBD and ALD methods. By optimizing the HLS and the deposition conditions for each buffer layer, CBD ZnS(O,OH)/CIGS and ALD Zn(O,S)/CIGS solar cells yielded total efficiencies of 18.8 and 18.7% respectively.", "author_names": [ "Taizou Kobayashi", "Zacharie Jehl Li Kao", "Tokio Nakada" ], "corpus_id": 92976336, "doc_id": "92976336", "n_citations": 30, "n_key_citations": 0, "score": 0, "title": "Temperature dependent current voltage and admittance spectroscopy on heat light soaking effects of Cu(In,Ga)Se2 solar cells with ALD Zn(O,S) and CBD ZnS(O,OH) buffer layers", "venue": "", "year": 2015 }, { "abstract": "Abstract Thanks to their strong absorption in the near infrared, squaraine dyes have raised interest in the field of organic photovoltaics and photodetection. We develop photodetectors based on the bulk heterojunction of an indolic squaraine and of a fullerene derivative, obtaining an external quantum efficiency as high as 12% at 590 nm. To gain insight into carrier transport and recombination phenomena, devices are characterized in the dark by means of admittance spectroscopy. At low applied voltages carrier transport is dominated by holes, which show dispersive transport and an electric field dependent mobility of about 10 5 10 4 cm2 V 1 s 1. At higher voltages electron injection occurs as well and a bipolar, recombination limited regime sets in. The modeling of the low frequency negative capacitance contribution suggests a trap mediated carrier recombination mechanism.", "author_names": [ "Sebastiano Bellani", "Antonio Iacchetti", "Matteo Porro", "Luca Beverina", "Maria Rosa Antognazza", "Dario Natali" ], "corpus_id": 97943153, "doc_id": "97943153", "n_citations": 15, "n_key_citations": 0, "score": 0, "title": "Charge transport characterization in a squaraine based photodetector by means of admittance spectroscopy", "venue": "", "year": 2015 }, { "abstract": "Precision admittance spectroscopy measurements over wide temperature and frequency ranges were carried out for chemical vapor deposition epitaxial diamond samples doped with various concentrations of boron. It was found that the experimentally detected boron activation energy in the samples decreased from 314 meV down to 101 meV with an increase of B/C ratio from 600 to 18000 ppm in the gas reactants. For the heavily doped samples, a transition from thermally activated valence band conduction to hopping within the impurity band (with apparent activation energy 20 meV) was detected at temperatures 120 150 K. Numerical simulation was used to estimate the impurity DOS broadening. Accurate determination of continuously altering activation energy, which takes place during the transformation of conduction mechanisms, was proposed by numerical differentiation of the Arrhenius plot. With increase of boron doping level the gradual decreasing of capture cross section from 3 x 10 13 down to 2 x 10 17 cm2 was noticed.", "author_names": [ "Vasily Zubkov", "O V Kucherova", "Sergey A Bogdanov", "Anna V Zubkova", "James E Butler", "Vladimir A Ilyin", "Andrey V Afanas'ev", "Anatoly Leontievich Vikharev" ], "corpus_id": 98168680, "doc_id": "98168680", "n_citations": 16, "n_key_citations": 1, "score": 0, "title": "Temperature admittance spectroscopy of boron doped chemical vapor deposition diamond", "venue": "", "year": 2015 }, { "abstract": "Evaluating interfering capacitance steps in admittance spectroscopy for solar cell defect analysis is still a problem which needs to be solved. While the common analysis developed by Walter et al.[1] is capable of extracting defect distributions from the capacitance data, it results in erroneous defect densities in the presence of overlapping capacitance steps. We derive an expression for the capacitance step caused by defects with a density of states distributed in energy. By adding several of these defect distributions, interfering capacitance steps can be described. Thus, it is possible to fit the entire capacitance spectrum simultaneously for all temperatures. We apply the presented method to Cu2ZnSnSe4 based solar cells with power conversion efficiencies between 5% and 7% Comparing the obtained defect parameters with the ones obtained by the method from Walter et al. reveals that the Walter method overestimates the defect densities in the case of overlapping capacitance steps.", "author_names": [ "Thomas Paul Weiss", "Alex Redinger", "David Regesch", "Marina Mousel", "Susanne Siebentritt" ], "corpus_id": 29664694, "doc_id": "29664694", "n_citations": 27, "n_key_citations": 1, "score": 0, "title": "Direct Evaluation of Defect Distributions From Admittance Spectroscopy", "venue": "IEEE Journal of Photovoltaics", "year": 2014 }, { "abstract": "Admittance spectra and drive level capacitance profiles of several high performance Cu2ZnSn(Se,S)4 (CZTSSe) solar cells with bandgap ~1.0 1.5 eV are reported. In contrast to the case for Cu(In,Ga)(S,Se)2, the CZTSSe capacitance spectra exhibit a dielectric freeze out to the geometric capacitance plateau at moderately low frequencies and intermediate temperatures (120 200 K) These spectra reveal important information regarding the bulk properties of the CZTSSe films, such as the dielectric constant and a dominant acceptor with energy level of 0.13 0.2 eV depending on the bandgap. This deep acceptor leads to a carrier freeze out effect that quenches the CZTSSe fill factor and efficiency at low temperatures.", "author_names": [ "Oki Gunawan", "Tayfun Gokmen", "Charles W Warren", "J David Cohen", "Teodor K Todorov", "D Aaron R Barkhouse", "Santanu Bag", "Jiang Tang", "Byungha Shin", "David B Mitzi" ], "corpus_id": 120668709, "doc_id": "120668709", "n_citations": 161, "n_key_citations": 4, "score": 0, "title": "Electronic properties of the Cu2ZnSn(Se,S)4 absorber layer in solar cells as revealed by admittance spectroscopy and related methods", "venue": "", "year": 2012 }, { "abstract": "The effect of the electrostatic interaction of charges in multiple quantum wells of a doped heterostructure is studied by admittance spectroscopy methods and numerical self consistent calculations. Samples containing three InGaAs/GaAs quantum wells each 7 nm thick, separated by 150 nm barriers, are studied in detail. The InAs content in the wells is 22, 16, and 11.5% Experimentally and by simulation, it is shown that the effect of the relative carrier depletion in the middle quantum well occurs due to the joining of local spacecharge regions around the quantum wells and is accompanied by raise of the middle well potential. The quantitative characteristics of this effect are analyzed depending on temperature, barrier thickness, and dopant concentration.", "author_names": [ "Vasily Zubkov", "Ilya N Yakovlev", "Vladimir G Litvinov", "A V Ermachihin", "O V Kucherova", "V N Cherkasova" ], "corpus_id": 94563562, "doc_id": "94563562", "n_citations": 6, "n_key_citations": 0, "score": 0, "title": "Analysis of the electrostatic interaction of charges in multiple InGaAs/GaAs quantum wells by admittance spectroscopy methods", "venue": "", "year": 2014 } ]
low temperature SOFC
[ { "abstract": "A novel composite was synthesized by mixing La0.1Sr0.9MnO3 d (LSM) with Ce0.8Sm0.2O2 d (SDC) for the functional layer of low temperature solid oxide fuel cell (LT SOFC) Though LSM, a highly electronic conducting semiconductor, was used in the functional layer, the fuel cell device could reach OCVs higher than 1.0 V without short circuit problem. A typical diode or rectification effect was observed when an external electric force was supplied on the device under fuel cell atmosphere, which indicated the existence of a junction that prevented the device from short circuit problem. The optimum ratio of LSM:SDC 1:2 was found for the LT SOFC to reach the highest power density of 742 mW*cm 2 under 550 degC The electrochemical impedance spectroscopy data highlighted that introducing LSM into SDC electrolyte layer not only decreased charge transfer resistances from 0.66 O*cm2 for SDC to 0.47 0.49 O*cm2 for LSM SDC composite, but also decreased the activation energy of ionic conduction from 0.55 to 0.20 eV.", "author_names": [ "Zhaoqing Wang", "Xunying Wang", "Zhaoyun Xu", "Hui Deng", "Wenjing Dong", "Baoyuan Wang", "Chu Feng", "Xueqi Liu", "Hao Wang" ], "corpus_id": 52113906, "doc_id": "52113906", "n_citations": 6, "n_key_citations": 0, "score": 0, "title": "Semiconductor Ionic Nanocomposite La0.1Sr0.9MnO3 d Ce0.8Sm0.2O2 d Functional Layer for High Performance Low Temperature SOFC", "venue": "Materials", "year": 2018 }, { "abstract": "1 School of Materials Science and Engineering, Hefei University of Technology, Hefei, Anhui, China, 230009 2 Institute of Industry Equipment Technology, Hefei University of Technology, Hefei, Anhui, China, 230009 3 Key Lab of Aerospace Structural Parts Forming Technology and Equipment of Anhui Province, Hefei University of Technology, Hefei, China, 230009 E mail: [email protected], [email protected]", "author_names": [ "Hong Wei Xian" ], "corpus_id": 198344641, "doc_id": "198344641", "n_citations": 0, "n_key_citations": 0, "score": 1, "title": "Effect of MXene on Oxygen Ion Conductivity of Sm0.2Ce0.8O1.9 as Electrolyte for Low Temperature SOFC", "venue": "International Journal of Electrochemical Science", "year": 2019 }, { "abstract": "Abstract A novel cell structure for low temperature SOFC was proposed, using porous stainless steel support substrates combined with thin hydrogen permeable Pd layers, thin film proton conductor, and thin film cathode. Metallic supports consist of sintered ferritic stainless steel spherical powders whose thermal expansion coefficient is close to that of the hydrogen permeable layers and proton conductive electrolytes. To prepare the cell, both the 1.2 mm thick proton conductive Sr(Zr0.8Y0.2)O3 d electrolyte layer and the 100 nm thick (La0.6Sr0.4)(Co0.2Fe0.8)O3 d cathode layer were deposited by pulsed laser deposition (PLD) on a Pd coated porous ferritic stainless steel substrate. The power generation performance at a low temperature of 400 degC was demonstrated in this proposed cell for the first time, while obtained power density is still low and improvements are required.", "author_names": [ "Tetsuro Kariya", "Hiroki Tanaka", "Tomoki Hirono", "Tetsuji Kuse", "Katsu Yanagimoto", "Kiyoshi Uchiyama", "Mitsunori Henmi", "Mitsutaka Hirose", "Isao Kimura", "K Suu", "Hiroshi Funakubo" ], "corpus_id": 137521183, "doc_id": "137521183", "n_citations": 13, "n_key_citations": 0, "score": 0, "title": "Development of a novel cell structure for low temperature SOFC using porous stainless steel support combined with hydrogen permeable Pd layer and thin film proton conductor", "venue": "", "year": 2016 }, { "abstract": "Nanocomposites Samarium doped Ceria (SDC) Gadolinium doped Ceria (GDC) core shell SDC amorphous Na2CO3 (SDCC) and GDC amorphous Na2CO3 (GDCC) were synthesized using co precipitation method and then compared to obtain better solid oxide electrolytes materials for low temperature Solid Oxide Fuel Cell (SOFCs) The comparison is done in terms of structure, crystallanity, thermal stability, conductivity and cell performance. In present work, XRD analysis confirmed proper doping of Sm and Gd in both single phase (SDC, GDC) and dual phase core shell (SDCC, GDCC) electrolyte materials. EDX analysis validated the presence of Sm and Gd in both single and dual phase electrolyte materials; also confirming the presence of amorphous Na2CO3 in SDCC and GDCC. From TGA analysis a steep weight loss is observed in case of SDCC and GDCC when temperature rises above 725 degC while SDC and GDC do not show any loss. The ionic conductivity and cell performance of single phase SDC and GDC nanocomposite were compared with core sh.", "author_names": [ "Muneeb Irshad", "Khurram Siraj", "Rizwan Raza", "Fayyaz Javed", "Muhammad Tayyab Ahsan", "Imran Shakir", "Muhammad Rafique" ], "corpus_id": 100615381, "doc_id": "100615381", "n_citations": 18, "n_key_citations": 0, "score": 0, "title": "High performance of SDC and GDC core shell type composite electrolytes using methane as a fuel for low temperature SOFC", "venue": "", "year": 2016 }, { "abstract": "", "author_names": [ "Chima Benjamin Njoku", "Bernard Omondi", "Patrick Gathura Ndungu" ], "corpus_id": 102798993, "doc_id": "102798993", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Physical chemical properties of Ce08Sm02Ir yCo1 yO3 o (y 0.03 0.04) and preliminary testing as cathode material for low temperature SOFC", "venue": "", "year": 2017 }, { "abstract": "Ni YSZ (yttria stabilized zirconia) cermets are known to be very good anodes in solid oxide fuel cells (SOFCs) which are typically operated at 700 1000 degC. However, they are expected to be increasingly degraded as the operating temperature is lowered in the presence of H2S (5 10 ppm) in the H2 fuel stream. However, at 500 to 600 degC, a temperature range rarely examined for sulphur poisoning, but of great interest for next generation SOFCs, we report that H2S exposed Ni YSZ anodes are catalytic towards the H2 oxidation reaction, rather than poisoned. By analogy with bulk Ni3S2/YSZ anodes, shown previously to enhance H2 oxidation kinetics, it is proposed that a thin layer of Ni sulphide, akin to Ni3S2, is forming, at least at the triple point boundary (TPB) region under our conditions. To explain why Ni3S2/YSZ is so active, it is shown from density functional theory (DFT) calculations that the O(2 anions at the Ni3S2/YSZ TPB are more reactive towards hydrogen oxidation than is O(2 at the Ni/YSZ TPB. This is accounted for primarily by structural transformations of Ni3S2 during H2 oxidation, rather than by the electronic properties of this interface. To understand why a thin layer of Ni3S2 could form when a single monolayer of sulphur on the Ni surface is the predicted surface phase under our conditions, it is possible that the reaction of H2 with O(2 forming water, prevents sulphur from re equilibrating to H2S. This may then promote Ni sulphide formation, at least in the TPB region.", "author_names": [ "Lisa Deleebeeck", "Maxim Shishkin", "Paul Kwadwo Addo", "Scott C Paulson", "Hebert Molero", "Tom Ziegler", "Viola Ingrid Birss" ], "corpus_id": 22880583, "doc_id": "22880583", "n_citations": 10, "n_key_citations": 1, "score": 0, "title": "Activation of H(2) oxidation at sulphur exposed Ni surfaces under low temperature SOFC conditions.", "venue": "Physical chemistry chemical physics PCCP", "year": 2014 }, { "abstract": "This paper describes the fabrication and investigation of morphologically stable model electrode structures with well defined and sharp platinum/yttria stabilized zirconia YSZ interfaces to study geometric effects at triple phase boundaries TPBs. A nanosphere patterning technique using monodispersed silica nanoparticles, which are applied to the YSZ surface by the Langmuir Blodgett method, is employed to deposit nonporous platinum electrodes containing close packed arrays of circular openings 300 400 nm in diameter through which the underlying YSZ surface is exposed to the gas phase. These nanostructured dense Pt array cathodes exhibited better structural integrity and thermal stability at the solid oxide fuel cell SOFC operating temperature of 450 500degC when compared to porous sputtered Pt electrodes. More importantly, electrochemical studies on geometrically well defined Pt/YSZ sharp interfaces demonstrated that the cathode impedance and cell performance both scale almost linearly with the aerial density of TPB length. These controlled experiments also demonstrated that when normalized with respect to TPB length, the performance of different cells with different TBP densities agree well each other, indicating that TPB length governs cell performance especially in the activation polarization regime, as expected. Cells with a higher TPB density achieved better fuel cell performance in terms of higher power density and lower electrode impedance. (c) 2010 The Electrochemical Society. DOI: 10.1149/1.3455046 All rights reserved.", "author_names": [ "Young-Beom Kim", "Ching-Mei Hsu", "Stephen T Connor", "Turgut M Gur", "Yi Cui", "Fritz B Prinz" ], "corpus_id": 41139220, "doc_id": "41139220", "n_citations": 39, "n_key_citations": 0, "score": 0, "title": "Nanopore Patterned Pt Array Electrodes for Triple Phase Boundary Study in Low Temperature SOFC", "venue": "", "year": 2010 }, { "abstract": "In recent years, ceria based composites (CBCs) have been developed as electrolytes for low temperature solid oxide fuel cells. These materials exhibit extremely high ionic conductivities at 400 600 degrees C. It has also been found that both oxide ion and proton can be conducted in the CBC electrolytes, which makes such co ionic conducting fuel cell distinct from any other types of fuel cells. In this study, a model involving three charge carriers (oxide ion, proton, and electron) is developed to describe the fuel cell with CBC electrolytes. Various operating characteristics of the fuel cell with CBC electrolytes are investigated, compared to those of the fuel cell with doped ceria electrolytes. The results indicate that the CBC electrolyte behaves as a pure ionic conductor, the cell is more efficient, and a higher output is expected at low temperatures under the same pressure operation than that of the cell with doped ceria electrolytes. [DOI: 10.1115/1.2971173] (Less)", "author_names": [ "Jianbing Trumbull Huang", "Jinliang Yuan", "Zongqiang Mao", "Bengt Sunden" ], "corpus_id": 55578032, "doc_id": "55578032", "n_citations": 15, "n_key_citations": 0, "score": 0, "title": "Analysis and Modeling of Novel Low Temperature SOFC With a Co Ionic Conducting Ceria Based Composite Electrolyte", "venue": "", "year": 2010 }, { "abstract": "", "author_names": [ "Young-Beom Kim", "Turgut M Gur", "Fritz B Prinz" ], "corpus_id": 99088499, "doc_id": "99088499", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Effect of Doped Ceria Interlayer on Low Temperature SOFC Performance", "venue": "", "year": 2011 }, { "abstract": "In this research the microstructure {leftrightarrow} property relations in solid oxide fuel cells (SOFC's) are being studied to better understand the mechanisms involved in cell performance. The overall aim is to fabricate SOFC's with controlled, stable, high performance microstructure. Most cathode studies were completed in the last DOE contract; studies during this year focused more on the influence of nonstoichiometry on the electrical performance. Studies indicate that nonstoichiometric La{sub x}Sr{sub 0.20}MnO{sub 3}(x 0.70, 0.75, and 0.79) cathode compositions exhibit the best properties. A series of studies using these compositions fired on at temperatures of 1100, 1200, 1300 and 1400 C were performed. In all instances, 1200 C was the optimum, with the x 0.70 composition being the best. It has an overpotential of only 0.04V at 1 A/cm{sup 2} SEM analyses indicated no second phases or interdiffusion is detectable. Studies on optimization of anode compositions yielded the optimum volume fraction of Ni (45vol% the best sintering temperature/time (1400 C/2 h) and the best starting materials (glycine nitrate derived NiO and normal YSZ) In essence these results simply reflect the optimum microstructure. As such, they are being used to guide the development of optimized anodes for lower temperature operation based on Cu/CeO{sub 2} cermets. Marked success has been achieved on the placement of thin YSZ electrolytes on porous Ni/YSZ electrodes. The process being used is a transfer technique in which dense YSZ films are initially fabricated on NaCl or polymeric substrates, followed by partial dissolution of the substrate and placement of the film on the porous substrate. This technique has allowed us to produce structures with film thicknesses ranging from 70 to 3000 nm, and grain sizes ranging from 2 to 300 nm. Cells based on electrolytes this thick should operate in the 400 700 C range.", "author_names": [ "Wayne Huebner", "Harlan U Anderson" ], "corpus_id": 92595359, "doc_id": "92595359", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "ANODE, CATHODE AND THIN FILM STUDIES FOR LOW TEMPERATURE SOFC'S", "venue": "", "year": 1999 } ]
computationally efficient simulation of high frequency
[ { "abstract": "A computationally efficient simulation framework is set forth in which the semiconductor devices are represented by the physical phenomena relevant to the accurate prediction of high frequency circuit level transients and energy losses. Key elements of this framework include an encapsulated diode model and a method of coupling device models with those of external circuit elements given a user specified SPICE like netlist. The framework is applied to a single phase full bridge diode rectifier circuit with a discussion on time step requirements and overall computational performance. Comparisons between simulated and measured waveforms are also provided revealing excellent agreement.", "author_names": [ "Anand S Subbiah", "Oleg Wasynczuk" ], "corpus_id": 23100956, "doc_id": "23100956", "n_citations": 8, "n_key_citations": 0, "score": 1, "title": "Computationally Efficient Simulation of High Frequency Transients in Power Electronic Circuits", "venue": "IEEE Transactions on Power Electronics", "year": 2016 }, { "abstract": "In this letter, a structure of a small ultra wideband (UWB) monopole antenna, its design optimization procedure as well as experimental validation are presented. According to our approach, antenna compactness is achieved by means of a meander line for current path enlargement as well as the two parameterized slits providing additional degrees of freedom that help to ensure good impedance matching. For the sake of reliability, the antenna design process (simultaneous adjustment of multiple geometry parameters) is carried out using high fidelity EM analyses. Surrogate based optimization involving an auxiliary coarse discretization EM model it utilized to accomplish the design in practical timeframe. Penalty function approach allows us to reduce the antenna footprint (to only 15.8 x 22 mm2) while maintaining acceptable reflection in the UWB frequency range. Experimental validation of the design is also provided.", "author_names": [ "Adrian Bekasiewicz", "Slawomir Koziel" ], "corpus_id": 8898153, "doc_id": "8898153", "n_citations": 104, "n_key_citations": 8, "score": 0, "title": "Structure and Computationally Efficient Simulation Driven Design of Compact UWB Monopole Antenna", "venue": "IEEE Antennas and Wireless Propagation Letters", "year": 2015 }, { "abstract": "In this work, a model predictive control (MPC) strategy based on optimal switching sequence (OSS) concepts is proposed for a grid connected three level neutral point clamped converter. The proposed cascaded OSS MPC strategy does not require a weighting factor to balance the dc link capacitor voltages and optimally controls both the grid currents and the capacitor voltages even during disturbances and large step changes in the references. The resulting MPC strategy allows operating the converter with a predefined harmonic spectrum, fixed switching frequency, and fast and robust dynamic response. Besides, an efficient optimization algorithm is also introduced to reduce the computational burden typically observed in this kind of MPC strategies. Experimental and simulation results are provided to demonstrate the effectiveness and high quality performance of the proposed strategy.", "author_names": [ "Andres Mora", "Roberto Cardenas-Dobson", "Ricardo P Aguilera", "Alejandro Angulo", "Felipe Donoso", "Jose R Rodriguez" ], "corpus_id": 116060107, "doc_id": "116060107", "n_citations": 24, "n_key_citations": 0, "score": 0, "title": "Computationally Efficient Cascaded Optimal Switching Sequence MPC for Grid Connected Three Level NPC Converters", "venue": "IEEE Transactions on Power Electronics", "year": 2019 }, { "abstract": "This paper proposes a new dynamic model called Stochastic Tail Index (STI) model to analyze time varying tail index for financial asset using high frequency return data. Bayesian tools are developed to estimate the model, make related inferences, and perform model selection. To construct efficient posterior sampler for the STI model by an approximation approach, a new algorithm called ALSO (Auxiliary Least Squares Optimization) is introduced, which can quickly make sufficient approximation to a given random variable using Gaussian mixture variables. The posterior sampler takes advantages of the BFGS optimization method to tailor the proposal densities in Metropolis Hastings chains, and is computationally faster than the existing samplers in literature. Simulation shows that the proposed posterior sampler works well for the STI model. To illustrate the use of the STI model in the real world, we analyze two real high frequency data sets associated with two markets. It is found that the estimated daily tail indexes generally follow a time varying pattern and tend to fall when large negative events occur. Besides, they significantly drop below 2 during some periods, which implies that the variances of the return distributions during those periods may be infinite, and hence any variance based risk management for the two markets may be questionable.", "author_names": [ "Guangyu Mao", "Zhengjun Zhang" ], "corpus_id": 126101119, "doc_id": "126101119", "n_citations": 16, "n_key_citations": 0, "score": 0, "title": "Stochastic tail index model for high frequency financial data with Bayesian analysis", "venue": "", "year": 2018 }, { "abstract": "A numerically robust, computationally efficient method for modeling a high order system by means of low order transfer functions using a perfect reconstruction filter bank (PR FB) is proposed. Modeling the transfer functions associated with the characteristic impedance and propagation/weighting function of a frequency dependent transmission line (FDTL) over a wide range of frequencies typically involves rational function approximations (RFAs) of high order. Discretization of the high order RFAs is prone to numerical instability, especially when the ratio of the maximum pole over the minimum pole (condition number) is large. The proposed method improves numerical stability by employing several narrowband (subband) low order RFAs using a logarithmic tree structured perfect reconstruction filter bank. Moreover, the method is computationally efficient, since simulation of each subband is of low complexity and very suitable for parallel (multi core) processing.", "author_names": [ "Paraskevas E Argyropoulos", "Hanoch Lev-Ari" ], "corpus_id": 6304092, "doc_id": "6304092", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Numerically stable, computationally efficient, filter bank based modeling of transfer functions for power system transient simulation", "venue": "2015 IEEE Power and Energy Conference at Illinois (PECI)", "year": 2015 }, { "abstract": "In acoustic echo cancellation (AEC) systems, the partitioned block frequency domain adaptive filter (PBFDAF) algorithm is commonly adopted to improve the computational efficiency and convergence rate. However, the PBFDAF algorithm introduces an inherent delay. Delayless PBFDAF algorithms have been proposed to tackle this issue. However, the complexity of the existing delayless PBFDAF algorithms is high. Some have high average complexity, but others have high peak complexity. A computationally efficient delayless PBFDAF algorithm is proposed in this letter to reduce both the average and peak complexity. Moreover, a delay compensation method is presented to compensate the error path delay and thus speed up the convergence rate. Simulation results demonstrate that the convergence and tracking performance of the new algorithm with delay compensation is comparable with that of the PBFDAF algorithm.", "author_names": [ "Feiran Yang", "Ming Wu", "Jun Yang" ], "corpus_id": 26392905, "doc_id": "26392905", "n_citations": 17, "n_key_citations": 1, "score": 0, "title": "A Computationally Efficient Delayless Frequency Domain Adaptive Filter Algorithm", "venue": "IEEE Transactions on Circuits and Systems II: Express Briefs", "year": 2013 }, { "abstract": "This paper describes a physics based methodology for computationally efficient statistical modeling of high frequency bipolar transistors along with its practical implementation into a production process design kit. Applications to statistical modeling, circuit simulation, and yield optimization are demonstrated for an opamp circuit. Experimental results are shown that verify the methodology", "author_names": [ "Wolfgang Schneider", "M Schroter", "W Kraus", "Holger Wittkopf" ], "corpus_id": 14664305, "doc_id": "14664305", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Statistical simulation of high frequency bipolar circuits", "venue": "2007 Design, Automation Test in Europe Conference Exhibition", "year": 2007 }, { "abstract": "This paper describes a physics based methodology for computationally efficient statistical modeling of high frequency bipolar transistors along with its practical implementation into a production process design kit. Applications to statistical modeling, circuit simulation, and yield optimization are demonstrated for an opamp circuit. Experimental results are shown that verify the methodology.", "author_names": [ "Wolfgang Schneider", "Michael Schroter", "W Kraus", "Holger Wittkopf" ], "corpus_id": 893030, "doc_id": "893030", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Interactive presentation: Statistical simulation of high frequency bipolar circuits", "venue": "DATE '07", "year": 2007 }, { "abstract": "In orthogonal frequency division multiplexing (OFDM) system, high value of peak to average power ratio (PAPR) is an operational problem that may cause non linear distortion resulting in high bit error rate. Selected mapping (SLM) is a well known technique that shows good PAPR reduction capability but inflicts added computational overhead. In this paper, using Riemann sequence based SLM method, we applied reverse searching technique to find out low PAPR yielding phase sequences with significant reduction in computational complexity. Additionally, we explored side information free transmission that achieves higher throughput but sacrifices PAPR reduction. Finally, to overcome this loss in PAPR reduction, we proposed application of Square rooting companding technique over the output OFDM transmitted signal. Simulation results show that the proposed method is able to compensate the sacrifice in PAPR and achieved PAPR reduction of 8.9 dB with very low computational overhead.", "author_names": [ "Md Moshiur Rahman", "Md Nur-Al-Safa Bhuiyan", "Muhammad Sajjadur Rahim", "Sabbir Ahmed" ], "corpus_id": 30218, "doc_id": "30218", "n_citations": 8, "n_key_citations": 0, "score": 0, "title": "A computationally efficient selected mapping technique for reducing PAPR of OFDM", "venue": "Telecommun. Syst.", "year": 2017 }, { "abstract": "Sampling frequency offset (SFO) that occurs due to a sampling frequency mismatch between the transmitter and receiver oscillators is one of the main problem in the orthogonal frequency division multiplexing (OFDM) based digital terrestrial television (DTTV) system. The SFO can cause an intersymbol interference and an intercarrier interference that may degrade the performance of an OFDM system and result in high bit error rate. Since the value of SFO is usually very low (part per million scale) the SFO estimation is very susceptible to a noise. In this paper, we propose the estimation method that can minimize the influence of the noise in SFO estimation and also minimize the computational complexity. The performance of the proposed method has been verified with computer simulation. The computer simulation results show that the proposed sampling frequency offset estimation is more efficient in computational complexity compared to the conventional method to achieve the similar performance.", "author_names": [ "Nico Surantha", "Yuhei Nagao", "Masayuki Kurosaki", "Hiroshi Ochi" ], "corpus_id": 13634694, "doc_id": "13634694", "n_citations": 2, "n_key_citations": 1, "score": 0, "title": "A computationally efficient sampling frequency offset estimation for OFDM based digital terrestrial television systems", "venue": "2013 IEEE 24th Annual International Symposium on Personal, Indoor, and Mobile Radio Communications (PIMRC)", "year": 2013 } ]
fast full chip image
[ { "abstract": "The rapid progression of semiconductor technology has significantly impacted the ability to examine and analyze complex integrated circuits (ICs) Small device feature sizes, combined with large die sizes, add a heavy processing burden that severely limits our timely ability to perform defect validation and anti tampering analysis at full scale. In this paper, we describe the algorithmic steps taken in the processing pipeline to quickly create a global image database of an entire advanced IC. We focused specifically on the image alignment and stitching algorithms necessary to support a combined field of view of a given layer of a die. We describe key algorithmic challenges such as contextual semantics that limits the robustness of the alignment algorithm. We also describe the use of database indexing to manage and traverse the enormous amounts of data. Keywords SEM, chip delayering, image stitching, nanoscale, IC failure analysis, anti tampering, reverse engineering.", "author_names": [ "Dafan Zhang", "Gooitzen S van der Wal", "Phil Miller", "David S Stoker", "Erik Matlin", "Naveen Marri", "Gary Gan", "Joe Zhang", "J Charles Asmuth", "Sek M Chai", "David Weaver", "Michael R Piacentino", "Scott Silverman", "Michael DiBattista", "Robert Chivas", "Christopher G L Ferri", "David Taylor", "Jordan Furlong", "Thomas Harper", "Dustin Kobs" ], "corpus_id": 209066914, "doc_id": "209066914", "n_citations": 1, "n_key_citations": 0, "score": 1, "title": "Fast, Full Chip Image Stitching of Nanoscale Integrated Circuits", "venue": "", "year": 2019 }, { "abstract": "Lithography simulation is an increasingly important part of semiconductor manufacturing due to the decreasing k1 value. It is not only required in lithography process development, but also in RET design, RET verification, and process latitude analysis, from library cells to full chip. As the design complexity grows exponentially, pure software based simulation tools running on general purpose computer clusters are facing increasing challenges in meeting today's requirements for cycle time, coverage, and modeling accuracy. We have developed a new lithography simulation platform (TachyonTM) which achieves orders of magnitude speedup as compared to traditional pure software simulation tools. The platform combines innovations in all levels of the system: algorithm, software architecture, cluster level architecture, and proprietary acceleration hardware using application specific integrated circuits. The algorithm approach is based on image processing, fundamentally different from conventional edge based analysis. The system achieves superior model accuracy than conventional full chip simulation methods, owing to its ability to handle hundreds of TCC kernels, using either vector or scalar optical model, without impacting throughput. Thus first principle aerial image simulation at the full chip level can be carried out within minutes. We will describe the hardware, algorithms and models used in the system and demonstrate its applications of the full chip verification purposes.", "author_names": [ "Yu Cao", "Yen-Wen Lu", "Luoqi Chen", "Jun Ye" ], "corpus_id": 62726221, "doc_id": "62726221", "n_citations": 37, "n_key_citations": 0, "score": 0, "title": "Optimized hardware and software for fast full chip simulation", "venue": "SPIE Advanced Lithography", "year": 2004 }, { "abstract": "This article proposes a hardware accelerator for image feature extraction based on the oriented features from accelerated segment test (FAST) and rotated binary robust independent elementary features (ORB) algorithm. The architecture adopts a hybrid workflow to process three scales in parallel as an octave, and multiple octaves are processed in serial by time sharing the DRAM. In order to support any image resolution, a block wise dataflow is used on the serial parallel architecture. The overlapped data between two blocks is reused. As a result, the on chip memory is limited to 1.47 Mb, and the DRAM bandwidth is compressed by 33% Besides, $3 \\times 3$ non maximum suppression with heap sorting is applied to balance keypoint distribution in 2 D. It improves the valid keypoint match ratio by 7.09% In addition, parallel processing in keypoint detection increases $2 \\times throughout. Moreover, approximate computing and superscalar processing reduce the timing cost in orientation estimation and descriptor generation by 92.78% and 67% respectively. Compared to the non optimized baseline architecture, the proposed architecture saves the total timing cost by 82.4% The accelerator is implemented in a Xilinx MPSoC, and it achieves 108 fps on full HD images at 200 MHz under 873 mW.", "author_names": [ "Rongdi Sun", "Jiuchao Qian", "Romero Hung Jose", "Zheng Gong", "Ruihang Miao", "Wuyang Xue", "Peilin Liu" ], "corpus_id": 210930532, "doc_id": "210930532", "n_citations": 5, "n_key_citations": 0, "score": 0, "title": "A Flexible and Efficient Real Time ORB Based Full HD Image Feature Extraction Accelerator", "venue": "IEEE Transactions on Very Large Scale Integration (VLSI) Systems", "year": 2020 }, { "abstract": "A fast algorithm based on two dimensions template sampling was presented and optimized.It sets the search step and sample sequence to reduce the computational complexity.It includes two search phases,which settle down the problem of target subimage rotating and guarantee to find the matching positions precisely.The simplicity of the algorithm facilitates its hardware implementation.It has been applied to the image locating system of fully automatic gold wire bonder,ad the real time demand (20 ms) and precision demand 4 mm) of the system are meted well.Experiment results demonstrate that the algorithm is robust and immune to noise.", "author_names": [ "Jiang Kai", "Chen Hai-xia" ], "corpus_id": 63354934, "doc_id": "63354934", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "A Fast Chip Image Locating Algorithm in the Full Automatic Gold Wire Bonder", "venue": "", "year": 2003 }, { "abstract": "ABSTRACT We present a novel mm resolution particle image velocimetry setup capable of automated full chip measurements of microchannel acoustophoresis. Our system allows for fast collection of a sufficient amount of data enabling reliable determination of the influence from the forces from acoustic radiation and acoustic streaming acting simultaneously on microbead suspensions in a microfluidic system. From a series of acoustophoretic focusing experiments on 5 mm, 3 mm, and 2 mm polystyrene (PS) microbead suspensions, we quantify the particle velocity induced by the acoustic radiation force and show that it scales with the expected microbead radius to the power two.", "author_names": [ "Rune Barnkob", "Per Augustsson", "Thomas Laurell", "Henrik Bruus" ], "corpus_id": 125829282, "doc_id": "125829282", "n_citations": 8, "n_key_citations": 0, "score": 0, "title": "An automated full chip micro piv setup for measuring microchannel acoustophoresis: Simultaneous determination of forces from acoustic radiation and acoustic streaming", "venue": "", "year": 2011 }, { "abstract": "A fast full parallel interface adapted to VERSAbus has been designed and specifically optimized for image data transfers between a VAX computer with a DR11 W and a special purpose image computer. The interface has been built around a AM9516 chip, and contains all features to optimize the bus occupation, the data transfer speed as well as the microprocessor over head. Special features of the interface are (1) easy handling of two dimensional image ar rays, (2) all communication via one parallel link including all commands (no additional serial link) and (3) high speed data transfer possibility of up to 600 Kbyte/sec. A software shell is being designed on the VAX computer to provide a userfriendly and efficient environment for the software and the application groups of our department. So, all communication between the VAX computer and the image computer will be made easier.", "author_names": [ "Michel Vercruyssen", "Luc Van Eycken", "Andre Oosterlinck" ], "corpus_id": 57159309, "doc_id": "57159309", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "A Fast Full Parallel Interface Between A VAX Computer And A VERSAbus Based Real Time Image Computer", "venue": "Other Conferences", "year": 1987 }, { "abstract": "The application of vector quantization has been constrained to a great deal since its encoding process is very heavy. This paper presents a fast encoding algorithm called the double feature ordered partial codebook search (DFPS) algorithm for image vector quantization. The DFPS algorithm uses the Walsh Hadamard transform (WHT) for energy compaction and a look up table (LUT) for fast reference. The simulation results show that with elaborate preprocessing and memory cost within a feasible level, the proposed DFPS algorithm is faster than other existing search algorithms. Compared with the exhaustive full search (EFS) algorithm, the DFPS algorithm reduces the computational complexity by 97.0% to 97.8% for a codebook size of 256 while maintaining the same encoding quality as that of the EFS algorithm.", "author_names": [ "Chul Ryu", "Sungwoong Ra" ], "corpus_id": 18767977, "doc_id": "18767977", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "A fast full search equivalent encoding algorithm for image vector quantization based on the WHT and a LUT", "venue": "Fifth International Workshop on System on Chip for Real Time Applications (IWSOC'05)", "year": 2005 }, { "abstract": "A fast model based technique for SRAF placements is proposed in this paper. This technique first constructed an image pixel map with values presenting the sensitivity of improving process window on the desired pattern. The sensitivity value was derived based on contrast improvement with a defocus model. Then high value pixels were selected and constructed to form SRAF with MRC regulations. This technique does not require iterations to produce SRAF and achieves very fast runtime with simple mask shapes, thus can be used in full chip productions. We called this technique the SRAF guidance map, SGM", "author_names": [ "Min-Chun Tsai", "Shigeki Nojima", "Masahiro Miyairi", "Tatsuo Nishibe", "Been-Der Chen", "Hanying Feng", "William S Wong", "Zhangnan Zhu", "Yen-Wen Lu" ], "corpus_id": 111063705, "doc_id": "111063705", "n_citations": 8, "n_key_citations": 0, "score": 0, "title": "A full chip MB SRAF placement using the SRAF guidance map", "venue": "Photomask Technology", "year": 2010 }, { "abstract": "Linear super resolution microscopy via synthesis aperture approach permits fast acquisition owing to its wide field implementations. However, it has been limited in resolution because a spatial frequency band missing occurs when trying to use a shift magnitude surpassing the cutoff frequency of the detection system beyond a factor of two, which distorts the image severely. Here, we propose a method of chip based 3D nanoscopy through a tunable spatial frequency shift effect capable of covering the full extent of the spatial frequency component within a wide passband. The missing of the spatial spectrum can be effectively solved by developing a spatial frequency shift active tuning approach through wave vector manipulation and operation of optical modes propagating along multiple azimuthal directions on a waveguide chip. Besides, the method includes a chip based sectioning capability, which is enabled by the saturated absorption of fluorophores.", "author_names": [ "Xiaowei Liu" ], "corpus_id": 225123081, "doc_id": "225123081", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Chip based wide field 3D nanoscopy through tunable spatial frequency shift effect", "venue": "", "year": 2020 }, { "abstract": "A lensless full color holographic projection system is proposed, satisfying the requirement of compactness and flexibility. The system enables projection by illuminating a single chip spatial light modulator (SLM) simultaneously with red (R) green (G) and blue (B) lasers, in which the SLM loads a color multiplexed phase only hologram. To strengthen compactness, filtering and achromatic systems are achieved by digital phase, where the digital lens phase focuses the light field onto the filter plane, and the digital blazed gratings shift the RGB images to achieve a fine alignment. Besides, the flexibility of diffraction calculation is enhanced by the cascaded D FFT and S FFT algorithm (CDS algorithm, where D FFT is acronym of double fast fourier transform and S FFT is acronym of single fast fourier transform) Both simulation and optical experiments are carried out. We conducted 2D image and animation projection and multi image plane projection. The results confirm the feasibility of our method.", "author_names": [ "Zhaoyu Gong", "Xing Chen", "Zhongyuan Guo", "Feihong Yu" ], "corpus_id": 216198494, "doc_id": "216198494", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Compact lensless full color holographic projection system with digital phase", "venue": "", "year": 2020 } ]
Rayleigh Scattering wafer
[ { "abstract": "Laser light scattering is a powerful tool for its noncontract nature and its convenience to nondestructive examination in the semiconductor industry. Taking the advantage of the scattering matrixes, the models of particulate contaminants on the wafer and subsurface defects are established, respectively. And the bidirectional reflectance distribution functions are derived. The results show that when the Rayleigh particle is on the wafer, the variation of BRDFpp is smooth without gulch for a definite incident angle. However, there is a gulch with the increment of scattering angle and the position of gulch is reduced with the increment of incident angle. When the Rayleigh particle is below the wafer, with an identical incident angle, there is a gulch with the variation of scattering azimuth angle. In addition, BRDFpp becomes larger and larger with the incident angle of p polarization light increment. The conclusions provide theoretical basis for quality evaluation and identify the information of contaminants detected in the semiconductor industry.", "author_names": [ "Lei Gong", "Zhen S Wu", "Ya Qing Li" ], "corpus_id": 135596694, "doc_id": "135596694", "n_citations": 0, "n_key_citations": 0, "score": 1, "title": "The Three Dimensional Analysis of Light Scattering Characters for Rayleigh Particles On/Below the Wafer", "venue": "", "year": 2012 }, { "abstract": "In this paper, patterns of laser scattering and detection of micro defects have been investigated based on Rayleigh criterion for silicon wafer in solar cell. Also, a new laser scattering mechanism is designed using characteristics of light scattering against silicon wafer surfaces. Its parameters are to be optimally selected to obtain effective and featured patterns of laser scattering. The optimal parametric ranges of laser scattering are determined using the mean intensity of laser scattering. Scattering patterns of micro defects are investigated at the extracted parameter region. Among a lot of pattern features, both maximum connected area and number of connected component in patterns of laser scattering are regarded as the important information for detecting micro defects. Their usefulness is verified in the experiment.", "author_names": [ "J S Yean", "Gyung-bum Kim" ], "corpus_id": 135503955, "doc_id": "135503955", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "Investigation of Laser Scattering Pattern and Defect Detection Based on Rayleigh Criterion for Crystalline Silicon Wafer Used in Solar Cell", "venue": "", "year": 2011 }, { "abstract": "Abstract A fundamental study of laser drilling was performed with computational analysis. High power Q switched diode pumped laser drilling simulations were conducted on N type silicon wafers. In the numerical model, the volume of fluid method was adopted to trace the free surface of the drilled hole with the governing equations including continuity, momentum, and energy equation. The laser beam was considered as a surface heat flux with near Gaussian distribution. The simulation model took into account the physical phenomena, taking place during drilling of the silicon wafer, such as the effects of recoil pressure producing a narrow and deep profile, Fresnel absorption transferring the energy from laser beam to workpiece, multiple reflection raising the absorption of laser energy, and Rayleigh absorption emission scattering absorbing and distributing the entrance laser energy. In particular, a novel model for laser drilling was proposed from the view point of the transmitted, absorbed, emitted, and scattered light.", "author_names": [ "Kwangho Park", "Suck-joo Na" ], "corpus_id": 135698611, "doc_id": "135698611", "n_citations": 24, "n_key_citations": 2, "score": 0, "title": "Theoretical investigations on multiple reflection and Rayleigh absorption emission scattering effects in laser drilling", "venue": "", "year": 2010 }, { "abstract": "High refractive index contrast optical microdisk resonators fabricated from silicon on insulator wafers are studied using an external silica fiber taper waveguide as a wafer scale optical probe. Measurements performed in the 1500 nm wavelength band show that these silicon microdisks can support whispering gallery modes with quality factors as high as 5.2x10^5, limited by Rayleigh scattering from fabrication induced surface roughness. Microdisks with radii as small as 2.5 um are studied, with measured quality factors as high as 4.7x10^5 for an optical mode volume of 5.3 (lambda/n)^3.", "author_names": [ "Matthew G Borselli", "Kartik Srinivasan", "Paul E Barclay", "Oskar J Painter" ], "corpus_id": 9554470, "doc_id": "9554470", "n_citations": 150, "n_key_citations": 8, "score": 0, "title": "Rayleigh scattering, mode coupling, and optical loss in silicon microdisks", "venue": "", "year": 2004 }, { "abstract": "In general, the Casimir effects manifest themselves when there are some sort of restrictions for the fluctuation spectrum, i.e. the boundary conditions imposed by a cavity. We will show that the oxide layer of a Si SiO, structure playing the role of such a cavity for virtual photons can be responsible for nonlocal effects in Si SiO, interface nonlinear optical response that stem from the Casimir retarded interaction. In this paper we :show that so called optical Casimir nonlocality of surface quadratic susceptibility stemming from electron electron interaction via virtual photons of the quantized electromagnetic field can give rise to a substantial thickness dependence of the optical second harmonic generation (SHG) intensity from Si SiO, interfaces, for oxide thicknesses in the range of 2 500 nm. The samples used were p type Si(001) wafers on which a high quality thermal oxide with a thickness of 500 nm was grown. The wafer oxidized was etched1 with a buffered NH, solution with in checkerboard configurations to produce samples with identical interface and the oxide thickness ranging in a step like manner from 2 to 500 nm. For the SHG experiments the output of Ti: sapphire laser was used, with wavelength ranging from 700 to 800 nm. To exclude multiple reflections interference the SHG measurements were performed for the p in, p out combination of polarizations, at an angle of incidence on the SO, air interface of 55.5, which is very close to the Brewster angles for the fundamental and !SHG radiation. To distinguish nonlocal Casi.mir contribution from microscopic thicknes:s dependent contributions the SHG oxide thickness dependence was also measured in near index matching fluid (water) Single waveleng,th ellipsometry with a HeNe laser (632.8 nm) was used to measure the oxide layer thicknesses before and after etching and to check the thickness uniformity for each particular .square on the checkerboard samples. Figure 1 shows ,SHG thickness dependence in air and in water. The ratio of these curves presented in Fig. 2 demonstrates selected thickness dependence stemming from Casimir nonlocality. Figure 3 shows spectral dependence of the SHG signal measured at two oxide thicknesses. Summarizing, the SHG intensity from 00", "author_names": [ "Andrey A Fedyanin", "Oleg A Aktsipetrov", "N V Didenko", "Eugeni P Lukashev" ], "corpus_id": 78998496, "doc_id": "78998496", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Optical Second harmonic Generation And Hyper rayleigh Scattering In Thin Films Of Bacteriorhodopsin", "venue": "QELS '97. Summaries of Papers Presented at the Quantum Electronics and Laser Science Conference", "year": 1997 }, { "abstract": "Bidirectional ellipsometry has been developed as a technique for distinguishing among various scattering features near surfaces. The polarized angular dependence of out of plane light scattering by the nanoparticles on wafer is calculated and measured according to Rayleigh limit. These calculations and measurements yield angular dependence of bidirectional ellipsometric parameters for out of plane scattering. The experimental data show good agreement with theoretical predictions for different diameter of nanoparticles. The results suggest that improvements for accuracy are possible to perform measurements of scattering features from nanoparticles. The angular dependence and the polarization of light scattered by nanoparticles can be used to determine the size of nanoparticulate contaminants on silicon wafers.", "author_names": [ "Cheng-Yang Liu", "Wei-en Fu" ], "corpus_id": 120296712, "doc_id": "120296712", "n_citations": 14, "n_key_citations": 1, "score": 0, "title": "Polarized angular dependence of out of plane light scattering measurements for nanoparticles on wafer", "venue": "", "year": 2009 }, { "abstract": "A laser induced Rayleigh light scattering (RLS) system was used to measure transient gas temperatures in a simulated rapid chemical vapor deposition (RCVD) reactor. The test section geometry was an axisymmetric jet of carrier gas directed down, impinging on a heated water surface. RLS was used to measure instantaneous gas temperature at several locations above the wafer as it was heated from room temperature to 475 K. Gas flow rate and wafer temperature correspond to jet Reynolds number Re{sub i} 60, wafer maximum Grashof number Gr{sub H} 4.4 x 10{sup 6} and a maximum mixed convection parameter Gr{sub H}/Re{sub i}{sup 2} 1200; all conditions typical of impinging jet reactors common in the numerical literature. Uncertainty of RLS transient temperature from a propagated error analysis was }2 4 K. Peak gas temperature fluctuations were large (in the order of 25 to 75 C) Both flow visualization and RLS measurements showed that the flow field was momentum dominated prior to heating initiation, but became unstable by Gr{sub H}/Re{sub i}{sup 2} 5. It then consisted of buoyancy induced plumes and recirculations. Up to the peak wafer temperature, the flow field continued to be highly three dimensional, unsteady, and dominated by buoyancy. RLS measurementsmore are shown to provide information on carrier gas instantaneous temperature and flow field stability, both critical issues in RCVD processing. less", "author_names": [ "J F Horton", "James E Peterson" ], "corpus_id": 123207919, "doc_id": "123207919", "n_citations": 9, "n_key_citations": 0, "score": 0, "title": "Rayleigh Light Scattering Measurements of Transient Gas Temperature in a Rapid Chemical Vapor Deposition Reactor", "venue": "", "year": 2000 }, { "abstract": "A theory of light scattering is described for particles and geometric defects on a flat surface which are very small compared with the wavelength. The differential scattering cross section for small objects with an arbitrary shape is calculated to the electric dipole approximation. The image of scatterer through a magnifying lens is also obtained by combining a conventional optical theory. This calculation method is applied to particle, projection, pit and scratch on the silicon wafer, the shape of which is assumed to be rectangular in order to simplify an algebraic expression. The characteristics of light scattering are the followings: (1) The intensity of light scattered by particle is proportional to the 6th power of scatterer size and the scattering of p polarized light is larger than s polarized one. (2) The orientation dependence of light intensity scattered by particle, projection and pit takes a shape of doughnut like a Rayleigh scattering, but the light scattering due to scratch is strong only in the direction perpendicular to the scratch line. (3) The image of scatterers shows a diffraction pattern caused by the aperture of lens.", "author_names": [ "Toshihiko Kataoka", "Haruyuki Inoue", "Katsuyoshi Endo", "Yasushi Oshikane", "Yuzo Mori", "Motohiro Nakano", "Katsuo Wada", "Hiroshi An" ], "corpus_id": 137857034, "doc_id": "137857034", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "Light Scattering by Small particles and Small Defects on the Silicon Wafer Surface. Calculations of Scattering Light Intensity and Optical Image through a Lens.", "venue": "", "year": 2000 }, { "abstract": "This paper describes a system used for detecting the impurity particles on a bare Si wafer surface in a short time, at a wide area, and with a high reliability. The particles are less than 100 nm in diameter. The wafer is illuminated with CW Ar ion laser beam (diameter 100mm, power 600 mW) at an oblique incident angle. The laser beam scattered by the particles is collected and focused at the direction perpendicular to the wafer surface. In order to achieve a uniform illumination in the whole observation area, the laser beam is scanned over the area. The time integrated image of the surface is taken by a long time exposure CCD camera. As a result, 37 nm particles are observed in the image with the signal to noise ratio of 2.4. According to the Rayleigh equation, the noise signal is corresponding to 32 nm particles.", "author_names": [ "Haruyuki Inoue", "Toshihiko Kataoka", "Yasushi Oshikane", "Katsuyoshi Endo", "Yuzo Mori", "Motohiro Nakano", "Hiroshi An", "S Satomi", "Katuo Wada" ], "corpus_id": 138698961, "doc_id": "138698961", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Measurement of Ultra Fine Particles on the Si Wafer Surface Using a Laser Light Scattering Method.", "venue": "", "year": 1997 }, { "abstract": "Surface plasmons supported by metal nanoparticles are perturbed by coupling to a surface that is polarizable. Coupling results in enhancement of near fields and may increase the scattering efficiency of radiative modes. In this study, we investigate the Rayleigh and Raman scattering properties of gold nanoparticles functionalized with cyanine deposited on silicon and quartz wafers and on gold thin films. Dark field scattering images display red shifting of the gold nanoparticle plasmon resonance and doughnut shaped scattering patterns when particles are deposited on silicon or on a gold film. The imaged radiation patterns and individual particle spectra reveal that the polarizable substrates control both the orientation and brightness of the radiative modes. Comparison with simulation indicates that, in a particle surface system with a fixed junction width, plasmon band shifts are controlled quantitatively by the permittivity of the wafer or the film. Surface enhanced resonance Raman scattering (SERRS) spectra and images are collected from cyanine on particles on gold films. SERRS images of the particles on gold films are doughnut shaped as are their Rayleigh images, indicating that the SERRS is controlled by the polarization of plasmons in the antenna nanostructures. Near field enhancement and radiative efficiency of the antenna are sufficient to enable Raman scattering cyanines to function as gap field probes. Through collective interpretation of individual particle Rayleigh spectra and spectral simulations, the geometric basis for small observed variations in the wavelength and intensity of plasmon resonant scattering from individual antenna on the three surfaces is explained.", "author_names": [ "Shiuan-Yeh Chen", "Jack J Mock", "Ryan T Hill", "Ashutosh Chilkoti", "David R Smith", "Anne A Lazarides" ], "corpus_id": 13709624, "doc_id": "13709624", "n_citations": 80, "n_key_citations": 0, "score": 0, "title": "Gold nanoparticles on polarizable surfaces as Raman scattering antennas.", "venue": "ACS nano", "year": 2010 } ]
Emerging photoluminescence in monolayer MoS2
[ { "abstract": "Novel physical phenomena can emerge in low dimensional nanomaterials. Bulk MoS(2) a prototypical metal dichalcogenide, is an indirect bandgap semiconductor with negligible photoluminescence. When the MoS(2) crystal is thinned to monolayer, however, a strong photoluminescence emerges, indicating an indirect to direct bandgap transition in this d electron system. This observation shows that quantum confinement in layered d electron materials like MoS(2) provides new opportunities for engineering the electronic structure of matter at the nanoscale.", "author_names": [ "Andrea Splendiani", "Liang Sun", "Yuanbo Zhang", "Tianshu Li", "Jonghwan Kim", "Chi Yung Chim", "Giulia Galli", "Feng Wang" ], "corpus_id": 1353329, "doc_id": "1353329", "n_citations": 5858, "n_key_citations": 71, "score": 2, "title": "Emerging photoluminescence in monolayer MoS2.", "venue": "Nano letters", "year": 2010 }, { "abstract": "Novel physical phenomena can emerge in low dimensional nanomaterials. Bulk MoS2, a prototypical metal dichalcogenide, is an indirect bandgap semiconductor with negligible photoluminescence. When the MoS2 crystal is thinned to monolayer, however, a strong photoluminescence emerges, indicating an indirect to direct bandgap transition in this d electron system. This observation shows that quantum confinement in layered d electron materials like MoS2 provides new opportunities for engineering the electronic structure of matter at the nanoscale.", "author_names": [ "Andrea Splendiani", "Liang Sun", "Yangting Zhang", "Tianshu Li", "Jonghwan Kim", "Chi Yung Chim", "Giulia Galli", "Feng Wang" ], "corpus_id": 138867177, "doc_id": "138867177", "n_citations": 243, "n_key_citations": 2, "score": 0, "title": "Emerging Photoluminescence in Monolayer", "venue": "", "year": 2010 }, { "abstract": "Molybdenum disulfide (MoS2) is back in the spotlight because of the indirect to direct bandgap tunability and valley related physics emerging in the monolayer regime. However, rigorous control of the monolayer thickness is still a huge challenge for commonly utilized physical exfoliation and chemical synthesis methods. Herein, we have successfully grown predominantly monolayer MoS2 on an inert and nearly lattice matching mica substrate by using a low pressure chemical vapor deposition method. The growth is proposed to be mediated by an epitaxial mechanism, and the epitaxial monolayer MoS2 is intrinsically strained on mica due to a small adlayer substrate lattice mismatch ~2.7% Photoluminescence (PL) measurements indicate strong single exciton emission in as grown MoS2 and room temperature PL helicity (circular polarization ~0.35) on transferred samples, providing straightforward proof of the high quality of the prepared monolayer crystals. The homogeneously strained high quality monolayer MoS2 prepared in this study could competitively be exploited for a variety of future applications.", "author_names": [ "Qingqing Ji", "Yanfeng Zhang", "Teng Gao", "Yu Zhang", "Dongling Ma", "Mengxi Liu", "Yubin Chen", "Xiao-fen Qiao", "Pingheng Tan", "Min Kan", "Ji Feng", "Qiang Sun", "Zhongfan Liu" ], "corpus_id": 29984251, "doc_id": "29984251", "n_citations": 426, "n_key_citations": 3, "score": 0, "title": "Epitaxial monolayer MoS2 on mica with novel photoluminescence.", "venue": "Nano letters", "year": 2013 }, { "abstract": "The transition metal chalcogenides (TMDs) are gaining increased attention from many scientists recently. Monolayer MoS2 is an emerging layered TMD material with many excellent physical and electrical properties. It can be widely used in catalysis, transistors, optoelectronics and integrated circuits. Here, the large sized monolayer MoS2 is grown on the silicon substrate with a 285 nm thick oxide layer by atmospheric pressure chemical vapor deposition (APCVD) of sulfurized molybdenum trioxide. This method is simple and it does not require vacuum treatment. In addition, the effects of growth conditions, such as sulfur source, molybdenum source, growth temperature, and argon flow rate on the quality and area of MoS2 are further studied systematically. These analysis results help to master the morphology and optical properties of monolayer MoS2. The high quality, excellent performance, and large size monolayer MoS2 under the optimal growth condition is characterized by optical microscopy, AFM, XPS, photoluminescence, and Raman spectroscopy. The Raman spectrum and PL mapping show that the grown MoS2 is a uniform triangular monolayer with a side length of 100 mm, which can pave the way for the applications of photodetectors and transistors.", "author_names": [ "Tao Han", "Hongxia Liu", "Shulong Wang", "Wei Li", "Shupeng Chen", "Xiaoli Yang", "M Cai" ], "corpus_id": 56477723, "doc_id": "56477723", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Research on the Factors Affecting the Growth of Large Size Monolayer MoS2 by APCVD", "venue": "Materials", "year": 2018 }, { "abstract": "We have investigated the quantum efficiency of monolayer MoS2 light emitting devices through detailed temperature and power dependent photoluminescence studies and rate equation analysis. The internal quantum efficiency can reach 45 and 8.3% at 83 and 300 K, respectively. However, efficiency droop is clearly measured with increasing carrier injection due to the unusually large Auger recombination coefficient, which is found to be ~10( 24) cm(6)/s at room temperature, nearly 6 orders of magnitude higher than that of conventional bulk semiconductors. The significantly elevated Auger recombination in the emerging two dimensional (2D) semiconductors is primarily an indirect process and is attributed to the abrupt bounding surfaces and the enhanced correlation, mediated by magnified Coulomb interactions, between electrons and holes confined in a 2D structure.", "author_names": [ "Omid Salehzadeh", "Nhung Hong Tran", "Xiaofeng Liu", "Ishiang Shih", "Zetian Mi" ], "corpus_id": 5478044, "doc_id": "5478044", "n_citations": 110, "n_key_citations": 0, "score": 0, "title": "Exciton kinetics, quantum efficiency, and efficiency droop of monolayer MoS2 light emitting devices.", "venue": "Nano letters", "year": 2014 }, { "abstract": "Monolayer MoS2 is an emerging two dimensional semiconductor that has seen wide applications in optoelectronic and light emitting devices. Here we report on the antenna enhanced spontaneous emission of monolayer MoS2, which has weak absorbance and low intrinsic quantum yield. The ultrathin silver platelet antennas we use can both increase the absorption cross section and improve the transmission efficiency via controlling the optical field at nanometer scale. Experimental results indicate the photoluminescence enhancement can reach 4 times, which is also supported by numerical analysis of both excitation and emission processes with respect to the thickness of spacer layer. This ultrathin structure can facilitate the development of on chip emitters and valley based devices, especially in cases of large area sample or flexible substrate.", "author_names": [ "Yingyi Yang", "Di Wang", "Zheng Jie Tan", "Xiang Xiong", "Mu Wang", "Ruwen Peng", "Nicholas Xuanlai Fang" ], "corpus_id": 46873049, "doc_id": "46873049", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Ultrathin platelet antennas mediated light matter interaction in monolayer MoS2.", "venue": "Optics express", "year": 2017 }, { "abstract": "MoS2 are two dimensional (2D) materials that exhibit emerging photoluminescence (PL) at the monolayer level and have potential optoelectronic applications. Monolayers of MoS2 typically achieved by mechanical exfoliation (Me) chemical vapor deposition (CVD) and chemical exfoliation (Ce) via lithium intercalation contain numerous defects that significantly reduce their PL efficiency. Several studies have reported overcoming poor PL in mechanically exfoliated and CVD grown MoS2, but such studies for chemically exfoliated MoS2 (Ce MoS2) have not been reported. Here, we report a solution based method of enhancing the PL of Ce MoS2 by reacting with molecules with suitable functional groups at high temperatures. Reaction with dodecanethiol (DDT) generates PL that is more intense than mechanically exfoliated MoS2 (Me MoS2) with high crystallinity and has a significantly broader range of wavelengths. Based on ultraviolet visible, Fourier transform infrared, X ray photoemission, and PL spectroscopy as well as transmission electron and PL imaging, we propose that the present method modifies PL properties of Ce MoS2 by simultaneously annealing, replacing molybdenum oxygen with molybdenum sulfur bonds, inducing strain, and generating a nanopolycrystalline structure. This work points to such defect engineering using molecules as an effective means to modify the properties of Ce MoS2 and layered transition metal dichalcogenides more generally.", "author_names": [ "Steven Gravelsins", "Jangyup Son", "Arend M van der Zande", "Al-Amin Dhirani" ], "corpus_id": 171094651, "doc_id": "171094651", "n_citations": 11, "n_key_citations": 0, "score": 0, "title": "A Scalable, Solution Based Approach to Tuning the Solubility and Improving the Photoluminescence of Chemically Exfoliated MoS2.", "venue": "ACS nano", "year": 2019 }, { "abstract": "Monolayer transition metal dichalcogenides (TMDs) have attracted a lot of attention for their applications in optics and optoelectronics. Molybdenum disulfide (MoS2) as one of those important materials, has been widely investigated due to its direct band gap and photoluminescence (PL) in visible range. Owing to the fact that the monolayer MoS2 suffers low light absorption and emission, surface plasmon polaritons (SPPs) are used to enhance both the excitation and emission efficiencies. Here, we demonstrate that the PL of MoS2 sandwiched between 200 nm diameter gold nanoparticle (AuNP) and 150 nm thick gold film is improved by more than 4 times compared with bare MoS2 sample. This study shows that gap plasmons can possess more optical and optoelectronic applications incorporating with many other emerging two dimensional materials.", "author_names": [ "Letian Yu", "Di Liu", "Xiaozhuo Qi", "Xiao Xiong", "Lan-Tian Feng", "Ming Li", "Guo-Ping Guo", "Guangcan Guo", "Xifeng Ren" ], "corpus_id": 125688068, "doc_id": "125688068", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "Gap plasmon enhanced photoluminescence of monolayer MoS 2 in hybrid nanostructure", "venue": "", "year": 2018 }, { "abstract": "Alloying/doping in two dimensional (2D) materials is emerging as an increasingly important strategy due to the wide range bandgap tunability and versatility of these materials. Monolayer 2D transition metal dichalcogenide (TMD) alloy has been investigated both theoretically and experimentally in recent years. Here, we synthesized a bilayer MoS2(1 x)Se2x semiconductor alloy via the chemical vapor deposition technique. The as grown triangular MoS2(1 x)Se2x flakes with size of roughly 10 mm were observed by optical microscope and scanning electron microscope (SEM) The 1.4 1.9 nm thickness of the samples, as measured by AFM, means that bilayer MoS2(1 x)Se2x alloys were grown. The characteristic Raman modes related to Mo S and Mo Se vibrations were observed in the Raman spectrum. Two emission peaks were respectively found, corresponding to the A and B excitons in the photoluminescence (PL) spectrum. XPS measurements confirmed the Se doping of the alloy. The first principles calculation results show a contraction of the band gap value with the increase of Se doping in the MoS2 lattice. Compared with monolayer MoS2(1 x)Se2x alloy, the band bending effect is more obvious, and the bilayer MoS2(1 x)Se2x alloy still shows the direct band gap luminescence characteristic, which has certain guiding significance for the growth of two dimensional materials and for device preparation.", "author_names": [ "Wenwen Yao", "Zhilin Kang", "Jiajun Deng", "Yan Chen", "Qian Song", "Xunlei Ding", "Fang Lu", "Wen-Jie Wang" ], "corpus_id": 229631355, "doc_id": "229631355", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Synthesis of 2D MoS2(1 x)Se2x semiconductor alloy by chemical vapor deposition", "venue": "", "year": 2020 }, { "abstract": "It is important to study the van der Waals interface in emerging vertical heterostructures based on layered two dimensional (2D) materials. Being atomically thin, 2D materials are susceptible to significant strains as well as charge transfer doping across the interfaces. Here we use Raman and photoluminescence (PL) spectroscopy to study the interface between monolayer graphene/MoS2 heterostructures prepared by mechanical exfoliation and layer by layer transfer. By using correlation analysis between the Raman modes of graphene and MoS2 we show that both layers are subjected to compressive strain and charge transfer doping following mechanical exfoliation and thermal annealing. Furthermore, we show that both strain and carrier concentration can be modulated in the heterostructures with additional thermal annealing. Our study highlights the importance of considering both mechanical and electronic coupling when characterizing the interface in van der Waals heterostructures, and demonstrates a method to tune their electromechanical properties.", "author_names": [ "Rahul Rao", "Ahmad E Islam", "Simranjeet Singh", "Rajiv Berry", "Roland Kenji Kawakami", "Benji Maruyama", "Jyoti Katoch" ], "corpus_id": 143422169, "doc_id": "143422169", "n_citations": 15, "n_key_citations": 0, "score": 0, "title": "Spectroscopic evaluation of charge transfer doping and strain in graphene/ MoS2 heterostructures", "venue": "Physical Review B", "year": 2019 } ]
Photovoltaic and Photoactive Materials Properties, Technology and Applications
[ { "abstract": "Preface. Invited Papers. Large Area Smart Glass and Integrated Photovoltaics C.M. Lampert. Photovoltaic Materials, an Overview of Historical Development, Current State of the Art and Future Scope D. Dimova Malinovska. Electronic Characterisation and Modelling of Disordered Semiconductors J.M. Marshall. Measurement Methods for Photoactive Materials and Solar Cells G.S. Popkirov. Structural and Optical Properties of Microcrystalline Silicon for Solar Cell Applications R. Carius. Crystalline Silicon p n Junction Solar Cells Efficiency Limits and Low Cost Fabrication Technology J. Szlufcik. Application of III V Compounds in Solar Cells V.M. Andreev. Micro /Poly Crystalline Silicon Materials for Thin Film Photovoltaic Devices: Deposition Processes and Growth Mechanisms J.K. Rath. Micro /Poly Crystalline Silicon Materials for Thin Film Photovoltaic Devices: Physical Properties J.K. Rath. Micro /Poly Crystalline Silicon Materials for Thin Film Photovoltaic Devices: Application in Solar Cells J.K. Rath. Organic Materials and Devices for Photovoltaic Applications J. M. Nunzi. Photovoltaic Applications M. Palfy. Past, Actual and Future EU Funded Research, Technological Development and Demonstration Actions in the Field of Photovoltaics T.L. D'Estaintot. Contributed Papers. Evaluation of the Gap State Distribution in a Si:H by SCLC Measurements A. Eray, G. Nobile. Creation and Annealing of Light Induced Metastable Defects in a Si1 xCx:H A.O. Dodolbas, O. Oktu. Potential PV Materials Based on InN Polycrystalline Films: Growth, Structural and Optical Properties V.Ya. Malakhov. Light Soaking Effect in a Si:H Based n i p and p i n Solar Cells G. Nobile, M. Morana. Accelerated Ageing Test of Solar Cells and Encapsulations V. Saly, et al. DifferentialSpectral Responsivity of c Si:H Solar Cells M. Sendova Vassileva, et al. Temperature and Compositional Dependence of Raman Scattering and Photoluminescence Emission in CuxGaySe2 Thin Films C. Xue, et al. Enhancement of the Photovoltaic Efficiency of Ge0.2Si0.8/Si Photodiodes M.M. Pociask, et al. Charge Carrier Transport and Photovoltage in Layers Based on Poly(3,3Phthalidylidene 4,4 Biphenylilene) A.R. Tameev, et al. Diagnostics of Large Area Solar Cell Homogeneity by Local Irradiation V. Benda. Determination of Trap Parameters from Photocurrent Decay Measurements: Metal Free Phthalocyanine Films I. Zhivkov, et al. Luminescent Properties of Li2GBP/YYGBP4O7 (LTB) Polycrystals at the Deviation from Stoichiometry B.M. Hunda, et al. Influence of Solution Resistivity and Postanodizing Treatment of PS Films on the Electrical and Optical Properties of Metal/Ps/Si Photodiodes K. Ait Hamouda, et al. Study of Sol Gel Derived Very Thin Films of Mixed Titanium Dioxide and Vanadium Oxide T. Ivanova, et al. Photoelectrochemical Characterization of Some Argyrodite Type Materials Yu. Stasyuk, et al. Optical Absorption in APCVD Metal Oxide Thin Films K.A. Gesheva, et al. Extrinsic Surface Photovoltage Spectroscopy An Alternative Approach to Deep Level Characterisation in Semiconductors K. Germanova, et al. CELLO: An Advanced LBIC Measurement Technique for Solar Cell Local Characterisation J. Carstensen, et al. The Behaviour of PV Module Parameters as a Function of Solar Cell Temperature in Hot Climates P. Vitanov, et al. Application of SiO2: Re Layers for Improvement of the UV Sensitivity of a Si:H Solar Cells M. Sendova Vassileva, et al. Cost Effective Porous Silicon Technology for Solar Cell Industrial", "author_names": [ "Kostadinka A Gesheva", "Doriana Dimova-Malinovska", "J M Marshall", "John M Maud" ], "corpus_id": 98777938, "doc_id": "98777938", "n_citations": 21, "n_key_citations": 2, "score": 1, "title": "Photovoltaic and photoactive materials properties, technology and applications", "venue": "", "year": 2003 }, { "abstract": "Metal organic frameworks (MOFs) and covalent organic frameworks (COFs) are two innovative classes of porous coordination polymers. MOFs are three dimensional materials made up of secondary building blocks comprised of metal ions/clusters and organic ligands whereas COFs are 2D or 3D highly porous organic solids made up by light elements (i.e. H, B, C, N, O) Both MOFs and COFs, being highly conjugated scaffolds, are very promising as photoactive materials for applications in photocatalysis and artificial photosynthesis because of their tunable electronic properties, high surface area, remarkable light and thermal stability, easy and relative low cost synthesis, and structural versatility. These properties make them perfectly suitable for photovoltaic application: throughout this review, we summarize recent advances in the employment of both MOFs and COFs in emerging photovoltaics, namely dye sensitized solar cells (DSSCs) organic photovoltaic (OPV) and perovskite solar cells (PSCs) MOFs are successfully implemented in DSSCs as photoanodic material or solid state sensitizers and in PSCs mainly as hole or electron transporting materials. An innovative paradigm, in which the porous conductive polymer acts as standing alone sensitized photoanode, is exploited too. Conversely, COFs are mostly implemented as photoactive material or as hole transporting material in PSCs.", "author_names": [ "Onur Yildirim", "Matteo Bonomo", "Nadia Barbero", "Cesare Atzori", "Bartolomeo Civalleri", "Francesca Bonino", "Guido Viscardi", "Claudia Barolo" ], "corpus_id": 228997387, "doc_id": "228997387", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Application of Metal Organic Frameworks and Covalent Organic Frameworks as (Photo)Active Material in Hybrid Photovoltaic Technologies", "venue": "", "year": 2020 }, { "abstract": "Polymer based composites are undoubtedly among the most intensively developed and most extensively deployed materials of the last decades [1] Significant progress in the field of polymer based composite materials has resulted in broad deployment in all those fields, where excellent mechanical properties combined with low weight, easiness of manufacturing and low cost are of concern. The number of impressive applications in aviation and automotive industry, civil engineering, medicine, and many others confirms the remarkable potential of composite materials. It should be noted, however, that polymer based composite materials, when doped with rare earths, may additionally offer very attractive optical (and specifically luminescent) features, which may enable exploring completely new fields of applications [2]", "author_names": [ "Ryszard Piramidowicz", "Anna Jusza", "Krzysztof Anders", "Ludwika Lipinska", "Pawel Mergo" ], "corpus_id": 204818277, "doc_id": "204818277", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Rare Earths Activated Polymer Composite Fibers Technology and Characterization", "venue": "2019 Conference on Lasers and Electro Optics Europe European Quantum Electronics Conference (CLEO/Europe EQEC)", "year": 2019 }, { "abstract": "2D layered transition metal dichalcogenides (LTMDs) attracted a great deal of interests because of their attractive electronic, optoelectronic and mechanical properties, versatile chemistry, and large natural abundance. Especially, a single semiconducting LTMD (e.g. WSe 2 WS 2 and MoS 2) layer ~0.5 nm thick) can absorb as much sunlight as 50 nm of Si (or 12 nm of GaAs) and generate currents as high as 4.5mA/cm 2. Therefore, 2D LTMD films hold a significant potential to be used for making ultrathin flexible photovoltaic (PV) cells with 1 3 orders of magnitude higher power densities than the best existing thin film solar cells. In addition, LTMD based PV cells are anticipated to have extra advantages, including (1) excellent chemical stability (i.e. LTMDs are naturally stable 2D crystals) (2) good flexibility; (3) superior transport property (i.e. high quality heterojunctions free of tangling bonds and charge traps can be formed by simply stacking LTMD layers with other 2D materials) and (4) low production cost (i.e. such ultrathin PV cells can be manufactured on low cost flexible substrates by using roll to roll processes) In spite of such optimistic anticipation, highly efficient LTMD based PV cells have not been created yet. In particular, although single and few layer LTMD PV devices (including photodetectors) exhibit strong light matter interaction in terms of a high photocurrent density (J sc) per unit photoactive layer thickness, multilayer LTMD PV devices still exhibit relatively poor total values of J sc extrinsic quantum efficiency (EQE) responsivity, open circuit voltage (V oc) fill factor (FF) and power conversion efficiency (PCE) Such poor performance is because of the fact that people still lack knowledge and technology for tailoring the band structures of LTMD PV cells to optimize critical PV parameters and their trade off against the total thickness of LTMD based photoactive layers as well as the flexibility of PV cells. We are looking for the collaboration in areas of plasmonics, nanophotonics, and photovoltaics to create and study flexible PV cell arrays based on vertically stacked 2D hetero structures consisting of LTMD and graphene few layer films, and plasmonic nanostructures. The implementation of LTMD/graphene hetero structures can improve the PV responsivity over a broader range of wavelengths as well as the collection efficiency of photo generated carriers. Furthermore, it is expected to significantly enhance FF and V oc parameters, which can ultimately result in a high PCE. The PV characterization of such hetero structures will also provide new knowledge for leveraging the unique optoelectronic properties of LTMDs for", "author_names": [ "Xiaogan Liang" ], "corpus_id": 18881812, "doc_id": "18881812", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Nanomanufacturing of Emerging 2 D Materials for Thin Film Photovoltaic Applications", "venue": "", "year": 2013 }, { "abstract": "A nanohybrid photoactive material based on aromatic polyimide (PI) doped with CdSe quantum dots (QDs) has been developed to be used in photovoltaic solar cells. The solar cell is based on a heterostructure of an ITO electrode covered with a layer of Cu phthalocyanine and a layer of a PI QD composite. The photovoltaic properties of the CuPc/PI:CdSe hybrid heterostructure at various QD concentrations in the PI matrix have been studied. Luminescent and transmission electron microscopy analyses have shown that the optimal QD mass concentration is 60% The efficiency of the solar cell based on optimized PI:CdSe structures approaches those for the structures based on conventional MEH PPV organic semiconductor. Moreover, the photovoltaic characteristics of the solar cell remain stable in the air for a long time (120 h) This is expected to considerably simplify the technology of manufacturing these hybrid solar cells. The mechanisms of the excitation and charge transfer from QDs to the organic semiconductors and.", "author_names": [ "Sergey Dayneko", "Alexey R Tameev", "M G Tedoradze", "Igor L Martynov", "Mikhail V Artemyev", "Igor R Nabiev", "Alexander A Chistyakov" ], "corpus_id": 119980399, "doc_id": "119980399", "n_citations": 21, "n_key_citations": 0, "score": 0, "title": "Hybrid heterostructures based on aromatic polyimide and semiconductor CdSe quantum dots for photovoltaic applications", "venue": "", "year": 2013 }, { "abstract": "Abstract This chapter reviews the field of silicon solar cells from a device engineering perspective, encompassing both the crystalline and the thin film silicon technologies. After a brief survey of properties and fabrication methods of the photoactive materials, it illustrates the dopant diffused homojunction solar cells, covering the classic design and advanced high efficiency versions. Next, it describes the Si heterojunction architecture that, combined with the interdigitated back contact concept, has recently boosted the conversion efficiency of silicon solar cells up to 26.7% The chapter then moves to the thin film silicon technology, which relies on amorphous silicon, microcrystalline silicon, and alloys for low cost large area applications of photovoltaics, especially suited for building integration. The chapter ends with an overview of promising new trends that include the tandem solar cells combining silicon with organic inorganic perovskite materials.", "author_names": [ "Lucia V Mercaldo", "Paola Delli Veneri" ], "corpus_id": 210230750, "doc_id": "210230750", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "Silicon solar cells: materials, technologies, architectures", "venue": "", "year": 2020 }, { "abstract": "Recent years observed significant development of the technology of luminescent materials for application in light sources (both coherent and incoherent) operating in the visible part of the spectrum. Undoubtedly, the most spectacular results have been obtained in the field of wide bandgap semiconductor lasers, considerable progress has been also observed in solid state lasers based on crystalline or glassy hosts with frequency conversion. Despite this results, however, there is still a room for development of entirely new types of active media, like e.g. composite polymers doped with optically active nanocrystals. Such a materials may potentially benefit from excellent luminescent properties of RE3+ doped nanocrystals and good optical and thermo mechanical properties of polymer matrices enabling drawing the optical fibers based on these composites. In such a design nanocrystalline environment provides the protection of active ions from interactions with highly energetic phonons of polymer matrix and simultaneously, polymer host encapsulating nanoparticles shields these from interactions with OH groups and other contaminations.", "author_names": [ "Ryszard Piramidowicz", "Anna Jusza", "Krzysztof Anders", "Ludwika Lipinska", "Malgorzata Gil", "Pawel Mergo" ], "corpus_id": 35168496, "doc_id": "35168496", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Polymer based composite active fiber doped with Tm3+ and Yb3+ Technology and luminescent properties in VIS spectral range", "venue": "2017 Conference on Lasers and Electro Optics Europe European Quantum Electronics Conference (CLEO/Europe EQEC)", "year": 2017 }, { "abstract": "It is crucial to control the lowest unoccupied molecular orbital (LUMO) of electron accepting materials for producing efficient charge transfer in bulk heterojunction (BHJ) solar cells. Due to their high LUMO level, soluble bis adducts of C60 are of high interest for improving the Voc in BHJ solar cells. In this work, we have developed a novel bis 4 propylpentyl[6,6]methanofullerene bis adduct, NCBA, using a alkyl solubilizing group. The optoelectronic, electrochemical and photovoltaic properties of this bis product are investigated. NCBA is successfully applied as the electron acceptor with poly(3 hexylthiophene) (P3HT) in a BHJ solar cell showing a high Voc of 0.73 V.", "author_names": [ "Derya Baran", "Sule Erten-Ela", "and Roland M Kratzer", "Tayebeh Ameri", "Christoph J Brabec", "Andreas Hirsch" ], "corpus_id": 93508698, "doc_id": "93508698", "n_citations": 16, "n_key_citations": 0, "score": 0, "title": "Facile synthesis and photovoltaic applications of a new alkylated bismethano fullerene as electron acceptor for high open circuit voltage solar cells", "venue": "", "year": 2015 }, { "abstract": "Various additives are added to plastics to either improve processability, change product properties or protect them against thermal, UV or light influences. In the case of a polymer nanocomposite, the additives have at least one dimension of less than 100 nm and can be found in the form of platelets, fibres or particles. They primarily serve to improve tensile strength, thermoformability, flame retardancy, optical and electrical properties, and the barrier properties of the plastic into which they are incorporated. Nanoadditives include layered silicates such as montmorillonite, carbon based additives (e.g. carbon black, carbon nanotubes, graphene) nanoscale metal oxides (e.g. SiO2, TiO2, Al2O3) metals (e.g. nano silver, gold, copper) or organic additives such as nanocellulose or lignin nanoparticles. In addition to reductions in resources and weight, nanoadditives also have the potential to replace harmful substances such as environmentally problematic halogenated flame retardants. Across the world, polymer nanocomposites are already being used in packaging materials, the automotive industry and transportation, aerospace and energy technology, as well as in sporting goods. However, company surveys in the Austrian automotive and electronics industries have shown that nanoadditives currently only play a marginal role in these sectors. The main reasons are problems with dispersibility, large scale production, high costs, and uncertainty around their impact on humans and the environment. There are still considerable gaps in knowledge. More research needs to be conducted with regard to release, exposure and environmental behaviour.", "author_names": [ "Sabine Gressler", "Stefanie Prenner", "and Thomas Kurz", "Susanne Resch", "Anna Pavlicek", "Florian Part" ], "corpus_id": 216386365, "doc_id": "216386365", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Polymer Nanocomposites Additives, properties, applications, environmental aspects (NanoTrust Dossier No. 052en February 2020", "venue": "", "year": 2020 }, { "abstract": "Layered hybrid perovskites comprising adamantyl spacer (A) cations based on the A2FAn 1PbnI3n+1 (n 1 3, FA formamidinium) compositions have recently been shown to act as promising materials for photovoltaic applications. While the corresponding perovskite solar cells show performances and stabilities that are superior in comparison to other layered two dimensional formamidinium based perovskite solar cells, the underlying reasons for their behaviour are not well understood. We provide a comprehensive investigation of the structural and photophysical properties of this unique class of materials, which is complemented by theoretical analysis via molecular dynamics simulations and density functional theory calculations. We demonstrate the formation of well defined structures of lower compositional representatives based on n 1 2 formulations with (1 adamantyl)methanammonium spacer moieties, whereas higher compositional representatives (n 2) are shown to consist of mixtures of low dimensional phases evidenced by grazing incidence X ray scattering. Furthermore, we reveal high photoconductivities of the corresponding hybrid perovskite materials, which is accompanied by long charge carrier lifetimes. This study thereby unravels features that are relevant for the performance of FA based low dimensional hybrid perovskites.", "author_names": [ "Farzaneh Jahanbakhshi", "Marko Mladenovic", "Ekaterina Kneschaurek", "Lena Merten", "Maria C Gelvez-Rueda", "Paramvir Ahlawat", "Yang Li", "Algirdas Ducinskas", "Alexander Hinderhofer", "M Ibrahim Dar", "Wolfgang R Tress", "Brian Carlsen", "Amita Ummadisingu", "Shaik Mohammed Zakeeruddin", "Anders Hagfeldt", "Frank Schreiber", "Ferdinand C Grozema", "Ursula Rothlisberger", "Jovana V Milic", "Michael Graetzel" ], "corpus_id": 225307308, "doc_id": "225307308", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "Unravelling the structural complexity and photophysical properties of adamantyl based layered hybrid perovskites", "venue": "", "year": 2020 } ]
Optical Waves in Layered Media Yeh, Pochi
[ { "abstract": "Chapter 1. The Electromagnetic Field. Chapter 2. Interaction of Electromagnetic Radiation with Matter. Chapter 3. Reflection and Refraction of Plane Waves. Chapter 4. Optics of A Single Homogeneous and Isotropic Layer. Chapter 5. Matrix Formulation for Isotropic Layered Media. Chapter 6. Optics of Periodic Layered Media. Chapter 7. Some Applications of Isotropic Layered Media. Chapter 8. Inhomogeneous Layers. Chapter 9. Optics of Anisotropic Layered Media. Chapter 10. Some Applications of Anisotropic Layered Media. Chapter 11. Guided Waves in Layered Media. Chapter 12. Optics of Semiconductor Quantum Wells and Superlattice Structures. Appendix: Zeros of Mode Dispersion RElation. Author Index. Subject Index.", "author_names": [ "Pochi Albert Yeh", "Michael T Hendry" ], "corpus_id": 123580919, "doc_id": "123580919", "n_citations": 2406, "n_key_citations": 144, "score": 1, "title": "Optical Waves in Layered Media", "venue": "", "year": 1988 }, { "abstract": "The Wiley Classics Library consists of selected books that have become recognized classics in their respective fields. With these new unabridged and inexpensive editions, Wiley hopes to extend the life of these important works by making them available to future generations of mathematicians and scientists.", "author_names": [ "Amnon Yariv", "Pochi Albert Yeh" ], "corpus_id": 117275883, "doc_id": "117275883", "n_citations": 1008, "n_key_citations": 66, "score": 0, "title": "Optical Waves in Crystals: Propagation and Control of Laser Radiation", "venue": "", "year": 1983 }, { "abstract": "A generalized analysis of wave propagation in periodic layered media is applied to the special case of optical surface waves. These waves, confined to the interface between a periodic layered medium and a homogeneous medium, are formally analogous to electronic surface states in crystals. Single mode surface wave propagation along the surface of a GaAs AlGaAs multilayer stack (grown by molecular beam epitaxy) has been observed experimentally.", "author_names": [ "Pochi Albert Yeh", "Amnon Yariv", "Alfred Yi Summit Cho" ], "corpus_id": 121617402, "doc_id": "121617402", "n_citations": 346, "n_key_citations": 5, "score": 0, "title": "Optical surface waves in periodic layered media", "venue": "", "year": 1978 }, { "abstract": "", "author_names": [ "Amnon Yariv", "P Yeh" ], "corpus_id": 136554739, "doc_id": "136554739", "n_citations": 807, "n_key_citations": 65, "score": 0, "title": "Optical Waves in Crystals", "venue": "", "year": 1984 }, { "abstract": "The first part of this work describes theoretical and experimental studies of Stark induced three wave mixing in gaseous NH2D. Application of a dc electric field to a gaseous system destroys the basic inversion symmetry and allows two photon mixing processes to occur. A theoretical derivation of this effect under conditions of resonantly enhanced non linearities is given for a three level system. Calculations are presented for mixing of a CO2 laser with a 4 GHz microwaves in the molecule NH2D, producing single lower sideband radiation. Experimental observation of resonantly enhanced, dc induced, three wave mixing in gaseous NH2D is presented. The dependence of this effect on gas pressure, microwave frequency, applied dc field, and microwave power are presented and compared with theoretical predictions. The experiment was done at Hughes Research Laboratories by Abrams and his coworkers. The second part of this work describes the propagation of electromagnetic waves in periodic layered media. The propagation of electromagnetic radiation in periodically stratified media is considered. Media of finite, semi finite and infinite extent are treated. A diagonalization of the unit cell translation operator is used to obtain exact solutions for the Bloch waves, the dispersion relations, and the band structure of the medium. The theory of electromagnetic Bloch waves in periodic stratified media is then applied to the problems of birefringence, and group velocity in these media. The relevance of periodic media to phase matching in nonlinear mixing experiments and to laser action in the x ray region is discussed. New types of guided waves such as Bragg guided waves and optical surface waves are theoretically predicted and experimentally observed.", "author_names": [ "Pochi Albert Yeh" ], "corpus_id": 125114012, "doc_id": "125114012", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Stark Induced Optical Nonlinearity in Gaseous NH_2D and Optical Waves in Layered Media", "venue": "", "year": 1978 }, { "abstract": "1. Electromagnetic Fields and Waves 2. Rays and Optical Beams 3. Dielectric Waveguides and Optical Fibers 4. Optical Resonators 5. Interaction of Radiation and Atomic Systems 6. Theory of Laser Oscillation and Some Specific Laser Systems 7. Chromatic Dispersion and Polarization Mode Dispersion in Fibers 8. Nonlinear Optics 9. Electro Optics and AO modulators 10. Noise in Optical Detection and Generation 11. Detection of Optical Radiation 12. Periodic Structures 13. Waveguide Coupling 14. Nonlinear Optical Effects in Fibers 15. Semiconductor Lasers 16. Advanced Semiconductor Lasers 17. Optical Amplifiers 18. Classical Treatment of Quantum Optics, Quantum Noise, and Squeezing A. WAVE EQUATION IN CYLINDRICAL COORDINATES AND BESSEL FUNCTIONS B. EXACT SOLUTIONS OF THE STEP INDEX CIRCULAR WAVEGUIDE C. KRAMERS KRONIG RELATIONS D. TRANSFORMATION OF A COHERENT ELECTROMAGNETIC FIELD BY A THIN LENS E. FERMI LEVEL AND ITS TEMPERATURE DEPENDENCE F. ELECTRO OPTIC EFFECT IN CUBIC 43M CRYSTALS G. CONVERSION FOR POWER UNITS AND ATTENUATION UNITS", "author_names": [ "Amnon Yariv", "Pochi Albert Yeh" ], "corpus_id": 107100857, "doc_id": "107100857", "n_citations": 906, "n_key_citations": 70, "score": 0, "title": "Photonics optical electronics in modern communications", "venue": "", "year": 2006 }, { "abstract": "The propagation of electromagnetic radiation in birefringent layered media is considered. A general formulation of the plane wave propagation in an arbitrarily birefringent layered medium is presented. The concepts of dynamical matrix and propagation matrix are introduced. A 4 x 4 transfer matrix method is used to relate the field amplitudes in different layers. Our general theory is then applied to the special case of periodic birefringent layered media, especially the Solc birefringent layered media I. Solc Cesk. Casopis Fys.3, 366 1953);Cesk. Casopis Fys.10, 16 1960) The unit cell translation operator is derived. The band structures as well as the Bloch waves are obtained by diagonalizing the translation operator. Coupled mode theory is extended to the case of birefringent periodic perturbation to explain the exchange Bragg scattering. A general mode dispersion relation for guided waves is also obtained in terms of the transfer matrix elements.", "author_names": [ "Pochi Albert Yeh" ], "corpus_id": 122299703, "doc_id": "122299703", "n_citations": 526, "n_key_citations": 14, "score": 0, "title": "Electromagnetic propagation in birefringent layered media", "venue": "", "year": 1979 }, { "abstract": "The propagation of electromagnetic radiation in periodically stratified media is considered. Media of finite, semi infinite, and infinite extent are treated. A diagonalization of the unit cell translation operator is used to obtain exact solutions for the Bloch waves, the dispersion relations, and the band structure of the medium. Some new phenomena with applications to integrated optics and laser technology are presented.", "author_names": [ "Pochi Albert Yeh", "Amnon Yariv", "C S Hong" ], "corpus_id": 11155038, "doc_id": "11155038", "n_citations": 1306, "n_key_citations": 31, "score": 0, "title": "Electromagnetic propagation in periodic stratified media. I. General theory", "venue": "", "year": 1977 }, { "abstract": "Optical surface waves propagating along the surface of a multilayer stack have been observed. The multilayer stack is grown by liquid phase epitaxy. The transverse intensity distribution measured is found to agree with our theoretical calculation.", "author_names": [ "W W Ng", "Pochi Albert Yeh", "Pin Chen", "Amnon Yariv" ], "corpus_id": 121252204, "doc_id": "121252204", "n_citations": 39, "n_key_citations": 0, "score": 0, "title": "Optical surface waves in periodic layered medium grown by liquid phase epitaxy", "venue": "", "year": 1978 }, { "abstract": "We analyze the form birefringence dispersion of periodic dielectric layered media. Using Bloch wave analysis, we investigate the shape of the normal surface and its dependence on wavelength. Our higher order uniaxial approximation gives an explicit expression for the additional dispersion of the form birefringence that is due to the finite layer thickness.", "author_names": [ "Claire Gu", "P Yeh" ], "corpus_id": 2366982, "doc_id": "2366982", "n_citations": 51, "n_key_citations": 2, "score": 0, "title": "Form birefringence dispersion in periodic layered media.", "venue": "Optics letters", "year": 1996 } ]
Ion Diffusion in Chemically Amplified Resists
[ { "abstract": "The acid catalyzed deprotection of glassy polymer resins is an important process in semiconductor lithography. Studies have shown that the reaction kinetics in these materials is controlled by slow.", "author_names": [ "Christopher M Bottoms", "Tanguy Terlier", "Gila E Stein", "Manolis Doxastakis" ], "corpus_id": 233914567, "doc_id": "233914567", "n_citations": 1, "n_key_citations": 0, "score": 1, "title": "Ion Diffusion in Chemically Amplified Resists", "venue": "", "year": 2021 }, { "abstract": "Catalyst diffusion is a critical component of the pattern formation process in chemically amplified resists (CARs) In this study, we used a concerted experimental and modeling effort to examine diffusion of an inert catalyst analogue (sodium triflate) in a hydroxystyrene based ESCAP terpolymer resin. First, atomistic simulations at high temperatures reveal an order of magnitude enhancement of the Fickian diffusivity in the protected reactant versus the fully deprotected product, while time of flight secondary ion mass spectrometry (TOF SIMS) measurements at temperatures near the glass transition show no appreciable differences. The data from simulations and experiments conform to a unified curve, enabling estimates of the Fickian diffusivity at relevant post exposure bake (PEB) temperatures through extrapolation. Second, acid catalyzed reaction kinetics were measured with Fourier transform infrared spectroscopy and compared with reaction diffusion models based on the estimated Fickian diffusivities. The initial kinetics in experiments is orders of magnitude faster than predictions, demonstrating that models of catalyst transport should capture effects beyond Fickian diffusion. Finally, the simulations examined ion ion and polymer ion interactions at the atomistic level, features that are difficult to probe by experimental investigations. These data show that ion pair clustering in the protected and deprotected materials is similar as temperature is reduced, and ion pair dynamics in both materials is dominated by interactions with hydroxystyrene repeat units. These trends explain the experimental observations that ion diffusion is similar in the protected and deprotected polymers.", "author_names": [ "Christopher M Bottoms", "Tanguy Terlier", "Gila E Stein", "Manolis Doxastakis" ], "corpus_id": 232282390, "doc_id": "232282390", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Probing ion diffusion in chemically amplified resists through experiments and atomistic simulations", "venue": "Advanced Lithography", "year": 2021 }, { "abstract": "Acid diffusion plays an important role in chemically amplified resists. Acids are generated from acid generators upon deep ultraviolet light (DUV) irradiation. Physically, what the DUV illumination creates is an electrolyte solution in a nonequilibrium state. In addition to the force due to the concentration gradient, a charged particle undergoing diffusion motion experiences a dielectric friction force from the medium and the Coulomb force created by the surrounding charged particles. The Coulomb force between charged particles can be very important in a medium with a low dielectric constant. In such a case, the diffusivity of the counter ion can have a great impact on the \"effective diffusivity\" of the acid. The diffusion of acid and its counter ion are correlated strongly. Besides the Coulomb interaction, the acid base chemical equilibrium also affects acid diffusion. In this article, a simple model of acid diffusion including the effects of acid base equilibrium and Coulomb interaction is proposed. The theory proposed here shows that the \"effective\" acid diffusivity is mainly determined by the diffusivity of its counter ion, which can account for the acid generator size effect on acid diffusion observed in experiments.Acid diffusion plays an important role in chemically amplified resists. Acids are generated from acid generators upon deep ultraviolet light (DUV) irradiation. Physically, what the DUV illumination creates is an electrolyte solution in a nonequilibrium state. In addition to the force due to the concentration gradient, a charged particle undergoing diffusion motion experiences a dielectric friction force from the medium and the Coulomb force created by the surrounding charged particles. The Coulomb force between charged particles can be very important in a medium with a low dielectric constant. In such a case, the diffusivity of the counter ion can have a great impact on the \"effective diffusivity\" of the acid. The diffusion of acid and its counter ion are correlated strongly. Besides the Coulomb interaction, the acid base chemical equilibrium also affects acid diffusion. In this article, a simple model of acid diffusion including the effects of acid base equilibrium and Coulomb interaction is proposed. Th.", "author_names": [ "Xuelong Shi" ], "corpus_id": 95336938, "doc_id": "95336938", "n_citations": 19, "n_key_citations": 1, "score": 0, "title": "Effect of Coulomb interaction and pKa on acid diffusion in chemically amplified resists", "venue": "", "year": 1999 }, { "abstract": "In chemically amplified resists, acids are generated upon DUV illumination. What DUV illumination really creates is an electrolyte solution with non uniform acid distribution. Since H+ carries a charge, its diffusion behavior is quite different from that of a neutral particle. The long range Coulomb interaction between charged particles can be very important in a medium with low dielectric constant such as resist. The diffusion of acid and its counter ion are strongly correlated, leading to a decrease of the effective diffussivity of acid. Detailed theoretical analysis shows that the diffusion coefficient that determines the critical dimensional change caused by acid diffusion is the effective acid diffusion coefficient Da equals 2Dx, which is determined by the diffusivity of the counter ion. The diffusion coefficient that is related to chemical amplification is the acid intrinsic diffusion coefficient DH+ The charge carried by H+ also makes its evaporation difficult due to large energy barrier created by electric potential difference between two phases and the 'image force' when H+ crosses over the resist/air rate depends on the acid diffusivity, acid strength and acid equilibrium vapor pressure at the post exposure bake temperature from the kinetic theory.", "author_names": [ "Xuelong Santa Clara Shi" ], "corpus_id": 95648443, "doc_id": "95648443", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Role of acid charge in chemically amplified resists", "venue": "Advanced Lithography", "year": 1999 }, { "abstract": "The photoacid bulkiness effect on dissolution characteristics was investigated in a three component chemically amplified deep ultraviolet positive resist system. The resist consisted of a tert butoxycarbonyl (t BOC) protected polystyrene, benzenesulfonic acid derivative photoacid generator and an additional dissolution inhibitor (t BOC protected bisphenol A) For the benzenesulfonic acid photoacid generator, four types of substituents; 4 fluoro, 4 chloro, 2,4 dimethyl, and 4 tert butyl, were studied. Dissolution contrast (Rmax/Rmin) increased and the pattern profiles became rectangular with increasing substituent bulkiness. The reason for this is that bulky substituents such as 2,4 dimethyl and 4 tert butyl increased resist hydrophobic strength in both bulk and surface regions, thereby strengthening the surface inhibition effect. Next, in order to investigate the bulkiness effect on acid mobility, diffusion length during postexposure bake time was determined by means of the ion conductivity method. Diffus.", "author_names": [ "Toshiro Itani", "Hiroshi Yoshino", "Masashi Fujimoto", "Kunihiko Kasama" ], "corpus_id": 95101701, "doc_id": "95101701", "n_citations": 23, "n_key_citations": 0, "score": 0, "title": "Photoacid bulkiness effect on dissolution kinetics in chemically amplified deep ultraviolet resists", "venue": "", "year": 1995 }, { "abstract": "We conducted line edge roughness (LER) measurements on resists with various sensitivities, exposed with a 75 keV 1:1 masked ion beam lithography tool. The critical dimension measurement data were treated with an algorithm for separation of mask induced roughness from random LER. The scaling analysis approach provided the correlation length and the roughness exponent. The results indicate that for exposure doses <2.5 uC/cm2 LER is not governed by shot noise but by the resist material properties (sensitivity, molecular weight, acid diffusion length) and development conditions.", "author_names": [ "Stefan Eder-Kapl", "Hans Loeschner", "M Zeininger", "Wolfgang Fallmann", "Oliver Kirch", "George P Patsis", "Vassilios Constantoudis", "Evangelos Gogolides" ], "corpus_id": 136714592, "doc_id": "136714592", "n_citations": 7, "n_key_citations": 0, "score": 0, "title": "Line edge roughness investigation on chemically amplified resist materials with masked Helium ion beam lithography", "venue": "", "year": 2004 }, { "abstract": "A series of single component chemically amplified molecular resists were made using an onium salt cation core of tris(4 (tert butoxycarbonyloxy) 3,5 dimethylphenyl)sulfonium (TAS) with five different acid anions: chloride (Cl) hexafluoroantimonate (SbF\"6) triflate (Tf) nonaflate (Nf) and tosylate (Ts) The counter ion had a large effect on both the performance and physical characteristics of the resists. TAS SbF\"6 and TAS Tf imaged as positive tone resists with good LER, but suffered from acid diffusion problems which limited resolution. TAS Ts and TAS Cl had high water solubility that prevented their use as positive tone resists. TAS Nf had poor wetting and adhesion that prevented it from being spin coated into films. Using onium salts as single molecule resists places great restriction on the choice of anion used because of the large effect it has on the properties of the resist. Using extreme ultraviolet lithography, TAS SbF\"6, the best performing derivative, was able to resolve 50nm 1:1 line/space patterns with LER [email protected] of 5.2nm.", "author_names": [ "Richard A Lawson", "Cheng-Tsung Lee", "Laren M Tolbert", "Clifford L Henderson" ], "corpus_id": 97611812, "doc_id": "97611812", "n_citations": 8, "n_key_citations": 0, "score": 0, "title": "Effect of acid anion on the behavior of single component molecular resists incorporating ionic photoacid generators", "venue": "", "year": 2009 }, { "abstract": "Abstract Formulating high sensitivity and resolution in Extreme Ultraviolet (EUV) resists is a serious concern regarding the adaptation of EUV lithography. The incorporation of a high optical density Sn compound in MAPDST co ADSM (4 (methacryloyloxy)phenyl) dimethylsulfoniumtrifluoromethane sulfonate co acetyldibutylstannyl methacrylate, a non CAR photoresist, exhibits lithography resolution for sub 15 nm node when patterned under e beam and Helium ion irradiation. The synthesized resists were irradiated at 103.5 eV ~92 eV) using monochromatic synchrotron radiation. After EUV irradiation and oxidation, the resists were investigated using XPS, NEXAFS and by theoretical calculations (FEFF9 modeling program) It was observed significant changes in the labile CF3SO3 moiety, but interestingly its total dissociation or desorption was not observed after long exposure times. Bonds rearrangements of formation of SnO2 and new S C/C F functionalities after irradiation were detected. Those complex processes suggested the existence of important sinks for the high photon energy absorbed, which in turn increased the lithography resolution. The present results highlight the importance of the incorporation of a heavy metal covalently linked to the main polymer structure. The enhanced resolution observed in the MAPDST co ADSM resist formulation using standard processing conditions, establishes its potential candidature as a EUVL resist for rapid prototyping of semiconductor devices.", "author_names": [ "Guilherme Kretzmann Belmonte", "Suelen Weimer Cendron", "Pulikanti Guruprasad Reddy", "Cleverson Alves da Silva Moura", "Mohamad Ghulam Moinuddin", "Jerome Peter", "Satinder K Sharma", "Gabriela Albara Lando", "Marcelo Puiatti", "Kenneth E Gonsalves", "Daniel Eduardo Weibel" ], "corpus_id": 219065180, "doc_id": "219065180", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Mechanistic insights of Sn based non chemically amplified resists under EUV irradiation", "venue": "", "year": 2020 }, { "abstract": "Helium (He) ion lithography is being considered as one of the most promising and emerging technology for the manufacturing of next generation integrated circuits (ICs) at nanolevel. However, He ion active resists are rarely reported. In this context, we are introducing a new non chemically amplified hybrid resist (n CAR) MAPDSA MAPDST, for high resolution He ion beam lithography (HBL) applications. In the resist architecture, 2.15 antimony is incorporated as heavy metal in the form of antimonate. This newly developed resists has successfully used for patterning 20 nm negative tone features at a dose of 60 mC/cm2. The resist offered very low line edge roughness (1.27+ 0.31 nm) for 20 nm line features. To our knowledge, this is the first He ion active hybrid resist for nanopatterning. The contrast (g) and sensitivity (E0) of this resist were calculated from the contrast curve as 0.73 and 7.2 mC/cm2, respectively.", "author_names": [ "Pulikanti Guruprasad Reddy", "Neha Thakur", "Chien-Lin Lee", "Sheng-Wei Chien", "Chullikkattil P Pradeep", "Subrata Kumar Ghosh", "Kuen-Yu Tsai", "Kenneth E Gonsalves" ], "corpus_id": 104118431, "doc_id": "104118431", "n_citations": 9, "n_key_citations": 0, "score": 0, "title": "Heavy metal incorporated helium ion active hybrid non chemically amplified resists: Nano patterning with low line edge roughness", "venue": "", "year": 2017 }, { "abstract": "Chemically amplified resists with an acid generator, the anion of which is bound to the polymer through a covalent bond (anion bound resists) are promising materials for the 16 nm node and beyond. However, their reaction mechanism is unknown. In this study, we propose a proton diffusion model for anion bound resists. To examine the proton diffusion model, we carried out resist patterning experiments and a simulation. The calculated latent images corresponded well to the measured line width and estimated chemical gradient. This result suggests that protons diffuse under the electric field produced by anions and induce acid catalytic reactions in anion bound resists.", "author_names": [ "Takahiro Kozawa", "Julius Joseph Santillan", "Toshiro Itani" ], "corpus_id": 95040728, "doc_id": "95040728", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Modeling and Simulation of Acid Diffusion in Chemically Amplified Resists with Polymer Bound Acid Generator", "venue": "", "year": 2012 } ]
A TSR visual servoing system based on a novel dynamic template matching method
[ { "abstract": "The so called Tethered Space Robot (TSR) is a novel active space debris removal system. To solve its problem of non cooperative target recognition during short distance rendezvous events, this paper presents a framework for a real time visual servoing system using non calibrated monocular CMOS (Complementary Metal Oxide Semiconductor) When a small template is used for matching with a large scene, it always leads to mismatches, so a novel template matching algorithm to solve the problem is presented. Firstly, the novel matching algorithm uses a hollow annulus structure according to a FAST (Features from Accelerated Segment) algorithm and makes the method be rotation invariant. Furthermore, the accumulative deviation can be decreased by the hollow structure. The matching function is composed of grey and gradient differences between template and object image, which help it reduce the effects of illumination and noises. Then, a dynamic template update strategy is designed to avoid tracking failures brought about by wrong matching or occlusion. Finally, the system synthesizes the least square integrated predictor, realizing tracking online in complex circumstances. The results of ground experiments show that the proposed algorithm can decrease the need for sophisticated computation and improves matching accuracy.", "author_names": [ "Jia Cai", "Panfeng Huang", "Bin Zhang", "Dongke Wang" ], "corpus_id": 8950288, "doc_id": "8950288", "n_citations": 17, "n_key_citations": 0, "score": 1, "title": "A TSR Visual Servoing System Based on a Novel Dynamic Template Matching Method", "venue": "Sensors", "year": 2015 }, { "abstract": "This paper presents a delta robotic visual servoing tracking method using zero mean normalized cross correlation (ZNCC) based grayscale template matching hardware core on field programmable gate arrays (FPGAs) The concurrent FPGA based ZNCC hardware core with cascading multiplication accumulate (MAC) circuits is designed, which can largely reduce FPGA hardware resource consumptions. A compact optical imaging system with a front 45deg slant mirror and an optical filter film are proposed, which can efficiently filter out the background cluttered artifacts. The trajectory visual servoing tracking and dynamic tracking experiments based on our built up delta robotic visual tracking platform are implemented. The experimental results indicate that the presented FPGA based embedded robotic visual tracking method can efficiently improve an object trajectory tracking performance.", "author_names": [ "Zhong Chen", "Shuai Li", "Ning Zhang", "Yu-xiang Hao", "Xianmin Zhang" ], "corpus_id": 198145827, "doc_id": "198145827", "n_citations": 6, "n_key_citations": 0, "score": 0, "title": "Eye to Hand Robotic Visual Tracking Based on Template Matching on FPGAs", "venue": "IEEE Access", "year": 2019 }, { "abstract": "The actuation and control of mini scale swimming robots have exhibit promising potential in limited and constrained environments, such as biomedical, micro assembly and microfluidic applications. However, closed loop control is still a challenging for the precision and repeatability in those applications. In this paper, a new type of soft micro swimming robot based on magnetoelastic composite material is designed, and the swimmer can move freely on the water surface controlled by a rotating magnetic field with visual servoing. The visual feedback control strategy is proposed based on Mean Shift Algorithm and Fast Template Matching. The algorithm includes three parts: prediction, template matching, target positioning and template updating. To understand the characteristics of the swimmer, the relationship between swimming speed and magnetic field strength and frequency is verified by the algorithm in experiments. Furthermore, the proposed path following method with visual servoing applied in this control system. The experimental results show that the algorithm runs fast, has high accuracy and good real time performance, and can provide great potential in mini scale robot applications.", "author_names": [ "Hongbiao Xiang", "Tilei Zhang", "Mengwei Li Campbell", "Shoujun Wang", "Xiuping Yang" ], "corpus_id": 210888387, "doc_id": "210888387", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Visual Servoing of Magnetic Swimming Robot Based on Mean Shift and Fast Template Matching Algorithm", "venue": "2019 IEEE International Conference on Robotics and Biomimetics (ROBIO)", "year": 2019 }, { "abstract": "A efficient non contact miniature circuit breaker surface detecting system was presented based on the principle of the machine imaging technology. This visual detection system is aimed at the automatic detection of the installation direction of the test button (T button) of miniature circuit breaker. By analyzing the characteristics of the T button and the detection requirements, the appropriate camera, light mode and lens were determined, and then the proper images were obtained for further processing. To solve the belt seriously jitter, this paper studies the RGB color image space of miniature circuit breaker and component labeling algorithm, and then introduces an algorithm of location of T button. Finally, character template matching was utilized to detect the installation direction of the T button. This system can greatly optimizing the efficiency, and is very suitable for the rapid detection of a large number of miniature circuit breaker. The test results show that the accuracy of detection by this method can reach over 99% and the false detection rate is lower than 1% which meets the practical production requirement.", "author_names": [ "He Ping Chen" ], "corpus_id": 201822680, "doc_id": "201822680", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "A Novel Miniature Circuit Breaker Surface Detecting System Based on Machine Vision Technology", "venue": "", "year": 2019 }, { "abstract": "This paper proposes a novel nonlinear geometric hierarchical dynamic visual servoing approach to drive a quadrotor to the desired pose defined by a previously captured image of a planar target. Different from existing works, the key novelty is to extend the position based nonlinear hierarchical control to image based nonlinear hierarchical control. More specifically, by seamlessly integrating the nonlinear hierarchical control with the geometric control, and taking full advantage of the cascade property of the system, the proposed visual servoing strategy does not require the thrust force or its derivative to be measurable when compared with the existing backstepping methods, which brings much convenience for practical applications. For the attitude loop, the axis angle rotation representation is adopted to design a tracking control law on the vector space \\mathfrak {so}(3) In the outer loop, perspective image moments in the virtual image plane are employed as image feedback to construct the outer loop image based visual servoing controller with geometric control and backstepping techniques. Based on Lyapunov techniques and the theory of cascade systems, it is rigorously proven that the proposed image based controller achieves asymptotic stability. Comparative experiments are conducted to show that the proposed approach has advantages of better transient performance, better steady state performance, and stronger robustness.", "author_names": [ "Xuetao Zhang", "Yongchun Fang", "Xuebo Zhang", "Jingqi Jiang", "" ], "corpus_id": 182804521, "doc_id": "182804521", "n_citations": 14, "n_key_citations": 2, "score": 0, "title": "A Novel Geometric Hierarchical Approach for Dynamic Visual Servoing of Quadrotors", "venue": "IEEE Transactions on Industrial Electronics", "year": 2020 }, { "abstract": "A visual servoing scheme consists of a closed loop control approach that uses visual information feedback to control the motion of a robotic system. Probably the most popular visual servoing method is image based visual servoing (IBVS) This kind of method uses geometric visual features extracted from the image to design the control law. However, extracting, matching, and tracking geometric visual features over time significantly limits the versatility of visual servoing controllers in various industrial and medical applications, in particular for \"low structured\" medical images, e.g. ultrasounds and optical coherence tomography modalities. To overcome the limits of conventional IBVS, one can consider novel visual servoing paradigms known as \"direct\" or \"featureless\" approaches. This paper deals with the development of a new generation of direct visual servoing methods in which the signal control inputs are the coefficients of a multiscale image representation. In particular, we consider the use of multiscale image representations that are based on discrete wavelet and shearlet transforms. Up to now, one of the main obstacles in the investigation of multiscale image representations for visual servoing schemes was the issue of obtaining an analytical formulation of the interaction matrix that links the variation of wavelet and shearlet coefficients to the spatial velocity of the camera and the robot. In this paper, we derive four direct visual servoing controllers: two that are based on subsampled respectively non subsampled wavelet coefficients and two that are based on the coefficients of subsampled respectively non subsampled discrete shearlet transforms. All proposed controllers were tested in both simulation and experimental scenarios (using a six degree of freedom Cartesian robot in an eye in hand configuration) The objective of this paper is to provide an analysis of the respective strengths and weaknesses of wavelet and shearlet based visual servoing controllers.", "author_names": [ "Lesley-Ann Duflot", "Rafael Reisenhofer", "Brahim Tamadazte", "Nicolas Andreff", "Alexandre Krupa" ], "corpus_id": 85458501, "doc_id": "85458501", "n_citations": 13, "n_key_citations": 0, "score": 0, "title": "Wavelet and shearlet based image representations for visual servoing", "venue": "Int. J. Robotics Res.", "year": 2019 }, { "abstract": "The authors have developed a bed type non invasive ultrasound theragnostic system (NIUTS) that compensates for movement by tracking and following the area to be treated by stereo ultrasound imaging while irradiating high intensity focused ultrasound (HIFU) onto the affected area. In this paper, we propose a novel robust template matching method to track and follow body targets, which include tumors and stones for the NIUTS. The proposed novel robust template matching method could be applied to a motion tracking of the real human kidney based on the ultrasound images, which is the first successful report as far as I know. This robust visual servoing method could be a great tool to treat the tumors and stones precisely and safely.", "author_names": [ "Norihiro Koizumi", "Takakazu Funamoto", "Joonho Seo", "Dongjun Lee", "Hiroyuki Tsukihara", "Akira Nomiya", "Takashi Azuma", "Kiyoshi Yoshinaka", "Naohiko Sugita", "Yukio Homma", "Yoichiro Matsumoto", "Mamoru Mitsuishi" ], "corpus_id": 7058494, "doc_id": "7058494", "n_citations": 7, "n_key_citations": 2, "score": 0, "title": "A novel robust template matching method to track and follow body targets for NIUTS", "venue": "2014 IEEE International Conference on Robotics and Automation (ICRA)", "year": 2014 }, { "abstract": "In the field of steady state visual evoked potential (SSVEP) based brain computer interface (BCI) the lengthy training time was always an obstacle to practical application. In this paper, we explored a novel method to reduce the training cost by replacing the traditional sinusoidal template or signal template with a dynamic SSVEP model and conducting a sampling training strategy. To evaluate the method, the training time and the recognition accuracy under two conditions (sine/cosine template and dynamic model template) were compared on four different algorithms. The results showed that the dynamic model based template outstripped the sinusoidal template; and for signal template based algorithms, our proposed method reduced the training time significantly while kept the decrease of performance within an insignificant range.", "author_names": [ "Xu Han", "Shangen Zhang", "Xiaorong Gao" ], "corpus_id": 195065480, "doc_id": "195065480", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "A study on reducing training time of BCI system based on an SSVEP dynamic model", "venue": "2019 7th International Winter Conference on Brain Computer Interface (BCI)", "year": 2019 }, { "abstract": "Aiming at deep profile control in water flooding reservoirs, a heterogeneous combination flooding system, composed of dispersed particle gel (DPG) and a zwitterionic surfactant (THSB) was developed. The mean particle diameter was adjusted and then the DPG morphology and diameter were characterized using a laser scanning, confocal microscope, and dynamic light scattering. The effects of DPG concentration, temperature, pH, and salinity on THSB interfacial tension (IFT) were investigated. A method for determining DPG concentration was proposed, and the dynamic retention and migration mechanisms of the particles were evaluated, using cores with different permeabilities. Finally, the oil displacement mechanism of the combination flooding system was explored through visual simulation experiments and scanning electron microscopy. The results showed that the particle diameter was 2.5 mm, that compared with a surfactant system, the IFT of the combination flooding system was relatively low, and that the temperature, pH, and NaCl content of solutions had little effect on THSB IFT. Since specific matching relationships between DPG particles and reservoir pore throats existed, the retention amount and injection pressure were improved with the increased core permeability. Visual simulation experiments revealed the oil recovery mechanism of the combination flooding system. This combination flooding system can be used in reservoirs under varied conditions, as the particle diameter can be adjusted on a case by case basis, and the THSB surfactant proved to be high temperature resistant and high salinity tolerant. The combination flooding system can be applied to deep profile control and oil displacement, in oil fields.", "author_names": [ "Weitao Li", "Fa-lin Wei", "Chunming Xiong", "Jian Ouyang", "Guang Zhao", "Liming Shao", "Mingli Dai" ], "corpus_id": 135385581, "doc_id": "135385581", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "A novel binary compound flooding system based on DPG particles for enhancing oil recovery", "venue": "Arabian Journal of Geosciences", "year": 2019 }, { "abstract": "One of the important tasks of an autonomous mobile vehicle is the reliable and fast estimation of its position over time. This paper presents the development of an adaptive technique to hasten and improve the quality of correlation based template matching for monocular visual odometry systems that estimate the relative motion of ground vehicles in low textured environments. Moreover, the factors that can affect the maximum permissible vehicle driving speed were determined and the related equations were derived. The developed system uses a single downward facing monocular camera installed at an optimum location to avoid the negative effect of directional sunlight and shadows which can disturb the correlation. In addition, the normalized cross correlation method is implemented to calculate the pixel displacement between image frames. Although this method is highly effective for template matching because of its invariance to linear brightness and contrast variations, it incurs high computational cost. Thus, the optimal sizes of image template and matching search area are selected and their locations are dynamically changed according to vehicle acceleration, in order to achieve a compromise between the performance and the computational cost of correlation. The proposed technique increases the allowable vehicle driving speed and reduces the probability of template false matching. Moreover, compared to traditional full search matching techniques, the adaptive technique demonstrates high efficiency and accuracy and improves the quality and speed of the correlation with more than 87% of reduction in computational cost. (c) 2016 Institute of Electrical Engineers of Japan. Published by John Wiley Sons, Inc.", "author_names": [ "Mohammad O A Aqel", "Mohammad Hamiruce Marhaban", "M Iqbal Saripan", "Napsiah Ismail" ], "corpus_id": 113444776, "doc_id": "113444776", "n_citations": 10, "n_key_citations": 0, "score": 0, "title": "Adaptive search template matching technique based on vehicle acceleration for monocular visual odometry system", "venue": "", "year": 2016 } ]
Applying machine learning to semiconductor manufacturing
[ { "abstract": "The generalized ID3 (GID3) algorithm, which takes a training set of experimental data and produces a decision tree that predicts the outcome of future experiments under various, more general conditions, is described. The tree can then be translated into a set of rules for an expert system. Two extensions to GID3MmRIST, and KARSM that deal with the problems of noisy data and the limited availability of training data are discussed. The application of GID3 to reactive ion etching manufacturing process diagnosis and optimization and to knowledge acquisition for an expert system is described.<ETX>", "author_names": [ "Keki B Irani", "Jie Cheng", "Usama M Fayyad", "Zhaogang Qian" ], "corpus_id": 31538164, "doc_id": "31538164", "n_citations": 81, "n_key_citations": 0, "score": 1, "title": "Applying machine learning to semiconductor manufacturing", "venue": "IEEE Expert", "year": 1993 }, { "abstract": "Raw material quality control is one of the most important missions in the foundry manufacturing process. Therefore, how to effectively detect abnormality at the early stage of raw material incoming or even at supplier ends has become a challenging topic. Currently, most semiconductor manufacturing FABs use COA (Certificate of Assurance) as the accepted criteria for raw material suppliers, however raw materials with accepted COA still perform differently after hundreds of manufacturing processes.", "author_names": [ "Chien-Hui Leu", "Demeter Chen" ], "corpus_id": 67875006, "doc_id": "67875006", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Applying Machine Learning for COA data analytics of raw materials on Semiconductor Manufacturing", "venue": "2018 International Symposium on Semiconductor Manufacturing (ISSM)", "year": 2018 }, { "abstract": "A learning based scheduling framework for semiconductor manufacturing system is studied in this paper. This framework obtains a dynamic scheduling model by applying machine learning algorithm based on optimal data samples, through which an approximate optimal scheduling strategy under a certain production state can be acquired on time. And then an implementation of a dynamic scheduling model based on extreme learning machine (ELM) is proposed. In order to improve efficiency, a hybrid feature selection and classification algorithm is suggested, which combines filter feature selection method and wrapper feature selection method. Finally, the proposed dynamic scheduling model is tested in a real semiconductor manufacturing system to compare and analysis between the algorithm performance and production performance. The result indicates that the learning based scheduling method is superior to single scheduling rules and it also meets the requirements of real time manufacturing scheduling.", "author_names": [ "Yumin Ma", "Fei Qiao", "Jianfeng Lu" ], "corpus_id": 16534075, "doc_id": "16534075", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Learning based dynamic scheduling of semiconductor manufacturing system", "venue": "2016 IEEE International Conference on Automation Science and Engineering (CASE)", "year": 2016 }, { "abstract": "While semiconductor manufacturing is moving towards the 14nm node using immersion lithography, the overlay requirements are tightened to below 5nm. Next to improvements in the immersion scanner platform, enhancements in the overlay optimization and process control are needed to enable these low overlay numbers. Whereas conventional overlay control methods address wafer and lot variation autonomously with wafer pre exposure alignment metrology and post exposure overlay metrology, we see a need to reduce these variations by correlating more of the TWINSCAN system's sensor data directly to the post exposure YieldStar metrology in time. In this paper we will present the results of a study on applying a real time control algorithm based on machine learning technology. Machine learning methods use context and TWINSCAN system sensor data paired with post exposure YieldStar metrology to recognize generic behavior and train the control system to anticipate on this generic behavior. Specific for this study, the data concerns immersion scanner context, sensor data and on wafer measured overlay data. By making the link between the scanner data and the wafer data we are able to establish a real time relationship. The result is an inline controller that accounts for small changes in scanner hardware performance in time while picking up subtle lot to lot and wafer to wafer deviations introduced by wafer processing.", "author_names": [ "Emil Schmitt-Weaver", "Michael Kubis", "Wolfgang Henke", "Daan Slotboom", "Tomoko Hoogenboom", "Jan Mulkens", "Martyn Coogans", "Peter ten Berge", "Dick Verkleij", "Frank van de Mast" ], "corpus_id": 121346906, "doc_id": "121346906", "n_citations": 8, "n_key_citations": 0, "score": 0, "title": "Overlay improvements using a real time machine learning algorithm", "venue": "Advanced Lithography", "year": 2014 }, { "abstract": "In the semiconductor manufacturing industry, Automatic Defect Classification (ADC) plays an important role in maintaining high wafer inspection quality and reducing yield loss. ADC performance has benefitted from using machine learning (ML) algorithms; however, performance is negatively affected by the data imbalance and limited amounts of training data. Synthetic Minority Oversampling Technique (SMOTE) is an oversampling technique to adjust the skewed class distribution of a dataset so that the bias of the majority class is reduced. This paper shows that applying SMOTE achieved higher accuracy and purity on two imbalanced datasets, consisting of scanning electron microscopy (SEM) images collected with ASML HMI eP(tm) and eScan(r) series inspection tools. The ML models are also less sensitive to the selection of hyperparameters when SMOTE is applied. We also show that better classification results can be obtained with less training samples with SMOTE; we conducted an experiment where a ML model trained on only 25% of samples with SMOTE achieved a higher ADC accuracy and purity performance compared to the same ML model trained on all samples but without SMOTE. In another experiment using a highly imbalanced SEM dataset with very few counts of the defect of interest (DOI) the combination of SMOTE and random undersampling of the majority class improves the accuracy by up to 5x while maintaining the same level of purity.", "author_names": [ "Po-Hsuan Lee", "Zhe Wang", "Cho-Huak Teh", "Yi-Sing Hsiao", "Wei Fang" ], "corpus_id": 215790064, "doc_id": "215790064", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Automated semiconductor wafer defect classification dealing with imbalanced data", "venue": "Advanced Lithography", "year": 2020 }, { "abstract": "Wafer defect detection using an intelligent system is an approach of quality improvement in semiconductor manufacturing that aims to enhance its process stability, increase production capacity, and improve yields. Occasionally, only few records that indicate defective units are available and they are classified as a minority group in a large database. Such a situation leads to an imbalanced data set problem, wherein it engenders a great challenge to deal with by applying machine learning techniques for obtaining effective solution. In addition, the database may comprise overlapping samples of different classes. This paper introduces two models of evolutionary fuzzy ARTMAP (FAM) neural networks to deal with the imbalanced data set problems in a semiconductor manufacturing operations. In particular, both the FAM models and hybrid genetic algorithms are integrated in the proposed evolutionary artificial neural networks (EANNs) to classify an imbalanced data set. In addition, one of the proposed EANNs incorporates a facility to learn overlapping samples of different classes from the imbalanced data environment. The classification results of the proposed evolutionary FAM neural networks are presented, compared, and analyzed using several classification metrics. The outcomes positively indicate the effectiveness of the proposed networks in handling classification problems with imbalanced data sets.", "author_names": [ "Shing Chiang Tan", "Junzo Watada", "Zuwairie Ibrahim", "Marzuki Khalid" ], "corpus_id": 5364989, "doc_id": "5364989", "n_citations": 48, "n_key_citations": 2, "score": 0, "title": "Evolutionary Fuzzy ARTMAP Neural Networks for Classification of Semiconductor Defects", "venue": "IEEE Transactions on Neural Networks and Learning Systems", "year": 2015 }, { "abstract": "Wafer fabrication is considered the most complex and costly challenge in the semiconductors industry. Cycle Time (CT) which denotes flow time, is one of its key performance measures. This work develops CT prediction models by applying Machine Learning (ML) and Data Mining (DM) methods. The models can assist in improving manufacturing and supply chain efficiency. They rely on historical production line data taken from the fab's Manufacturing Execution System (MES) and include wafer lot processing details of various operations. The prediction is done for an average CT of a single lot, processed through a single operation step. Two types of classification techniques are used. The best fitted Decision Trees (DT) model achieves 76.5% accuracy, and the best Neural Network (NN) model (two hidden layers) achieves 87.6% accuracy. The significance of this study is in establishing dynamic CT prediction models, which can be used to predict CT of a single operation step, a line segment or a complete production line.", "author_names": [ "Israel Tirkel" ], "corpus_id": 22717563, "doc_id": "22717563", "n_citations": 18, "n_key_citations": 1, "score": 0, "title": "Cycle time prediction in wafer fabrication line by applying data mining methods", "venue": "2011 IEEE/SEMI Advanced Semiconductor Manufacturing Conference", "year": 2011 }, { "abstract": "In most industrial processing scenarios the value of a product increases over time in the value chain. To avoid unnecessary processing steps, it is of immense importance to detect defects as early as possible in the value creating process. These situations of interest can be distinguished as specified and unspecified situations, dependent on whether the cause effect relation is known and defined or not. In this article we describe ongoing work on a proactive disruption management system for manufacturing environments, which helps being prepared for the unexpected by applying a combination of unsupervised and supervised machine learning for the identification and prediction of unspecified situations and adopting data mining techniques to derive predictive patterns for specified situations. We also introduce a real world use case from the field of semiconductor manufacturing and present first preliminary results.", "author_names": [ "Suad Sejdovic", "Yvonne Hegenbarth", "Gerald H Ristow", "Roland Schmidt" ], "corpus_id": 17768443, "doc_id": "17768443", "n_citations": 9, "n_key_citations": 0, "score": 0, "title": "Proactive disruption management system: how not to be surprised by upcoming situations", "venue": "DEBS", "year": 2016 }, { "abstract": "Semiconductor fabrication lines have become extremely costly, and achieving a good return from such a high capital investment requires efficient utilization of these expensive facilities. It is highly desirable to shorten processing development time, increase fabrication yield, enhance flexibility, improve quality, and minimize downtime. We propose that these ends can be achieved by applying recent advances in the areas of artificial neural networks, fuzzy logic, machine learning, and genetic algorithms. We use the term neural manufacturing to describe such applications. This paper describes our use of artificial neural networks to improve the monitoring and control of semiconductor process.", "author_names": [ "Chi Yung Fu", "Loren Petrich", "Benjamin Law" ], "corpus_id": 108446486, "doc_id": "108446486", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Neural manufacturing: a novel concept for processing modeling, monitoring, and control", "venue": "Advanced Lithography", "year": 1995 }, { "abstract": "Fault diagnosis of Integrated Circuits (ICs) has grown into a special field of interest in the Semiconductor Industry. Fault diagnosis is very useful at the design stage for debugging purposes, at high volume manufacturing for obtaining feedback about the underlying fault mechanisms and improving the design and layout in future IC generations, and in cases where the IC is part of a larger safety critical system (e.g. automotive, aerospace) for identifying the root cause of failure and for applying corrective actions that will prevent failure reoccurrence and, thereby, will expand the safety features. In this summary paper, we present a methodology for fault modeling and fault diagnosis of analog circuits based on machine learning. A defect filter is used to recognize the type of fault (parametric or catastrophic) inverse regression functions are used to locate and predict the values of parametric faults, and multi class classifiers are used to list catastrophic faults according to their likelihood of occurrence. The methodology is demonstrated on both simulation and high volume manufacturing data showing excellent overall diagnosis rate.", "author_names": [ "Ke Huang", "Haralampos-G D Stratigopoulos", "Salvador Mir" ], "corpus_id": 1170063, "doc_id": "1170063", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Fault modeling and diagnosis for nanometric analog circuits", "venue": "2013 IEEE International Test Conference (ITC)", "year": 2013 } ]
Enhancing photoelectrochemical water splitting by combining work
[ { "abstract": "We herein demonstrate the unusual effectiveness of two strategies in combination to enhance photoelectrochemical water splitting. First, the work function adjustment via molybdenum (Mo) doping significantly reduces the interfacial energy loss and increases the open circuit photovoltage of bismuth vanadate (BiVO4) photoelectrochemical cells. Second, the creation and optimization of the heterojunction of boron (B) doping carbon nitride (C3N4) and Mo doping BiVO4 to enforce directional charge transfer, accomplished by work function adjustment via B doping for C3N4, substantially boost the charge separation of photo generated electron hole pairs at the B C3N4 and Mo BiVO4 interface. The synergy between the above efforts have significantly reduced the onset potential, and enhanced charge separation and optical properties of the BiVO4 based photoanode, culminating in achieving a record applied bias photon to current efficiency of 2.67% at 0.54 V vs. the reversible hydrogen electrode. This work sheds light on designing and fabricating the semiconductor structures for the next generation photoelectrodes. While photoelectrodes represent a promising solar to fuel conversion technology, material challenges limit performances. Here, authors improve the onset potential and charge separation of bismuth vanadate photoanode water splitting performances by work function tuning and heterojunction engineering.", "author_names": [ "Kai-Hang Ye", "Haibo Li", "Duan Huang", "Shuang Xiao", "Weitao Qiu", "Mingyang Li", "Yu-wen Hu", "Wenjie Mai", "Hongbing Ji", "Shihe Yang" ], "corpus_id": 199576373, "doc_id": "199576373", "n_citations": 85, "n_key_citations": 0, "score": 1, "title": "Enhancing photoelectrochemical water splitting by combining work function tuning and heterojunction engineering", "venue": "Nature Communications", "year": 2019 }, { "abstract": "Hydrogen is one alternative clean fuel for micro scale devices when generated by solar irradiation through water splitting. The photoelectrolysis of water has been intensively studied since the seminal work of Fujishima and Honda in 1972 where titania was used as an anode photocatalyst [1] While TiO2 is an attractive material because it is inexpensive and a well known catalyst for water dissociation, one of the major drawbacks that limits its practicality is that it only absorbs light in the UV region. Recent results of combining Au nanoparticles with TiO2 has been suggested to prevent electron hole recombination of the photoexcited titania hence enhancing its photoelectrochemical performance [2 3] In this study, three layers of TiO2 is electrosynthesized on ITO substrates using the generation of base method followed by annealing steps in between each deposited layer and a final heat treatment to ensure the anatase crystallinity of the deposits. [4] The thickness of the films was between 2 3 mm with a cracked morphology. Au nanoparticles were subsequently electrodeposited onto the electrogenerated TiO2 and pulsing conditions that affect the Au nanoparticle size and uniformity were investigated. Pulsed waveforms included a nucleation, growth and dissolution step. Results indicate that the first nucleation step is key in determining the size of the particles and the clumps formed as well as their density. Photoelectrochemical tests including step photoresponse analysis under different light sources, electrochemical impedance analysis and intensity modulated photocurrent spectroscopy techniques were employed to characterize the enhanced photoelectrochemical response of three different forms of the TiO2 Au composite: (1) TiO2 decorated with Au nanoparticles, TiO2/Au, (2) TiO2 with embedded Au", "author_names": [ "Jessy Elhajj" ], "corpus_id": 100673918, "doc_id": "100673918", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Electrosynthesis of TiO2 Au composites for water splitting applications and their photoelectrochemical characterization", "venue": "", "year": 2008 }, { "abstract": "Abstract Developing low cost but effective cocatalyst is of crucial importance for strongly enhancing the photoelectrodes performance in photoelectrochemical (PEC) water splitting. Herein, we firstly report a rational and low cost design of a ternary photocathode that Cu2O sandwiched by spatial noble metal free cocatalysts (NiS nanoparticles on the surface and Al nanoparticles on the bottom) to realize enhanced PEC performance. The integration of Al with surface plasmon resonance (SPR) effect and electron trapper NiS spatially loaded on the Cu2O nanocubes is indeed conducive to excite the hot electron hole pairs, boost the solar light absorption and elevate ultrafast spatial transfer and separation of photogenerated electrons and holes, resulting in remarkable photocurrent density of 5.16 mA/cm2 at 0 V vs. RHE, an eight fold enhancement than that of pristine Cu2O. The selection of noble metal free Al and NiS cocatalysts is superior substitute to noble metal Au and Pt from the comparison with our previous work. Therefore, this work could inspire ongoing interest in the design of highly efficient and cost effective hybrid photoelectrodes which is able to replace noble metals in practical applications of PEC water splitting.", "author_names": [ "Da Chen", "Zhifeng Liu", "Zhengang Guo", "Weiguo Yan", "Mengnan Ruan" ], "corpus_id": 202873546, "doc_id": "202873546", "n_citations": 44, "n_key_citations": 0, "score": 0, "title": "Decorating Cu2O photocathode with noble metal free Al and NiS cocatalysts for efficient photoelectrochemical water splitting by light harvesting management and charge separation design", "venue": "", "year": 2020 }, { "abstract": "ABSTRACT In the present work, aluminum doped plate like tungsten oxide (WO 3 photoelectrodes were investigated by photoelectrochemical methods in order to evaluate the possibility of their application in water splitting. The aluminum doped plate like WO 3 films were prepared by hydrothermal method in nitric acid from a radio frequency (RF) magnetron sputtered aluminum tungsten layer on fluorine doped tin oxide (FTO) glass. The crystal structure, composition and morphology of pure and aluminum doped WO 3 were compared using x ray diffraction (XRD) x ray photoelectron spectroscopy (XPS) scanning electron microscopy (SEM) and transmission electron microscopy (TEM) The results indicate that aluminum can be doped into WO 3 plate like photoelectrodes by placing radio frequency magnetron sputtered aluminum tungsten films using a facile hydrothermal method. Plate like WO 3 films doped with 0.77 atom% aluminum showed photocurrent density of 1.14 mA/cm 2 at 1.2 V vs. Ag/AgCl, which was 1.3 times higher than that of the pure WO 3 (0.87 mA/cm 2 Incident photon to current efficiency (IPCE) measurements carried out on photoelectrochemical (PEC) cell with aluminum doped WO 3 plate like photoelectrodes as anodes demonstrated a significant increase of photoresponse in the intrinsic absorption range. Electrochemical impendence spectroscopy (EIS) showed that aluminum doped WO 3 possessed higher charge transfer rate and electrical conductivity than those of pristine WO 3 thus presented a better performance in photoelectrochemical properties.", "author_names": [ "Wenzhang Li", "Faqi Zhan", "Jie Li", "Canjun Liu", "Yanghui Yang", "Yaomin Li", "Qi-Yuan Chen" ], "corpus_id": 95572963, "doc_id": "95572963", "n_citations": 60, "n_key_citations": 0, "score": 0, "title": "Enhancing photoelectrochemical water splitting by aluminum doped plate like WO3 electrodes", "venue": "", "year": 2015 }, { "abstract": "Abstract Photoelectrochemical solar water splitting is a promising method for harvesting clean and sustainable solar energy by the carbon emission free production of energy rich hydrogen. Various strategies such as nanostructuring, composite engineering, and multilayer formation have been adopted for energy efficient photoelectrode preparation, with the ultimate goal of enhancing the efficiency of energy harvesting and storage via the photoelectrochemical water splitting reaction. This review summarizes recent advances in the abovementioned strategies for fabricating water splitting photoelectrodes and outlines relationships between the structure, composition, and electrochemical properties of such photoelectrodes. Our aim is to help readers become cognizant of recent developments in the field, providing them with a distinct perspective for carrying out innovative work on photoelectrode preparation.", "author_names": [ "Edmund P Samuel", "Bhavana N Joshi", "Min Woo Kim", "Mark T Swihart", "Sam S Yoon" ], "corpus_id": 216345766, "doc_id": "216345766", "n_citations": 26, "n_key_citations": 0, "score": 0, "title": "Morphology engineering of photoelectrodes for efficient photoelectrochemical water splitting", "venue": "", "year": 2020 }, { "abstract": "Solar water oxidation is considered as a promising method for efficient utilization of solar energy and bismuth vanadate (BiVO4 is a potential photoanode. Catalyst loading on BiVO4 is often used to tackle the limitations of charge recombination and sluggish kinetics. In this study, amorphous nickel oxide (NiOx is loaded onto Mo doped BiVO4 by photochemical metal organic deposition method. The resulting NiOx /Mo:BiVO4 photoanodes demonstrate a two fold improvement in photocurrent density (2.44 mA cm 2 at 1.23 V versus reversible hydrogen electrode (RHE) compared with the uncatalyzed samples. After NiOx modification the charge separation and charge transfer efficiencies improve significantly across the entire potential range. It is further elucidated by open circuit photovoltage (OCP) time resolved microwave conductivity (TRMC) and rapid scan voltammetry (RSV) measurements that NiOx modification induces larger band bending and promotes efficient charge transfer on the surface of BiVO4 This work provides insight into designing BiVO4 catalyst assemblies by using a simple surface modification route for efficient solar water oxidation.", "author_names": [ "Mengyuan Zhang", "Rajini P Antony", "Sing Yang Chiam", "Fatwa Firdaus Abdi", "Lydia Helena Wong" ], "corpus_id": 52347660, "doc_id": "52347660", "n_citations": 14, "n_key_citations": 0, "score": 0, "title": "Understanding the Roles of NiOx in Enhancing the Photoelectrochemical Performance of BiVO4 Photoanodes for Solar Water Splitting.", "venue": "ChemSusChem", "year": 2019 }, { "abstract": "Abstract In photoelectrochemical (PEC) water splitting, the efficiency of an electrode is determined by light absorption and charge transformation between the electrode and electrolyte. Titanium (Ti) and molybdenum (Mo) doped tungsten sulfide (WS2) nanosheets were prepared via a relatively low temperature chemical vapor deposition (CVD) method and applied to enhance the light absorption of WS2 nanosheets as the water oxidation catalyst in the PEC water splitting process. Both Ti and Mo doping showed significant enhancement in photoelectrochemical performance of prepared WS2 nanosheets. The novel Ti doped WS2 photoanode exhibited a high photocurrent density of 10.44 mA/cm2 at 1.23 V versus the reversible hydrogen electrode (RHE) under standard AM 1.5 G illumination, which was >100% increase compared to that of the pristine WS2 photoanode. The maximum of applied bias photon to current efficiency (ABPE) of Ti doped WS2 photoanode was 2.84% that showed a 338% growth comparing to WS2 photoanode. The charge transfer resistance (Rct) of Ti doped WS2 nanosheets was 6.3 kO, which corresponds about two times less than pristine WS2 nanosheets. The high donor density of Ti doped WS2 nanosheets leads to improve electrical conductivity and confirms the lower Rct which enhancing the electrocatalytic activity and PEC performance. This work demonstrates the feasibility process for doping foreign element in the WS2 nanosheets as an excellent photoanode for PEC water splitting.", "author_names": [ "Ali Ahmadi", "Morteza Zargar Shoushtari" ], "corpus_id": 201215640, "doc_id": "201215640", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Enhancing the photoelectrochemical water splitting performance of WS2 nanosheets by doping titanium and molybdenum via a low temperature CVD method", "venue": "Journal of Electroanalytical Chemistry", "year": 2019 }, { "abstract": "In this work, we explored a facile, scalable and effective method for substantially enhancing photocurrent and incident photon to current efficiency of WO3 thin film photoanodes by a mild reduction treatment under low oxygen pressure. Experimental data from photoelectrochemical and electrochemical impedance spectroscopies have shown that such treatment can increase the charge carrier density on WO3 photoanode surfaces resulting in improvements in hole collection efficiency and reduction in charge recombination. Despite a much thinner layer of WO3 (about 500 nm) compared to those in other published studies, the electrodes exhibited an ultra high photocurrent density of 1.81 mA cm 2 at 1.23 V vs. RHE. This current density is one of the highest ones among WO3 based photoanodes described in literature. The proposed surface modulation approach offers an effective and scalable method to prepare high performance thin film photoanodes for photoelectrochemical water splitting.", "author_names": [ "Jiajie Cen", "Qiyuan Wu", "Danhua Yan", "Wenrui Zhang", "Yue Zhao", "Xiao Tong", "Mingzhao Liu", "Alexander Orlov" ], "corpus_id": 104320941, "doc_id": "104320941", "n_citations": 5, "n_key_citations": 1, "score": 0, "title": "New aspects of improving the performance of WO3 thin films for photoelectrochemical water splitting by tuning the ultrathin depletion region", "venue": "", "year": 2019 }, { "abstract": "Abstract Gallium nitride (GaN) is one of the ubiquitously known photoanode for photoelectrochemical water splitting (PEC WS) due to its tunable band gap and favorable band edge positions. However, the unavoidable surface defects in GaN induces surface Fermi level pinning and surface band bending which severely reduces its PEC conversion efficiency. Constructing heterostructure is the key to approaching better charge separation efficiency and light harvesting ability for PEC WS. Considering this, we have fabricated ternary heterostructure of GaN/ZnO/MWCNTs photoanode by combining metal organic chemical vapour deposition (MOCVD) hydrothermal and 'dip and dry' methods. FE SEM results showed the formation of 3D hierarchical honeycomb structure of ZnO on GaN thin film surface when MWCNTs are added into hydrothermal reaction. We investigate the advantage of ZnO honeycomb structure in enhancing the solar PEC WS performance of GaN photoanode. The synergy of incorporating MWCNTs has resulted into improvement in surface morphology, electron transportation and light harvesting capability. The as obtained ternary heterostructure exhibits photocurrent (Jph) of 3.02 mA/cm2 at 0 V versus Pt electrode under 1 sun light illumination which is about 2.58 times higher than that of pristine GaN photoanodes (Jph 1.14 mA/cm2)", "author_names": [ "Santosh S Patil", "Muhammad Ali Johar", "Mostafa Afifi Hassan", "Deepak Rajaram Patil", "Sang-Wan Ryu" ], "corpus_id": 103216719, "doc_id": "103216719", "n_citations": 28, "n_key_citations": 0, "score": 0, "title": "Anchoring MWCNTs to 3D honeycomb ZnO/GaN heterostructures to enhancing photoelectrochemical water oxidation", "venue": "", "year": 2018 }, { "abstract": "Abstract Plasmonic metal nanoparticles containing photoanodes are known to exhibit stable photoelectrochemical (PEC) performance due to their optical and electronic properties. In this work, we report the application of plasmonic Bi nanoparticles supported over a g C3N4/Bi2S3 photoanode for PEC water splitting. Typical results indicated that g C3N4/Bi2S3/BiNPs ternary composite photoanode showed a high photo current density of 7.11 mA cm 2 at 1.23 V under solar irradiation, which was 5 and 10 times higher than g C3N4/Bi2S3 and g C3N4 photoanodes, respectively. Further, the composite electrode also demonstrated superior solar to hydrogen efficiency and long term stability. It was concluded that Bi nanoparticles play a major role in enhancing the PEC performance for hydrogen evolution reaction. Thus, g C3N4/Bi2S3/BiNPs has superior PEC performance and proved to work as an alternative to noble metal based photo electrodes for solar water splitting reactions.", "author_names": [ "Palyam Subramanyam", "Bhagat Ram Meena", "Duvvuri Suryakala", "Melepurath Deepa", "Ch Subrahmanyam" ], "corpus_id": 213602494, "doc_id": "213602494", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Plasmonic nanometal decorated photoanodes for efficient photoelectrochemical water splitting", "venue": "", "year": 2020 } ]
“StrainedSi/strained-Ge type-II staggered heterojunction gate-normal-tunneling field-effect transistor
[ { "abstract": "A SiGe based n channel tunnel field effect transistor design employing a strained Si/strained Ge staggered gap heterojunction with a small effective band gap (122 meV) at the interface is investigated via numerical simulations using a semi classical quantum correction obtained from the density gradient model. A gate normal tunneling geometry is used to increase tunneling area and reduce subthreshold swing. The strain leads to degeneracy breaking among the silicon conduction band valleys, reducing the density of states and associated quantum capacitance with better gate to tunnel barrier coupling. Performance evaluation using a figure of merit \"I60,\" where the drain current corresponds to a subthreshold slope of 60 mV/decade, suggests that the device has the potential to be competitive with modern metal oxide semiconductor field effect transistors.", "author_names": [ "William Hsu", "Jason Mantey", "Leonard Franklin Register", "Sanjay K Banerjee" ], "corpus_id": 120898131, "doc_id": "120898131", "n_citations": 17, "n_key_citations": 1, "score": 1, "title": "Strained Si/strained Ge type II staggered heterojunction gate normal tunneling field effect transistor", "venue": "", "year": 2013 }, { "abstract": "A strained Ge1 x ySixSny/Ge1 a bSiaSnb direct type II staggered heterojunction n channel tunneling field effect transistor (FET) with a dual material double gate is proposed herein. A high K gate dielectric is used to improve the overall device performance. The energy bandgap for strained Ge1 x ySixSny grown on a relaxed Ge1 a bSiaSnb layer is determined using the generalized approach of Menendez and Kouvetakis (MK) Poisson's equation is solved by using a parabolic approximation to determine the surface potential and electric field. The drain current is calculated using the tunneling generation rate obtained from Kane's model. A significant improvement of the drain current is observed as compared with that of previously reported Si based TFETs.", "author_names": [ "Namrata Shaw", "Bratati Mukhopadhyay", "Gopa Sen" ], "corpus_id": 225712659, "doc_id": "225712659", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Study of the electrical parameters of a dual material double gate TFET using a strained type II staggered Ge1 x ySixSny/Ge1 a bSiaSnb heterojunction", "venue": "", "year": 2020 }, { "abstract": "We investigate GaAs<sub><italic>1 x</italic>/sub>Bi<sub><italic>x</italic>/sub>/GaAs<sub><italic>1 y</italic>/sub>N<sub><italic>y</italic>/sub> type II staggered heterojunction tunneling field effect transistors (TFETs) with the analytical model. GaAs<sub><italic>1 x</italic>/sub>Bi<sub><italic>x</italic>/sub>/GaAs<sub><italic>1 y</italic>/sub>N<sub><italic>y</italic>/sub> exhibits a remarkable type II band lineup and the effective bandgap <inline formula> <tex math notation=\"LaTeX\"{E} _{{G,\\mathrm{ eff} /tex math>/inline formula> at interface is significantly reduced with the increasing of bismuth (Bi) and nitrogen (N) compositions. Theoretical characterization shows that the band to band tunneling probability and current of TFETs are boosted with the decreasing of <inline formula> <tex math notation=\"LaTeX\"{E} _{{G,\\text {eff} /tex math>/inline formula> at the GaAs<sub><italic>1 x</italic>/sub>Bi<sub><italic>x</italic>/sub>/GaAs<sub><italic>1 y</italic>/sub>N<sub><italic>y</italic>/sub> staggered tunnel ing junction by increasing Bi and N compositions. It is demonstrated by the analytical calculation that GaAs<sub><italic>1 x</italic>/sub>Bi<sub><italic>x</italic>/sub>/GaAs<sub><italic>1 y</italic>/sub>N<sub><italic>y</italic>/sub> heterostructure is a potential candidate for high performance TFET.", "author_names": [ "Yibo Wang", "Genquan Han", "Yan Liu", "Chunfu Zhang", "Qian Feng", "Jincheng Zhang", "Yue Hao" ], "corpus_id": 27049985, "doc_id": "27049985", "n_citations": 10, "n_key_citations": 1, "score": 0, "title": "Investigation of GaAsBi/GaAsN Type II Staggered Heterojunction TFETs with the Analytical Model", "venue": "IEEE Transactions on Electron Devices", "year": 2017 }, { "abstract": "Heterojunction tunneling field effect transistors (HTFETs) that use strained silicon/strained germanium type II staggered band alignment for band to band tunneling (BBT) injection are simulated using a nonlocal quantum tunneling model. The tunneling model is first compared to measurements of gate controlled BBT in previously fabricated strained SiGe diodes and is shown to produce good agreement with the measurements. The simulation of the gated diode structure is then extended to study HTFETs with an effective energy barrier of 0.25 eV at the strained Si/strained Ge heterointerface. As the band alignment, particularly the valence band offset, is critical to modeling HTFET operation, analysis of measured characteristics of MOS capacitors fabricated in strained Si/strained Ge/relaxed Si0.5Ge0.5 hetero junctions is used to extract a valence band offset of 0.64 eV at the strained Si/strained Ge heterointerface. Simulations are used to compare HTFETs to MOSFETs with similar technology parameters. The simulations show that HTFETs have potential for low operating voltage (Vdd 0.5 V) application and exhibit steep subthreshold swing over many decades while maintaining high ON state currents.", "author_names": [ "Osama M Nayfeh", "Cait Ni Chleirigh", "John J Hennessy", "Leonardo Gomez", "Judy L Hoyt", "Dimitri A Antoniadis" ], "corpus_id": 21287297, "doc_id": "21287297", "n_citations": 239, "n_key_citations": 10, "score": 0, "title": "Design of Tunneling Field Effect Transistors Using Strained Silicon/Strained Germanium Type II Staggered Heterojunctions", "venue": "IEEE Electron Device Letters", "year": 2008 }, { "abstract": "Tunneling field effect transistors (TFETs) are of considerable interest owing to their capability of low power operation. Here, we demonstrate a novel type of TFET which is composed of a thin black phosphorus tin diselenide (BP SnSe2) heterostructure. This combination of 2D semiconductor thin sheets enables device operation either as an Esaki diode featuring negative differential resistance (NDR) in the negative gate voltage regime or as a backward diode in the positive gate bias regime. Such tuning possibility is imparted by the fact that only the carrier concentration in the BP component can be effectively modulated by electrostatic gating, while the relatively high carrier concentration in the SnSe2 sheet renders it insensitive against gating. Scanning photocurrent microscopy maps indicate the presence of a staggered (type II) band alignment at the heterojunction. The temperature dependent NDR behavior of the devices is explainable by an additional series resistance contribution from the individual BP and SnSe2 sheets connected in series. Moreover, the backward rectification behavior can be consistently described by the thermionic emission theory, pointing toward the gating induced formation of a potential barrier at the heterojunction. It furthermore turned out that for effective Esaki diode operation, care has to be taken to avoid the formation of positive charges trapped in the alumina passivation layer.", "author_names": [ "Junhong Na", "Youngwook Kim", "Jurgen H Smet", "Marko Burghard", "Klaus Kern" ], "corpus_id": 171093138, "doc_id": "171093138", "n_citations": 9, "n_key_citations": 0, "score": 0, "title": "Gate Tunable Tunneling Transistor Based on a Thin Black Phosphorus SnSe2 Heterostructure", "venue": "ACS applied materials interfaces", "year": 2019 }, { "abstract": "Abstract In the advanced technology nodes, conventional MOSFETs are being replaced by tunnel field effect transistors (TFETs) due to its potential of achieving subthreshold swing (SS) less than 60 mV/decade. However, certain constraints are to be met to improve the performance of TFET in terms of higher ON current (ION) and lower threshold voltage (Vth) Here, in this paper, magnesium silicide/silicon (Mg2Si/Si) heterojunction double gate TFET (Mg2Si/Si HDG TFET) is explored and is simultaneously compared with conventional silicon double gate TFET (Si DG TFET) Results depict superior performance of Mg2Si/Si HDG TFET as compared to conventional Si DG TFET, in terms of dc characteristics, i.e. ION, Vth, SS, and ION/IOFF ratio. Obtained Vth (0.26 V) SS (10.05mV/decade) and ION/IOFF ratio (1013) for the case of Mg2Si HDG TFET shows an improvement of 77% 49% and 10 decades respectively compared to counterpart i.e. Si DG TFET. In particular, this improvement in the performance of Mg2Si/Si HDG TFET over Si DG TFET is attributed to staggered type II heterojunction interface at the source channel junction, which leads to reduction of the width for interband tunneling barrier and hence, improves ION. This viability of the device is also determined by analyzing the impact of non idealities present in the device. In this respect, the Gaussian and tail defects are considered in the bulk of Mg2Si. The results reveal that the Gaussian defects alter the device characteristics mainly in the subthreshold regime, whereas, in the ON state, the impact of defects is minimal. Further, it is obtained that the device is much immune for tail defects in comparison with the Gaussian defects. The CV analysis reveals a marginal degradation in parasitic capacitances for Mg2Si/Si HDG TFET as compared to Si DG TFET. However, this degradation can be overlooked against the remarkably enhanced drain current. Thus, the device overcomes the bottleneck of TFET and provides high ION and low Vth without degrading the other performance parameters and hence is suitable for low power analog and digital applications.", "author_names": [ "Jaya Madan", "Minaxi Dassi", "Rahul Pandey", "Rishu Chaujar", "Rajnish Sharma" ], "corpus_id": 213503587, "doc_id": "213503587", "n_citations": 7, "n_key_citations": 0, "score": 0, "title": "Numerical analysis of Mg2Si/Si heterojunction DG TFET for low power/high performance applications: Impact of non idealities", "venue": "", "year": 2020 }, { "abstract": "Introduction. The ability to scale CMOS to future technology nodes is jeopardized primarily by power constraints. Supply voltage scaling is the best method to reduce power consumption in logic circuits; however, the thermionic nature of the turn off mechanism in MOSFETs forces a fundamental trade off between leakage power and performance when the voltage is reduced. Tunneling field effect transistors (TFETs) could overcome this limitation since these devices have been theoretically shown to be capable of subthreshold slopes 60 mV/decade [1] However, the band gap of silicon (1.12 eV) is too large to provide acceptable drive currents in Si based TFETs. TFETs fabricated using Si/SiGe heterojunctions [2] have the potential for increased drive current since the type II band alignment reduces the effective band gap for tunneling at the source electrode. In this talk, I will show experimental results on Si/SiGe heterojunction tunneling transistors (HETTs) along with quantum transport simulations on a variety of heterojunction TFET geometries, and then describe the implications of these results on the viability of the Si/SiGe material system for TFET fabrication. Si/SiGe HETTs. The devices were fabricated using a conventional CMOS process flow that was modified to allow the source and drain electrodes to be formed in separate processing steps. The devices utilized SOI starting substrates and a high k/poly gate stack. The n drain was formed by conventional As implantation and anneal, while the source electrode was formed by selective etching of Si underneath the gate electrode and regrowth of in situ doped p Si1 xGex. Typical Id vs. Vgs characteristics at room temperature for HETTs with source Ge concentrations of 7% and 25% are shown in Fig. 2 [3] The improved performance for the devices with x 25% over x 7% provides a clear indication of the heterojunction benefit on TFET performance. However, the devices fall short of achieving sub 60 mV/dec subthreshold slopes or the necessary drive currents for practical applications. Broken gap TFETs. In order to further explore the heterojunction band structure requirements for TFETs, quantum transport simulations are performance on a variety of HETTs with band alignment ranging from staggered to broken gap [4] The results, shown in Fig.3, indicate that the optimal performance is achieved in broken gap heterojunction devices. These results further demonstrate the efficacy of the heterojunction design in improving TFET drive current, but also suggest that novel device geometries [5] or material systems with direct band gaps (e.g. III Vs [6] graphene nanoribbons [7] may be needed to achieve the performance levels necessary for practical applications. References. [1] J. Appenzeller, et al. Phys. Rev. Lett. 2004, [2] O. Nayfeh, et al. IEEE Elect. Dev. Lett. 2008, [3] S. J. Koester, et al. unpublished, [4] S. Koswatta, et al. IEDM, 2009, [5] A. Bowander, et al. VLSI. 2008, [6] S. Mookerjea, et al. IEDM, 2009, [7] Q. Zhang, et al. IEEE Elect Dev. Lett. 2008. n+ poly", "author_names": [ "Steven J Koester", "Isaac Lauer", "A Majumdar", "J Cai", "Jeffrey W Sleight", "Stephen W Bedell", "Paul M Solomon", "Steven E Laux", "Leland Chang", "Siyuranga O Koswatta", "Wilfried E Haensch", "Pierre Tomasini", "Shawn G Thomas" ], "corpus_id": 112828598, "doc_id": "112828598", "n_citations": 10, "n_key_citations": 0, "score": 0, "title": "(Invited) Are Si/SiGe Tunneling Field Effect Transistors a Good Idea?", "venue": "", "year": 2010 }, { "abstract": "In this paper, we demonstrate the performance improvement in SiGeSn/GeSn p channel hetero line tunneling field effect transistor (HL TFET) via numerical simulation. The GeSn is located at the pocket region and forms the type II staggered tunneling junction (TJ) that perpendicular to channel direction with the lattice matched SiGeSn. The HL TFET demonstrates the smaller onset voltage (VONSET) the higher on state current (ION) and the steeper subthreshold swing (SS) in comparison with the GeSn homo Line TFET (L TFET) and the conventional SiGeSn/GeSn double gate hetero TFET (H TFET) devices. The performance enhancement is mainly owing to the larger tunneling area in HL TFET attributing to the presence of heterojunction and the tunneling junction (TJ) that perpendicular to the channel direction.", "author_names": [ "Hongjuan Wang", "Genquan Han", "Yan Liu", "Jincheng Zhang", "Yue Hao", "Xiangwei Jiang" ], "corpus_id": 43067897, "doc_id": "43067897", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "The performance improvement in SiGeSn/GeSn p channel hetero Line Tunneling FET (HL TFET)", "venue": "2017 IEEE Electrical Design of Advanced Packaging and Systems Symposium (EDAPS)", "year": 2017 }, { "abstract": "Single crystal AlN/diamond heterojunction with high density interface hole channel is successfully obtained by metal organic vapor phase epitaxy. The AlN layer is epitaxially grown on hydrogen terminated (H )diamond(111) substrate. The thermal treatment of diamond substrate just before AlN growth under hydrogen and ammonia mixture environment at 1250 degC leads to surface sheet hole density as high as ~1.0 x 1014 cm 2 without structural reconstruction of diamond surface. In addition, the use of smaller off cut angle (0.20 0.25deg) H diamond(111) substrate combined with this treatment enables to obtain single crystal epitaxial AlN layer, which simultaneously acts as passivation of the surface hole channel with such a high density. The AlN/H diamond(111) heterojunction reveals type II staggered energy band configuration with valence band offset of ~2.0 eV, which is suitable for the fabrication of p channel field effect transistor using AlN gate insulator/diamond heterojunction. These results are promising for.", "author_names": [ "Masataka Imura", "Ryan G Banal", "Meiyong Liao", "Jiangwei Liu", "Takashi Aizawa", "Akihiro Tanaka", "Hideo Iwai", "Takaaki Mano", "Yasuo Koide" ], "corpus_id": 125154525, "doc_id": "125154525", "n_citations": 12, "n_key_citations": 0, "score": 0, "title": "Effect of off cut angle of hydrogen terminated diamond(111) substrate on the quality of AlN towards high density AlN/diamond(111) interface hole channel", "venue": "", "year": 2017 }, { "abstract": "A lattice matched d doped In0.34Al0.66As0.85Sb0.15/InP heterostructure field effect transistor (HFET) which provides large band gap ~1.8 eV) high Schottky barrier height (phB>0.73 eV) and large conduction band discontinuity (DEc>0.7 eV) has been proposed. In0.34Al0.66As0.85Sb0.15/InP heterostructures are shown to be type II heterojunctions with the staggered band lineup. This HFET demonstrates a output conductance of less than 1 mS/mm. Two terminal gate source breakdown voltage is more than 20 V with a leakage current as low as 170 mA at room temperature. High three terminal off state breakdown voltage as high as 36 V, and three terminal on state breakdown voltage as high as 18.6 V are achieved. The gate voltage swing is also significantly improved.", "author_names": [ "Yi-Jing Lin", "W Y Hsu", "Chia-Yen Yeh", "H M Shieh" ], "corpus_id": 109181534, "doc_id": "109181534", "n_citations": 15, "n_key_citations": 0, "score": 0, "title": "In0.34Al0.66As0.85Sb0.15/d(n+ InP heterostructure field effect transistors", "venue": "", "year": 2000 } ]
active learning graph
[ { "abstract": "2D ferromagnetic (FM) semiconductors/half metals/metals are the key materials toward next generation spintronic devices. However, such materials are still rather rare and the material search space is too large to explore exhaustively. Here, an adaptive framework to accelerate the discovery of 2D intrinsic FM materials is developed, by combining advanced machine learning (ML) techniques with high throughput density functional theory calculations. Successfully, about 90 intrinsic FM materials with desirable bandgap and excellent thermodynamic stability are screened out and a database containing 1459 2D magnetic materials is set up. To improve the performance of ML models on small scale datasets like diverse 2D materials, a crystal graph multilayer descriptor using the elemental property is proposed, with which ML models achieve prediction accuracy over 90% on thermodynamic stability, magnetism, and bandgap. This study not only provides dozens of compelling FM candidates for future spintronics, but also paves a feasible route for ML based rapid screening of diverse structures and/or complex properties.", "author_names": [ "Shuaihua Lu", "Qionghua Zhou", "Yilv Guo", "Yehui Zhang", "Yilei Wu", "Jinlan Wang" ], "corpus_id": 219705251, "doc_id": "219705251", "n_citations": 20, "n_key_citations": 0, "score": 0, "title": "Coupling a Crystal Graph Multilayer Descriptor to Active Learning for Rapid Discovery of 2D Ferromagnetic Semiconductors/Half Metals/Metals.", "venue": "Advanced materials", "year": 2020 }, { "abstract": "The explosive growth of fake news along with destructive effects on politics, economy, and public safety has increased the demand for fake news detection. Fake news on social media does not exist independently in the form of an article. Many other entities, such as news creators, news subjects, and so on, exist on social media and have relationships with news articles. Different entities and relationships can be modeled as a heterogeneous information network (HIN) In this paper, we attempt to solve the fake news detection problem with the support of a news oriented HIN. We propose a novel fake news detection framework, namely Adversarial Active Learning based Heterogeneous Graph Neural Network (AA HGNN) which employs a novel hierarchical attention mechanism to perform node representation learning in the HIN. AA HGNN utilizes an active learning framework to enhance learning performance, especially when facing the paucity of labeled data. An adversarial selector will be trained to query high value candidates for the active learning framework. When the adversarial active learning is completed, AA HGNN detects fake news by classifying news article nodes. Experiments with two real world fake news datasets show that our model can outperform text based models and other graph based models when using less labeled data benefiting from the adversarial active learning. As a model with generalizability, AA HGNN also has the ability to be widely used in other node classification related applications on heterogeneous graphs.", "author_names": [ "Yuxiang Ren", "Bo Wang", "Jiawei Zhang", "Yi Chang" ], "corpus_id": 231719000, "doc_id": "231719000", "n_citations": 7, "n_key_citations": 0, "score": 0, "title": "Adversarial Active Learning based Heterogeneous Graph Neural Network for Fake News Detection", "venue": "2020 IEEE International Conference on Data Mining (ICDM)", "year": 2020 }, { "abstract": "Graph neural networks (GNNs) have been attracting increasing popularity due to their simplicity and effectiveness in a variety of fields. However, a large number of labeled data is generally required to train these networks, which could be very expensive to obtain in some domains. In this paper, we study active learning for GNNs, i.e. how to efficiently label the nodes on a graph to reduce the annotation cost of training GNNs. We formulate the problem as a sequential decision process on graphs and train a GNN based policy network with reinforcement learning to learn the optimal query strategy. By jointly training on several source graphs with full labels, we learn a transferable active learning policy which can directly generalize to unlabeled target graphs. Experimental results on multiple datasets from different domains prove the effectiveness of the learned policy in promoting active learning performance in both settings of transferring between graphs in the same domain and across different domains.", "author_names": [ "Shengding Hu", "Zheng Xiong", "Meng Qu", "Xingdi Yuan", "Marc-Alexandre Cote", "Zhiyuan Liu", "Jian Tang" ], "corpus_id": 220042285, "doc_id": "220042285", "n_citations": 6, "n_key_citations": 0, "score": 0, "title": "Graph Policy Network for Transferable Active Learning on Graphs", "venue": "NeurIPS", "year": 2020 }, { "abstract": "Active learning aims to reduce labeling efforts by selectively asking humans to annotate the most important data points from an unlabeled pool and is an example of human machine interaction. Though active learning has been extensively researched for classification and ranking problems, it is relatively understudied for regression problems. Most existing active learning for regression methods use the regression function learned at each active learning iteration to select the next informative point to query. This introduces several challenges such as handling noisy labels, parameter uncertainty and overcoming initially biased training data. Instead, we propose a feature focused approach that formulates both sequential and batch mode active regression as a novel bipartite graph optimization problem. We conduct experiments on both noise free and noisy settings. Our experimental results on benchmark data sets demonstrate the effectiveness of our proposed approach.", "author_names": [ "Hongjing Zhang", "S S Ravi", "Ian Davidson" ], "corpus_id": 210965980, "doc_id": "210965980", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "A Graph Based Approach for Active Learning in Regression", "venue": "SDM", "year": 2020 }, { "abstract": "Author(s) Miller, Kevin; Li, Hao; Bertozzi, Andrea L Abstract: We present a novel adaptation of active learning to graph based semi supervised learning (SSL) under non Gaussian Bayesian models. We present an approximation of non Gaussian distributions to adapt previously Gaussian based acquisition functions to these more general cases. We develop an efficient rank one update for applying \"look ahead\" based methods as well as model retraining. We also introduce a novel \"model change\" acquisition function based on these approximations that further expands the available collection of active learning acquisition functions for such methods.", "author_names": [ "Kevin Miller", "Hao Li", "A Bertozzi" ], "corpus_id": 220683806, "doc_id": "220683806", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Efficient Graph Based Active Learning with Probit Likelihood via Gaussian Approximations", "venue": "ArXiv", "year": 2020 }, { "abstract": "Node classification in attributed graphs is an important task in multiple practical settings, but it can often be difficult or expensive to obtain labels. Active learning can improve the achieved classification performance for a given budget on the number of queried labels. The best existing methods are based on graph neural networks, but they often perform poorly unless a sizeable validation set of labelled nodes is available in order to choose good hyperparameters. We propose a novel graph based active learning algorithm for the task of node classification in attributed graphs; our algorithm uses graph cognizant logistic regression, equivalent to a linearized graph convolutional neural network (GCN) for the prediction phase and maximizes the expected error reduction in the query phase. To reduce the delay experienced by a labeller interacting with the system, we derive a preemptive querying system that calculates a new query during the labelling process, and to address the setting where learning starts with almost no labelled data, we also develop a hybrid algorithm that performs adaptive model averaging of label propagation and linearized GCN inference. We conduct experiments on five public benchmark datasets, demonstrating a significant improvement over state of the art approaches and illustrate the practical value of the method by applying it to a private microwave link network dataset.", "author_names": [ "Florence Regol", "Soumyasundar Pal", "Yingxue Zhang", "Mark J Coates" ], "corpus_id": 220486339, "doc_id": "220486339", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Active Learning on Attributed Graphs via Graph Cognizant Logistic Regression and Preemptive Query Generation", "venue": "ICML", "year": 2020 }, { "abstract": "We present a graph based semi supervised learning (SSL) method for learning edge flows defined on a graph. Specifically, given flow measurements on a subset of edges, we want to predict the flows on the remaining edges. To this end, we develop a computational framework that imposes certain constraints on the overall flows, such as (approximate) flow conservation. These constraints render our approach different from classical graph based SSL for vertex labels, which posits that tightly connected nodes share similar labels and leverages the graph structure accordingly to extrapolate from a few vertex labels to the unlabeled vertices. We derive bounds for our method's reconstruction error and demonstrate its strong performance on synthetic and real world flow networks from transportation, physical infrastructure, and the Web. Furthermore, we provide two active learning algorithms for selecting informative edges on which to measure flow, which has applications for optimal sensor deployment. The first strategy selects edges to minimize the reconstruction error bound and works well on flows that are approximately divergence free. The second approach clusters the graph and selects bottleneck edges that cross cluster boundaries, which works well on flows with global trends.", "author_names": [ "J Jia", "Michael T Schaub", "Santiago Segarra", "Austin R Benson" ], "corpus_id": 159041450, "doc_id": "159041450", "n_citations": 27, "n_key_citations": 3, "score": 1, "title": "Graph based Semi Supervised Active Learning for Edge Flows", "venue": "KDD", "year": 2019 }, { "abstract": "Construct a knowledge graph is time consuming and the knowledge graph in the scientific domain requires extremely high labor costs due to it requires high prior knowledge to extract knowledge from resources. To build a scientific research knowledge graph, the most of input are papers, patent, the description of their project and some national program (such as National High Technology Research and Development Program of China, Major State Basic Research Development Program of China, General Program, Key Program and Major Program) which all of them are unstructured data, that make human participation are mostly necessary to measure the quality. In this paper, we design and proposed a framework using active learning; this framework can be used to extract entity and relation from unstructured science and technology research data. This framework combines the human and machine learning approach together, which is active learning, to help user extract entity from those unstructured data with less time cost. By using those data to construct a CKG as annotation label, it further implements active learning tools and helps the expert to rapidly annotate the data with high accuracy. Those knowledge graph constructed by this framework can be used to finding similar research area, finding similar researchers, finding popular research areas and so on.", "author_names": [ "Jing Dong", "Peng Ren", "Ye Wang", "Jingjing Cao" ], "corpus_id": 225290624, "doc_id": "225290624", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "A Framework Using Active Learning to Rapidly Perform Named Entity Extraction and Relation Recognition for Science and Technology Knowledge Graph", "venue": "", "year": 2020 }, { "abstract": "Creating a knowledge graph automatically from raw unstructured text has always been a job of domain expert which takes months to curate and refine. In this paper, we propose a domain independent semi automatic knowledge graph learning system that can be trained with less amount of data, to identify entities and relations from a large text corpus. The system performs the following tasks to extract knowledge graph from the text: (i) Named Entity Recognition (NER) and (ii) Relation Identification (Open Relation Extraction (OpenRE) and Classification) The system uses deep active learning to calculate confidence scores using maximum normalized log probability on each prediction for both NER, and relation identification. We experimented with both LSTM and transformer based models for NER and relation identification tasks.We achieved around 88% F1 score for the NER task on OntoNotes 5.0 English data set with 40% training data set and above 83% F1 score for relation identification on TACRED dataset. The OpenRE and relation classification systems were trained on domain specific datasets. To the best of our knowledge, we are the first to introduce a knowledge graph generation learning system with deep active learning.", "author_names": [ "Abhishek Pradhan", "Ketan Kumar Todi", "Anbarasan Selvarasu", "Atish Sanyal" ], "corpus_id": 221543660, "doc_id": "221543660", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Knowledge Graph Generation with Deep Active Learning", "venue": "2020 International Joint Conference on Neural Networks (IJCNN)", "year": 2020 }, { "abstract": "Predictive modeling of networked data finds many real world applications, such as fraud detection in social networks, drug discovery in biomedical networks, paper topic classification in citation networks, and so forth. Although the advanced machine learning approaches can help build reasonably accurate predictive models, their applicability is immensely hindered by the data labeling tasks, which are onerous, time consuming, and error prone. In this paper, we propose a novel active learning paradigm for networked data, named topology and content aware (TACA) active learning, aiming to minimize the number of labels while achieving a desirable level of model accuracy. Overall, TACA advances existing works from two aspects: (1) TACA makes no assumption on the network property, whereas most existing works only perform effectively on a locally consistent network in which linked nodes are expected to share the same labels and (2) TACA generates queries without relying on model performance, thereby enjoying robust predictive results even when noises exist in the queried labels. Both theoretical and empirical evidences are presented, substantiating the effectiveness of and optimism our approach.", "author_names": [ "Yi He", "Xu Yuan", "Nian-Feng Tzeng", "Xindong Wu" ], "corpus_id": 231915663, "doc_id": "231915663", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Active Learning with Multi Granular Graph Auto Encoder", "venue": "2020 IEEE International Conference on Data Mining (ICDM)", "year": 2020 } ]
Impedance Spectroscopy: Theory, Experiment, and .
[ { "abstract": "Preface. Preface to the First Edition. Contributors. Contributors to the First Edition. Chapter 1. Fundamentals of Impedance Spectroscopy (J.Ross Macdonald and William B. Johnson) 1.1. Background, Basic Definitions, and History. 1.1.1 The Importance of Interfaces. 1.1.2 The Basic Impedance Spectroscopy Experiment. 1.1.3 Response to a Small Signal Stimulus in the Frequency Domain. 1.1.4 Impedance Related Functions. 1.1.5 Early History. 1.2. Advantages and Limitations. 1.2.1 Differences Between Solid State and Aqueous Electrochemistry. 1.3. Elementary Analysis of Impedance Spectra. 1.3.1 Physical Models for Equivalent Circuit Elements. 1.3.2 Simple RC Circuits. 1.3.3 Analysis of Single Impedance Arcs. 1.4. Selected Applications of IS. Chapter 2. Theory (Ian D. Raistrick, Donald R. Franceschetti, and J. Ross Macdonald) 2.1. The Electrical Analogs of Physical and Chemical Processes. 2.1.1 Introduction. 2.1.2 The Electrical Properties of Bulk Homogeneous Phases. 2.1.2.1 Introduction. 2.1.2.2 Dielectric Relaxation in Materials with a Single Time Constant. 2.1.2.3 Distributions of Relaxation Times. 2.1.2.4 Conductivity and Diffusion in Electrolytes. 2.1.2.5 Conductivity and Diffusion a Statistical Description. 2.1.2.6 Migration in the Absence of Concentration Gradients. 2.1.2.7 Transport in Disordered Media. 2.1.3 Mass and Charge Transport in the Presence of Concentration Gradients. 2.1.3.1 Diffusion. 2.1.3.2 Mixed Electronic Ionic Conductors. 2.1.3.3 Concentration Polarization. 2.1.4 Interfaces and Boundary Conditions. 2.1.4.1 Reversible and Irreversible Interfaces. 2.1.4.2 Polarizable Electrodes. 2.1.4.3 Adsorption at the Electrode Electrolyte Interface. 2.1.4.4 Charge Transfer at the Electrode Electrolyte Interface. 2.1.5 Grain Boundary Effects. 2.1.6 Current Distribution, Porous and Rough Electrodes the Effect of Geometry. 2.1.6.1 Current Distribution Problems. 2.1.6.2 Rough and Porous Electrodes. 2.2. Physical and Electrochemical Models. 2.2.1 The Modeling of Electrochemical Systems. 2.2.2 Equivalent Circuits. 2.2.2.1 Unification of Immitance Responses. 2.2.2.2 Distributed Circuit Elements. 2.2.2.3 Ambiguous Circuits. 2.2.3 Modeling Results. 2.2.3.1 Introduction. 2.2.3.2 Supported Situations. 2.2.3.3 Unsupported Situations: Theoretical Models. 2.2.3.4 Unsupported Situations: Equivalent Network Models. 2.2.3.5 Unsupported Situations: Empirical and Semiempirical Models. Chapter 3. Measuring Techniques and Data Analysis. 3.1. Impedance Measurement Techniques (Michael C. H. McKubre and Digby D. Macdonald) 3.1.1 Introduction. 3.1.2 Frequency Domain Methods. 3.1.2.1 Audio Frequency Bridges. 3.1.2.2 Transformer Ratio Arm Bridges. 3.1.2.3 Berberian Cole Bridge. 3.1.2.4 Considerations of Potentiostatic Control. 3.1.2.5 Oscilloscopic Methods for Direct Measurement. 3.1.2.6 Phase Sensitive Detection for Direct Measurement. 3.1.2.7 Automated Frequency Response Analysis. 3.1.2.8 Automated Impedance Analyzers. 3.1.2.9 The Use of Kramers Kronig Transforms. 3.1.2.10 Spectrum Analyzers. 3.1.3 Time Domain Methods. 3.1.3.1 Introduction. 3.1.3.2 Analog to Digital (A/D) Conversion. 3.1.3.3 Computer Interfacing. 3.1.3.4 Digital Signal Processing. 3.1.4 Conclusions. 3.2. Commercially Available Impedance Measurement Systems (Brian Sayers) 3.2.1 Electrochemical Impedance Measurement Systems. 3.2.1.1 System Configuration. 3.2.1.2 Why Use a Potentiostat? 3.2.1.3 Measurements Using 2, 3 or 4 Terminal Techniques. 3.2.1.4 Measurement Resolution and Accuracy. 3.2.1.5 Single Sine and FFT Measurement Techniques. 3.2.1.6 Multielectrode Techniques. 3.2.1.7 Effects of Connections and Input Impedance. 3.2.1.8 Verification of Measurement Performance. 3.2.1.9 Floating Measurement Techniques. 3.2.1.10 Multichannel Techniques. 3.2.2 Materials Impedance Measurement Systems. 3.2.2.1 System Configuration. 3.2.2.2 Measurement of Low Impedance Materials. 3.2.2.3 Measurement of High Impedance Materials. 3.2.2.4 Reference Techniques. 3.2.2.5 Normalization Techniques. 3.2.2.6 High Voltage Measurement Techniques. 3.2.2.7 Temperature Control. 3.2.2.8 Sample Holder Considerations. 3.3. Data Analysis (J. Ross Macdonald) 3.3.1 Data Presentation and Adjustment. 3.3.1.1 Previous Approaches. 3.3.1.2 Three Dimensional Perspective Plotting. 3.3.1.3 Treatment of Anomalies. 3.3.2 Data Analysis Methods. 3.3.2.1 Simple Methods. 3.3.2.2 Complex Nonlinear Least Squares. 3.3.2.3 Weighting. 3.3.2.4 Which Impedance Related Function to Fit? 3.3.2.5 The Question of \"What to Fit\" Revisited. 3.3.2.6 Deconvolution Approaches. 3.3.2.7 Examples of CNLS Fitting. 3.3.2.8 Summary and Simple Characterization Example. Chapter 4. Applications of Impedance Spectroscopy. 4.1. Characterization of Materials (N. Bonanos, B. C. H. Steele, and E. P. Butler) 4.1.1 Microstructural Models for Impedance Spectra of Materials. 4.1.1.1 Introduction. 4.1.1.2 Layer Models. 4.1.1.3 Effective Medium Models. 4.1.1.4 Modeling of Composite Electrodes. 4.1.2 Experimental Techniques. 4.1.2.1 Introduction. 4.1.2.2 Measurement Systems. 4.1.2.3 Sample Preparation Electrodes. 4.1.2.4 Problems Associated With the Measurement of Electrode Properties. 4.1.3 Interpretation of the Impedance Spectra of Ionic Conductors and Interfaces. 4.1.3.1 Introduction. 4.1.3.2 Characterization of Grain Boundaries by IS. 4.1.3.3 Characterization of Two Phase Dispersions by IS. 4.1.3.4 Impedance Spectra of Unusual Two phase Systems. 4.1.3.5 Impedance Spectra of Composite Electrodes. 4.1.3.6 Closing Remarks. 4.2. Characterization of the Electrical Response of High Resistivity Ionic and Dielectric Solid Materials by Immittance Spectroscopy (J. Ross Macdonald) 4.2.1 Introduction. 4.2.2 Types of Dispersive Response Models: Strengths and Weaknesses. 4.2.2.1 Overview. 4.2.2.2 Variable slope Models. 4.2.2.3 Composite Models. 4.2.3 Illustration of Typical Data Fitting Results for an Ionic Conductor. 4.3. Solid State Devices (William B. Johnson and Wayne L. Worrell) 4.3.1 Electrolyte Insulator Semiconductor (EIS) Sensors. 4.3.2 Solid Electrolyte Chemical Sensors. 4.3.3 Photoelectrochemical Solar Cells. 4.3.4 Impedance Response of Electrochromic Materials and Devices (Gunnar A. Niklasson, Anna Karin Johsson, and Maria Stromme) 4.3.4.1 Introduction. 4.3.4.2 Materials. 4.3.4.3 Experimental Techniques. 4.3.4.4 Experimental Results on Single Materials. 4.3.4.5 Experimental Results on Electrochromic Devices. 4.3.4.6 Conclusions and Outlook. 4.3.5 Time Resolved Photocurrent Generation (Albert Goossens) 4.3.5.1 Introduction Semiconductors. 4.3.5.2 Steady State Photocurrents. 4.3.5.3 Time of Flight. 4.3.5.4 Intensity Modulated Photocurrent Spectroscopy. 4.3.5.5 Final Remarks. 4.4. Corrosion of Materials (Digby D. Macdonald and Michael C. H. McKubre) 4.4.1 Introduction. 4.4.2 Fundamentals. 4.4.3 Measurement of Corrosion Rate. 4.4.4 Harmonic Analysis. 4.4.5 Kramer Kronig Transforms. 4.4.6 Corrosion Mechanisms. 4.4.6.1 Active Dissolution. 4.4.6.2 Active Passive Transition. 4.4.6.3 The Passive State. 4.4.7 Point Defect Model of the Passive State (Digby D. Macdonald) 4.4.7.1 Introduction. 4.4.7.2 Point Defect Model. 4.4.7.3 Electrochemical Impedance Spectroscopy. 4.4.7.4 Bilayer Passive Films. 4.4.8 Equivalent Circuit Analysis (Digby D. Macdonald and Michael C. H. McKubre) 4.4.8.1 Coatings. 4.4.9 Other Impedance Techniques. 4.4.9.1 Electrochemical Hydrodynamic Impedance (EHI) 4.4.9.2 Fracture Transfer Function (FTF) 4.4.9.3 Electrochemical Mechanical Impedance. 4.5. Electrochemical Power Sources. 4.5.1 Special Aspects of Impedance Modeling of Power Sources (Evgenij Barsoukov) 4.5.1.1 Intrinsic Relation Between Impedance Properties and Power Sources Performance. 4.5.1.2 Linear Time Domain Modeling Based on Impedance Models, Laplace Transform. 4.5.1.3 Expressing Model Parameters in Electrical Terms, Limiting Resistances and Capacitances of Distributed Elements. 4.5.1.4 Discretization of Distributed Elements, Augmenting Equivalent Circuits. 4.5.1.5 Nonlinear Time Domain Modeling of Power Sources Based on Impedance Models. 4.5.1.6 Special Kinds of Impedance Measurement Possible with Power Sources Passive Load Excitation and Load Interrupt. 4.5.2 Batteries (Evgenij Barsoukov) 4.5.2.1 Generic Approach to Battery Impedance Modeling. 4.5.2.2 Lead Acid Batteries. 4.5.2.3 Nickel Cadmium Batteries. 4.5.2.4 Nickel Metal hydride Batteries. 4.5.2.5 Li ion Batteries. 4.5.3 Impedance Behavior of Electrochemical Supercapacitors and Porous Electrodes (Brian E. Conway) 4.5.3.1 Introduction. 4.5.3.2 The Time Factor in Capacitance Charge or Discharge. 4.5.3.3 Nyquist (or Argand) Complex Plane Plots for Representation of Impedance Behavior. 4.5.3.4 Bode Plots of Impedance Parameters for Capacitors. 4.5.3.5 Hierarchy of Equivalent Circuits and Representation of Electrochemical Capacitor Behavior. 4.5.3.6 Impedance and Voltammetry Behavior of Brush Electrode Models of Porous Electrodes. 4.5.3.7 Impedance Behavior of Supercapacitors Based on Pseudocapacitance. 4.5.3.8 Deviations of Double layer Capacitance from Ideal Behavior: Representation by a Constant phase Element (CPE) 4.5.4 Fuel Cells (Norbert Wagner) 4.5.4.1 Introduction. 4.5.4.2 Alkaline Fuel Cells (AFC) 4.5.4.3 Polymer Electrolyte Fuel Cells (PEFC) 4.5.4.4 Solid Oxide Fuel Cells (SOFC) Appendix. Abbreviations and Definitions of Models. References. Index.", "author_names": [ "Evgenij Barsoukov", "James Ross Macdonald" ], "corpus_id": 94055700, "doc_id": "94055700", "n_citations": 4709, "n_key_citations": 177, "score": 1, "title": "Impedance spectroscopy theory, experiment, and applications", "venue": "", "year": 2005 }, { "abstract": "Description: A skillful balance of theoretical considerations and practical know how Backed by a team of expert contributors, the Second Edition of this highly acclaimed publication brings a solid understanding of impedance spectroscopy to students, researchers, and engineers in physical chemistry, electrochemistry, and physics. Starting with general principles, the book moves on to explain in detail practical applications for the characterization of materials in electrochemistry, semiconductors, solid electrolytes, corrosion, solid state devices, and electrochemical power sources. The book covers all of the topics needed to help readers identify whether impedance spectroscopy may be an appropriate method for their particular research problem. The book helps readers quickly grasp how to apply their new knowledge of impedance spectroscopy methods to their own research problems through the use of unique features such as: Step by step instructions for setting up experiments and then analyzing the results Theoretical considerations for dealing with modeling, equivalent circuits, and equations in the complex domain Best measurement methods for particular systems and alerts to potential sources of errors Equations for the most widely used impedance models Figures depicting impedance spectra of typical materials and devices Extensive references to the scientific literature for more information on particular topics and current research This Second Edition incorporates the results of the last two decades of research on the theories and applications of impedance spectroscopy. Most notably, it includes new chapters on batteries, supercapacitors, fuel cells, and photochromic materials. A new chapter on commercially available measurement systems reflects the emergence of impedance spectroscopy as a mainstream research tool. With its balanced focus on both theory and practical problem solving, Impedance Spectroscopy: Theory, Experiment, and Applications, Second Edition serves as an excellent graduate level textbook as well as a hands on guide and reference for researchers and engineers.", "author_names": [ "Tal M Nahir" ], "corpus_id": 96032957, "doc_id": "96032957", "n_citations": 230, "n_key_citations": 7, "score": 0, "title": "Impedance Spectroscopy: Theory, Experiment, and Applications, 2nd ed Edited by Evgenij Barsoukov (Texas Instruments Inc. and J. Ross Macdonald (University of North Carolina, Chapel Hill) John Wiley Sons, Inc. Hoboken, NJ. 2005. xvii 596 pp. $125.00. ISBN 0471 64749 7.", "venue": "", "year": 2005 }, { "abstract": "Umfassende Monographie mit ausfuhrlichem Theorieteil und verschiedene Anwendungen der elektrochemischen Impedanzspektroskopie", "author_names": [ "James Ross Macdonald", "William B Johnson", "Ian D Raistrick", "Donald R Franceschetti", "Norbert Wagner", "Michael Charles Harold Mckubre", "Digby D Macdonald", "Brian Sayers", "N Bonanos", "Brian Charles Hilton Steele", "Ernest Paul Butler", "Wayne L Worell", "Gunnar A Niklasson", "Sara Malmgren", "Maria Stromme", "S Kamakshi Sundaram", "George R Engelhardt", "Evgenij Barsoukov", "B E Conway", "Wendy Pell", "C M Roland", "Robert S Eisenberg" ], "corpus_id": 197609835, "doc_id": "197609835", "n_citations": 14, "n_key_citations": 0, "score": 0, "title": "Impedance Spectroscopy: Theory, Experiment, and Applications, Third Edition", "venue": "", "year": 2018 }, { "abstract": "", "author_names": [ "Aleksei M Zheltikov" ], "corpus_id": 95801887, "doc_id": "95801887", "n_citations": 54, "n_key_citations": 5, "score": 0, "title": "Impedance Spectroscopy: Theory, Experiment, and Applications Second Edition. Evgenij Barsoukov and J. Ross Macdonald (eds) John Wiley Sons, Inc. Hoboken, New Jersey, 2005, pp. 595", "venue": "", "year": 2007 }, { "abstract": "", "author_names": [ "Wayne Reitz" ], "corpus_id": 138007583, "doc_id": "138007583", "n_citations": 7, "n_key_citations": 0, "score": 0, "title": "A Review of: \"Impedance Spectroscopy, Theory, Experiment, and Applications\"", "venue": "", "year": 2006 }, { "abstract": "", "author_names": [ "Wayne Reitz" ], "corpus_id": 137233601, "doc_id": "137233601", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "A Review of: \"Impedance Spectroscopy, Theory, Experiment, and Applications, E. Barsoukov and J. R. Macdonald, (editors)\"", "venue": "", "year": 2007 }, { "abstract": "For the purpose of predicting the state of charge of Lithium ion rechargeable battery accurately, we introduced the method of electrochemical impedance spectroscopy to solve the problem. The experiment data of impedance spectroscopy is comprised of an inductive arc in the high frequency region and two capacitive arcs in the low frequency region, and by which the reasonable equivalent circuit of battery was established. The component parameters obtained at several state of charge values of the battery had been analyzed by a non linear least squares fitting procedure and some electrochemical knowledge. Through researching the changing regulation of parameters with the different States of charge, the frequency of maximum of the semicircle (fmax) the phase angle o, the equivalent series capacitance (Cs) had been substantiated to be the suitable parameters for analyzing and predicting the state of charge values of the lithium ion battery.", "author_names": [ "Li Ran", "Wu Junfeng", "Wang Haiying", "Li Gechen" ], "corpus_id": 14133004, "doc_id": "14133004", "n_citations": 74, "n_key_citations": 6, "score": 0, "title": "Prediction of state of charge of Lithium ion rechargeable battery with electrochemical impedance spectroscopy theory", "venue": "2010 5th IEEE Conference on Industrial Electronics and Applications", "year": 2010 }, { "abstract": "A comprehensive review of porosity origin, microstructure peculiarities, fabrication methods, and mathematical models, as well as systematical experimental results for different porous piezoceramics with 3 0/3 3 connectivity is presented. Critical comparison of numerical FEM calculations with the results of various approximated formulas, unit cell models, and experimental data for different porous piezoceramics was carried out. Complex sets of elastic, dielectric and piezoelectric coefficients were measured by ultrasonic and impedance spectroscopy methods. Finite element modeling of effective constants of the porous piezoceramics was performed using ANSYS software package. Microstructural and physical mechanisms of losses and dispersion in porous piezoceramics, as well as technological aspects of its large scale manufacture and application in ultrasonic transducers were considered.", "author_names": [ "Andrey Rybianets", "A V Nasedkin" ], "corpus_id": 53496295, "doc_id": "53496295", "n_citations": 1, "n_key_citations": 1, "score": 0, "title": "Porous ferroelectric ceramics: theory, experiment and applications", "venue": "", "year": 2007 }, { "abstract": "The fast modulation of lasers is a fundamental requirement for applications in optical communications, high resolution spectroscopy and metrology. In the terahertz frequency range, the quantum cascade laser (QCL) is a high power source with the potential for high frequency modulation. However, conventional electronic modulation is limited fundamentally by parasitic device impedance, and so alternative physical processes must be exploited to modulate the QCL gain on ultrafast timescales. Here, we demonstrate an alternative mechanism to modulate the emission from a QCL device, whereby optically generated acoustic phonon pulses are used to perturb the QCL bandstructure, enabling fast amplitude modulation that can be controlled using the QCL drive current or strain pulse amplitude, to a maximum modulation depth of 6% in our experiment. We show that this modulation can be explained using perturbation theory analysis. While the modulation rise time was limited to ~800 ps by our measurement system, theoretical considerations suggest considerably faster modulation could be possible. The typical electronic modulation of terahertz quantum cascade lasers is fundamentally limited at fast timescales by device properties. Here the authors propose and study an alternative, acoustic mechanism for modulating such THz QCLs at high speed.", "author_names": [ "Aniela Dunn", "Caroline L Poyser", "Paul Dean", "Aleksandar Demic", "Alexander Valavanis", "Dragan Indjin", "Mohammed Salih", "Iman Kundu", "Lianhe Li", "Andrey V Akimov", "Alexander Giles Davies", "Edmund H Linfield", "John E Cunningham", "Anthony J Kent" ], "corpus_id": 211074450, "doc_id": "211074450", "n_citations": 10, "n_key_citations": 1, "score": 0, "title": "High speed modulation of a terahertz quantum cascade laser by coherent acoustic phonon pulses", "venue": "Nature Communications", "year": 2020 }, { "abstract": "Ionic liquids are of high interest for the development of safe electrolytes in modern electrochemical cells, such as batteries, supercapacitors and dye sensitised solar cells. However, electrochemical applications of ionic liquids are still hindered by the limited understanding of the interface between electrode materials and ionic liquids. In this article, we first review the state of the art in both experiment and theory. Then we illustrate some general trends by taking the interface between the extremely pure ionic liquid 1 butyl 1 methylpyrrolidinium tris(pentafluoroethyl)trifluorophosphate and an Au(111) electrode as an example. For the study of this interface, electrochemical impedance spectroscopy was combined with in situ STM and in situ AFM techniques. In addition, we present new results for the temperature dependence of the interfacial capacitance and dynamics. Since the interfacial dynamics are characterised by different processes taking place on different time scales, the temperature dependence of the dynamics can only be reliably studied by recording and carefully analysing broadband capacitance spectra. Single frequency experiments may lead to artefacts in the temperature dependence of the interfacial capacitance. We demonstrate that the fast capacitive process exhibits a Vogel Fulcher Tamman temperature dependence, since its time scale is governed by the ionic conductivity of the ionic liquid. In contrast, the slower capacitive process appears to be Arrhenius activated. This suggests that the time scale of this process is determined by a temperature independent barrier, which may be related to structural reorganisations of the Au surface and/or to charge redistributions in the strongly bound innermost ion layer.", "author_names": [ "Marcel Druschler", "Natalia Borisenko", "Jens Wallauer", "Christian Winter", "Benedikt Huber", "Frank Endres", "Bernhard Roling" ], "corpus_id": 40797969, "doc_id": "40797969", "n_citations": 129, "n_key_citations": 1, "score": 0, "title": "New insights into the interface between a single crystalline metal electrode and an extremely pure ionic liquid: slow interfacial processes and the influence of temperature on interfacial dynamics.", "venue": "Physical chemistry chemical physics PCCP", "year": 2012 } ]
Hot-Electron Transfer from Semiconductor Nanocrystals
[ { "abstract": "Hot on the Trail Solar cells essentially operate by absorbing light, which needs to be above a certain energy threshold. The absorbed light then liberates charges within the solar cell to carry electrical current. Unfortunately, the liberated charges behave the same way whether they are excited right at the threshold (e.g. by visible light) or well above it (by ultraviolet light) which leads to any excess energy being dissipated as waste heat. Tisdale et al. (p. 1543) have documented a potential first step toward resolving this inefficiency. Specifically, electrons excited by light absorption in lead selenide nanocrystals were able to migrate to an adjacent titanium dioxide surface without releasing their excess energy to heat. The next step will be to devise a means of harnessing the stored energy in a circuit. Extraction of highly excited electrons formed in a light absorbing material may make solar cells more efficient. In typical semiconductor solar cells, photons with energies above the semiconductor bandgap generate hot charge carriers that quickly cool before all of their energy can be captured, a process that limits device efficiency. Although fabricating the semiconductor in a nanocrystalline morphology can slow this cooling, the transfer of hot carriers to electron and hole acceptors has not yet been thoroughly demonstrated. We used time resolved optical second harmonic generation to observe hot electron transfer from colloidal lead selenide (PbSe) nanocrystals to a titanium dioxide (TiO2) electron acceptor. With appropriate chemical treatment of the nanocrystal surface, this transfer occurred much faster than expected. Moreover, the electric field resulting from sub 50 femtosecond charge separation across the PbSe TiO2 interface excited coherent vibrations of the TiO2 surface atoms, whose motions could be followed in real time.", "author_names": [ "William A Tisdale", "Kenrick J Williams", "Brooke A Timp", "David J Norris", "Eray S Aydil", "X-Y Zhu" ], "corpus_id": 35169618, "doc_id": "35169618", "n_citations": 695, "n_key_citations": 8, "score": 1, "title": "Hot Electron Transfer from Semiconductor Nanocrystals", "venue": "Science", "year": 2010 }, { "abstract": "Non noble metal plasmonic materials, e.g. doped semiconductor nanocrystals, compared to their noble metal counterparts, have shown unique advantages, including broadly tunable plasmon frequency (from visible to infrared) and rich surface chemistry. However, the fate and harvesting of hot electrons from these non noble metal plasmons have been much less explored. Here we report plasmon driven hot electron generation and transfer from plasmonic metal oxide nanocrystals to surface adsorbed molecules by ultrafast transient absorption spectroscopy. We show unambiguously that under infrared light excitation, hot electron transfers in ultrafast timescale <50 fs) with an efficiency of 1.4% The excitation wavelength and fluence dependent study indicates that hot electron transfers right after Landau damping before electron thermalization. We revealed the efficiency limiting factors and provided improvement strategies. This study paves the way for designing efficient infrared light absorption and photochemical conversion applications based on non noble metal plasmonic materials. Harvesting of hot electrons in non noble metal plasmonic materials is still little explored. Here the authors investigate plasmon driven hot electron generation in doped metal oxide nanocrystals and the mechanism of transfer to surface adsorbed molecules by ultrafast transient absorption spectroscopy.", "author_names": [ "Dongming Zhou", "Xufeng Li", "Qiaohui Zhou", "Haiming Zhu" ], "corpus_id": 219553547, "doc_id": "219553547", "n_citations": 7, "n_key_citations": 0, "score": 0, "title": "Infrared driven hot electron generation and transfer from non noble metal plasmonic nanocrystals", "venue": "Nature Communications", "year": 2020 }, { "abstract": "Generation of hot electrons and their utilization in photoinduced chemical processes have been the subjects of intense research in recent years mostly exploring hot electrons in plasmonic metal nanostructures created via decay of optically excited plasmon. Here, we present recent progress made in generation and utilization of a different type of hot electrons produced via biphotonic exciton to hot electron \"upconversion\" in Mn doped semiconductor nanocrystals. Compared to the plasmonic hot electrons, those produced via biphotonic upconversion in Mn doped semiconductor nanocrystals possess much higher energy, enabling more efficient long range electron transfer across the high energy barrier. They can even be ejected above the vacuum level creating photoelectrons, which can possibly produce solvated electrons. Despite the biphotonic nature of the upconversion process, hot electrons can be generated with weak cw excitation equivalent to the concentrated solar radiation without requiring intense or high energy photons. This perspective reviews recent work elucidating the mechanism of generating energetic hot electrons in Mn doped semiconductor nanocrystals, detection of these hot electrons as photocurrent or photoelectron emission, and their utilization in chemical processes such as photocatalysis. New opportunities that the energetic hot electrons can open by creating solvated electrons, which can be viewed as the longer lived and mobile version of hot electrons more useful for chemical processes, and the challenges in practical utilization of energetic hot electrons are also discussed.", "author_names": [ "David Parobek", "Tian Qiao", "Dong Hee Son" ], "corpus_id": 203640524, "doc_id": "203640524", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Energetic hot electrons from exciton to hot electron upconversion in Mn doped semiconductor nanocrystals.", "venue": "The Journal of chemical physics", "year": 2019 }, { "abstract": "Semiconductor metal hybrid nanostructures are recognized as great materials due to their high level of light induced charge separation, which has direct relevance in photocatalysis and solar energy conversion. To understand the mechanism of charge separation processes, hybrid CdSe@CdS{Au} nano heterostructures containing Au nanoparticles (NPs) with different sizes were synthesized, and the ultrafast charge transfer dynamics were monitored using femtosecond transient absorption spectroscopy. Steady state optical absorption studies suggest the formation of charge transfer complexes between core shell nanocrystals (NCs) and Au NPs. Steady state and time resolved luminescence spectroscopy suggest electron transfer from the photo excited CdSe@CdS core shell QDs NCs to the Au NPs within the heterostructure. The ultrafast interfacial electron transfer dynamics in the heterostructures were monitored by femtosecond transient absorption spectroscopy. The results revealed that both hot and thermalized electrons are transferred from the core shell QDs to the metal NPs with time constants of 150 and 300 fs, respectively. Hot electron transfer from QDs to Au NPs was found to take place predominantly in the heterostructures depending on the sizes of the metal NPs. The photo degradation of rhodamin B in the presence of the CdSe@CdS{Au} heterostructures under visible light radiation suggests that the hot electrons in the heterostructures play a major role in photocatalytic degradation.", "author_names": [ "Jayanta Dana", "Partha Maity", "Hirendra N Ghosh" ], "corpus_id": 7947841, "doc_id": "7947841", "n_citations": 18, "n_key_citations": 0, "score": 0, "title": "Hot electron transfer from the semiconductor domain to the metal domain in CdSe@CdS{Au} nano heterostructures.", "venue": "Nanoscale", "year": 2017 }, { "abstract": "We demonstrate plasmon induced hot electron transfer in Ag CsPbBr3 hybrid nanocrystals. Using transient absorption spectroscopy, we have observed the highly efficient transfer hot electrons from metal Ag to semiconductor CsPbBr3 in a timescale of sub 100 fs.", "author_names": [ "Xinyu Huang", "Hongbo Li", "Chunfeng Zhang", "Zhenda Lu", "Min Xiao" ], "corpus_id": 51985776, "doc_id": "51985776", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Plasmon Induced Hot Electron Transfer in Ag CsPbBr3 Hybrid Nanocrystals", "venue": "2018 Conference on Lasers and Electro Optics (CLEO)", "year": 2018 }, { "abstract": "Exciton delocalizing ligands (EDLs) are of interest to researchers due to their ability to allow charge carriers to spread into the ligand shell of semiconductor nanocrystals (NCs) By increasing charge carrier surface accessibility, EDLs may facilitate the extraction of highly photoexcited carriers from NCs prior to their relaxation to the band edge, a process that can boost the performance of NC based photocatalysts and light harvesting systems. However, hot carrier extraction must compete with carrier cooling, which could be accelerated by the stronger interaction of charge carriers and EDLs. This report describes the influence of the EDL phenyldithiocarbamate (PTC) on the electron and hole cooling rates of CdSe NCs. Using state resolved transient absorption spectroscopy, we find that PTC treatment accelerates hole cooling by a factor of 1.7. However, upon further treatment of these NCs with cadmium(II) acetate, the hole cooling rate reverts to the value measured prior to PTC treatment, yet these NCs m.", "author_names": [ "Michael S Azzaro", "Mark C Babin", "Shannon K Stauffer", "Graeme A Henkelman", "Sean T Roberts" ], "corpus_id": 10244989, "doc_id": "10244989", "n_citations": 14, "n_key_citations": 0, "score": 0, "title": "Can Exciton Delocalizing Ligands Facilitate Hot Hole Transfer from Semiconductor Nanocrystals?", "venue": "", "year": 2016 }, { "abstract": "Semiconductor nanocrystals are known to have properties of bulk semiconductors as well as molecules. Two rules that govern molecules are that there is no dual emission (Kasha) and there is no spectrum to the emission quantum yield (Vavilov) We show that the latter rule of molecular spectroscopy is generally violated in semiconductor nanocrystals. Through experiments and theory on CdSe and perovskite nanocrystals, these violations are shown to arise via hot carrier effects. Experiments and simple phenomenology reveal that quantum yield spectra arise because of enhanced hot carrier trapping rates. A semiclassical electron transfer theory rationalizes a microscopic picture of the carrier kinetics. These effects are especially significant when quantifying syntheses of bright emitters such as perovskite nanocrystals. These effects are also a general approach to simple steady state measurements of the action of hot carrier kinetics.", "author_names": [ "Baihai Li", "Patrick J Brosseau", "Dallas P Strandell", "Timothy G Mack", "Patanjali Kambhampati" ], "corpus_id": 104468561, "doc_id": "104468561", "n_citations": 11, "n_key_citations": 0, "score": 0, "title": "Photophysical Action Spectra of Emission from Semiconductor Nanocrystals Reveal Violations to the Vavilov Rule Behavior from Hot Carrier Effects", "venue": "The Journal of Physical Chemistry C", "year": 2019 }, { "abstract": "Hybrid metal/semiconductor nano heterostructures with strong exciton plasmon coupling have been proposed for applications in hot carrier optoelectronic devices. However, the performance of devices based on this concept has been limited by the poor efficiency of plasmon hot electron conversion at the metal/semiconductor interface. Here, we report that the efficiency of interfacial hot excitation transfer can be substantially improved in hybrid metal semiconductor nano heterostructures consisting of perovskite semiconductors. In Ag CsPbBr3 nanocrystals, both the plasmon induced hot electron and the resonant energy transfer processes can occur on a time scale of less than 100 fs with quantum efficiencies of 50 18% and 15 5% respectively. The markedly high efficiency of hot electron transfer observed here can be ascribed to the increased metal/semiconductor coupling compared with those in conventional systems. These findings suggest that hybrid architectures of metal and perovskite semiconductors may be excellent candidates to achieve highly efficient plasmon induced hot carrier devices.Proposed devices exploiting the strong exciton plasmon coupling are limited by the low efficiency of hot carrier generation. Here, Huang et al. study the efficiencies of different plasmon hot electron conversion processes in metal/perovskite semiconductor nanocrystals to address this problem.", "author_names": [ "Xinyu Huang", "Hongbo Li", "Chunfeng Zhang", "Shijing Tan", "Zhangzhang Chen", "Lan Chen", "Zhenda Lu", "Xiaoyong Wang", "Min Xiao" ], "corpus_id": 73728469, "doc_id": "73728469", "n_citations": 44, "n_key_citations": 0, "score": 0, "title": "Efficient plasmon hot electron conversion in Ag CsPbBr3 hybrid nanocrystals", "venue": "Nature Communications", "year": 2019 }, { "abstract": "An ideal interface model combining a hematite nanoplate based photoanode with Au nanoparticles (NPs) is proposed for elucidating the specific role of Au NPs in photoelectrochemical performances. The theoretical and experimental results reveal that Au/Fe2O3 nanoplates can lead to an enhanced localized electric field at the metal semiconductor interface upon the formation of surface plasmon resonance and hot electrons, which can be injected into the conduction band of the semiconductor, thus improving the efficiency of the generation and separation of electron hole pairs. As expected, the Au/Fe2O3 nanoplate based photoelectrode possessed a higher carrier density and a photocurrent of 1.7 mA cm 2 and 3.8 mA cm 2 at 1.23 V and 1.5 V vs. RHE, which are nearly 5 times and 30 times larger than that of the Au/Fe2O3 nanocrystals and pristine Fe2O3 nanoplate based photoelectrodes, respectively.", "author_names": [ "Fengcai Lei", "Huimin Liu", "Jing Yu", "Zhaofeng Tang", "Junfeng Xie", "Pin Hao", "Guanwei Cui", "Bo Tang" ], "corpus_id": 58633035, "doc_id": "58633035", "n_citations": 12, "n_key_citations": 0, "score": 0, "title": "Promoted water splitting by efficient electron transfer between Au nanoparticles and hematite nanoplates: a theoretical and experimental study.", "venue": "Physical chemistry chemical physics PCCP", "year": 2019 }, { "abstract": "The excitonics of semiconductor nanocrystals (NC) depend upon temperature in a complex manner due to the interplay between the kinetics of hot exciton relaxation/trapping and the thermodynamics leading to cold exciton recombination. We apply a semiclassical electron transfer model of surface trapping to temperature dependent absorption and emission data to elucidate a microscopic picture of the factors which govern the fate of hot and cold excitons. The linear absorption spectra reveal a unique temperature dependence to the energies of higher excitonic states, while oscillator strength is shown to be temperature invariant. We identify the phonon based origin to the anomalous low temperature peak energy trend in photoluminescence (PL) spectra. PL intensities, PL lifetimes, and absorption spectra are used to demonstrate that variation of quantum yield with temperatures arises from the thermally controlled fraction of NC which emit, rather than from an activated nonradiative pathway common to all NCs. Experi.", "author_names": [ "John B Mooney", "Michael M Krause", "Patanjali Kambhampati" ], "corpus_id": 100744703, "doc_id": "100744703", "n_citations": 45, "n_key_citations": 1, "score": 0, "title": "Connecting the Dots: The Kinetics and Thermodynamics of Hot, Cold, and Surface Trapped Excitons in Semiconductor Nanocrystals", "venue": "", "year": 2014 } ]
Sensors and Sensory Systems for an Electronic Nose
[ { "abstract": "1. Odours The Stimulus for an Electronic Nose G.H. Dodd, P.N. Bartlett, J.W. Gardner. 2. Biophysical Properties of Olfactory Receptor Neurones H.A. Schultens, D. Schild. 3. Molecular Modeling and the Selective Sensor Response M. Thompson, D.C. Strone. 4. Odour Sensors for an Electronic Nose P.N. Bartlett, J.W. Gardner. 5. Fundamentals and Recent Developments of Homogeneous Semiconducting Sensors D. Kohl. 6. Fine Tuning of Electron and Ion Conducting Materials for Sensor Arrays W. Gopel, K D. Schierbaum, S. Vaihinger, U. Weimar. 7. Microsensors Based on Modulation of Work Function J. Janata. 8. Studies of Interactions Between Organic Vapours and Organic Semiconductors Aplications to Chemical Sensing M. Josowicz, P. Topart. 9. Silicon Based Surface Acoustic Wave Gas Sensors M.S. Nieuwenhuizen, A.J. Nederlof. 10. Miniaturisation of Gas Sensor Substrates. Problems and Benefits of Microelectronic Technology U. Dibbern. 11. Pattern Recognition in Odour Sensing J.W. Gardner, P.N. Bartlett. 12. Desired and Achieved Characteristics of Sensor Arrays G. Horner, R. Muller. 13. Use of Pattern Recognition Techniques Applied to Signals Generated by a Multielement Gas Sensor Array as a Means of Compensating for Poor Individual Element Response A.W.J. Cranny, J.K. Atkinson. 14. Pattern Recognition in Electronic Noses by Artificial Neural Network Models T. Moruzumi, T. Nakamoto, Y. Sakuraba. 15. Sensor Arrays Using Conducting Polymers for an Artificial Nose K.C. Persaud, P. Pelosi. 16. Monitoring ofFish Freshness Using Tin Oxide Sensors R. Olafsson, E. Martinsdottir, G. Olafsdottir, P.I. Sigfusson, J.W. Gardner. 17. Chemical Sensor Arrays: Practical Insights and Examples J.R. Stetter. 18. Electronic Noses Based on Field Effect Structures I. Lundstrom, E. Hedborg, A. Spetz, H. Sundgren, F. Winquist. Index.", "author_names": [ "Julian William Gardner", "Philip N Bartlett" ], "corpus_id": 92673321, "doc_id": "92673321", "n_citations": 256, "n_key_citations": 4, "score": 1, "title": "Sensors and Sensory Systems for an Electronic Nose", "venue": "", "year": 1992 }, { "abstract": "Cross perception is a perceptual phenomenon that demonstrates an interaction between two or more different sensory perception where the stimulus of one sensory system guides the instinctive response of another sense. In this work, a cross perception model imitating multiple human's perception by multi sensor data fusion was proposed for the instrumental study. \"Electronic nose\" and \"electronic tongue\" were employed for detection of aroma and taste respectively of black tea samples. The data collected from two different sensory systems were pre processed with suitable pre processing technique and merged prior to further use. Two cross perception variables i.e. cross correlation factor of aroma on taste and vice versa were assigned using principal component analysis and multiple linear regression. KNN classifier was comparatively used for classification of the conventional fusion model as well as cross perception multi sensor fusion model. Results indicated that the cross perception multi sensors data fusion demonstrated noticeable superiority to the conventional fusion methodologies.", "author_names": [ "Mahuya Bhattacharyya Banerjee", "Runu Banerjee Roy", "Bipan Tudu", "Rajib Bandyopadhyay", "Nabarun Bhattacharyya" ], "corpus_id": 195964603, "doc_id": "195964603", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Cross Perception Fusion Model of Electronic Nose and Electronic Tongue for Black Tea Classification", "venue": "CICBA", "year": 2017 }, { "abstract": "A comparative study between the perception and recognition thresholds of volatile components calculated for an electronic nose and a human sensory panel is presented. The electronic nose is home developed for wine purposes and is based on thin film semiconductor sensors. The human sensory panel is formed by 25 tasters with previous experience in wine tasting. Both systems were trained in parallel to detect 17 volatile compounds involved in aromatic and off flavor notes (grouped under 9 aromatic descriptors) from the threshold concentrations found in the literature (T) to increasing concentrations (T, 2T, and 4T) The results showed that the perception level of the human nose is superior in relation to the electronic nose, but the electronic nose gave better results in the recognition threshold of the some aroma. According to these results, it can be concluded that the electronic nose could be a useful complementary tool to sensory human panels.", "author_names": [ "Teresa Arroyo", "Jesus Lozano", "Juan Mariano Cabellos", "M Gil-Diaz", "Jose Pedro Santos", "Carmen Horrillo" ], "corpus_id": 31179073, "doc_id": "31179073", "n_citations": 34, "n_key_citations": 1, "score": 0, "title": "Evaluation of wine aromatic compounds by a sensory human panel and an electronic nose.", "venue": "Journal of agricultural and food chemistry", "year": 2009 }, { "abstract": "Electronic nose (e nose) and electronic tongue (e tongue) instrumental systems were designed to crudely mimic human olfactory and taste sensory organs and are composed of an array of sensors. Complex data sets from electronic nose and electronic tongue signals combined with multivariate statistics represent rapid and efficient tools for classification, discrimination, recognition and identification of samples, as well as for the prediction of concentrations of different compounds. A wide variety of sensors can be employed into the design of these instrumental systems, especially that of electronic tongues, offering numerous practical applications. In this study, characteristics of sensors and possibilities of electronic nose and electronic tongue applications in the dairy industry were reviewed.", "author_names": [ "Milna Tudor Kalit", "Ksenija Markovic", "Samir Kalit", "Nada Vahcic", "Jasmina Lukac Havranek" ], "corpus_id": 964565, "doc_id": "964565", "n_citations": 32, "n_key_citations": 1, "score": 0, "title": "Application of electronic nose and electronic tongue in the dairy industry", "venue": "", "year": 2014 }, { "abstract": "Summary. This paper presents the autoxidation process of extra virgin oils. It was established that walnut oil rapidly oxidized due to the prevalence composed of unsaturated fatty acids (90,4% Theoretically substantiated that the destruction of triglyce rides with the accumulation of odorizing substances, oxidation products. This indicates that goes deep oxidation process, which is not a ccompanied by changes in the organoleptic characteristics. It is not perceptible by biological sensory systems. The paper deals the possibility of using gas an alyzer <MAG 8> with methodology <electronic nose> to assess the oxidation rate of walnut oil in terms of composition and content the equilibrium gas phase. Changes in the quantitative and qualitative composition of volatile su bstances above oil during storage have been an alyzed. Express method for determination of peroxide value and acid value using signals of sensors coated with polyethyleneglycol sebacate and dicyclohexano 18 crown 6 has been developed. The authors offer the integral index of oil oxidation level the area of <visual fingerprint> that be indicative for content of peroxides, acids, alc ohols, ketones, esters, and their p olymerization products.", "author_names": [ "Artem Alexandrovich Kalinichenko", "Lukashevich N Iu", "S V Ivanov", "T A Kuchmenko" ], "corpus_id": 102525130, "doc_id": "102525130", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Sposob ekspressnogo opredeleniia otdel'nykh pokazatelei kachestva masla gretskogo orekha po signalam analizatora <elektronnyi nos> Express method for determination of selected quality indexes of walnut oil using analyzer signals <Electronic nose>", "venue": "", "year": 2014 }, { "abstract": "Multimedia systems are widely used in consumer electronics environments today, where humans can work and communicate through multi sensory interfaces. Unfortunately smell detection and generation systems are not part of today's multimedia systems. In this paper, we propose an Electronic Nose based on TGS 822 sensors that can be used in a multimedia environment. TGS 822 sensor based electronic nose can detect a large number of Volatile Organic Compounds (VOCs) that have some smell and will have a significantly lower cost compared to the other detection systems. The results and the calibration graph obtained for three VOCs (i.e. ethanol, acetone and benzene) with varying concentration shows that TGS 822 sensor has the potential to become a reliable instrument and can be used in an electronic nose. Therefore, the accuracy and linearity of the obtained characteristics with higher sensitivity of the proposed electronic nose based on TGS 822 sensor for the detection and determination of volatile organic compounds defines its effectiveness in a multimedia environment.", "author_names": [ "Syed Asad Imam", "M R Khan" ], "corpus_id": 155833407, "doc_id": "155833407", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "TGS Sensors in Electronic Nose for Multimedia Applications: A Practical Approach", "venue": "", "year": 2007 }, { "abstract": "Analytical methods such as gas chromatography mass spectrometry (GC MS) or near infrared spectroscopy provide the mainstay for measurement of volatile components in food, agricultural, chemical, or environmental industries. Although data obtained give very precise measurements of individual components in a mixture, they give very poor indication of the sensory quality perceived by the human nose or tongue. The control of odor quality within these industries is associated with problems that are unique, because they also rely on human perception and preference for particular types of odors or tastes. It is difficult to relate the output of traditional analytical instruments to human perception, because the chemosensory systems of smell and taste use information gathered from the interaction of complex chemical mixtures with the biological sensors without separation of individual components. Many such industries therefore rely on human sensory panels that are trained to discriminate subtle nuances of smell and taste in a given product or raw material, or to quantify the odor level in a sample. This in itself presents problems because such panels can only cope with relatively few sample assessments per day, and are very costly to run. Theymay be used for optimization of a new product, periodic sampling of problematic systems, and random quality control. This highlights the need for automated chemical sensing systems that produce data that are easily correlated to human odor perception. The human nose contains a large array of chemical sensors, and patterns of information are processed in the olfactory brain of an animal in order to achieve quantification and discrimination of odors based on previous learning experiences. With instrumental means of odor measurement, the human user interface needs to be considered very carefully, as the results need to be presented in a form that can be easily interpreted by the user. If an electronic nose is applied, the signals produced by an array of sensors consist of measurements of responses to odors producing different patterns that are projected into multidimensional space. In many instances we are dealing with complex mixtures of compounds in which only relatively few components (which may be at very low concentrations relative to other components) are important in the determination of odor quality by a human sensory panel [1, 2] Olfactory data depend strongly on individual physiological differences, on measurement methods, and on psychological factors. Classifications of odors are necessary to put", "author_names": [ "Robert Sneath", "Krishna C Persaud" ], "corpus_id": 56268945, "doc_id": "56268945", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Correlating Electronic Nose and Sensory Panel Data", "venue": "", "year": 2004 }, { "abstract": "An electronic nose was used as a rapid technique to monitor changes in the headspace gas above capelin (Mallotus villosus) during storage at 0 and 5 degrees C. At both temperatures, refrigeration was compared with an ice/seawater cooling system. At 5 degrees C, the effect of added 0.2% acetic acid was also tested. Electronic nose measurements were compared to measurements of total volatile bases (TVB) gas chromatography of volatile compounds, and sensory analysis. TVB analysis indicated less spoilage in seawater/ice systems than in refrigeration, but the other measurements indicated more spoilage in the seawater system compared to refrigeration. The possibility of using only a few sensors in the electronic nose to predict TVB was studied using partial least squares regression and a saturated generalized linear model. The results reported herein indicate that rapid electronic nose measurements, which require no sample preparation, can be used to predict the TVB value of the raw material stored under different conditions.", "author_names": [ "Gudrun Olafsdottir", "Aslaug Hognadottir", "Emilia Martinsdottir", "Halla Jonsdottir" ], "corpus_id": 24906308, "doc_id": "24906308", "n_citations": 42, "n_key_citations": 1, "score": 0, "title": "Application of an electronic nose to predict total volatile bases in capelin (Mallotus villosus) for fishmeal production.", "venue": "Journal of agricultural and food chemistry", "year": 2000 }, { "abstract": "In this paper the performances of an electronic nose based on metalloporphyrin coated quartz microbalance sensors and of an experienced panel of seven human assessors in the evaluation of gases derived from degradation reactions in tomatoes are presented and discussed. The performances are measured in terms of the capability of both systems to distinguish between samples of different quality coming from conventional and organic production systems. The study deals with the application of pattern recognition techniques based on either multivariate statistical methods (PCA, GPA) or artificial neural networks using a self organising map (SOM) The response pattern of the sensor array and the sensory data are analysed and compared using these methods. Similarities in the classification of the data by electronic nose and human sensory profiling are found.", "author_names": [ "Fiorella Sinesio", "Corrado Di Natale", "G B Quaglia", "Francesco M Bucarelli", "Elisabetta Moneta", "Antonella Macagnano", "Roberto Paolesse", "Arnaldo D'Amico" ], "corpus_id": 93798770, "doc_id": "93798770", "n_citations": 58, "n_key_citations": 1, "score": 0, "title": "Use of electronic nose and trained sensory panel in the evaluation of tomato quality", "venue": "", "year": 2000 }, { "abstract": "The safety of meat quality is an important issue,and the sensory evaluation is one of the methods for quality assurance.Simulating human's sense organs makes the electronic sensory analysis technology become a useful way to evaluate food quality.Researchers at home and abroad studied the applications of various electronic sensory system such as electronic nose,electronic tongue and electronic eyes.They applied the systems to meat quality evaluation,meat type identification,meat freshness evaluation,meat microorganism analysis and shelf life forecast.All the applications showed that electronic sensory system provided a good way for meat quality detection and analysis.Although there were also many problems existed for the application of electronic sensory system,with the development of information technologies such as sensors and WSN,it will become online,smart,professional and become more and more popular in the use of meat quality detection and analysis.", "author_names": [ "Fan Bei" ], "corpus_id": 111564322, "doc_id": "111564322", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Research progress on application of electronic sensory evaluation system for meat quality", "venue": "", "year": 2014 } ]
organic contaminant degradation
[ { "abstract": "Abstract Photocatalysis is one of the promising technologies because of its potential application in degrading pollutants, reducing CO2 levels, and producing sustainable hydrogen (H2) fuel. Though inorganic semiconductors have shown tremendous progress in different fields of application, there are some inherent challenges in these photocatalysts. Various types of photocatalytic materials and reactors have been studied for the degradation of pollutants in wastewater. The photocatalytic efficiency and the reaction rate highly depend on the different operational parameters. In this connection, this chapter deals with the basic photocatalytic mechanism, different performance parameters, challenges, and their strategy to overcome the challenges. This chapter also addresses some key issues that should be addressed in future research.", "author_names": [ "Biju Mani Rajbongshi" ], "corpus_id": 214043738, "doc_id": "214043738", "n_citations": 1, "n_key_citations": 0, "score": 1, "title": "Photocatalyst: mechanism, challenges, and strategy for organic contaminant degradation", "venue": "", "year": 2020 }, { "abstract": "We investigated the activation of hydrogen peroxide (H2O2) by biochars (produced from pine needles, wheat, and maize straw) for 2 chlorobiphenyl (2 CB) degradation in the present study. It was found that H2O2 can be effectively activated by biochar, which produces hydroxyl radical )OH) to degrade 2 CB. Furthermore, the activation mechanism was elucidated by electron paramagnetic resonance (EPR) and salicylic acid (SA) trapping techniques. The results showed that biochar contains persistent free radicals (PFRs) typically 10(18) unpaired spins/gram. Higher trapped )OH] concentrations were observed with larger decreases in PFRs concentration, when H2O2 was added to biochar, indicating that PFRs were the main contributor to the formation of )OH. This hypothesis was supported by the linear correlations between PFRs concentration and trapped )OH] as well as kobs of 2 CB degradation. The correlation coefficients (R(2) were 0.723 and 0.668 for PFRs concentration vs trapped )OH] and PFRs concentration vs kobs, respectively, when all biochars pyrolyzed at different temperatures were included. For the same biochar washed by different organic solvents (methanol, hexane, dichloromethane, and toluene) the correlation coefficients markedly increased to 0.818 0.907. Single electron transfer from PFRs to H2O2 was a possible mechanism for H2O2 activation by biochars, which was supported by free radical quenching studies. The findings of this study provide a new pathway for biochar implication and insight into the mechanism of H2O2 activation by carbonaceous materials (e.g. activated carbon and graphite)", "author_names": [ "Guodong Fang", "Juan Gao", "Cun Liu", "Dionysios D Dionysiou", "Yu Wang", "Dongmei Zhou" ], "corpus_id": 5158640, "doc_id": "5158640", "n_citations": 300, "n_key_citations": 6, "score": 0, "title": "Key role of persistent free radicals in hydrogen peroxide activation by biochar: implications to organic contaminant degradation.", "venue": "Environmental science technology", "year": 2014 }, { "abstract": "We propose a multi element isotope modeling approach to simultaneously predict the evolution of different isotopes during the transformation of organic contaminants. The isotopic trends of different elements are explicitly simulated by tracking position specific isotopologues that contain the isotopes located at fractionating positions. Our approach is self consistent and provides a mechanistic description of different degradation pathways that accounts for the influence of both primary and secondary isotope effects during contaminant degradation. The method is particularly suited to quantitatively describe the isotopic evolution of relatively large organic contaminant molecules. For such compounds, an integrated approach, simultaneously considering all possible isotopologues, would be impractical due to the large number of isotopologues. We apply the proposed modeling approach to the degradation of toluene, methyl tert butyl ether (MTBE) and nitrobenzene observed in previous experimental studies. Our model successfully predicts the multi element isotope data (both 2D and 3D) and accurately captures the distinct trends observed for different reaction pathways. The proposed approach provides an improved and mechanistic methodology to interpret multi element isotope data and to predict the extent of multi element isotope fractionation that goes beyond commonly applied modeling descriptions and simplified methods based on the ratio between bulk enrichment factors or on linear regression in dual isotope plots.", "author_names": [ "Biao Jin", "Massimo Rolle" ], "corpus_id": 20976091, "doc_id": "20976091", "n_citations": 15, "n_key_citations": 0, "score": 0, "title": "Mechanistic approach to multi element isotope modeling of organic contaminant degradation.", "venue": "Chemosphere", "year": 2014 }, { "abstract": "Advanced oxidation processes (AOPs) generating nonselective hydroxyl radicals (HO* provide a broad spectrum contaminant destruction option for the decontamination of waters. Halide ions are scavengers of HO* during AOP treatment, such that treatment of saline waters would be anticipated to be ineffective. However, HO* scavenging by halides converts HO* to radical reactive halogen species (RHS) that participate in contaminant destruction but react more selectively with electron rich organic compounds. The effects of Cl Br and carbonates (H2CO3+HCO3 +CO3(2 on the UV/H2O2 treatment of model compounds in saline waters were evaluated. For single target organic contaminants, the impact of these constituents on contaminant destruction rate suppression at circumneutral pH followed the order Br >carbonates>Cl Traces of Br in the NaCl stock had a greater effect than Cl itself. Kinetic modeling of phenol destruction demonstrated that RHS contributed significantly to phenol destruction, mitigating the impact of HO* scavenging. The extent of treatment efficiency reduction in the presence of halides varied dramatically among different target organic compounds. Destruction of contaminants containing electron poor reaction centers in seawater was nearly halted, while 17beta estradiol removal declined by only 3% Treatment of mixtures of contaminants with each other and with natural organic matter (NOM) was evaluated. Although NOM served as an oxidant scavenger, conversion of nonselective HO* to selective radicals due to the presence of anions enhanced the efficiency of electron rich contaminant removal in saline waters by focusing the oxidizing power of the system away from the NOM toward the target contaminant. Despite the importance of contaminant oxidation by halogen radicals, the formation of halogenated byproducts was minimal.", "author_names": [ "Janel E Grebel", "Joseph J Pignatello", "William A Mitch" ], "corpus_id": 206936662, "doc_id": "206936662", "n_citations": 452, "n_key_citations": 17, "score": 0, "title": "Effect of halide ions and carbonates on organic contaminant degradation by hydroxyl radical based advanced oxidation processes in saline waters.", "venue": "Environmental science technology", "year": 2010 }, { "abstract": "Abstract The performance of two redox mediating compounds, namely 1 hydroxybenzotriazole (HBT) and syringaldehyde (SA) was compared in terms of enhancement of enzymatic degradation of a diverse set of 14 phenolic and 16 non phenolic trace organic contaminants (TrOCs) and the toxicity of the treated media. Extracellular enzyme extract (predominantly containing laccase) from Trametes versicolor culture achieved efficient degradation (70 95% of nine phenolic and one non phenolic TrOCs. Mediator dosing extended the spectrum of efficiently degraded TrOCs to 13 phenolic and three non phenolic compounds, with moderate improvements in removal of a few other non phenolic compounds. TrOC removal efficiency improved significantly as the HBT dose was increased from 0.1 to 0.5 mM, while SA achieved similar removal over dosage range of 0.1 1 mM. A particular concern was the toxicity of the treated media (1200 2200 times that of the control) for all SA dosages applied. Overall, HBT at a concentration of 0.5 mM achieved the best removal without raising concern regarding toxicity of the treated media. The results are discussed in the light of the redox potential of the enzyme mediator cocktail, the balance between the stability and reactivity of the radicals generated and their cytotoxic effects.", "author_names": [ "Luong Ngoc Nguyen", "Faisal I Hai", "Jinguo Kang", "Frederic D L Leusch", "Felicity A Roddick", "Saleh Faraj Magram", "William Evan Price", "Long Duc Nghiem" ], "corpus_id": 30824768, "doc_id": "30824768", "n_citations": 31, "n_key_citations": 8, "score": 0, "title": "Enhancement of trace organic contaminant degradation by crude enzyme extract from Trametes versicolor culture: Effect of mediator type and concentration", "venue": "", "year": 2014 }, { "abstract": "", "author_names": [ "Emily Palmer" ], "corpus_id": 132345562, "doc_id": "132345562", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "The impact of soluble microbial products on trace organic contaminant degradation", "venue": "", "year": 2016 }, { "abstract": "TiO2 composites with zeolite templated carbon (TiO2 ZTC) and activated carbon (TiO2 AC) were prepared and used as the photocatalysts for comparative studies with pure TiO2. TiO2 ZTC exhibited the highest rate of methylene blue degradation with a rate approximately 4 and 400 times higher than those of TiO2 AC and pure TiO2, respectively. Moreover, the highest catalytic performance of TiO2 ZTC in gas phase degradation of acetone was approximately 1.1 and 12.9 times higher than TiO2 AC and pure TiO2, respectively. These outstanding performances could be attributed to high surface area, pore volume, and hydrophobic surface properties, leading to improvement in the adsorption properties of organic molecules.", "author_names": [ "Waleeporn Donphai", "Takashi Kamegawa", "Metta Chareonpanich", "Khanin Nueangnoraj", "Hirotomo Nishihara", "Takashi Kyotani", "Hiromi Yamashita" ], "corpus_id": 41025196, "doc_id": "41025196", "n_citations": 19, "n_key_citations": 0, "score": 0, "title": "Photocatalytic performance of TiO2 zeolite templated carbon composites in organic contaminant degradation.", "venue": "Physical chemistry chemical physics PCCP", "year": 2014 }, { "abstract": "Abstract Electrochemical oxidation (EO) is by most researchers considered as a treatment method within the advanced oxidation processes family and has attracted a lot of attention within the last two decades. Oxidative electrochemical technologies offer an alternative solution to many environmental problems in the process industry, because electrons provide a versatile, efficient, cost effective, easily automatable, safe and clean reagent Comninellis et al. 2008 Rajeshwar and Ibanez, 1997 As this chapter will demonstrate, EO has the potential to become a significant treatment method in industrial or municipal wastewater treatment, when toxic and bioincompatible organic compounds and other recalcitrant pollutants need to be removed. Different possibilities for implementation exist and will be discussed along with case studies of uses in the areas of polluted ground water and process water treatment. Finally, the many possible applications within water disinfection result in a very attractive technique with a large market potential.", "author_names": [ "Jens Muff" ], "corpus_id": 138482903, "doc_id": "138482903", "n_citations": 9, "n_key_citations": 0, "score": 0, "title": "Electrochemical Oxidation A Versatile Technique for Aqueous Organic Contaminant Degradation", "venue": "", "year": 2014 }, { "abstract": "This study investigated the removal of five selecte d non phenolic trace organic contaminants (TrOC) by extracellular enzyme extract from a white rot fungus. Except dicl ofenac, no other investigated non phenolic TrOC were degraded by the extracellular enzyme extract. Improvement of enzymatic degradation of all TrOC was achieved in the presence of a redox mediator, namely, 1 hydroxybenzotriazole (HBT) However, the enhancement of degradation was mediator concentration specific. A significant impr ovement in degradation at higher dosage than 0.1 mM (HBT) was achieved.", "author_names": [ "Ngoc-Luong Nguyen", "Faisal I Hai", "Jinguo Kang", "Saleh Faraj Magram", "William Evan Price", "Long Duc Nghiem" ], "corpus_id": 40304114, "doc_id": "40304114", "n_citations": 2, "n_key_citations": 1, "score": 0, "title": "Impact of 1 Hydroxybenzotriazole Dosing on Trace Organic Contaminant Degradation by Laccase", "venue": "", "year": 2014 }, { "abstract": "Abstract A series of coupled measurements was made at the Naval Air Station North Island (NASNI) Installation Restoration Site 5, Unit 2 during July and August 2013. Preliminary samples were taken in March 2013. Coupled measurements included CO2 respiration rate, proportion of the CO2 attributable to chlorinated hydrocarbon (CH) mineralization and a zone of influence (ZOI) model. This coupling led to calculating CH degradation per unit time per unit area. These coupled measurements represent the first analysis where carbon is followed from contaminant to final degradation product (CO2) directly without need for inference or lines of evidence. In order to determine a mass removal for this study, the average contaminant mineralization rate (0.673 g C m 3 d 1) was multiplied by the total area within the sampling grid (1,225 m2) then multiplied by the ZOI depth to give the total carbon mass removal from the CH pool: 14.5 g C d 1. The wells on site are screened at the groundwater:vadoze zone interface and the vertical ZOI characteristics are likely very different above and below the water table (vadoze versus saturated zone) However, if the assumption is made that the entire surface soil lens (sandy silt) to a depth of approximately 3 meters has similar CH degradation rates, an optimistic estimate of 246 g C d 1 over the entire sampled site area can be calculated. In terms of dissolved CH, an estimate of 0.100 g CH carbon L 1 was assumed based on reported values (from 2009) Over the site, within the ZOI, residence time for CH averaged just over 4 years, assuming no additional input (desorbing from soils) At the lowest measured turnover rates, residence time was calculated at 2,400 years and the highest measured rate, 11 months.", "author_names": [ "Thomas J Boyd", "Michael T Montgomery", "Richard H Cuenca", "Yutaka Hagimoto" ], "corpus_id": 92973965, "doc_id": "92973965", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "CO2 Radiocarbon Analysis to Quantify Organic Contaminant Degradation, MNA, and Engineered Remediation Approaches", "venue": "", "year": 2014 } ]
photocatalyst, MOF derived, CO2, review
[ { "abstract": "Abstract Amongst the major challenges of our century are climate change and energy shortage. These issues stem from anthropogenic activities and their greenhouse emissions such as CO2. Artificial photosynthesis and semiconductors, together with suitable optoelectronic and surface properties, are an interesting and cutting edge technology to tackle the aforementioned. Recently, MOF derived nanomaterials have developed as a new type of versatile porous semiconductor in the field of CO2 photoreduction. This work provides a comprehensive overview of the recent advances of CO2 photoreduction by MOF derived carbon nanomaterials to solar fuels. Lastly, the outlook and possible enhancements for these photocatalysts are discussed.", "author_names": [ "Eder Moises Cedeno Morales", "Boris I Kharisov", "Miguel A Mendez-Rojas" ], "corpus_id": 233885825, "doc_id": "233885825", "n_citations": 0, "n_key_citations": 0, "score": 1, "title": "CO2 photoreduction by MOF derived carbon nanomaterials: A review", "venue": "", "year": 2021 }, { "abstract": "Abstract The recycling of carbon dioxide via its electroreduction (CO2RR) is a key factor to stimulate the capture of this greenhouse gas, being a promising green strategy for achieving sustainable development. Nanostructured carbon materials play a protagonist role in the search of efficient and selective CO2RR electrocatalysts and, at the same time, pyrolysis is one of the most versatile tools to produce tailored nanocarbons. Nanocarbons involving a variety of dopants, metal single atom sites/nanoparticles and textural/structural arrangements can be obtained through rational precursor/s selection and control of the carbonization conditions. Thus, this review aims to highlight the advances in CO2RR electrocatalysis using nanocarbons derived from MOFs, biomass, polymers, and other precursors, assessing the aspects to improve as well. Bearing in mind that selectivity toward valuable products is the ever present obstacle, we summarize the nature and proportion of the produced compounds. With this, we provide a useful guide in which carbon synthetic conditions, structural features and electrocatalytic metrics are correlated to facilitate further progress in this boisterous field. After all, the main limitations detected in CO2RR research as well as potential approaches to address them are included.", "author_names": [ "Victor K Abdelkader-Fernandez", "Diana M Fernandes", "Cristina Freire" ], "corpus_id": 228849055, "doc_id": "228849055", "n_citations": 3, "n_key_citations": 0, "score": 1, "title": "Carbon based electrocatalysts for CO2 electroreduction produced via MOF, biomass, and other precursors carbonization: A review", "venue": "", "year": 2020 }, { "abstract": "Metal organic frameworks (MOFs) represent a class of crystalline porous materials constructed by periodic arranging of metal clusters and organic ligands. Their crystalline nature, structural diversity, and permanent porosity enable MOFs to be a highly versatile platform for various potential applications. This chapter will review recent achievements of MOF based materials in electrocatalysis toward the reactions of oxygen reduction, water splitting, and CO2 reduction. Typical MOF derived micro/nano structures and the specific active sites involved in determining catalytic activity are particularly emphasized. Finally, the major challenges and research opportunities, future trends, and prospects associated with MOF derived materials for electrocatalysis are discussed.", "author_names": [ "Zhongxin Song", "Lei Zhang", "Matthew Zheng", "Xueliang Andy Sun" ], "corpus_id": 139568753, "doc_id": "139568753", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Chapter 1 MOF derived Materials for Extremely Efficient Electrocatalysis", "venue": "", "year": 2019 }, { "abstract": "Metal organic framework (MOF) nanoparticles, also called porous coordination polymers, are a major part of nanomaterials science, and their role in catalysis is becoming central. The extraordinary variability and richness of their structures afford engineering synergies between the metal nodes, functional linkers, encapsulated substrates, or nanoparticles for multiple and selective heterogeneous interactions and activations in these MOF based nanocatalysts. Pyrolysis of MOF nanoparticle composites forms highly porous N or P doped graphitized MOF derived nanomaterials that are increasingly used as efficient catalysts especially in electro and photocatalysis. This review first briefly summarizes this background of MOF nanoparticle catalysis and then comprehensively reviews the fast growing literature reported during the last years. The major parts are catalysis of organic and molecular reactions, electrocatalysis, photocatalysis, and views of prospects. Major challenges of our society are addressed using these well defined heterogeneous catalysts in the fields of synthesis, energy, and environment. In spite of the many achievements, enormous progress is still necessary to improve our understanding of the processes involved beyond the proof of concept, particularly for selective methane oxidation, hydrogen production, water splitting, CO2 reduction to methanol, nitrogen fixation, and water depollution.", "author_names": [ "Qi Wang", "Didier Astruc" ], "corpus_id": 195763919, "doc_id": "195763919", "n_citations": 366, "n_key_citations": 0, "score": 0, "title": "State of the Art and Prospects in Metal Organic Framework (MOF) Based and MOF Derived Nanocatalysis.", "venue": "Chemical reviews", "year": 2019 }, { "abstract": "Heterogeneous catalysis, a process in which the reaction of gaseous or liquid chemical reagents is facilitated at the surface of a solid material, is responsible for the majority of industrial scale chemical and fuel production reactions. The energy required to drive these reactions has historically been derived from the combustion of non renewable fossil fuels and carries an unavoidably large carbon footprint. More recently, the development of environmentally responsible and sustainable chemical industries is increasingly motivated by greenhouse gas induced climate change, thus creating demand for eco friendly heterogeneous catalytic processes. This includes innovative approaches enabled by renewable forms of energy, such as the electrification of chemical and petrochemical processes, utilization of CO2 as a feedstock and the incorporation of light into catalytic reactions. Herein we review the conversion of solar energy to chemical energy using CO2, and describe how the photophysical and photochemical properties of nanostructured metal oxide photocatalysts have been engineered to efficiently incorporate light into heterogeneous gas solid CO2 hydrogenation reactions. Realizing high photonic and energy efficiencies in these systems has demanded innovation in not only photocatalyst engineering, but also photoreactor and process engineering. Rather than exclusively providing an in depth discussion of the chemistry and science within each individual study, this Tutorial Review highlights the multidisciplinary character of photocatalysis studies by covering the four essential components of a typical research work in this field (materials engineering, theoretical modelling, reactor engineering and process development) via case studies of the archetypal indium oxide catalyst materials. Through advances in these four components, progress has been made towards the ultimate goal of industrializing the production of CO2 derived chemicals and fuels.", "author_names": [ "Yuchan Dong", "Paul N Duchesne", "Abhinav Mohan", "Kulbir Kaur Ghuman", "Paul Kant", "Lourdes Hurtado", "Ulrich Ulmer", "Joel Y Y Loh", "Athanasios A Tountas", "Li Wang", "Abdinoor A Jelle", "Meikun Xia", "Roland Dittmeyer", "Geoffrey A Ozin" ], "corpus_id": 220842321, "doc_id": "220842321", "n_citations": 14, "n_key_citations": 0, "score": 0, "title": "Shining light on CO2: from materials discovery to photocatalyst, photoreactor and process engineering.", "venue": "Chemical Society reviews", "year": 2020 }, { "abstract": "Metal organic frameworks (MOFs) represent a class of porous material which is formed by strong bonds between metal ions and organic linkers. By careful selection of constituents, MOFs can exhibit very high surface area, large pore volume, and excellent chemical stability. Research on synthesis, structures and properties of various MOFs has shown that they are promising materials for many applications, such as energy storage, gas storage, heterogeneous catalysis and sensing. Apart from direct use, MOFs have also been used as support substrates for nanomaterials or as sacrificial templates/precursors for preparation of various functional nanostructures. In this review, we aim to present the most recent development of MOFs as precursors for the preparation of various nanostructures and their potential applications in energy related devices and processes. Specifically, this present survey intends to push the boundaries and covers the literatures from the year 2013 to early 2017, on supercapacitors, lithium ion batteries, electrocatalysts, photocatalyst, gas sensing, water treatment, solar cells, and carbon dioxide capture. Finally, an outlook in terms of future challenges and potential prospects towards industrial applications are also discussed.", "author_names": [ "Min Hui Yap", "Kam Loon Fow", "George Zheng Chen" ], "corpus_id": 55372333, "doc_id": "55372333", "n_citations": 159, "n_key_citations": 0, "score": 0, "title": "Synthesis and applications of MOF derived porous nanostructures", "venue": "", "year": 2017 }, { "abstract": "Abstract Global warming and associated global climate change have led to serious efforts towards reducing CO2 emissions through the CO2 capture from the major emission sources. CO2 capture using the amine functionalized adsorbents is regard as a direct and effective way to reducing CO2 emissions due to their large CO2 adsorption amount, excellent CO2 adsorption selectivity and lower energy requirements for adsorbent regeneration. Moreover, large number of achievements on the amine functionalized solid adsorbent have been recorded for the enhanced CO2 capture in the past few years. In view of this, we review and analyze the recent advances in amine functionalized solid adsorbents prepared with different supporting materials including mesoporous silica, zeolite, porous carbon materials, metal organic frameworks(MOF) and other composite porous materials. In addition, amine functionalized solid adsorbents derived from waste resources are also reviewed because of the large number demand for cost effective carbon dioxide adsorbents and the processing needs of waste resources. Considering the importance of the stability of the adsorbent in practical applications, advanced research in the capture cycle stability has also been summarized and analyzed. Finally, we summarize the review and offer the recommendations for the development of amine based solid adsorbents for carbon dioxide capture.", "author_names": [ "Peiyu Zhao", "Guojie Zhang", "Huan Yan", "Yuqiong Zhao" ], "corpus_id": 234401820, "doc_id": "234401820", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "The latest development on amine functionalized solid adsorbents for post combustion CO2 capture: Analysis review", "venue": "", "year": 2020 }, { "abstract": "Excessive CO2 emission due to a large amount of fossil fuel utilization has become a widespread concern, which causes both environmental and energy problems. To solve these issues, electrocatalytic and photocatalytic reduction of CO2 to produce value added chemicals have gained immense attention. Recently, metal organic frameworks (MOFs) and their derived materials with high specific surface areas, controllable pore structures, and tunable chemical properties exhibit promising performance among the reported catalytic materials for CO2 conversion. This review describes the recent advances on the rational design and synthesis of MOF based electrocatalysts and photocatalysts for CO2 reduction. The importance of the catalytic processes is highlighted, followed by systematic understanding of MOF based catalysts for CO2 reduction through electrochemical and photochemical approaches. Special emphasis of this review is to introduce basic catalyst design strategies and synthesis methods as well as their resulting electrocatalysts and photocatalysts. One of the major goals is to elucidate the structures and properties that link to their catalytic activity, selectivity, and stability towards to CO2 reduction. We also outline the challenges in this research area and propose the potential strategies for the rational design and synthesis of high performance catalysts.", "author_names": [ "Hanguang Zhang", "Jiazhan Li", "Qiang Tan", "Leilei Lu", "Zhenbo Wang", "Gang Wu" ], "corpus_id": 52118435, "doc_id": "52118435", "n_citations": 66, "n_key_citations": 0, "score": 0, "title": "Metal Organic Frameworks and Their Derived Materials as Electrocatalysts and Photocatalysts for CO2 Reduction: Progress, Challenges, and Perspectives.", "venue": "Chemistry", "year": 2018 }, { "abstract": "Abstract Progress in the design, synthesis, and modification of metal organic frameworks (MOFs) has immensely helped expand their applications in a wide variety of research fields. Such developments offered great opportunities for upgrading their efficiencies in diverse photocatalytic applications (e.g. N2/CO2 reduction, H2 generation, organic synthesis, and environmental remediation) through enhanced conversion/storage of solar energy. The MOF based photocatalytic platforms are, nonetheless, subject to many practical problems (e.g. inapplicability for industrial upscaling and thermodynamic instability under environmental conditions) In this review, the effects of synthesis/modification strategies on MOF photocatalysis have been discussed with respect to the type of inorganic nodes, the modulation of organic ligands, and the pre /post synthesis modification in MOF networks (i.e. MOF based composite) Particular emphasis was placed on the technical advances achieved in the photoelectronic/catalytic performances of MOFs in multiple energy/environmental (redox) reactions based on both experimental and theoritical analyses. Further, the technical merits/disadvantages of MOF photocatalysts (in terms of structural defects, light absorption, active sites, and kinetic/thermodynamic stability) have been evaluated in relation to quantum efficiency and charge transfer mechanisms in various photo redox reactions. The pursuit of strategies for enhanced kinetic stability of MOFs have also been highlighted based on the nature/strength of coordination modes, the inertness of metal centers, and the functionality of ligand types. Lastly, the current limitations of MOF based photocatalysts are addressed with respect to their practical applications at industrial scales along with a discussion on their future use.", "author_names": [ "Sherif A Younis", "Eilhann E Kwon", "Muhammad Qasim", "Ki-Hyun Kim", "Taejin Kim", "Deepak Kukkar", "Xiaomin Dou", "Imran Ali" ], "corpus_id": 224975634, "doc_id": "224975634", "n_citations": 34, "n_key_citations": 0, "score": 0, "title": "Metal organic framework as a photocatalyst: Progress in modulation strategies and environmental/energy applications", "venue": "", "year": 2020 }, { "abstract": "Photocatalytic CO2 reduction is a revolutionary approach to solve imminent energy and environmental issues by replicating the ingenuity of nature. The past decade has witnessed an impetus in the rise of two dimensional (2D) structure materials as advanced nanomaterials to boost photocatalytic activities. In particular, the use of 2D carbon based materials is deemed as highly favorable, not only as a green material choice, but also due to their exceptional physicochemical and electrical properties. This review article presents a diverse range of alterations and compositions derived from 2D carbon based nanomaterials, mainly graphene and graphitic carbon nitride (g C3N4) which have remarkably ameliorated the photocatalytic CO2 performance. Herein, the rational design of the photocatalyst systems with consideration on the aspect of dimensionality and the resultant heterostructures at the interface are systematically analyzed to elucidate an insightful perspective on this pacey subject. Finally, a conclusion and outlook on the limitations and prospects of the cutting edge research field are highlighted.", "author_names": [ "Wee-Jun Ong", "Lutfi Kurnianditia Putri", "Abdul Rahman Mohamed" ], "corpus_id": 219548716, "doc_id": "219548716", "n_citations": 45, "n_key_citations": 1, "score": 0, "title": "Rational Design of Carbon Based 2D Nanostructures for Enhanced Photocatalytic CO2 Reduction: A Dimensionality Perspective.", "venue": "Chemistry", "year": 2020 } ]
Growth and magnetic properties of Mn-doped germanium near the kinetic solubility limit
[ { "abstract": "Growth of high quality dilute magnetic semiconductor (DMS) material is often compromised by the low solubility of magnetic dopants, leading to formation of precipitates. Here, we explore the feasibility of growing precipitate free Mn doped Ge at doping levels near the kinetic solubility limit. Ge:Mn DMS films were grown at low temperature so as to minimize precipitate formation. Meanwhile, epitaxial quality was maintained by employing a very low growth rate. The magnetic properties of these lightly doped films exhibit both interesting contrasts and similarities with those of heavily doped DMS reported in the literature, indicating that the substitutional Mn contents are very similar. Films grown at 95 degree C are free of intermetallic precipitates, offering useful opportunities for studying the fundamentals of carrier mediated exchange and metal insulator transitions without complications arising from precipitate formation.", "author_names": [ "Mustafa M Ozer", "James R Thompson", "Hanno H Weitering" ], "corpus_id": 121363883, "doc_id": "121363883", "n_citations": 12, "n_key_citations": 0, "score": 1, "title": "Growth and magnetic properties of Mn doped germanium near the kinetic solubility limit", "venue": "", "year": 2012 }, { "abstract": "Zinc oxide is a unique material that exhibits semiconducting and piezoelectric dual properties. Using a solid vapour phase thermal sublimation technique, nanocombs, nanorings, nanohelixes/nanosprings, nanobelts, nanowires and nanocages of ZnO have been synthesized under specific growth conditions. These unique nanostructures unambiguously demonstrate that ZnO probably has the richest family of nanostructures among all materials, both in structures and in properties. The nanostructures could have novel applications in optoelectronics, sensors, transducers and biomedical sciences. This article reviews the various nanostructures of ZnO grown by the solid vapour phase technique and their corresponding growth mechanisms. The application of ZnO nanobelts as nanosensors, nanocantilevers, field effect transistors and nanoresonators is demonstrated.", "author_names": [ "Zhong Lin Wang" ], "corpus_id": 15816304, "doc_id": "15816304", "n_citations": 3070, "n_key_citations": 54, "score": 0, "title": "Zinc oxide nanostructures: growth, properties and applications", "venue": "", "year": 2004 }, { "abstract": "Mn+ ions were implanted into n type Ge(111) single crystal at room temperature at an energy of 100 keV with a dose of 3 x 1016 cm 2. Subsequent annealing was performed on the samples at 400 degC and 600 degC in a flowing nitrogen atmosphere. The magnetic properties of the samples have been investigated by alternating gradient magnetometer at room temperature. The compositional properties of the annealed samples were studied by Auger electron spectroscopy and the structural properties were analyzed by X ray diffraction measurements. Magnetization measurements reveal room temperature ferromagnetism for the annealed samples. The magnetic analysis supported by compositional and structural properties indicates that forming the diluted magnetic semiconductor (DMS) MnxGe1 x after annealing may account for the ferromagnetic behavior in the annealed samples.", "author_names": [ "Liu Li-feng", "Chen Nuo-fu", "Chen Chenlong", "Li Yanli", "Yin Zhigang", "Yang Fei" ], "corpus_id": 93904060, "doc_id": "93904060", "n_citations": 15, "n_key_citations": 0, "score": 0, "title": "Magnetic Properties of Mn Implanted n Type Ge", "venue": "", "year": 2004 }, { "abstract": "Ferromagnetism in manganese compound semiconductors not only opens prospects for tailoring magnetic and spin related phenomena in semiconductors with a precision specific to III V compounds but also addresses a question about the origin of the magnetic interactions that lead to a Curie temperature (T(C) as high as 110 K for a manganese concentration of just 5% Zener's model of ferromagnetism, originally proposed for transition metals in 1950, can explain T(C) of Ga(1 (x)Mn(x)As and that of its II VI counterpart Zn(1 (x)Mn(x)Te and is used to predict materials with T(C) exceeding room temperature, an important step toward semiconductor electronics that use both charge and spin.", "author_names": [ "" ], "corpus_id": 19672003, "doc_id": "19672003", "n_citations": 6438, "n_key_citations": 50, "score": 0, "title": "Zener model description of ferromagnetism in zinc blende magnetic semiconductors", "venue": "Science", "year": 2000 }, { "abstract": "We report the growth of free standing one dimensional Ge/Mn germanide nanowire heterostructures by chemical vapor deposition and provide a detailed description of the growth mechanism. Self assembled manganese germanide particles seed the growth of Ge nanowires (GeNWs) and simultaneously elongate along a parallel axis, resulting in syntaxial growth of the two phases. The GeNW growth is limited by GeH 4 decomposition, whereas the germanide growth is limited by reaction of Mn at the growth interface. This syntaxial growth mechanism provides a novel route to axial metal/semiconductor nanowire heterostructures.", "author_names": [ "Jessica L Lensch-Falk", "Eric R Hemesath", "Lincoln J Lauhon" ], "corpus_id": 20505019, "doc_id": "20505019", "n_citations": 27, "n_key_citations": 0, "score": 0, "title": "Syntaxial growth of Ge/Mn germanide nanowire heterostructures.", "venue": "Nano letters", "year": 2008 }, { "abstract": "A new GaAs based diluted magnetic semiconductor, (Ga,Mn)As, was prepared by molecular beam epitaxy. The lattice constant of (Ga,Mn)As films was determined by x ray diffraction and shown to increase with the increase of Mn composition, x. Well aligned in plane ferromagnetic order was observed by magnetization measurements. Magnetotransport measurements revealed the occurrence of anomalous Hall effect in the (Ga,Mn)As layer.", "author_names": [ "Hideo Ohno", "Aidong Shen", "Fumihiro Matsukura", "Akira Oiwa", "Akira Endo", "S Katsumoto", "Yasuhiro Iye" ], "corpus_id": 122578094, "doc_id": "122578094", "n_citations": 1834, "n_key_citations": 11, "score": 0, "title": "(Ga,Mn)As: A new diluted magnetic semiconductor based on GaAs", "venue": "", "year": 1996 }, { "abstract": "We measured the elastic properties and intrinsic breaking strength of free standing monolayer graphene membranes by nanoindentation in an atomic force microscope. The force displacement behavior is interpreted within a framework of nonlinear elastic stress strain response, and yields second and third order elastic stiffnesses of 340 newtons per meter (N m 1) and 690 Nm 1, respectively. The breaking strength is 42 N m 1 and represents the intrinsic strength of a defect free sheet. These quantities correspond to a Young's modulus of E 1.0 terapascals, third order elastic stiffness of D 2.0 terapascals, and intrinsic strength of sint 130 gigapascals for bulk graphite. These experiments establish graphene as the strongest material ever measured, and show that atomically perfect nanoscale materials can be mechanically tested to deformations well beyond the linear regime.", "author_names": [ "Changgu Lee", "Xiaoding Wei", "Jeffrey W Kysar", "James C Hone" ], "corpus_id": 206512830, "doc_id": "206512830", "n_citations": 14777, "n_key_citations": 376, "score": 0, "title": "Measurement of the Elastic Properties and Intrinsic Strength of Monolayer Graphene", "venue": "Science", "year": 2008 }, { "abstract": "3 To my family and friends who have made this dissertation possible 4 ACKNOWLEDGMENTS I would like to acknowledge my family for stressing the importance of an education and always being there for me. I would also like to thank my close friends for offering support and making my graduate experience an enjoyable one. This dissertation would not have been possible without these people. I also want to thank Ludovic Godet for hiring me as an intern at Varian Semiconductor Equipment Associates (VSEA) and giving me freedom to pursue my own ideas in research and development. Ludo always took the time to answer my questions and gave me extraordinary exposure within the company. I would also like to acknowledge Alex Kontos at VSEA and Rob Elliman at Australian National University for doing the implants for this research. I would also like to thank my advisor Kevin Jones and my committee members. I originally came to UF as a master's student and when I asked Dr. Jones whether he knew of any openings for part time research, he immediately offered me a position to join his group. I am also grateful for his trust in me and willingness to allow me to pursue research interests, even if they were slightly off topic. Last, but not least I would like to acknowledge the past and present SWAMP group members: has provided numerous thoughtful discussions and created an environment that constantly pushed one another to learn new things.", "author_names": [ "Brendan L Darby" ], "corpus_id": 138315314, "doc_id": "138315314", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Amorphization and solid phase epitaxial growth of germanium", "venue": "", "year": 2012 }, { "abstract": "Problems associated with large scale pattern growth of graphene constitute one of the main obstacles to using this material in device applications. Recently, macroscopic scale graphene films were prepared by two dimensional assembly of graphene sheets chemically derived from graphite crystals and graphene oxides. However, the sheet resistance of these films was found to be much larger than theoretically expected values. Here we report the direct synthesis of large scale graphene films using chemical vapour deposition on thin nickel layers, and present two different methods of patterning the films and transferring them to arbitrary substrates. The transferred graphene films show very low sheet resistance of ~280 O per square, with ~80 per cent optical transparency. At low temperatures, the monolayers transferred to silicon dioxide substrates show electron mobility greater than 3,700 cm2 V 1 s 1 and exhibit the half integer quantum Hall effect, implying that the quality of graphene grown by chemical vapour deposition is as high as mechanically cleaved graphene. Employing the outstanding mechanical properties of graphene, we also demonstrate the macroscopic use of these highly conducting and transparent electrodes in flexible, stretchable, foldable electronics.", "author_names": [ "Keun Soo Kim", "Yuer Zhao", "Houk Jang", "Sang Yoon Lee", "Jong Min Kim", "Kwang Suk Kim", "Jong-Hyun Ahn", "Philip Kim", "Jaeyoung Choi", "Byung Hee Hong" ], "corpus_id": 4349731, "doc_id": "4349731", "n_citations": 8754, "n_key_citations": 110, "score": 0, "title": "Large scale pattern growth of graphene films for stretchable transparent electrodes", "venue": "Nature", "year": 2009 }, { "abstract": "The use of bis trimethylsilylamide transition metal complexes soluble in organic solvents offers new perspectives for the synthesis of metal chalcogenide cluster molecules, especially for multicomponent clusters. This is illustrated by the synthesis of the mixed cadmium manganese chalcogenide clusters [Cd(4)Mn(6)Se(4)(SePh)(12)(P(n)Pr(3)(4) and [Cd(4)Mn(4)S(SePh)(14)(P(n)Pr(3)(2) as reported here. These cluster molecules display interesting properties, such as a photoluminescence in the red to near infrared spectral region, which is particularly bright at temperatures below approximately 100 K, and an antiferromagnetic coupling between the manganese(II) ions. Electrospray Fourier transform ion cyclotron resonance mass spectra from the chemically charged clusters in solution show several ionic cluster species which indicate a fast Cd/Mn exchange in solution. Furthermore, single crystal X ray analysis and magnetic measurements supported by density functional theory calculations suggest a cocrystallization of structural isomers of the ideal cluster composition [Cd(4)Mn(4)S(SePh)(14)(P(n)Pr(3)(2) as well as of species with the general formula [Cd(4+x)Mn(4 x)S(SePh)(14)(P(n)Pr(3)(2) (x 0 Mn enrichment; x 0 Cd enrichment) without a significant decrease in the stability. Thermal cleavage of [Cd(4)Mn(6)Se(4)(SePh)(12)(P(n)Pr(3)(4) results, in agreement with the CdSe/MnSe phase diagram, in the formation of a mixture of a hexagonal phase Cd(1 x)Mn(x)Se (x approximately 0.5) and a cubic phase Mn(1 x)Cd(x)Se (x 0.05)", "author_names": [ "Andreas Eichhofer", "Oliver Hampe", "Sergei F Lebedkin", "Florian Weigend" ], "corpus_id": 207007176, "doc_id": "207007176", "n_citations": 16, "n_key_citations": 0, "score": 0, "title": "Bistrimethylsilylamide transition metal complexes as starting reagents in the synthesis of ternary Cd Mn Se cluster complexes.", "venue": "Inorganic chemistry", "year": 2010 } ]
External optical feedback effects on semiconductor injection laser properties
[ { "abstract": "Influences on the semiconductor laser properties of external optical feedback, i.e. return of a portion of the laser output from a reflector external to the laser cavity, have been examined. Experimental observations with a single mode laser is presented with analysis based on a compound cavity laser model, which has been found to explain essential features of the experimental results. In particular, it has been demonstrated that a laser with external feedback can be multistable and show hysteresis phenomena, analogous to those of non linear Fabry Perot resonator. It has also been shown that the dynamic properties of injection lasers are significantly affected by external feedback, depending on interference conditions between returned light and the field inside the laser diode.", "author_names": [ "R S Lang", "Kohroh Kobayashi" ], "corpus_id": 122897739, "doc_id": "122897739", "n_citations": 2214, "n_key_citations": 81, "score": 1, "title": "External optical feedback effects on semiconductor injection laser properties", "venue": "", "year": 1980 }, { "abstract": "External cavity, strong optical feedback, semiconductor lasers are analyzed using a rotated ellipse gain(REG) model. The model considers laser diode characteristics as well as the effects of optical feedback. Theoretical calculations on this strong feedback structure are developed in terms of amplification, injection locking, and mode ratio. Threshold current is expressed as a function of wavelength and temperature. Also various formulae for threshold current reduction, effective current, amplified output power, and reduced spectral linewidth are presented. From the amplified output power we calculate the spectral linewidth. We analyze in more detail the theoretical work of Patzak et al and show that spontaneous emission is reduced by frequency selective strong optical feedback. In designing external cavity lasers with strong feedback, mode ratio has to be larger than 100 for stable output power and frequency. It is possible to obtain many characteristic properties of the solitary laser diode with the help of the REG model.", "author_names": [ "I Oh", "Harish R D Sunak" ], "corpus_id": 108557845, "doc_id": "108557845", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Analysis and Design of External Cavity, Strong Feedback, Semiconductor Lasers for Use with Coherent Optical Communication Systems", "venue": "Other Conferences", "year": 1987 }, { "abstract": "The authors study numerically the response of a single mode semiconductor laser under modulation of the injection current in the presence of optical feedback, for different external cavity lengths and feedback reflectivities. For an external reflectivity larger than R/sub ext/1.6*10/sup 4/ feedback degrades the statistical properties of optical pulses considerably. When the external round trip time is equal to the period of the modulation of the laser the optical pulses differ widely from each other and then they are not adequate for use in optical communication systems. In almost no case do we obtain better pulses than the corresponding ones in the absence of feedback. As happens in the solitary laser case, pattern effects, due to random sequences of inputs '0' and '1' bits, play an important role.", "author_names": [ "Claudio R Mirasso", "Emilio Hernandez-Garcia", "Jaume Dellunde", "M C Torrent", "Jose M Sancho" ], "corpus_id": 111225801, "doc_id": "111225801", "n_citations": 10, "n_key_citations": 0, "score": 0, "title": "Current modulation and transient dynamics of single mode semiconductor lasers under different feedback conditions", "venue": "", "year": 1995 }, { "abstract": "In this paper, a new model of self pulsating semiconductor lasers (SPLs) is proposed based on the analogies between a SPL and a laser oscillator. In fact a SPL is first of all an oscillator even though it is difficult to identify amplifiers and feedback elements. The use of such analogies allows in some conditions to establish a very simple rate equation model of SPLs. One of the advantages of the model is the ability to analyze the injection locking properties of SPLs under external optical signal injection. In particular, it has been found that (1) the frequency looking range is directly proportional to the power ratio, (2) the spectral linewidth is equal to that of the incoming signal in the locking state. These points have been verified experimentally on a distributed feedback SPL. Nevertheless, a SPL is different from a laser in that the self pulsation signal can be short pulses as well as sinusoidal. The short pulses nature means that there are higher order harmonics created by nonlinear effects related to the laser operation. These higher order harmonics can be taken into account in our new model, which becomes however more complex.", "author_names": [ "Guang-Hua Duan", "Guillaume Pham" ], "corpus_id": 110025534, "doc_id": "110025534", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "New model of self pulsating semiconductor lasers", "venue": "Photonics West", "year": 1998 }, { "abstract": "We numerically investigate the influence of an integrated filtered optical feedback on the behavior of a semiconductor ring laser subject to external optical injection. The optical injection is spectrally directed at one of the non lasing longitudinal modes of the laser. This so called side mode injection can cause wavelength switching, directional switching, and changes in the dynamical regime of the semiconductor ring laser. Such changes are often unwanted as they can affect the stability and the performance of the semiconductor ring laser. We investigate to what extent these undesired effects can be avoided by stabilizing the laser using on chip filtered feedback. A two directional mode model is used to investigate the dynamical behavior of the semiconductor ring laser under the simultaneous effect of the external optical injection and the integrated feedback. The results show that on chip filtered optical feedback can be used to reduce the sensitivity of the semiconductor ring laser to external optical injection.We numerically investigate the influence of an integrated filtered optical feedback on the behavior of a semiconductor ring laser subject to external optical injection. The optical injection is spectrally directed at one of the non lasing longitudinal modes of the laser. This so called side mode injection can cause wavelength switching, directional switching, and changes in the dynamical regime of the semiconductor ring laser. Such changes are often unwanted as they can affect the stability and the performance of the semiconductor ring laser. We investigate to what extent these undesired effects can be avoided by stabilizing the laser using on chip filtered feedback. A two directional mode model is used to investigate the dynamical behavior of the semiconductor ring laser under the simultaneous effect of the external optical injection and the integrated feedback. The results show that on chip filtered optical feedback can be used to reduce the sensitivity of the semiconductor ring laser to external optica.", "author_names": [ "Mulham Khoder", "Guy van der Sande", "Guy Verschaffelt" ], "corpus_id": 125983372, "doc_id": "125983372", "n_citations": 5, "n_key_citations": 0, "score": 0, "title": "Reducing the sensitivity of semiconductor ring lasers to external optical injection using selective optical feedback", "venue": "", "year": 2018 }, { "abstract": "Photonic Integrated Circuits (PIC) have become key elements to perform broadband transmission and reception functions in optical communication networks. This thesis provides information on hybrid semiconductor lasers (SCL) consisting of an active layer of III V materials on a silicon on insulator (SOI) substrate to jointly explode the emitting properties of III V layers and the numerous advantages offered by Si for on chip applications. Due to the significant technological developments in electronics, this hybrid approach is well positioned to meet the high volume requirements for short distance transmission and access networks at a lower cost. However, several challengest still exist such as the lack of effective light sources and isolator free devices. From a monolithic perspective of a PIC hetereogeneous integration, it is essential to ensure that the parasitic reflections that may stem from multiple locations do not affect the stability of the laser. Specifically, III V hybrid components on Si appear to have many potential sources of reflections that can create centimeter external cavities in addition to those naturally produced inside the fiber in the order of several meters. Therefore, the work presented herein aims at understanding the behavior of III V/Si SCLs when subjected to a variation of optical feedback, explores the basics aspects of chaotic dynamics, and investigates potential applications suitable for optical telecommunication systems in an attempt to meet the existing an emerging high speed requirements.", "author_names": [ "Sandra Gomez" ], "corpus_id": 235163885, "doc_id": "235163885", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Effects of dynamics and optical feedback on hybrid III V/Si semiconductor lasers", "venue": "", "year": 2020 }, { "abstract": "The temperature and external optical feedback (OFB) effects on power characteristics of external cavity semiconductor laser model based fiber Bragg gratings (FBGs) are numerically analyzed. In this model, fiber Bragg grating (FBG) is used as a wavelength selective element to control the properties of the laser output by controlling the external OFB level. The study is performed by modifying output laser equations that are solved by considering the effects of ambient temperature (T) variations and external OFB. In this study, the temperature dependence (TD) of laser characteristics is calculated according to TD of laser parameters instead of using the well known Pankove relationship. Results show that by increasing the external OFB level, the laser output power improves significantly. Also, results show that by changing the operating temperature 15 (from 15 to 30) there is no great impact on the output characteristics. The obtained results can provide an important idea for the practical fabrication for this type of lasers.", "author_names": [ "Hisham Kadhum Hisham" ], "corpus_id": 137890071, "doc_id": "137890071", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Numerical Analysis of the Effect of Temperature and External Optical Feedback Variation on the Output Characteristics of External Cavity Semiconductor Laser Based Fiber Bragg Gratings", "venue": "", "year": 2015 }, { "abstract": "The knowledge of the linewidth enhancement factor (aH factor) is very important to understand the performance of semiconductor lasers. It affects several fundamental aspects such as the linewidth, the laser's behavior under optical feedback, the chirp under direct modulation and the occurrence of the filamentation. The dramatic variation in the (aH factor that has been reported for quantum dot lasers makes them an interesting subject for optical feedback studies. In the particular case of QD lasers, the carrier density is not clearly clamped at threshold. The lasing wavelength can switch from the ground state to the excited state as the current injection increases meaning that a carrier accumulation occurs in the ES even though lasing in the GS is still occurring. The purpose of the paper is to show that the exploitation of the nonlinear properties arising from quantum nanostructure based semiconductor lasers operating under external optical feedback can lead, under specific conditions, to a bifurcation of the modulation properties. Starting from the generalized rate equations under optical feedback, the laser's modulation response is determined. Under the short external cavity assumption, calculations show that large variations of the (aH factor can contribute to improve the dynamical properties such as the relaxation frequency as well as the laser's bandwidth. On the contrary, assuming the long external cavity situation, numerical results show that even small reflections in the percent range when combined to significant variations of the (aH factor alter the laser's modulation response.", "author_names": [ "Frederic Grillot", "Nikhil Dubey" ], "corpus_id": 55447438, "doc_id": "55447438", "n_citations": 6, "n_key_citations": 0, "score": 0, "title": "Influence of the linewidth enhancement factor on the modulation response of a nanostructure based semiconductor laser operating under external optical feedback", "venue": "OPTO", "year": 2011 }, { "abstract": "The properties of injection locking chaos synchronization and communication in closed loop external cavity semiconductor lasers (ECSL) subject to phase conjugate feedback (PCF) are investigated systematically. We theoretically analyze the general conditions for the injection locking, and numerically investigate the properties of injection locking chaos synchronization in the phase and intensity domains, the influences of frequency detuning and intrinsic parameter mismatch on the injection locking chaos synchronization, as well as the performance of injection locking chaos synchronization based communication in closed loop PCF ECSL systems. The numerical results demonstrate that with respect to the conventional optical feedback (COF) scenario, the injection locking chaos synchronization in a PCF ECSLs configuration shows a significantly wider high quality synchronization region and excellent feasibility, and the performance of chaos communication can also be enhanced.", "author_names": [ "Ning Jiang", "Anke Zhao", "Shiqin Liu", "Yiqun Zhang", "Jiafa Peng", "Kun Qiu" ], "corpus_id": 214732558, "doc_id": "214732558", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "Injection locking chaos synchronization and communication in closed loop semiconductor lasers subject to phase conjugate feedback.", "venue": "Optics express", "year": 2020 }, { "abstract": "We present a numerical analysis that focuses, for the first time to our knowledge, on the feedback induced dynamics in a semiconductor passively mode locked laser with sub wavelength resolution. Our results and the corresponding theoretical explanations elucidate several aspects of the laser dynamics under self injection including inherent properties of mode locked lasers such as pulse intensity noise and timing jitter. We show that the dynamics of the laser exhibit a periodicity in the wavelength scale apparent only on integer multiples of the laser cavity and decays in the time scale of the pulse duration following the coherence of the mode locked laser. The corresponding phenomena are dominant for external cavities that are shorter than the laser cavity and superimposed on the previously reported dynamics of the semiconductor mode locked lasers for longer external delays. Since these dynamics are triggered by low feedback levels, our study could be useful for the optimization of the laser operation in cases where ultra short external cavity lengths are involved (integrated designs, power collection with fiber tapers, etc.", "author_names": [ "Christos Simos", "Hercules Simos", "Dimitris Syvridis" ], "corpus_id": 125395778, "doc_id": "125395778", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Sub wavelength resolution dynamics of semiconductor passively mode locked lasers induced by optical feedback", "venue": "", "year": 2017 } ]
Efficiency of Solar water Splitting Using Semiconductor Electrodes
[ { "abstract": "Abstract Reliable measurement of the photoconversion efficiency for semiconductor electrodes is essential to the assessment of electrode performance. In this paper, the influence of the choice of light source on measured photoconversion efficiencies for semiconductor photoelectrodes is examined. Measurements of efficiency performed under xenon lamp and solar illumination are compared with efficiencies calculated by integrating the incident photon conversion efficiency (IPCE) over the lamp and solar spectra. It is shown that use of a xenon lamp as the light source can lead to a large overestimate of the photoconversion efficiency, relative to that obtained under standard AM1.5 solar illumination. The overestimate is greater when a water filter is fitted to the xenon lamp, and when a wide band gap semiconductor such as TiO2 is used as the photoelectrode. Achievable photoconversion efficiencies using rutile TiO2 are calculated taking into account the losses due to imperfect absorption, reflection and charge carrier recombination; these calculated efficiencies agree with the measurements to within experimental uncertainties. It is demonstrated that many photoconversion efficiencies presented in the literature are overestimated. It is concluded that reliable estimation of efficiency under standard conditions is best obtained by measuring the IPCE as a function of wavelength, and integrating over the AM1.5 solar spectrum, or by measuring under sunlight with a similar zenith angle to that of the AM1.5 spectrum.", "author_names": [ "Anthony B Murphy", "Piers R F Barnes", "Lakshman K Randeniya", "Ian C Plumb", "Ian E Grey", "Michael D Horne", "Julie Glasscock" ], "corpus_id": 97505276, "doc_id": "97505276", "n_citations": 712, "n_key_citations": 6, "score": 1, "title": "Efficiency of solar water splitting using semiconductor electrodes", "venue": "", "year": 2006 }, { "abstract": "Starting from the classical GaInP/GaAs tandem photoelectrochemical water splitting device, higher solar to hydrogen efficiencies can be pursued by extending photon absorption to longer wavelengths. We incorporate strain balanced GaInAs/GaAsP quantum wells into the bottom GaAs junction, to increase the range of photon absorption. The inclusion of 1.34 eV quantum wells in the depletion region of the bottom cell extends the absorption edge to 930 nm. With a corresponding increase in the thickness of the top cell for current matching, the light limiting photocurrent increases by >8% The estimated solar to hydrogen efficiency is 13.6 0.5% and we show a pathway to further improvement. With the semiconductor device remaining on the growth substrate, this quantum well architecture may enable improved stability and durability of the photoelectrochemical electrodes.", "author_names": [ "Myles A Steiner", "Collin Barraugh", "Chase W Aldridge", "Isabel Barraza Alvarez", "Daniel J Friedman", "Nicholas J Ekins-Daukes", "Todd G Deutsch", "James L Young" ], "corpus_id": 201289487, "doc_id": "201289487", "n_citations": 5, "n_key_citations": 0, "score": 0, "title": "Photoelectrochemical water splitting using strain balanced multiple quantum well photovoltaic cells", "venue": "", "year": 2019 }, { "abstract": "Photoelectrochemical water splitting directly converts solar energy to chemical energy stored in hydrogen, a high energy density fuel. Although water splitting using semiconductor photoelectrodes has been studied for more than 40 years, it has only recently been demonstrated using dye sensitized electrodes. The quantum yield for water splitting in these dye based systems has, so far, been very low because the charge recombination reaction is faster than the catalytic four electron oxidation of water to oxygen. We show here that the quantum yield is more than doubled by incorporating an electron transfer mediator that is mimetic of the tyrosine histidine mediator in Photosystem II. The mediator molecule is covalently bound to the water oxidation catalyst, a colloidal iridium oxide particle, and is coadsorbed onto a porous titanium dioxide electrode with a Ruthenium polypyridyl sensitizer. As in the natural photosynthetic system, this molecule mediates electron transfer between a relatively slow metal oxide catalyst that oxidizes water on the millisecond timescale and a dye molecule that is oxidized in a fast light induced electron transfer reaction. The presence of the mediator molecule in the system results in photoelectrochemical water splitting with an internal quantum efficiency of approximately 2.3% using blue light.", "author_names": [ "Yixin Zhao", "John R Swierk", "Jackson D Megiatto", "Benjamin D Sherman", "W Justin Youngblood", "Dong-Dong Qin", "Deanna M Lentz", "Ana L Moore", "Thomas A Moore", "Devens Gust", "Thomas E Mallouk" ], "corpus_id": 13645052, "doc_id": "13645052", "n_citations": 246, "n_key_citations": 2, "score": 0, "title": "Improving the efficiency of water splitting in dye sensitized solar cells by using a biomimetic electron transfer mediator", "venue": "Proceedings of the National Academy of Sciences", "year": 2012 }, { "abstract": "Photoelectrochemical water splitting has been demonstrated as a promising way to efficiently split water. Currently, solar to hydrogen conversion efficiency using state of the art material combinations in PEC system is in the order of 7% Fabrication of nanostructured materials with unique morphologies and compositions is an important factor to fully utilize the possibilities in this field. We will present different strategies for the preparation of nanostructured metal oxide thin films by using electrodeposition and wet chemistry techniques. Focus will be given on the preparation of ZnO and CuO thin films where intermediate phases like Zn(OH)8Cl2.H2O and CuX (X=Br, Cl) were electrodeposited. Wet chemistry synthesis techniques will be also explored for the preparation of nanostructured WO3 and a Fe2O3 thin films. Especially, the hot pyrolysis technique for the preparation of colloidal W and Fe/Fe oxide nanoparticles will be shown. Spin coating of W and Fe/Fe oxide NPs onto optically conductive substrates and subsequent heat treatment of the obtained films was found to be a convenient way for the preparation of nanostructured WO3 and a Fe2O3 thin films.", "author_names": [ "Saim Emin", "Matjaz Valant" ], "corpus_id": 102576660, "doc_id": "102576660", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Photoelectrochemical Water Splitting Studies with nanostructured n and p type semiconductor electrodes", "venue": "", "year": 2017 }, { "abstract": "Cu2O is a typical p type semiconductor that can efficiently absorb visible light and has a high absorption coefficient due to its narrow forbidden band. Thus, it finds potential applications in solar energy conversion and photocatalysis. However, Cu2O photocathodes suffer from a major issue of chemical stability and sluggish proton reduction for splitting water using sunlight. We present here a facile method of coating a thin MoS2 layer onto Cu2O to significantly improve its stability and proton reduction efficiency. MoS2 coating on top of Cu2O is achieved by spin coating a [Mo3S7(S2CNEt2)3]I precursor combined with a thermal annealing process to obtain the optimal stoichiometry. MoS2 thin films synthesized using this method show good prospects as both a protection layer and an electrocatalyst for hydrogen evolution reactions (HER) due to excellent stability and high electrocatalytic activity. The proton reduction performance of spin coated MoS2/FTO electrodes is studied to determine the optimal synthesis conditions using various derivatives of MoS2 precursors. Our study suggests that the rate limiting kinetic step of MoS2 synthesized in this method is the desorption of adsorbed hydrogen atoms to form molecular hydrogen, and that nanocrystalline MoS2 with copiously exposed S edges are more active for HER. Photoelectrochemical measurements demonstrate the highest activity for 3 layered <40 nm thick) MoS2/Cu2O photocathode fabricated at 450 degC with a photocurrent density of ~6.5 mA cm 2 at 0.2 V vs. RHE. Additionally, the MoS2 coating helps minimize the dark current of the Cu2O photocathode.", "author_names": [ "Pravin S Shinde", "Patricia R Fontenot", "James P Donahue", "Joseph Waters", "Patrick Kung", "Louis E McNamara", "Nathan I Hammer", "Arunava Gupta", "Shanlin Pan" ], "corpus_id": 102787766, "doc_id": "102787766", "n_citations": 21, "n_key_citations": 0, "score": 0, "title": "Synthesis of MoS2 from [Mo3S7(S2CNEt2)3]I for enhancing photoelectrochemical performance and stability of Cu2O photocathode toward efficient solar water splitting", "venue": "", "year": 2018 }, { "abstract": "Abstract Storing solar energy via a chemical fuel of hydrogen from water splitting represents a clean alternative for petroleum fuel. Thus, it is highly desirable for the production of hydrogen using environment friendly and cost effective methods. Most photoelectrodes used for this conversion are semiconductor materials whose band gaps match the UV and visible radiation of solar energy. However, further improvement in electrodes performance may be possible by improving photoabsorption efficiency in near infrared region. This report represents our attempt to utilize IR photons for water splitting, and thus, spectral convertors were incorporated within the hematite nanorods (NRs) grown directly on a FTO glass. The results demonstrate that incorporation of spectral convertors within the hematite NRs leads to higher efficiency and performance in solar water splitting, because the convertors enable harvesting more photons both at UV and IR regions than conventional hematite.", "author_names": [ "Timur Sh Atabaev", "Hong Ha Thi Vu", "Muhammad Ajmal", "Hyung Kook Kim", "Yoon-Hwae Hwang" ], "corpus_id": 95396642, "doc_id": "95396642", "n_citations": 13, "n_key_citations": 0, "score": 0, "title": "Dual mode spectral convertors as a simple approach for the enhancement of hematite's solar water splitting efficiency", "venue": "", "year": 2015 }, { "abstract": "One of the principal challenges for solar driven hydrogen production via water splitting is to improve the solar to hydrogen conversion efficiency. We have employed combinatorial chemistry using a materials inkjet printer, and selected CuBi2O4 as a promising p type material. The steady state photocurrent corresponding to water reduction for a 280 nm film at 0.2 V (RHE) was about 0.12 mA cm 2, significantly lower than that attainable for a 2 eV band gap semiconductor. We have applied intensity modulated photocurrent spectroscopy (IMPS) to distinguish between the photoelectrochemical processes involved and to determine the associated time constants, in order to gain insight into the loss processes responsible for the low efficiency. The charge separation efficiency reaches up to 0.66 at sufficiently negative potential, however, the recombination rate constant is larger than that corresponding to electron transfer to the solution. This results in a relative charge transfer efficiency of 0.2 0.4, explaining t.", "author_names": [ "Ingrid Rodriguez-Gutierrez", "Rodrigo Garcia-Rodriguez", "Manuel Rodriguez-Perez", "Alberto Vega-Poot", "Geonel Rodriguez Gattorno", "Bruce A Parkinson", "Gerko Oskam" ], "corpus_id": 106118836, "doc_id": "106118836", "n_citations": 17, "n_key_citations": 0, "score": 0, "title": "Charge Transfer and Recombination Dynamics at Inkjet Printed CuBi2O4 Electrodes for Photoelectrochemical Water Splitting", "venue": "", "year": 2018 }, { "abstract": "Oxide photoelectrochemistry has been under continuous development over the last half century. These decades have witnessed the use of electrodes of different nature (from single crystals to nanoparticulate films) new electrode materials (including ternary and multinary transition metal oxides) and different strategies for improving their efficiency and stability (e.g. doping or protective layers) Although the very high initial expectations for using oxide electrodes in solar energy conversion were not fully met, substantial efforts have been devoted to reach an in depth understanding of the processes limiting their functioning, providing firm bases for further developments. In this article, we review our main contributions in this field; in particular, we focus on the water photooxidation (i.e. oxygen evolution reaction) water photoreduction (i.e. hydrogen evolution reaction) and full water splitting processes (in a tandem cell) with binary and ternary oxides, including metal hydroxides as co catalysts. We emphasize the importance of modeling and obtaining mechanistic insights and we conclude with a reflection on the main issues to be tackled in this field, which in our opinion should experience major advances in the coming years.", "author_names": [ "Damian Monllor-Satoca", "Maria Isabel Diez-Garcia", "Teresa Lana-Villarreal", "Roberto Gomez" ], "corpus_id": 221843321, "doc_id": "221843321", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Photoelectrocatalytic production of solar fuels with semiconductor oxides: materials, activity and modeling.", "venue": "Chemical communications", "year": 2020 }, { "abstract": "We study the photoelectrochemical (PEC) solar water splitting assisted with synthesized nanostructures. Si nanowires decorated with silver dendrite nanostructures have been synthesized using metal assisted wet chemical etching of (100) Si wafer. Etching has been carried out in an aqueous solution consisting of 5M HF and 0.02M AgNO3. Investigations showed that such type of semiconductor nanostructures act as efficient working electrodes for the splitting of normal water in PEC method. An enhancement in the photon to current conversion efficiency and solar to hydrogen evolution was observed for obtaining a practical source of clean and renewable fuel.", "author_names": [ "Uday Dadwal", "Neha Ranjan", "Rupinder Singh" ], "corpus_id": 99035417, "doc_id": "99035417", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Silicon nanostructures induced photoelectrochemical solar water splitting for energy applications", "venue": "", "year": 2016 }, { "abstract": "Solar water splitting via multi junction semiconductor photoelectrochemical cells provides direct conversion of solar energy to stored chemical energy as hydrogen bonds. Economical hydrogen production demands high conversion efficiency to reduce balance of systems costs. For sufficient photovoltage, water splitting efficiency is proportional to the device photocurrent, which can be tuned by judicious selection and integration of optimal semiconductor bandgaps. Here, we demonstrate highly efficient, immersed water splitting electrodes enabled by inverted metamorphic epitaxy and a transparent graded buffer that allows the bandgap of each junction to be independently varied. Voltage losses at the electrolyte interface are reduced by 0.55 V over traditional, uniformly p doped photocathodes by using a buried p n junction. Advanced on sun benchmarking, spectrally corrected and validated with incident photon to current efficiency, yields over 16% solar to hydrogen efficiency with GaInP/GaInAs tandem absorbers, representing a 60% improvement over the classical, high efficiency tandem III V device. Solar water splitting efficiency can be enhanced by careful bandgap selection in multi junction semiconductor structures. Young et al. demonstrate a route that allows independent bandgap tuning of each junction in an immersed water splitting device, enabling a solar to hydrogen efficiency of over 16%", "author_names": [ "James L Young", "Myles A Steiner", "Henning Doscher", "John A Turner", "Todd G Deutsch" ], "corpus_id": 114434893, "doc_id": "114434893", "n_citations": 203, "n_key_citations": 0, "score": 0, "title": "Direct solar to hydrogen conversion via inverted metamorphic multi junction semiconductor architectures", "venue": "", "year": 2017 } ]
problems of single core
[ { "abstract": "Abstract The further practical applications of halide perovskite quantum dots (QDs) are blocked by problems of instability and nonradiative Auger recombination manifested as photoluminescence blinking. Here, single core/shell structured perovskite semiconductor QDs are successfully fabricated by capping CsPbBr3 QD core with CdS shell. It is demonstrated that CsPbBr3/CdS core/shell QDs exhibit ultrahigh chemical stability and nonblinking photoluminescence with high quantum yield due to the reduced electronic traps within the core/shell structure. Efficiency of amplified spontaneous emission exhibits obvious enhancement compared to that of pure CsPbBr3 QDs, originating from the mitigated competition between stimulated emission and suppressed nonradiative biexciton Auger recombination. Furthermore, low threshold whispering gallery mode lasing with a high quality factor is achieved by incorporating CsPbBr3/CdS QDs into microtubule resonators. Density functional theory (DFT) based first principles calculations are also performed to reveal the atomic interface structure, which supports the existence of CsPbBr3/CdS structure. An interesting feature of spatially separated charge density at CsPbBr3/CdS interface is found, which may greatly contribute to the suppressed Auger recombination. The results provide a practical approach to improve the stability and suppress the blinking of halide perovskite QDs, which may pave the way for future applications for various optoelectronic devices.", "author_names": [ "Xiaosheng Tang", "Jie Yang", "Shiqi Li", "Zhengzheng Liu", "Zhiping Hu", "Jiongyue Hao", "Juan Du", "Yuxin Leng", "Haiyan Qin", "Xing Lin", "Yue Lin", "Yuxi Tian", "Miao Zhou", "Qihua Xiong" ], "corpus_id": 198830678, "doc_id": "198830678", "n_citations": 46, "n_key_citations": 0, "score": 0, "title": "Single Halide Perovskite/Semiconductor Core/Shell Quantum Dots with Ultrastability and Nonblinking Properties", "venue": "Advanced science", "year": 2019 }, { "abstract": "This paper shows a multicore sensor node can eliminate a task scheduler, and reduces power consumption and task execution delay, which are problems in an existing single core sensor node. To quantify the power consumption and the task execution delay, an experimental evaluation run various services on a wireless sensor node. The evaluation result shows that it is difficult to reduce power consumption and task execution delay at the same time because there is a trade off between them. Additionally, to validate feasibility of the multicore sensor node, the task flow analysis on software in wireless sensor networks is conducted. The analysis result shows that we can estimate a number of required cores to implement the software on a multicore sensor node. 1. ha zi me ni", "author_names": [ "Sotaro Ohara", "Makoto Suzuki", "Shunsuke Saruwatari", "Masateru Minami", "Hiroyuki Morikawa" ], "corpus_id": 62704614, "doc_id": "62704614", "n_citations": 0, "n_key_citations": 0, "score": 1, "title": "Quantitative Evaluations of Problems in Single Core Wireless Sensor Nodes", "venue": "", "year": 2009 }, { "abstract": "Nowadays high performance computing (HPC) architectures are designed to resolve assorted sophisticated scientific as well as engineering problems across an ever intensifying number of HPC and professional workloads. Application and computation of key trigonometric functions sine and cosine are in all spheres of our daily life, yet fairly time consuming task in high performance numerical simulations. In this paper, we have delivered a detailed deliberation of how the micro architecture of single core Itanium(r) and Alpha 21264/21364 processors as well as the manual optimization techniques improve the computing performance of several mathematical functions. On describing the detailed algorithm and its execution pattern on the processor, we have confirmed that the processor micro architecture side by side manual optimization techniques ameliorate computing performance significantly as compared to not only the standard math library's built in functions with compiler optimizing options but also Intel(r) Itanium(r) library's highly optimized mathematical functions.", "author_names": [ "Md Haidar Sharif" ], "corpus_id": 34985606, "doc_id": "34985606", "n_citations": 17, "n_key_citations": 0, "score": 0, "title": "High Performance Mathematical Functions for Single Core Architectures", "venue": "J. Circuits Syst. Comput.", "year": 2014 }, { "abstract": "Current microprocessors face constant thermal and power related problems during their everyday use, usually solved by applying a power budget to the processor/core. Dynamic voltage and frequency scaling (DVFS) has been an effective technique that allowed microprocessors to match a predefined power budget. However, the continuous increase of leakage power due to technology scaling along with low resolution of DVFS makes it less attractive as a technique to match a predefined power budget as technology goes to deep submicron. In this paper, we propose the use of microarchitectural techniques to accurately match a power constraint while maximizing the energy efficiency of the processor. We will predict the processor power dissipation at cycle level (power token throttling) or at a basic block level (basic block level mechanism) using the dissipated power translated into tokens to select between different power saving microarchitectural techniques. We also introduce a two level approach in which DVFS acts as a coarse grain technique to lower the average power dissipation towards the power budget, while microarchitectural techniques focus on removing the numerous power spikes. Experimental results show that the use of power saving microarchitectural techniques in conjunction with DVFS is up to six times more precise, in terms of total energy consumed over the power budget, than only using DVFS to match a predefined power budget.", "author_names": [ "Juan M Cebrian", "Daniel Sanchez", "Juan L Aragon", "Stefanos Kaxiras" ], "corpus_id": 6274207, "doc_id": "6274207", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Managing power constraints in a single core scenario through power tokens", "venue": "The Journal of Supercomputing", "year": 2013 }, { "abstract": "We give deterministic \\tilde{O}(2^{2n} time \\tilde{O}(2^n) space algorithms to solve all the most important computational problems on point lattices in NP, including the shortest vector problem (SVP) closest vector problem (CVP) and shortest independent vectors problem (SIVP) This improves the $n^{O(n) running time of the best previously known algorithms for CVP [R. Kannan, Math. Oper. Res. 12 (1987) pp. 415 440] and SIVP [D. Micciancio, Proceedings of the $19$th Annual ACM SIAM Symposium on Discrete Algorithms, 2008, pp. 84 93] and gives a deterministic and asymptotically faster alternative to the $2^{O(n) time (and space) randomized algorithm for SVP of Ajtai, Kumar, and Sivakumar [Proceedings of the $33$rd Annual ACM Symposium on Theory of Computing, 2001, pp. 266 275] The core of our algorithm is a new method to solve the closest vector problem with preprocessing (CVPP) that uses the Voronoi cell of the lattice (described as intersection of half spaces) as the result of the preproces.", "author_names": [ "Daniele Micciancio", "Panagiotis Voulgaris" ], "corpus_id": 122421083, "doc_id": "122421083", "n_citations": 112, "n_key_citations": 13, "score": 0, "title": "A Deterministic Single Exponential Time Algorithm for Most Lattice Problems Based on Voronoi Cell Computations", "venue": "SIAM J. Comput.", "year": 2013 }, { "abstract": "The relevance of the study is determined by the fact that now the problem of stable social economicdevelopment of single industry towns refers to one of the priorities of the state policy. Particularly critical thisproblem was showing in a financial crisis of 2008 2009. which indicated the interrelation of single industrytowns on the city forming enterprise's activity results. City forming enterprise's risks makes the typical risks ofsingle industry towns. Few core enterprises have funds to invest them in their own development and thesingle industry towns development, what determine necessity of budget funds applying in programs ofmono towns development.", "author_names": [ "Elena Mihajlovna Kryukova", "Ekaterina A Vetrova", "Aleksandr Maloletko", "Olga V Kaurova", "Svetlana V Dusenko" ], "corpus_id": 55737127, "doc_id": "55737127", "n_citations": 28, "n_key_citations": 2, "score": 0, "title": "Social Economic Problems of Russian Mono Towns", "venue": "", "year": 2014 }, { "abstract": "With many of today's oil wells located offshore, the production of high volumes of water compared to oil poses major challenges to oil operators. The use of liquid liquid hydrocyclone (LLHC) is one effective way to arrest these uphill problems of produced water. However, the nature of fluid flow within the LLHC device is very vital to the separation process and performance. This study through numerical simulation lends understanding to the way oil water fluid migrates within LLHC device and shows how the flow structure can affect the efficiency of the separation process. Unsteady wavering flow was realized for the use of the single inlet due to flow imbalance just after entry into the cyclone. This affected the efficiency of separation as water droplets in the vicinity of the reverse flow core boundary could be carried to the overflow. In addition, there was the realization of frequent recirculation zones which cause some fluid droplets to be unseparated. Uniform unwavering fluid flow structure was observed in the case of dual inlet LLHC which assisted in the segregation of the oil and water into their respective core regions as oil rich core (inner) and water rich core (outer) The separation efficiency achieved from the use of the dual inlet LLHC outperformed that from the single inlet LLHC. An efficiency of 82.3% was obtained for the dual inlet LLHC as against 73.7% for the single inlet LLHC at 0.5 m3/h. At 1.0 m3/h, a great separation performance of 93.6% was achieved from the dual inlet LLHC, whereas separation efficiency of 88.5% was obtained when the same feed was treated in the single inlet LLHC.", "author_names": [ "Hussain H Al-Kayiem", "Harrison Osei", "Fakhruldin Bin Mohd Hashim", "Jaseer E Hamza" ], "corpus_id": 182876773, "doc_id": "182876773", "n_citations": 14, "n_key_citations": 0, "score": 0, "title": "Flow structures and their impact on single and dual inlets hydrocyclone performance for oil water separation", "venue": "Journal of Petroleum Exploration and Production Technology", "year": 2019 }, { "abstract": "In this paper, we revisit the design and implementation of Branch and Bound (B&B) algorithms for solving large combinatorial optimization problems on GPU enhanced multi core machines. B&B is a tree based optimization method that uses four operators (selection, branching, bounding and pruning) to build and explore a highly irregular tree representing the solution space. In our previous works, we have proposed a GPU accelerated approach in which only a single CPU core is used and only the bounding operator is performed on the GPU device. Here, we extend the approach (LL GB&B) in order to minimize the CPU GPU communication latency and thread divergence. Such an objective is achieved through a GPU based fine grained parallelization of the branching and pruning operators in addition to the bounding one. The second contribution consists in investigating the combination of a GPU with multi core processing. Two scenarios have been explored leading to two approaches: a concurrent (RLL GB&B) and a cooperative one (PLL GB&B) In the first one, the exploration process is performed concurrently by the GPU and the CPU cores. In the cooperative approach, the CPU cores prepare and off load to GPU pools of tree nodes using data streaming while the GPU performs the exploration. The different approaches have been extensively experimented on the Flowshop scheduling problem. Compared to a single CPU based execution, LL GB&B allows accelerations up to (x160) for large problem instances. Moreover, when combining multi core and GPU, we figure out that using RLL GB&B is not beneficial while PLL GB&B enables an improvement up to 36% compared to LL GB&B.", "author_names": [ "Imen Chakroun", "Nouredine Melab", "Mohand-Said Mezmaz", "Daniel Tuyttens" ], "corpus_id": 46233359, "doc_id": "46233359", "n_citations": 36, "n_key_citations": 2, "score": 0, "title": "Combining multi core and GPU computing for solving combinatorial optimization problems", "venue": "J. Parallel Distributed Comput.", "year": 2013 }, { "abstract": "Physarum polycephalum, a single celled, multinucleate slime mould, is a seemingly simple organism, yet it exhibits quasi intelligent behaviour during extension, foraging, and as it adapts to dynamic environments. For these reasons, Physarum is an attractive target for modelling with the underlying goal to uncover the physiological mechanisms behind the exhibited quasi intelligence and/or to devise novel algorithms for solving complex computational problems. The recent increase in modelling studies on Physarum has prompted us to review the latest developments in this field in the context of modelling and computing alike. Specifically, we cover models based on (i) morphology, (ii) taxis, and (iii) positive feedback dynamics found in top down and bottom up modelling techniques. We also survey the application of each of these core features of Physarum to solving difficult computational problems with real world applications. Finally, we highlight some open problems in the field and present directions for future research.", "author_names": [ "Chao Gao", "Chen Liu", "Daniel Thorsten Schenz", "Xuelong Li", "Zili Zhang", "Marko Jusup", "Zhen Wang", "Madeleine Beekman", "Toshiyuki Nakagaki" ], "corpus_id": 46919838, "doc_id": "46919838", "n_citations": 32, "n_key_citations": 1, "score": 0, "title": "Does being multi headed make you better at solving problems? A survey of Physarum based models and computations.", "venue": "Physics of life reviews", "year": 2018 }, { "abstract": "We give deterministic ~O(22n+o(n) time algorithms to solve all the most important computational problems on point lattices in NP, including the Shortest Vector Problem (SVP) Closest Vector Problem (CVP) and Shortest Independent Vectors Problem (SIVP) This improves the nO(n) running time of the best previously known algorithms for CVP (Kannan, Math. Operation Research 12(3):415 440, 1987) and SIVP (Micciancio, Proc. of SODA, 2008) and gives a deterministic and asymptotically faster alternative to the 2O(n) time (and space) randomized algorithm for SVP of (Ajtai, Kumar and Sivakumar, STOC 2001) The core of our algorithm is a new method to solve the closest vector problem with preprocessing (CVPP) that uses the Voronoi cell of the lattice (described as intersection of half spaces) as the result of the preprocessing function. In the process, we also give algorithms for several other lattice problems, including computing the kissing number of a lattice, and computing the set of all Voronoi relevant vectors. All our algorithms are deterministic, and have 2O(n) time and space complexity.", "author_names": [ "Daniele Micciancio", "Panagiotis Voulgaris" ], "corpus_id": 2449948, "doc_id": "2449948", "n_citations": 198, "n_key_citations": 9, "score": 0, "title": "A deterministic single exponential time algorithm for most lattice problems based on voronoi cell computations", "venue": "STOC '10", "year": 2010 } ]
coating liuthium ion batteries
[ { "abstract": "Unlike the vast majority of transition metal dichalcogenides which are semiconductors, vanadium disulfide is metallic and conductive. This makes it particularly promising as an electrode material in lithium ion batteries. However, vanadium disulfide exhibits poor stability due to large Peierls distortion during cycling. Here we report that vanadium disulfide flakes can be rendered stable in the electrochemical environment of a lithium ion battery by conformally coating them with a ~2.5 nm thick titanium disulfide layer. Density functional theory calculations indicate that the titanium disulfide coating is far less susceptible to Peierls distortion during the lithiation delithiation process, enabling it to stabilize the underlying vanadium disulfide material. The titanium disulfide coated vanadium disulfide cathode exhibits an operating voltage of ~2 V, high specific capacity ~180 mAh g 1 @200 mA g 1 current density) and rate capability ~70 mAh g 1 @1000 mA g 1) while achieving capacity retention close to 100% after 400 charge discharge steps.VS2 is a promising cathode material for lithium ion batteries, but is susceptible to Peierls distortion during (de)lithiation. Here the authors show that VS2 cathodes can be stabilized by conformally coating them with a nanoscale TiS2 protective layer, leading to impressive electrochemical performance.", "author_names": [ "Lu Li", "Zhaodong Li", "Anthony Yoshimura", "Congli Sun", "Tianmeng Wang", "Yanwen Chen", "Zhizhong Chen", "Aaron J Littlejohn", "Yu Xiang", "Prateek Hundekar", "Stephen F Bartolucci", "Jian Shi", "Su-Fei Shi", "Vincent Meunier", "Gwo-Ching Wang", "Nikhil A Koratkar" ], "corpus_id": 116864452, "doc_id": "116864452", "n_citations": 34, "n_key_citations": 0, "score": 0, "title": "Vanadium disulfide flakes with nanolayered titanium disulfide coating as cathode materials in lithium ion batteries", "venue": "Nature Communications", "year": 2019 }, { "abstract": "Ni rich NCM based positive electrode materials exhibit appealing properties in terms of high energy density and low cost. However, these materials suffer from different degradation effects, especially at their particle surface. Therefore, in this work, tungsten oxide is evaluated as a protective inorganic coating layer on LiNi0.8Co0.1Mn0.1O2 (NCM 811) positive electrode materials for lithium ion battery (LIB) cells and investigated regarding rate capability and cycling stability under different operation conditions. Using electrochemical impedance spectroscopy, the interfacial resistance of uncoated and coated NCM 811 electrodes is explored to study the impact of the coating on lithium ion diffusion. All electrochemical investigations are carried out in LIB full cells with graphite as a negative electrode to ensure better comparability with commercial cells. The coated electrodes show an excellent capacity retention for the long term charge/discharge cycling of NCM 811 based LIB full cells, i.e. 80% state of health after more than 800 cycles. Furthermore, the positive influence of the tungsten oxide coating on the thermal and structural stability is demonstrated using postmortem analysis of aged electrodes. Compared to the uncoated electrodes, the surface modified electrodes show less degradation effects, such as particle cracking on the electrode surface and improvement of the thermal stability of NCM 811 in the presence of electrolyte.", "author_names": [ "Dina Becker", "Markus Borner", "Roman Nolle", "Marcel Diehl", "Sven Klein", "Uta Rodehorst", "R Schmuch", "Martin Winter", "Tobias Placke" ], "corpus_id": 143435129, "doc_id": "143435129", "n_citations": 71, "n_key_citations": 0, "score": 0, "title": "Surface Modification of Ni Rich LiNi0.8Co0.1Mn0.1O2 Cathode Material by Tungsten Oxide Coating for Improved Electrochemical Performance in Lithium Ion Batteries.", "venue": "ACS applied materials interfaces", "year": 2019 }, { "abstract": "Abstract Fluorine doped anatase TiO2 (FATO) is successfully coated with thickness of 4 6 nm on the surface of Li1.2Mn0.54Ni0.13Co0.13O2 particles to improve the electrochemical and thermal properties of the Li rich cathode via a wet chemical method. The cathode coated by 2 wt% of FATO shows excellent electrochemical performances and high thermal stability. This sample delivers discharge capacities of 300.1 mAh g 1 at 1 C and 257 mAh g 1 even at 10 C. Besides, it shows high capacity retentions of 91.5% and 73.2% after 500 cycles at 1 C and 5 C, respectively. Furthermore, in situ XRD patterns confirm that the coated sample delivers the decomposition temperature of 293 degC to convert hexagonal structure (R 3m) to cubic spinel structure (Fd 3m) This study shows that the nanoscale coating layer containing oxygen vacancies can greatly enhance electrochemical and thermal properties of Li rich cathode materials used in high performance lithium ion batteries.", "author_names": [ "Mohadese Rastgoo-Deylami", "Mehran Javanbakht", "Hamid Omidvar" ], "corpus_id": 104319261, "doc_id": "104319261", "n_citations": 17, "n_key_citations": 0, "score": 1, "title": "Enhanced performance of layered Li1.2Mn0.54Ni0.13Co0.13O2 cathode material in Li ion batteries using nanoscale surface coating with fluorine doped anatase TiO2", "venue": "Solid State Ionics", "year": 2019 }, { "abstract": "One of the major hurdles of Ni rich cathode materials Li1+x(NixCozMnz)wO2, y 0.5 for lithium ion batteries is their low cycling stability especially for compositions with Ni 60% which suffer from severe capacity fading and impedance increase during cycling at elevated temperatures (e.g. 45 degC) Two promising surface and structural modifications of these materials to alleviate the above drawback are (1) coatings by electrochemically inert inorganic compounds (e.g. ZrO2) or (2) lattice doping by cations like Zr4+ Al3+ Mg2+ etc. This paper demonstrates the enhanced electrochemical behavior of Ni rich material LiNi0.8Co0.1Mn0.1O2 (NCM811) coated with a thin ZrO2 layer. The coating is produced by an easy and scalable wet chemical approach followed by annealing the material at =700 degC under oxygen that results in Zr doping. It is established that some ZrO2 remains even after annealing at =800 degC as a surface layer on NCM811. The main finding of this work is the enhanced cycling stability and lower impedance of the coated/doped NCM811 that can be attributed to a synergetic effect of the ZrO2 coating in combination with a zirconium doping.", "author_names": [ "F J M Schipper", "Hana Bouzaglo", "Mudit Dixit", "Evan M Erickson", "Tina Weigel", "Michael Talianker", "Judith Grinblat", "Larisa Burstein", "Michael Schmidt", "Jordan Keith Lampert", "Christoph Erk", "Boris Markovsky", "Dan Thomas Major", "Doron Aurbach" ], "corpus_id": 102821302, "doc_id": "102821302", "n_citations": 236, "n_key_citations": 0, "score": 0, "title": "From Surface ZrO2 Coating to Bulk Zr Doping by High Temperature Annealing of Nickel Rich Lithiated Oxides and Their Enhanced Electrochemical Performance in Lithium Ion Batteries", "venue": "", "year": 2018 }, { "abstract": "Sodium ion batteries (SIBs) have attracted tremendous interest and become a worldwide research hotpot owing to their low cost and abundant resources. To obtain suitable anode materials with excellent performance for SIBs, an effective and controllable strategy is presented to fabricate SnS2 nanosheets coating on nanohollow cubic CoS2 /C (CoS2 /C@SnS2 composites with a hollow structure using Co metal organic frameworks as the starting material. As anodes for SIBs, the CoS2 /C@SnS2 electrode exhibits ultralong cycle life and excellent rate performance, which can maintain a high specific capacity of 400.1 mAh g 1 even after 3500 cycles at a current density of 10 A g 1 When used in a full cell, it also shows enhanced sodium storage properties and delivers a high reversible capacity of 567.3 mAh g 1 after 1000 cycles at 1 A g 1 This strategy can pave a way for preparing various metal sulfides with fascinating structure and excellent performance for the potential application in energy storage area.", "author_names": [ "Ludi Shi", "Dongzhi Li", "Pingping Yao", "Jiali Yu", "Cui-hua Li", "Bo Yang", "Caizhen Zhu", "Jian Xu" ], "corpus_id": 52095221, "doc_id": "52095221", "n_citations": 72, "n_key_citations": 0, "score": 0, "title": "SnS2 Nanosheets Coating on Nanohollow Cubic CoS2 /C for Ultralong Life and High Rate Capability Half/Full Sodium Ion Batteries.", "venue": "Small", "year": 2018 }, { "abstract": "Abstract Four LiNi0.8Co0.15Al0.05 based Li ion battery cathode materials, i.e. the pristine LiNi0.8Co0.15Al0.05, Li excess Li1+xNi0.8Co0.15Al0.05, SnO2 coated LiNi0.8Co0.15Al0.05 and SnO2 coated Li excess Li1+xNi0.8Co0.15Al0.05 are prepared via a facile oxalate coprecipitation route, and are studied with respect to the effects of Li excess and SnO2 coating on the structure and electrochemical properties. X ray diffraction and X ray photoelectric spectroscopy demonstrate that a small amount of Li+ and/or Sn2+ ions are incorporated into the transition metal slabs of the modified materials, and hence reduce the cationic disorder of Li+/Ni2+ High resolution transmission electron microscopy and scanning electron microscopy confirm the formation of a SnO2 surface layer that prevents the growth and aggregation of the primary particles during high temperature solid reaction, and therefore results in formation of the SnO2 coated materials with nano/submicron sphere like morphology. Lithium excess and SnO2 coating enhance the electrochemical performance. The SnO2 coated Li excess Li1+xNi0.8Co0.15Al0.05 exhibits not only higher specific capacity and better rate capability but also excellent cycling stability. After 400 cycles at 1C rate, the capacity is decreased from 123.7 to 86.7 mAh g 1, giving capacity retention of 70.1% Li excess is believed to decrease the cationic mixing and SnO2 modification is deemed to restrict the undesirable side reaction between the active material and electrolyte.", "author_names": [ "Zhicheng Xie", "Yingying Zhang", "An-bao Yuan", "Jiaqiang Xu" ], "corpus_id": 139779684, "doc_id": "139779684", "n_citations": 14, "n_key_citations": 0, "score": 0, "title": "Effects of lithium excess and SnO2 surface coating on the electrochemical performance of LiNi0.8Co0.15Al0.05O2 cathode material for Li ion batteries", "venue": "Journal of Alloys and Compounds", "year": 2019 }, { "abstract": "Abstract Li rich manganese based layered electrode materials are expected to be superior performance cathode materials for next generation lithium ion batteries due to high capacity, low cost and environmentally benignity. However, the commercialization of such cathode materials is impeded by severe capacity fading and poor rate performance. To overcome these problems, Al2O3 is coated on the Li1.256Ni0.198Co0.082Mn0.689O2.25, meanwhile, spinel structure interface layer is formed between the Li1.256Ni0.198Co0.082Mn0.689O2.25 and Al2O3 coating. This modified cathode material has a significant improvement on rate performance with a discharge capacity of 189 mAhg 1 at current density of 1250 mAg 1. In addition, it reveals a discharge capacity of 240 mAhg 1 at current densities of 250 mAg 1 and a retention of 95.2% after 60 cycles. The improved rate performance and cycling stability are benefited from the formation of spinel structure interface layer and Al2O3 coating.", "author_names": [ "Xiaofeng Wen", "Kui Liang", "Lingyun Tian", "Kaiyue Shi", "Jusheng Zheng" ], "corpus_id": 103916595, "doc_id": "103916595", "n_citations": 37, "n_key_citations": 1, "score": 0, "title": "Al 2 O 3 coating on Li 1.256 Ni 0.198 Co 0.082 Mn 0.689 O 2.25 with spinel structure interface layer for superior performance lithium ion batteries", "venue": "", "year": 2018 }, { "abstract": "Abstract Large interfacial resistance between electrode and electrolyte limits the development of high performance all solid state batteries. Herein we report a uniform coating of Li7P3S11 solid electrolyte on MoS2 to form a MoS2/Li7P3S11 composite electrode for all solid state lithium ion batteries. The as synthesized Li7P3S11 processes a high ionic of 2.0 mS cm 1 at room temperature. Due to homogeneous union and reduced interfacial resistance, the assembled all solid state batteries with the MoS2/Li7P3S11 composite electrode exhibit higher reversible capacity of 547.1 mAh g 1 at 0.1 C and better cycling stability than the counterpart based on untreated MoS2. Our study provides a new reference for design/fabrication of advanced electrode materials for high performance all solid state batteries.", "author_names": [ "Ruifeng Xu", "Xiao long Wang", "S Z Zhang", "Yan Xia", "Xinhui Xia", "Junyong Wu", "Jiangping Tu" ], "corpus_id": 103440730, "doc_id": "103440730", "n_citations": 42, "n_key_citations": 0, "score": 0, "title": "Rational coating of Li7P3S11 solid electrolyte on MoS2 electrode for all solid state lithium ion batteries", "venue": "", "year": 2018 }, { "abstract": "Abstract Lithium rich layered oxide materials (LLOs) are widely studied due to its high reversible capacity. However, the stability during cycling and initial coulombic efficiency should be improved. Herein, we applied ball milling based facile calcination modification methods by combining doping fluorine and coating with Al2O3 to synthesis modified Li[Li0.20Ni0.133Co0.133Mn0.534]O2 cathode materials for lithium ion batteries. Characterization results of XRD, SEM and TEM show that fluorine doping could largely stabilized materials structure. Al2O3 coating is proved to improve LLOs' stability during cycling. The formation of Al F O H type species proved by X ray photoelectron spectra lead to improved discharge capacity and cycle performance. This work provides insight on the cost effective production of high quality LLOs and helps determine appropriate modifications to improve the commercial utilization of LLOs.", "author_names": [ "Siyu Liu", "Zhilei Wang", "Yongkui Huang", "Zhijiang Ni", "Jirong Bai", "Shifei Kang", "Yangang Wang", "Xi Li" ], "corpus_id": 103455377, "doc_id": "103455377", "n_citations": 27, "n_key_citations": 0, "score": 0, "title": "Fluorine doping and Al2O3 coating Co modified Li[Li0.20Ni0.133Co0.133Mn0.534]O2 as high performance cathode material for lithium ion batteries", "venue": "", "year": 2018 }, { "abstract": "Lithium rich oxides have been regarded as one of the most competitive cathode materials for next generation lithium ion batteries due to their high theoretical specific capacity and high discharge voltage. However, they are still far from being commercialized due to low rate capability and poor cycling stability. In this study, we propose a heterostructured LiAlF4 coating strategy to overcome those obstacles. The as developed lithium rich cathode material shows outstanding performance including a high reversible capacity (246 mA h g 1 at 0.1C) excellent rate capability (133 mA h g 1 at 5C) and ultralong cycling stability (3000 cycles) Comparing with those of pristine and AlF3 coated lithium rich cathode materials, the enhanced performances can be attributed to the introduction of the lithium ion conductive nanolayer and the generation of nonbonding O n species in the active material lattice, which enable rapid and effective lithium ion transport and diffusion. Our work provides a new strategy to develop high performance lithium rich cathode materials for high energy density lithium ion batteries.", "author_names": [ "Shuoqing Zhao", "Bing Sun", "Kang Yan", "Jinqiang Zhang", "Chengyin Wang", "Guoxiu Wang" ], "corpus_id": 206491286, "doc_id": "206491286", "n_citations": 32, "n_key_citations": 0, "score": 0, "title": "Aegis of Lithium Rich Cathode Materials via Heterostructured LiAlF4 Coating for High Performance Lithium Ion Batteries.", "venue": "ACS applied materials interfaces", "year": 2018 } ]
species distribution diagram manganes
[ { "abstract": "In this work, the methodology for obtaining the species distribution diagrams for depositing semiconductor films by chemical bath is discussed. The species distribution diagrams are useful for predicting the physicochemical conditions for achieving major control for materials deposition. By using ZnCl2 or ZnSO4, KOH, NH4NO3 and SC(NH2)2 as chemical reagents for the bath, as well as the most prob bly chemical r actions betw en th se chemical reagents, a range of deposition temperature (from 25 to 90 degC) and the different species formed by these reagents concentrations, the species distribution diagrams as a function of the pH were obtained. By using the species diagrams, thin films were deposited under different conditions in order to highlight their importance to obtain ZnS, ZnO and Zn(OH)2thin films with better optical and structural qualities. The results indicate that the better conditions for obtaining the high quality films can change with the bath temperature and with the concentrations of the chemical reagents.", "author_names": [ "Andres Ivan Oliva", "P E Martin-Varguez", "I J Gonzalez-Panzo", "I J Gonzalez-Chan" ], "corpus_id": 73643341, "doc_id": "73643341", "n_citations": 2, "n_key_citations": 0, "score": 1, "title": "POR BANO QUIMICO ROLE OF THE SPECIES DISTRIBUTION DIAGRAM IN THE THIN SEMICONDUCTOR LAYERS DEPOSITION BY CHEMICAL BATH", "venue": "", "year": 2016 }, { "abstract": "Tsetse flies (Diptera, Glossinidae) transmit human and animal trypanosomoses in Africa, respectively a neglected human disease (sleeping sickness) and the most important constraint to cattle production in infested countries (nagana) We recently developed a methodology to map landscape friction (i.e. resistance to movement) for tsetse in West Africa. The goal was to identify natural barriers to tsetse dispersal, and potentially isolated tsetse populations for targeting elimination programmes. Most species distribution models neglect landscape functional connectivity whereas environmental factors affecting suitability or abundance are not necessarily the same as those influencing gene flows. Geographic distributions of a given species can be seen as the intersection between biotic (B) abiotic (A) and movement (M) factors (BAM diagram) Here we show that the suitable habitat for Glossina palpalis gambiensis as modelled by Maxent can be corrected by landscape functional connectivity (M) extracted from our friction analysis. This procedure did not degrade the specificity of the distribution model (P=0.751) whereas the predicted distribution area was reduced. The added value of this approach is that it reveals unconnected habitat patches. The approach we developed on tsetse to inform landscape connectivity (M) is reproducible and does not rely on expert knowledge. It can be applied to any species: we call for a generalization of the use of M to improve distribution models.", "author_names": [ "Jeremy Bouyer", "Renaud Lancelot" ], "corpus_id": 206888219, "doc_id": "206888219", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "Using genetic data to improve species distribution models.", "venue": "Infection, genetics and evolution journal of molecular epidemiology and evolutionary genetics in infectious diseases", "year": 2018 }, { "abstract": "Abstract A physicochemical analysis was carried out on the chemically deposited ZnS/glass thin films. Solutions were prepared by considering the better chemical conditions obtained from the solubility curves (SCs) and the species distribution diagrams (SDDs) for the ZnCl2 NH4NO3 KOH SC(NH2)2 system. The range of pH from 11.73 to 12.47 is proposed as a chemical condition obtained from the SCs and SDDs. The effects of these conditions on the structural, optical, morphological and stoichiometric properties of the films deposited at 70 degC were analyzed by x ray diffraction (XRD) UV Vis spectroscopy, scanning electron microscopy (SEM) and x ray photoelectron spectroscopy (XPS) XRD results show a main cubic crystalline structure (sphalerite) with (111) orientation, while the bandgap energy of the samples increases value from 3.44 eV to 3.72 eV with the increased pH. XPS technique evidenced a reduction of the Zn(OH)2 compound while the [Zn][S] ratio improved when the pH increased. SEM images allowed observing homogeneous surfaces and coalescence of grains on the surface of films.", "author_names": [ "A I Trejo-Ramos", "I J Gonzalez-Chan", "Andres Ivan Oliva" ], "corpus_id": 219933751, "doc_id": "219933751", "n_citations": 6, "n_key_citations": 0, "score": 0, "title": "Physical properties of chemically deposited ZnS thin films: Role of the solubility curves and species distribution diagrams", "venue": "", "year": 2020 }, { "abstract": "Abstract Thiosulphate, as an environmentally friendly lixiviant, is currently identified as the most promising alternative to cyanide for the extraction of gold from ores in sensitive locations. The Cu(II) ammine complexes acts as the catalytic oxidant to increase the thiosulphate leaching rate of gold, which also causes an undesirable high consumption of thiosulphate. Due to the complex solution chemistry of Cu NH3 S2O32 H2O system, fundamentally supported mechanisms to justify the catalytic effects and the consumption of thiosulphate are yet to be developed. Thermodynamic analysis offers essential information on which chemical species that could form and how these species influence the solution chemistry under various conditions. In this paper, predominance area and species distribution diagrams for gold leaching in the Cu NH3 S2O32 H2O system were constructed from a series of calculations using updated thermodynamic data. The diagrams reveal insights into the thermodynamics of gold dissolution, thiosulphate stability and redox behaviour of Cu(II)/Cu(I) couples. Analysis of the results presented in this paper can provide a theoretical basis for the complex thiosulphate leach solution chemistry that allows for a better understanding on the relationships between the redox behaviour of Cu(II)/Cu(I) couples and the behaviours of gold leaching and thiosulphate decomposition.", "author_names": [ "Xiaolian Liu", "Tao Jiang", "Bin Xu", "Qian Li", "Yongbin Yang", "Yinghe He" ], "corpus_id": 216277094, "doc_id": "216277094", "n_citations": 5, "n_key_citations": 0, "score": 0, "title": "Thiosulphate leaching of gold in the Cu NH3 S2O32 H2O system: An updated thermodynamic analysis using predominance area and species distribution diagrams", "venue": "", "year": 2020 }, { "abstract": "We tested four machine learning methods for their performance in the classification of mosquito species occurrence related to weather variables: support vector machine, random forest, logistic regression and decision tree. The objective was to find a method which showed the most accurate model for the prediction of the potential geographical distribution of Aedes japonicus japonicus, an invasive mosquito species in Germany.", "author_names": [ "Linus Fruh", "Helge Kampen", "Antje Kerkow", "Gunter A Schaub", "Doreen Walther", "Ralf Wieland" ], "corpus_id": 92392390, "doc_id": "92392390", "n_citations": 16, "n_key_citations": 1, "score": 0, "title": "Modelling the potential distribution of an invasive mosquito species: comparative evaluation of four machine learning methods and their combinations", "venue": "", "year": 2018 }, { "abstract": "Species distribution models (SDMs) are an important tool in biogeography and phylogeography studies, that most often require explicit absence information to adequately model the environmental space on which species can potentially inhabit. In the so called background pseudo absences approach, absence locations are simulated in order to obtain a complete sample of the environment. Whilst the commonest approach is random sampling of the entire study region, in its multiple variants, its performance may not be optimal, and the method of generation of pseudo absences is known to have a significant influence on the results obtained. Here, we compare a suite of classic (random sampling) and novel methods for pseudo absence data generation and propose a generalizable three step method combining environmental profiling with a new technique for background extent restriction. To this aim, we consider 11 phylogenetic groups of Oak (Quercus sp. described in Europe. We evaluate the influence of different pseudo absence types on model performance (area under the ROC curve) calibration (reliability diagrams) and the resulting suitability maps, using a cross validation approach. Regardless of the modelling algorithm used, random sampling models were outperformed by the methods that incorporate environmental profiling of the background, stressing the importance of the pseudo absence generation techniques for the development of accurate and reliable SDMs. We also provide an integrated modelling framework implementing the methods tested in a software package for the open source R environment.", "author_names": [ "Maialen Iturbide", "Joaquin Bedia", "Sixto Herrera", "Oscar del Hierro", "Manuel Joao Pinto", "Jose Manuel Gutierrez" ], "corpus_id": 8177663, "doc_id": "8177663", "n_citations": 57, "n_key_citations": 2, "score": 0, "title": "A framework for species distribution modelling with improved pseudo absence generation", "venue": "", "year": 2015 }, { "abstract": "We consider a finite one dimensional totally asymmetric simple exclusion process (TASEP) with four types of particles, {1,0,\\bar{1} in contact with reservoirs. Particles of species $0$ can neither enter nor exit the lattice, and those of species are constrained to lie at the first and last site. Particles of species $1$ enter from the left reservoir into either the first or second site, move rightwards, and leave from either the last or penultimate site. Conversely, particles of species \\bar{1} enter from the right reservoir into either the last or penultimate site, move leftwards, and leave from either the first or last site. This dynamics is motivated by a natural random walk on the Weyl group of type D. We compute the exact nonequilibrium steady state distribution using a matrix ansatz building on earlier work of Arita. We then give explicit formulas for the nonequilibrium partition function as well as densities and currents of all species in the steady state, and derive the phase diagram.", "author_names": [ "Erik Aas", "Arvind Ayyer", "Svante Linusson", "Samu Potka" ], "corpus_id": 119128396, "doc_id": "119128396", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "The exact phase diagram for a semipermeable TASEP with nonlocal boundary jumps", "venue": "Journal of Physics A: Mathematical and Theoretical", "year": 2019 }, { "abstract": "Results of application of different approaches to the data visualization during the study of alien plant species have been analyzed and summarized, and the prospects of their use for different purposes have been evaluated. The existing experience in the study of alien plant species shows that traditional methods used to analyze their composition and distribution patterns in different regions are informative only for determining the main tendencies reflecting global processes of a phytobiota synanthropization. At the same time, new state of art methods are required to reveal the latent patterns of plant migration and the processes of their naturalization. The most promising approaches to analyzing large volumes of data are multivariate statistical methods. The potential of these methods is determined by their capability to identify relationships between a wide range of floristic and biological data and environmental characteristics, which can be visualized. These methods allow us to present different data in the form of diagrams reflecting interactions between individual species or whole groups of alien plants and climatic or environmental variables. They make it possible to create models of expansion of invasive species. They reflect the current statistical distances and relationships between different objects of study, which makes it possible to identify features of the group strategy of colonization of various natural and/or technogenic habitats by alien species. These strategies depend mainly on the biological characteristics of species, level of anthropogenic transformation of a regional flora, and environmental parameters.", "author_names": [ "V K Tokhtar" ], "corpus_id": 52914322, "doc_id": "52914322", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Advanced Approaches to the Visualization of Data Characterizing Distribution Features of Alien Plant Species", "venue": "Russian Journal of Biological Invasions", "year": 2018 }, { "abstract": "On the basis that Darwin's theory of evolution encompasses two logically independent processes (common descent and natural selection) the only figure in On the Origin of Species (the Diagram of Divergence of Taxa) is often interpreted as illustrative of only one of these processes: the branching patterns representing common ancestry. Here, I argue that Darwin's Diagram of Divergence of Taxa represents a broad conceptual model of Darwin's theory, illustrating the causal efficacy of natural selection in producing well defined varieties and ultimately species. The Tree Diagram encompasses the idea that natural selection explains common descent and the origin of organic diversity, thus representing a comprehensive model of Darwin's theory on the origin of species. I describe Darwin's Tree Diagram in relation to his argumentative strategy under the vera causa principle, and suggest that the testing of his theory based on the evidence from the geological record, the geographical distribution of organisms, and the mutual affinities of organic beings can be framed under the hypothetico deductive method. Darwin's Diagram of Divergence of Taxa therefore represents a broad conceptual model that helps understanding the causal construction of Darwin's theory of evolution, the structure of his argumentative strategy, and the nature of his scientific methodology.", "author_names": [ "Juan L Bouzat" ], "corpus_id": 25104940, "doc_id": "25104940", "n_citations": 16, "n_key_citations": 0, "score": 0, "title": "Darwin's Diagram of Divergence of Taxa as a Causal Model for the Origin of Species", "venue": "The Quarterly Review of Biology", "year": 2014 }, { "abstract": "Question: Predictive models constitute an important tool in multiple ecological applications. In this paper, we examine and compare the performance of six state of the art methods commonly used in ecological modelling: Multiple Logistic Regression (MLR) Artificial Neural Networks (ANN) Support Vector Machines (SVM) Classification and Regression Trees (CART) Maximum Entropy (MAXENT) and Multivariate Adaptive Regression Splines (MARS) Location: Northern Spain. Methods: We used presence/absence data of 15 plant species of an alpine rangeland in northern Spain and 14 topographical and geomorphological descriptors to build the models. We used leave one out cross validation on each model and computed the area under the receiver operating characteristic (ROC) curve (AUC) and the resolution and calibration diagrams of the resulting probabilistic predictions. We also analysed the binary presence/absence deterministic predictions and computed the corresponding confusion matrices to calculate sensitivity, specificity, Cohen's kappa and the True Skill Statistic (TSS) Results: In general, CART and MAXENT showed poor performance and MLR was competitive with the more sophisticated ANN, MARS and SVM methods. The best predictive resolution was obtained, in most cases, by ANN followed by SVM and CART models; however, MLR and MARS were generally the best calibrated. The MAXENT predictions attained, in general, poor resolution and moderate to good calibration. Conclusion: Assessment of model calibration and resolution, in addition to ROC and confusion matrix derived indices, is an important step for model choice depending on the final aim. Most of the target species were accurately predicted showing that the variables used are suitable descriptors at the scale of analysis.", "author_names": [ "Joaquin Bedia", "Juan Busque", "Jose Manuel Gutierrez" ], "corpus_id": 12503505, "doc_id": "12503505", "n_citations": 39, "n_key_citations": 3, "score": 0, "title": "Predicting plant species distribution across an alpine rangeland in northern Spain. A comparison of probabilistic methods", "venue": "", "year": 2011 } ]
Subthreshold CMOS voltage reference circuit with body bias compensation for process variation
[ { "abstract": "This study presents a subthreshold complementary metal oxide semiconductor (CMOS) voltage reference circuit that adopts dynamical body bias to compensate the process related reference voltage fluctuation. The proposed circuit generates a mean reference voltage of 0.781 V at 1.2 V supply and 27degC, reduces the standard deviation (s) of the reference voltage from 11 mV to only 3 mV, and meanwhile improves the power supply rejection ratio from 30.7 to 51.4 dB. The average temperature coefficient measured from 0 to 100degC is 48 ppm/degC, and the line regulation is 0.34%/V in a supply voltage ranging from 1.2 to 2.3 V. The maximum supply current is 8.1 mA at 1.2 V supply and 100degC, and the chip area is 0.0533 mm 2 in 0.13 mm CMOS technology.", "author_names": [ "Hao Luo", "Yan Han", "Ray C C Cheung", "Guojin Liang", "Dazhong Zhu" ], "corpus_id": 16955971, "doc_id": "16955971", "n_citations": 19, "n_key_citations": 0, "score": 1, "title": "Subthreshold CMOS voltage reference circuit with body bias compensation for process variation", "venue": "IET Circuits Devices Syst.", "year": 2012 }, { "abstract": "This paper proposes a voltage reference operating up to 170 degC for low power high temperature systems. The proposed circuit buffers body voltages to avoid degradation of temperature coefficient from nwell/psub diode leakage. For low power overhead, it measures the diode leakage and adaptively adjusts the bias current of the buffers. This enables low power consumption at low temperature, which can allow an energy harvester to recharge a battery in the target system. Prototype chips, fabricated in a 180 nm CMOS process, show a 3s inaccuracy of 3.4% from 0 degC to 170 degC after single trim at 80 degC and a line sensitivity of 0.088 /V from 1.8 V to 3.6 V. It consumes 76.3 pA at room temperature and 177 nA at 170 degC from 1.8 V.", "author_names": [ "Inhee Lee", "Dennis Sylvester", "David Blaauw" ], "corpus_id": 1453102, "doc_id": "1453102", "n_citations": 3, "n_key_citations": 1, "score": 0, "title": "Subthreshold voltage reference with nwell/psub diode leakage compensation for low power high temperature systems", "venue": "2017 IEEE Asian Solid State Circuits Conference (A SSCC)", "year": 2017 }, { "abstract": "In this paper, a low noise amplifier (LNA) with process, voltage, and temperature (PVT) compensation for low power dissipation applications is designed. When supply voltage and LNA bias are close to the subthreshold, voltage has significant impact on power reduction. At this voltage level, the gain is reduced and various circuit parameters become highly sensitive to PVT variations. In the proposed LNA circuit, in order to enhance efficiency at low supply voltage, the cascade technique with gm boosting is used. To improve circuit performance when in the subthreshold area, the forward body bias technique is used. Also, a new PVT compensator is suggested to reduce sensitivity of different circuit's parameters to PVT changes. The suggested PVT compensator employs a current reference circuit with constant output regarding temperature and voltage variations. This circuit produces a constant current by subtracting two proportional to absolute temperature currents. At a supply voltage of 0.35 V, the total power consumption is 585 mW. In different process corners, in the proposed LNA with PVT compensator, gain and noise figure (NF) variations are reduced 10.3 and 4.6 times, respectively, compared to a conventional LNA with constant bias. With a 20% deviation in the supply voltage, the gain and noise NF variations decrease 6.5 and 34 times, respectively.", "author_names": [ "Sajad Nejadhasan", "Fatemeh Zaheri", "Ebrahim Abiri", "Mohammad Reza Salehi" ], "corpus_id": 224913238, "doc_id": "224913238", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "PVT compensated low voltage and low power CMOS LNA for IoT applications", "venue": "", "year": 2020 }, { "abstract": "This paper presents an external capacitor less low dropout(LDO) regulator with a voltage spike detection circuit for the enhanced transition response and with a CMOS only sub bandgap voltage reference(BGR) operated in subthreshold region. CMOS sub BGR adopted a weighted Vgs structure and a body bias technique for reducing the variations from process, voltage and temperature (PVT) The proposed LDO achieved the PSRR of 96dB at DC and 34dB at 1MHz by using 3 stage configuration. The proposed LDO operates with the reference voltage of 283mV from the Sub BGR and provides the output voltage of 1.5V. Simulated results shows that overshoot and undershoot of output voltage were reduced to 62mV and 56mV respectively when the load current changes from 0 to 50mA. Total power consumption was 60mA and the chip area was 0.03358mm2 with 0.18mm CMOS process.", "author_names": [ "Chang-Bum Park", "Changmin Jung", "Shin-Il Lim" ], "corpus_id": 23553343, "doc_id": "23553343", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "A transient enhanced external capacitor less LDO with a CMOS only sub bandgap voltage reference", "venue": "2016 International SoC Design Conference (ISOCC)", "year": 2016 }, { "abstract": "Process and temperature variations have become a serious concern for ultra low voltage (ULV) technology. The clock generator is the essential component for the ULV very large scale integration (VLSI) MOSFETs is operated in the sub threshold region are widely applied for ULV technology. However, MOSFETs at subthreshold region have relatively high variations with process and temperature. In this paper, process and temperature variations on the clock generators has been studied. A multi phase crystal less clock generator (MPCLCG) with a process voltagetemperature (PVT) calibration circuit is proposed in Chapter 3. It operates at 192 MHz with 8 phases outputs, and is implemented as a 0.18 m CMOS process for digital power management systems. A temperature calibrated circuit is proposed to align operational frequency under process and supply voltage variations. It occupies an area of 65m x75m and consumes 1.1 mW with the power supply of 1.8 V. Temperature coefficient (TC) is 69.5 ppm/degC from 0 to 100degC, and 2 point calibration is applied to calibrate PVT variation. The measured period jitter is a 4.58 ps RMS jitter and a 34.55IV ps peak to peak jitter (P2P jitter) at 192 MHz within 12.67k hits. At 192 MHz, it shows a 1 MHz offset phase noise of 102dBc/Hz. Phase to phase errors and duty cycle errors are less than 5.5% and 4.3% respectively. Being operated with 0.5V supply voltage in a standard 65nm CMOS process, a new CMOS temperature compensated crystal less clock generator. The bias current provided by the bandgap reference circuit and low dropout operate amplifier are nearly independent of temperature due to the existence of mutual compensation of mobility and threshold voltage variation. The new proposed temperature compensated crystalless clock generator functions well by the analog linear compensation mechanism. Chapter 4 presents an ultra low voltage 2.4GHz CMOS voltage controlled oscillator with temperature and process compensation. A new all digital auto compensated mechanism to reduce process and temperature variation without any laser trimming is proposed. With the compensated circuit, the VCO frequency drift is 16.6 times the improvements of the uncompensated one as temperature changes. Furthermore, it also provides low jitter performance. We also discuss the time to digital converter system for time measurement unit in chapter 5. The Appendix A shows the electron distribution in an amorphous indium gallium zinc oxide (a IGZO) thin film transistor (TFT) with a floating metal semiconductor (MS) back interface is analyzed using a technology computer aided design (TCAD) model. The channel geometry (i.e. length and thickness) effect is carefully investigated. At a high work function (i.e. 5 eV) of the capping metal, the capping metal (electron depletion effect) mostly removes electrons inside a IGZO. The depletion of the IGZO film leads to an increase in threshold voltage in a IGZO TFT. TCAD simulation reveals that increasing channel length and decreasing IGZO thickness significantly enhance such an electron depletion effect. Finally, the electron depletion effect is applied to aIGZO TFT with a high conductivity IGZO film to greatly suppress the leakage current by over 5 orders. The Appendix B shows a voltage reference circuits on poly Silicon TFT. Because this paper is based on author's master thesis, detailed contents could refer the author's master thesis.", "author_names": [ "Ting-Chou Lu", "Ming-Dou Ker", "Hsiao-Wen Zan" ], "corpus_id": 210843456, "doc_id": "210843456", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "DESIGN AND IMPLEMENTATION OF CRYSTAL LESS CLOCK GENERATOR WITH PROCESS VOLTAGE TEMPERATURE COMPENSATION", "venue": "", "year": 2017 }, { "abstract": "We present an adaptive technique for compensating manufacturing and environmental variability in subthreshold circuits using \"canary flip flop (FF)\" which can predict timing errors. A 32 bit Kogge Stone adder whose performance was controlled by body biasing was fabricated in a 65 nm CMOS process. Measurement results show that the adaptive control can compensate process, supply voltage, and temperature variations and improve the energy efficiency of subthreshold circuits by up to 46% compared to worst case design and operation with guardbanding. We also discuss how to determine design parameters, such as the inserted location and the buffer delay of the canary FF, supposing two approaches: configuration in the design phase and post silicon tuning.", "author_names": [ "Hiroshi Fuketa", "Masanori Hashimoto", "Yukio Mitsuyama", "Takao Onoye" ], "corpus_id": 8507080, "doc_id": "8507080", "n_citations": 60, "n_key_citations": 8, "score": 0, "title": "Adaptive Performance Compensation With In Situ Timing Error Predictive Sensors for Subthreshold Circuits", "venue": "IEEE Transactions on Very Large Scale Integration (VLSI) Systems", "year": 2012 }, { "abstract": "Technology scaling improves the energy, performance, and area of the digital circuits. With further scaling into sub 45nm regime, we are moving toward very low supply (VDD) and threshold voltages (VT) smaller VDD/VT ratio, high leakage current, and large Process Variation (PV) In the first chapter, we study ITRS semiconductor roadmap, state of the art lithography techniques, and sub 65nm bulk CMOS manufacturing technologies. Then, we discuss the necessity of low power IC design. We talk about short channel MOSFET modeling and we review the advantages and limitations of the future CMOS candidates, FD SOI and FinFET. In chapter two, we study physical origins of the leakage current and we show why subthreshold leakage is usually the dominant leakage component in an optimized technology. Then, we discuss benefits and disadvantages of available leakage reduction techniques. We also show that high fan in gates could be very energy efficient in sub VT regime. In the third chapter, we study the physical origins of the variability. We study random dopant fluctuation, line edge roughness, random telegraph noise, metal work function variation, and other origins of the statistical variability. In chapter four, first we review conventional post silicon variability compensation techniques, i.e. Adaptive Body Biasing (ABB) and Adaptive Supply Voltage (ASV) We discuss their limitations in the deca nanometer regime. We see that in the planar transistor, body effect is diminishing with scaling; and in the emerging multi gate transistors, body factor is almost zero. Then, we talk about reliability issues and see that increasing the VDD over the nominal voltage, reduces the IC lifetime exponentially. This imposes a major limitation on the ASV technique. We propose Adaptive Vgs Multiplexer (AVGS Mux) technique for FPGA fabric design in chapter five. Proposed method controls the transistor current by the source voltage. It can provide 1.6X control on the delay and 7X exponential control on the sub threshold and gate leakages in the switch box, LUT, and interconnects at the same time. In TT corner, performance, leakage, and dynamic power overheads are almost zero. AVGS Mux is a good replacement of ABB and ASV techniques in emerging manufacturing technologies which have very small body effect and cannot tolerate voltages higher than nominal VDD due to the reliability issues. We designed a full custom mixed signal circuit in 90nm CMOS technology to verify the idea. The measurement results match very well with simulation. In the last chapter, we show that in the logic circuits working at sub nominal VDD, proper selection of the logic architecture and VDD together, can reduce the impact of the intra die and inter die variability on the timing significantly. First we show that s/u ratio of the transistor current and delay strongly depends on the VDD. Then, we compare the PV sensitivity of Low Power Slow (LP S) architectures with High Power Fast (HP F) ones. The results show that for a given technology, equal power budget, and equal delay, LP S circuits working at a higher VDD are less PV sensitive compared with HP F circuits working at a lower VDD. Our method is particularly useful for combating intra die random variability. We designed a full custom mixed signal circuit in 90nm CMOS technology to verify the proposed method. The measurement results show that the proposed method is actually more effective than what we see in simulation.", "author_names": [ "Bahman Kheradmand Boroujeni" ], "corpus_id": 106993758, "doc_id": "106993758", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Process Variation and Leakage Power", "venue": "", "year": 2011 }, { "abstract": "This work presents a 0.7 V Subthreshold Symmetrical Low Noise Amplifier (SSLNA) of 16 channel electroencephalogram analog front end. The SSLNA features a low supply voltage of 0.7 V, a low power dissipation of 214 nW, a direct current gain of about 38 dB and a bandwidth of from 0.25 Hz to 480 Hz. On the aspect of the noise, the input referred noise is 2.23 mVrms and the noise efficiency factor is 4.85. All the transistors of this SSLNA operate in the subthreshold region. The SSLNA is designed and verified in a 0.13 mm CMOS process. DOI: 10.29011/BBOA 109. 100009 Introduction Recordings of the neural Electroencephalogram (EEG) signals from many locations of the brain are an important source of the information for studying the function of the brain and various neurological disorders [1,2] Therefore, multichannel Electroencephalogram (EEG) seizure detection SoCs are widely adopted in medical practice and in research [3,4] but most of them have an obvious limitation limited number (no more than 8) of channels, whereas the American Clinical Neurophysiology Association sets the minimum technical standard recommendation for pediatric EEG as16 channels with bipolar and referential montages [4] These recordings occupy a frequency band of from 1 Hz to about 40 Hz and have the small amplitudes ranging from 0.5 mVp to 100 mVp [5] Due to the small amplitudes, EEG signals need to be amplified before digitization. Thus, the Low Noise Amplifiers (LNA) are needed in an EEG signal recording sensor. Usually, the input referred noise of the LNAs should be less than 4 mVrms [6] EEG monitoring is one application where designers have targeted microvolt even sub microvolt input referred noise over a designed signal band [5] For low bandwidth and low noise applications, the front end amplifier of the recording sensor presents a powerconsumption bottleneck since its current draw is noise limited and cannot be scaled with the low data rate [7] Therefore, the prior works to improve the energy efficiency of LNAs includes chopper [8] inverter based LNAs [7] and low supply voltage amplifier design reaching 1.2 V [1,6] However, most of LNAs still struggles on the aspect of energy efficiency and power consumption. Therefore, to further improve the energy efficiency, this paper uses a low supply of 0.7 V to design a 16 channel EEG analog front end. Our Subthreshold Symmetrical LNA (SSLNA) decreases the power dissipation and maintains the other aspects comparable, via scaling the supply voltage to 0.7 V and keeping all the transistors operate in the subthreshold region. This paper is organized as follows. The topologies and analysis of the whole analog front end, the proposed SSLNA and the other circuits are presented in Section II. The results are stated in Section III. Finally, the conclusions are given in Section IV. Topology Analog Front End Topology The whole analog front end topology is shown in Figure 1. It consists of the proposed sampling and processing amplifiers for 16 channels, a 16:1 multiplexer, an 8 bit modified flash ADC, a CMOS reference and a clock tree. The EEG signals are filtered by High Pass Filters (HPF) and then processed by proposed SSLNAs. Then, the corresponding channel is chosen by one multiplexer to transfer one SSLNA output to a modified flash ADC. Then the Citation: Wang C, Morizio J (2018) A 0.7V Supply, 214nW Power, 2.23mVrms Noise Subthreshold Symmetrical Low Noise Amplifier for 16 Channel Analog Front End. Biosens Bioelectron Open Acc: BBOA 109. DOI: 10.29011/BBOA 109. 100009 2 Volume 2018; Issue 01 flash ADC converts the analog signal to digital signals. Moreover, the CMOS reference provides the bias current and voltage. Figure 1: Analog front end topology. Proposed Subthreshold Symmetrical LNA As shown in Figure 2a each channel has one HPF and one closed loop SSLNA. It's easy to obtain the transfer function of HPF and the gain of closed loop SSLNA as the followings where is the filter resistor, is the filter capacitor, and and are the gain resistors. Noting that, the high pass frequency and closed loop gain should respectively be around 0.3 Hz and around 40 dB for EEG signals. Figure 2b shows the SSLNA. The SSLNA is based on a common symmetrical OTA but works at a low supply of 0.7V. It consists of one differential pairs implemented by the transistors M1 and M2, three pairs of current mirrors M3 M5, M4 M6 and M7 M8, a bias current M9, as well as the miller compensation C1 and R1 keeping the SSLNA stable. Figures 2(a,b) Closed loop SSLNA, (b) subthreshold symmetrical LNA. Due to the transistors working in the subthreshold region, the drain current of the transistors should be Citation: Wang C, Morizio J (2018) A 0.7V Supply, 214nW Power, 2.23mVrms Noise Subthreshold Symmetrical Low Noise Amplifier for 16 Channel Analog Front End. Biosens Bioelectron Open Acc: BBOA 109. DOI: 10.29011/BBOA 109. 100009 3 Volume 2018; Issue 01 where and are the basic drain current, channel width, channel length, gate source voltage and drainsource voltage, electron charge, the ration of the sum of oxide and depletion capacitances to oxide capacitance, Boltzmann constant and temperature, respectively. and can be expressed as where and are the oxide capacitance per unit area, depletion capacitance, carrier mobility and the threshold voltage, respectively. According to the OTA principle, the gain and bandwidth can be easily written as where is the capacitance of node OUT, is the equivalent resistance of M8 (M6) and is the transconductor of M1 or M2. The transconductor and the equivalent resistance can be calculated as Therefore, via substituting and into and bandwidth it's easy to find the following Noting that, the target of the bandwidth i.e. a low pass frequency is around 500 Hz for EEG signal. Due to all the transistors operating in the subthreshold region reduce the ultra low power, and hence the root mean square (rms) voltage of the input mosfet at the frequency band of from to is express as [9] where is the crossover frequency which can be written as where is the slope factor slightly dependent on the gate voltage, is the thermal voltage, is the density of oxide traps per unit volume and unit energy and is the attenuation coefficient of the electron wave function in the oxide. For and is of the order of Each transistor in the SSLNA introduces the approximate amount of noise versus their area, and the same number of effective traps for both nMOS and pMOS transistors is assumed [9] Consequently, neglecting the common mode noise of the current source, the input referred noise can be estimated by simply timing the ratio of total and input mosfet areas with the rms voltage of input mosfet [9] as Therefore, via substituting into it's easy to find the following Noting that, for EEG signals the input referred noise should be no more than 2.5 mVrms. Furthermore, the noise efficiency factor can be defined [10] as where is the total drain current in the SSLNA. So, tuning the appropriate widths and lengths of the transistors in the proposed SSLNA can achieve the aforesaid targets. The chosen parameters of the transistors are shown in Figure 2b. Flash ADC A bit modified Flash ADC is achieved combining Threshold Inverter Quantization (TIQ) and multiplexer based decoder. TIQ based on a single NMOS comparator (consisting of a resistor and CMOS inverters) is transplanted to overcome the drawbacks of traditional comparator and TIQ based on two cascaded CMOS inverters. Traditional comparator requires a Citation: Wang C, Morizio J (2018) A 0.7V Supply, 214nW Power, 2.23mVrms Noise Subthreshold Symmetrical Low Noise Amplifier for 16 Channel Analog Front End. Biosens Bioelectron Open Acc: BBOA 109. DOI: 10.29011/BBOA 109. 100009 4 Volume 2018; Issue 01 large number of transistors causing the big chip area and power consumption. TIQ based on two cascaded CMOS inverters requires its ratios being increased up to maybe thousand times when the number of bits is increased. The chosen TIQ based on a single NMOS comparator is shown in Figure 3a [10] The resistor is used to set the voltage between source and bulk the first inverter is used to compare the input and threshold voltage and the second inverter is used to amend the comparison result. of NMOS can be expressed as where is threshold voltage when source and bulk is connected, is body effect parameter, is semiconductor parameter. So can be biased and adjusted by the series resistors to attain reference voltage. The NMOS operating mechanism depended on the relationship of and If NMOS is at the \"cutoff\" region, there is no current flowing through NMOS and it will output a negative logic \"0\" Otherwise, NMOS is at the \"ON\" region (whether in non saturation or saturation) and it will output a positive logic \"1\" Obviously, ratio of NMOS transistor need be chosen to make a good trade off between input range and area, thus we use Multiplexer based decoder is used to overcome the disadvantages of ROM, Wallace tree and folded decoders. ROM decoder is slow and power consuming. Wallace tree decoder and folded decoder require a larger length of critical path which is approximately third and twice of multiplexer based decoder, respectively. The used multiplexer based decoder is shown in Figure 3b. For an bit flash ADC, the Most Significant Bit of the binary outputs is high if more than half of the outputs in the thermometer scale are logic one. Hence is To find the second most significant bit of the original thermometer scale is divided into two partial thermometer scales, separated by The partial thermometer scale to decode is chosen by a set of 2:1 multiplexers, where the previous decoded binary outputs are connected to the control input of the multiplexers. is then found from the chosen partial thermometer scale in the same way as was found from the full thermometer scale. Similarly, all the bits can be obtained. In general, the dec", "author_names": [ "Chuan Jian Wang", "James C Morizio" ], "corpus_id": 232165016, "doc_id": "232165016", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "A 0.7V Supply, 214nW Power, 2.23mVrms Noise Subthreshold Sym metrical Low Noise Amplifier for 16 Channel Analog Front End", "venue": "", "year": 2018 }, { "abstract": "Behavior of adiabatic logic circuits in weak inversion or sub threshold regime is analyzed in depth for the first time in the literature to make great improvement in ultralow power circuit design. This novel approach is efficacious in low speed operations where power consumption and longevity are the pivotal concerns instead of performance. The schematic and layout of a 4 bit carry look ahead adder (CLA) has been implemented to show the workability of the proposed logic. The effect of temperature and process parameter variations on sub threshold adiabatic logic based 4 bit CLA has also been addressed separately. Post layout simulations show that sub threshold adiabatic units can save significant energy compared with a logically equivalent static CMOS implementation. Introduction The demand for implementing ultralowpower digital systems in many modern applications, such as mobile systems, sensor networks, and implanted biomedical systems, has increased the importance of designing logic circuits in sub threshold regime. These emerging applications have low energy as the primary concern instead of performance, with the eventual goal of harvesting energy from the environment. In sub threshold logic circuits operate with a supply voltage VDD lower than the transistor threshold voltage VT and utilize the sub threshold leakage current as the operating current. Conventional CMOS logic circuits utilizing sub threshold transistors can typically operate with a very low power consumption, which is mainly due to the dynamic (switching) power consumption and is quadratically dependent upon the supply voltage as CL f V2 DD (where CL, f, and VDD are the load capacitance, operating frequency, and the supply voltage, respectively).Recently, adiabatic logic (or energy recovery logic) style has emerged as a promising approach in strong inversion regime, to reduce dynamic power consumption significantly without sacrificing noise immunity and driving ability. These circuits achieve ultralow energy consumption by steering currents across devices with low voltage differences and by gradually recycling the energy stored in their International Journal On Engineering Technology and Sciences IJETSTM ISSN(P) 2349 3968, ISSN (O) 2349 3976 Volume III, Issue XII, December2016 55 capacitive loads, especially in low frequency regime. Since the performance requirements are quite relaxed in many of these energy efficient subs threshold applications we believe that the adiabatic style can be used efficaciously in a sub threshold regime to make the circuit more energy efficient. To the best of our knowledge, no paper emphasizes the application of adiabatic logic in weak inversion regime for advanced technology node such as 22 nm. Therefore, the attempt to realize the sub threshold adiabatic logic (SAL) concept is a new endeavor. In general, the design of SAL requires a deep knowledge of the main features of the adopted logic style, such as power dissipation, leakage current, and impact of temperature variation, operating frequency, and noise immunity. In this paper, the behaviors of adiabatic logic in sub threshold regime are discussed in depth. To demonstrate the workability of the adiabatic logic circuits in sub threshold regime, a 4 bit carry look ahead adder (CLA) unit is adopted as a reference circuit. Analysis of energy dissipation along with the sensitivity of energy dissipation on supply voltage and temperature variations is also discussed in depth. Moreover, the analytical expression of optimum frequency and supply voltage under minimum energy condition has been verified through simulation in 22 nm technology. Extensive experiments are carried out using CADENCE SPICE Spectra to ensure the high energy efficiency and design feasibility of the proposed logic in weak inversion regime compared with other conventional CMOS logic. 2. Existing System: A CMOS transistor (or device) has four terminals: gate, source, drain, and a fourth terminal that we shall ignore until the next section. A CMOS transistor is a switch. The switch must be conducting or on to allow current to flow between the source and drain terminals (using open and closed for switches is confusing for the same reason we say a tap is on and not that it is closed) The transistor source and drain terminals are equivalent as far as digital signals are concernedwe do not worry about labeling an electrical switch with two terminals 2.1 Existing Technique: Normal CMOS Logic 2.2 Technique explanation: If Vin is down (0 volts) NFET is OFF and PFET is ON pulling Vout to Vdd (high 1) If Vin is up (at Vdd) NFET is ON hard and PFET is OFF pulling Vout low to Gnd \"0\" 3. Proposed System: In adiabatic logic circuits, ramp type supply voltage is used to slow down the charge transport mechanism. Hence, the supply clock plays the pivotal role. A ramp type supply voltage ph(t) is considered in Fig. 1(a) which gradually swings in International Journal On Engineering Technology and Sciences IJETSTM ISSN(P) 2349 3968, ISSN (O) 2349 3976 Volume III, Issue XII, December2016 56 between logic 0 (Gnd potential) and logic 1 (VDD) in time duration 2T, where f =1/2T is the supply clock's frequency. The power supply waveform ph(t) can be divided into charging phase, when ph(t) ramps up from 0 to VDD in 0 to T unit time and discharging phase when ph(t) ramps down from VDD to 0 in T to 2T unit time. 3.1 Proposed Technique: SAL Adiabatic Logic 3.2 Technique explanation: The design as in SAL basic logic gates have been implemented using either the pull up or the pull down transistors. Therefore, the SAL based CLA is also area efficient in comparison with the conventional structure. 4. Literature survey: 1) A 0.25 V 460 nW Asynchronous Neural Signal Processor With Inherent Leakage Suppression Further power and energy reductions via technology and voltage scaling have become extremely difficult due to leakage and variability issues. In this paper, we present a robust and energy efficient computation architecture exploiting an asynchronous timing strategy to dynamically minimize leakage and to self adapt to process variations and different operating conditions. Based on a logic topology with built in leakage suppression, the prototype asynchronous neural signal processor demonstrates robust subthreshold operation down to 0.25 V, while consuming only 460 nW in 0.03 in a 65 nm CMOS technology. These results represent a 4.4 reduction in power, a 3.7 in energy and a 2.2 reduction in power density, when compared to the state of theart processors. As the supply voltage is scaled near or below the device threshold, dramatic increases in leakage and variability severely limit digital processor performances. In this paper, we present robust and energy efficient computation architecture by employing an asynchronous selftimed design methodology. The proposed strategy allows for an adaptive adjustment to latency variations, and supports for an inherent leakage minimization under process variations and changing operating conditions, all of which are major issues in scaling regimes that favor major reduction in supply voltages. Circuit techniques specifically for leakage minimization are aggressively employed at both the logic and system levels. The prototype asynchronous neural signal processor demonstrates robust operation down to 0.25 V while consuming only 460 nW. Compared to the traditional synchronous approach, the asynchronous design shows a 2.3 reduction in power. Moreover, the self timed operation alleviates the impact of variations on processor performance. Therefore, the asynchronous design International Journal On Engineering Technology and Sciences IJETSTM ISSN(P) 2349 3968, ISSN (O) 2349 3976 Volume III, Issue XII, December2016 57 exhibits a better statistical characteristic of power performance than the synchronous counterpart. These results demonstrate that in addition to soliciting better transistors and fabrication technology, leakage and variability issues can be tackled at the circuit and system levels with novel timing schemes and circuit innovations. 2) Design Techniques and Architectures for Low Leakage SRAMs In high performance Systems on Chip, leakage power consumption has become comparable to the dynamic component, and its relevance increases as technology scales. These trends are even more evident for memory devices, for two main reasons. First, memories have historically been designed with performance as the primary figure of merit; therefore, they are intrinsically non power efficient structures. Second, memories are accessed in small chunks, thus leaving the vast majority of the memory cells unaccessed for a large fraction of the time. In this paper, we present an overview of the techniques proposed both in the academic and in the industrial domain for minimizing leakage power, and in particular, the sub threshold component, in SRAMs. The surveyed solutions range from celllevel techniques to architectural solutions suitable to system level design. We can observe a couple of facts that allow a few suggestions that can have general value. a) Exploit Orthogonality of Strategies: Although some techniques (e.g. bitline and word line design) have a moderate impact in absolute terms, they are orthogonal to techniques that are based on DPM. The same consideration applies to the customized design of the bitcell. Therefore, whenever the re design of the internals of the SRAM architecture is allowed, designer should try to apply such techniques to decrease the leakage cost of basic memory operations (bitline/word line access and reads/writes) b) Technology Matching: Although many techniques do not scale nicely with technology and/or scaling, designers should try to match the various techniques with the target technology. A technique may become less relevant in future technologies but might be the most suitable for the current ones. A good example is body biasing. Although it is expected", "author_names": [ "M Priyanka", "P Chandrasekar" ], "corpus_id": 212484907, "doc_id": "212484907", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "IMPLEMENTATION OF SUBTHRESHOLD ADIABATIC LOGIC FOR ULTRA LOW POWER APPLICATION", "venue": "", "year": 2017 }, { "abstract": "Building functional thin film transistor (TFT) circuits is crucial for applications such as wearable, implantable and transparent electronics. Therefore, developing a compact model of an emerging semiconductor material for accurate circuit simulation is the most fundamental requirement for circuit design. Further, unique analogue building blocks are needed due to the specific properties and non idealities of TFTs. This dissertation reviews the major developments in thin film transistor (TFT) modelling for the computer aided design (CAD) and simulation of circuits and systems. Following the progress in recent years on oxide TFTs, we have successfully developed a Verilog AMS model called the CAMCAS model, which supports computer aided circuit simulation of oxide TFTs, with the potential to be extended to other types of TFT technology families. For analogue applications, an accurate small signal model for thin film transistors (TFTs) is presented taking into account non idealities such as contact resistance, parasitic capacitance, and threshold voltage shift to exhibit higher accuracy in comparison with the adapted CMOS model. The model is used to extract the zeros and poles of the frequency response in analogue circuits. In particular, we consider the importance of device circuit interactions (DCI) when designing thin film transistor circuits and systems and subsequently examine temperatureand process induced variations and propose a way to evaluate the maximum achievable intrinsic performance of the TFT. This is aimed at determining when DCI becomes crucial for a specific application. Compensation methods are reviewed to show examples of how DCI is considered in the design of AMOLED displays. Based on these design considerations, analogue building blocks including voltage and current references and differential amplifier stages have been designed to expand the analogue library specifically for TFT circuit design. The VT shift problem has been compensated based on unique circuit structures. For a future generation of application, where ultra low power consumption is a critical requirement, we investigate the TFT's subthreshold operation through examining several figures of merit including intrinsic gain (Ai) transconductance efficiency (gm/IDS) and cut off frequency fT Here, we consider design sensitivity for biasing circuitry and the impact of device variations on low power circuit behaviour.", "author_names": [ "Xiang Cheng" ], "corpus_id": 116358803, "doc_id": "116358803", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "TFTs circuit simulation models and analogue building block designs", "venue": "", "year": 2018 } ]
New n-Type Transparent Conducting Oxides Tadatsugu Minami
[ { "abstract": "Most research to develop highly transparent and conductive thin films has focused on n type semiconductors consisting of metal oxides. Historically, transparent conducting oxide (TCO) thin films composed of binary compounds such as SnO 2 and In 2 O 3 were developed by means of chemical and physical deposition methods. Impurity doped SnO 2 (Sb or F doped SnO 2 e.g. SnO 2 :Sb or SnO 2 F) and In 2 O 3 Sn (indium tin oxide, ITO) films are in practical use. In addition to binary compounds, ternary compounds such as Cd 2 SnO 4 CdSnO 3 and CdIn 2 O 4 were developed prior to 1980, but their TCO films have not yet been used widely.", "author_names": [ "Tadatsugu Minami" ], "corpus_id": 136713287, "doc_id": "136713287", "n_citations": 857, "n_key_citations": 7, "score": 1, "title": "New n Type Transparent Conducting Oxides", "venue": "", "year": 2000 }, { "abstract": "In contrast to n type transparent conducting oxides (TCOs) very few p type TCOs have been discovered to date. In this work, we predicted two new tin germanate based compounds (Sn2GeO4) as potential p type TCOs with exceptionally low hole effective masses and wide band gap energies. Through detailed electronic structure calculations, we revealed that the Sn2GeO4 compounds possess sufficiently wide band gaps (3.24 eV) for transparency, and very small effective masses (0.46) for hole carriers due to the influence of divalent Ge2+ Our study shows the potential for band engineering of Sn2+ and Ge2+ cations with (n 1)d10ns2 electronic configurations as p type TCOs; this could expand the materials family for the future design and development of p type TCOs.", "author_names": [ "Jun-Ling Yu", "Junjie Wang", "Mukesh Kumar", "Naoto Umezawa", "Hideki Abe" ], "corpus_id": 139522477, "doc_id": "139522477", "n_citations": 7, "n_key_citations": 1, "score": 0, "title": "Design of p type transparent conducting oxides Sn2GeO4 by an ab initio evolutionary structure search", "venue": "", "year": 2018 }, { "abstract": "P type transparent conducting oxide films consisting of a new multicomponent oxide composed of In2O3 and Ag2O have been prepared by rf magnetron sputtering. After postannealing at a temperature of 500 degC in air, In2O3 Ag2O thin films prepared using In2O3 Ag2O targets with Ag2O contents of 40 60 wt exhibited p type conduction. A resistivity of 10 1 10 3 O cm and an average transmittance above 20% in the visible range were obtained in the p type amorphous In2O3 Ag2O films. A resistivity of 8.8x10 3 O cm, Hall mobility of 17 cm2/Vs and hole concentration of 4.2x1019 cm 3 were obtained in a film prepared with an Ag2O content of 50 wt", "author_names": [ "Tadatsugu Minami", "Koji Shimokawa", "Toshihiro Miyata" ], "corpus_id": 94028698, "doc_id": "94028698", "n_citations": 19, "n_key_citations": 0, "score": 0, "title": "P type transparent conducting In2O3 Ag2O thin films prepared by rf magnetron sputtering", "venue": "", "year": 1998 }, { "abstract": "Abstract New multicomponent transparent conducting oxide thin films have been prepared by both r.f. and d.c. magnetron sputtering using ZnO V 2 O 5 targets. A minimum resistivity of 5.3x10 4 O cm was obtained in ZnO:V films prepared by d.c. magnetron sputtering at a substrate temperature of 180 degC with a V content of 1 at. ZnO V 2 O 5 thin films prepared using targets with V contents from approximately 50 to 70 at. were insulators identified as a ternary compound, ZnV 2 O 4 The resistivity of ZnO V 2 O 5 films markedly decreased as the V content was increased above approximately 80 at. Vanadium oxide thin films with a thickness of 25 nm exhibited a resistivity of 5x10 4 O cm and an average transmittance above 70% in the visible range. The film was very stable over 1000 h in a hydrochloric acid.", "author_names": [ "Toshihiro Miyata", "Shingo Suzuki", "Makoto Ishii", "Tadatsugu Minami" ], "corpus_id": 95466795, "doc_id": "95466795", "n_citations": 39, "n_key_citations": 0, "score": 0, "title": "New transparent conducting thin films using multicomponent oxides composed of ZnO and V2O5 prepared by magnetron sputtering", "venue": "", "year": 2002 }, { "abstract": "Transparent conducting oxides (TCOs) are essential to many technologies including solar cells and transparent electronics. The search for high performance n or p type TCOs has mainly focused on materials offering transport through band carriers instead of small polarons. In this work, we break this paradigm and demonstrate using well known physical models that, in certain circumstances, TCOs exhibiting transport by small polarons offer a better combination of transparency and conductivity than materials conducting through band transport. We link this surprising finding to the fundamentally different physics of optical absorption for band and polaronic carriers. Our work rationalizes the good performances of recently emerging small polaronic Cr based p type TCOs such as Sr doped LaCrO$_3$ and outlines design principles for the development of high performance TCOs based on transport by small polarons. This opens new avenues for the discovery of high performance TCOs especially p type.", "author_names": [ "Guillaume Brunin", "Gian-Marco Rignanese", "Geoffroy Hautier" ], "corpus_id": 119035586, "doc_id": "119035586", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Are Small Polarons Always Detrimental to Transparent Conducting Oxides", "venue": "", "year": 2018 }, { "abstract": "The development of high performance transparent conducting oxides is critical to many technologies from transparent electronics to solar cells. Whereas n type transparent conducting oxides are present in many devices, their p type counterparts are not largely commercialized, as they exhibit much lower carrier mobilities due to the large hole effective masses of most oxides. Here we conduct a high throughput computational search on thousands of binary and ternary oxides and identify several highly promising compounds displaying exceptionally low hole effective masses (up to an order of magnitude lower than state of the art p type transparent conducting oxides) as well as wide band gaps. In addition to the discovery of specific compounds, the chemical rationalization of our findings opens new directions, beyond current Cu based chemistries, for the design and development of future p type transparent conducting oxides.", "author_names": [ "Geoffroy Hautier", "Anna Miglio", "Gerbrand Ceder", "Gian-Marco Rignanese", "Xavier Gonze" ], "corpus_id": 1475937, "doc_id": "1475937", "n_citations": 288, "n_key_citations": 6, "score": 0, "title": "Identification and design principles of low hole effective mass p type transparent conducting oxides", "venue": "Nature communications", "year": 2013 }, { "abstract": "Transparent conducting oxides (TCOs) are unique materials with high electrical conductivity and optical transparency and have been extensively used in optoelectronic devices. However, the prototype n type TCO, Sn doped In2O3 (ITO) is limited by the rarity and high cost of indium. In contrast, SnO2 is a promising alternative candidate, which is a low cost and nontoxic material and also exhibits electrical and optical properties, compared to those of ITO. Here, we present a first principles based computer screening system to search for suitable dopants for monodoping or codoping SnO2 to develop new SnO2 based TCO materials. The screening is based on an efficient and reliable way of calculating the effective mass, the band gap, the formation energy, and the binding energy. The outcomes of the screening include all already known successful SnO2 based TCO materials (Sb doped SnO2, ATO; F doped SnO2, FTO) and also some new ones (P doped SnO2, PTO; F and P codoped SnO2, FPTO) which would be hopeful materials o.", "author_names": [ "Daojian Cheng", "Minming Zhang", "Jianfeng Chen", "Chenxi Yang", "Xiao-Fei Zeng", "Dapeng Cao" ], "corpus_id": 100780108, "doc_id": "100780108", "n_citations": 17, "n_key_citations": 1, "score": 0, "title": "Computer Screening of Dopants for the Development of New SnO2 Based Transparent Conducting Oxides", "venue": "", "year": 2014 }, { "abstract": "The development of high performance transparent conducting oxides (TCOs) is critical to many technologies from transparent electronics to solar cells. While n type TCOs are present in many devices, current p type TCOs are not largely commercialized as they exhibit much lower carrier mobilities, due to the large hole effective masses of most oxides. Here, we conduct a high throughput computational search on thousands of binary and ternary oxides and identify several highly promising compounds displaying exceptionally low hole effective masses (up to an order of magnitude lower than state of the art p type TCOs) as well as wide band gaps. In addition to the discovery of specific compounds, the chemical rationalization of our findings opens new directions, beyond current Cu based chemistries, for the design and development of future p type TCOs. 1 ar X iv :1 30 4. 60 54 v1 co nd m at .m tr lsc i] 2 2 A pr 2 01 3 Transparent conducting oxides (TCOs) are compounds exhibiting high electrical conductivity and transparency to visible light. Those materials are needed in many applications from solar cells, where a TCO thin film provides electrical contact without impeding the flux of visible light reaching the device, to transparent transistors that could, for instance, be integrated in windows.[1 5] The main strategy to achieve the two antagonistic properties of high conductivity and transparency is to use wide band gap oxides (favoring transparency) doped with a significant amount of mobile charge carriers, either holes (ptype) or electrons (n type)[6] n TCOs (e.g. indium tin oxide, ITO) are already present in many modern devices but p TCOs have not been largely commercialized as their carrier mobilities stand an order of magnitude behind their n counterparts. This situation impedes many critical technological developments from more efficient organic and thin film solar cell designs, benefiting from a better band matching by using pinstead of n TCOs,[7, 8] to the entire new field of transparent electronics which requires both pand n type TCO materials.[2, 9 13] There is a fundamental reason to the difficulty of developing high mobility p type TCOs: the localized oxygen p nature of the valence band in most oxides makes those bands very flat and leads to large hole effective masses.[12, 13] The field of p type TCOs received most of its impulse a decade ago when Kawazoe et al. demonstrated that CuAlO2 delafossite could show encouraging p type conductivity and optical transparency in the visible.[14] The unusual hole mobility of CuAlO2 was explained by a large hybridization of the oxygen orbitals with 3d10 electrons in the Cu1+ closed shell, lowering the oxygen character and leading to dispersive (low effective mass) valence band. This finding led to the outline of a design rule for p type TCOs requiring the presence of Cu1+ and motivated the study of a very large range of Cu based materials [12, 13] such as other delafossites (e.g. CuCrO2,[15] SrCu2O2, [16, 17] or Cu based oxychalcogenides.[18] To this day, the question remains open wether alternative chemistries and design rules could lead to materials with lower hole effective mass. Answering this question is critical for the p type TCO field as it would enable the identification of the high hole mobility oxides that the TCO community has been looking for. Traditionally, design principles are developped by the rationalization of experimentally observed data. In this work, we take an alternative path using a database of high throughput ab initio computed data containing electronic structure for thousands of binary and ternary oxides.[19 22] By browsing this database, we identify the compounds and chemistries leading to low hole", "author_names": [ "Geoffroy Hautier", "Anna Miglio", "Gerbrand Ceder", "Gian-Marco Rignanese", "Xavier Gonze" ], "corpus_id": 118376984, "doc_id": "118376984", "n_citations": 96, "n_key_citations": 0, "score": 0, "title": "Low Hole Effective Mass p type Transparent Conducting Oxides: Identification and Design Principles", "venue": "", "year": 2013 }, { "abstract": "Abstract Motivated by extensive investigations on the In2O3 based multicomponent transparent conducting oxides (TCOs) we want to know the carrier transport properties in these systems and how they evolve with adjusting of geometric structure and constituent elements. Guided by the empirical minimum effective mass physics, we select nine impurities M (M Sn, Zn, Cd, Hg, Mn, Ni, Co, Ga and Ge) to tune the effective mass (m* of In2O3 based TCOs. By means of systematic theoretical investigations, we find that in impurity M isolated or corporately doped In2O3 materials, the substitutional position for dopant M is determined by the charge state. Codoping Sn and other eight impurities into In2O3 (to form IMTO) is an effective strategy to reduce the indium content in In2O3 based TCOs materials. For the recognized n type carrier contributor, namely, VO defect, it induce spatial localization and orbital localization effects in electronic structures and thus enlarge m* which are caused by the large lattice distortion and complicated orbital wavefunction hybridizations. However, for IMTO:VO (M Zn, Ga and Hg) systems, both localization effects are weakened, leading to a decrease of m* It even reduces by half value for In Hg Sn O:VO systems. This systematic work in In2O3 based materials may be helpful in designing of a new range of high performance TCOs.", "author_names": [ "Ying-Bo Lu", "Haozhi Yang", "Xin Yanqing", "Wei-Yan Cong" ], "corpus_id": 136325233, "doc_id": "136325233", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Modulation effect on the effective mass of free carriers induced by multicomponent elements in In2O3 based transparent conducting oxides", "venue": "", "year": 2017 }, { "abstract": "Clean and sustainable solar energy is regarded as one of the most reliable and abundant energy sources to replace fossil fuels. To harvest this energy, in the past several decades, the research trend has been towards exploring novel materials and photon to electron mechanisms to achieve higher efficiency in solar energy conversion applications, such as photovoltaic (PV) cells. The PV effect is used to directly harvest solar energy by converting the incident photons into following free charge carriers and thus produce electricity. The emerging PV cells mainly differ in how they operate in practice, that is, in the mechanisms that make the sequence of the generation, separation and transport of electronic charge carriers possible. Elucidating those mechanisms is of fundamental importance for understanding the working principle of each solar cell type, and for its further performance optimization. In conventional p n junction based PV cells, the charge separation is determined by the existence of a gradient in the electrochemical potential, also known as intrinsic built in electric fields. Moreover, solar driven water splitting and hydrogen generation technologies that produce hydrogen (H2 gas) and oxygen (O2 gas) by directly decomposing water using an artificial photocatalytic electrode have been extensively studied as a fundamental technology for the future, due to its simplicity, low cost operation and the use of nearly neutral pH water, such as seawater for large scale solar fuel production. In the semiconductor photocatalysts, the carrier extraction is no longer limited by thermodynamic diffusion, but the transport of spatially separated electron hole pairs to the photocatalyst surface is determined by the surface band bending. Ferroelectric (FE) oxides have recently emerged as a new alternative pathway to achieve the separation of photo generated charge carriers, and their application in photon to current (e.g. PV cells, photodetectors) and photoelectrochemical (PEC) devices was recently started to be explored. Since the discovery of PV effect in these materials over 50 years ago, ferroelectric devices including solar cells (FESC) and PEC cells have attracted significant attention owing to many unique advantages. This technology involves a simplified structure and fabrication methods as well as stable and abundant materials. Different from the p n diode or Schottky diode solar cells, in the FESC, FE polarization plays a dominant role in charge separation and transport. FESC provides reversible directions of photocurrent and photovoltage by polarization direction switching, and also generates above bandgap open circuit voltages (Voc up to 40 V) which potentially permit to surpass the Shockley Queisser limit observed in traditional semiconductors cells. While for a long time such devices were characterized by weak performance values, recent advances led to significant breakthroughs by using multiferroic materials. Multiferroics combine two ferroic functionalities (specifically FE, ferromagnetic or ferroelastic) and typically possess a magnetic order parameter besides the FE one and the electron electron interaction regulating the magnetic ordering induced a smaller gap (e.g. 2.6 2.7 eV for BiFeO3 and 1.4 2.4 eV for Bi2FeCrO6) than other FE materials (e.g. 3.2 3.6 eV for La doped Pb(Zr,Ti)O3 and 3.2 eV for BaTiO3) Among these multiferroics, double perovskite Bi2FeCrO6 (BFCO) is highly promising in PV and photocatalytic applications due to its nontoxicity, chemical stability, narrow bandgap, huge light absorption coefficient (2.5 x 105 cm 1) large remnant polarization (55 mC cm 2 at room temperature (RT) and magnetization (160 emu cm 3) A breakthrough in the field of PV and PEC devices occurred through our recent study on multiferroic BFCO epitaxial thin films, which demonstrated a high efficiency solar energy conversion (solar to electrical or chemical energy) In such material, lower band gap and large FE polarization promote an effective charge carrier generation and separation. Single crystalline BFCO with higher ratio of Fe/Cr cation ordering (R0.5 5.1% showed a lower bandgap (Eg1.4 1.8 eV) accompanied with weak FE polarization (P5 20 mC cm 2) which exhibit thus a semiconductor behavior. In despite of a larger absorption of sun light by semiconducting BFCO films, the weak FE polarization induced internal electric field decreases the separation power of photogenerated carriers and increases the recombination loss. This dramatically affects the performance of the devices. These results clearly suggest a relationship between the optical property, ferroelectricity and crystal structure of BFCO thin films. To advantageously exploit the semiconducting properties of BFCO for PV application, the p i n device architectures have to be designed and developed. Here, an intrinsic semiconductor is sandwiched between a heavily doped p and n layers. Semiconductor material absorbs a certain portion of the solar spectrum and adjacent layers (electrodes) are required to extract the photo generated carriers for conveyance to an external electrical load. The p type layer in p i n devices is possible incident photons enter the intrinsic absorber layer (i of the device for conversion into charge carriers. This requires that all other \"photo passive\" but functional layers in the PV cell that lie in the path of photons traversing to the absorber should not absorb or back reflect any of the light before it reaches the intrinsic absorber. That is, the window layers must be highly transparent, i.e. having a wider bandgap than the absorber and thus a much lower absorption coefficient in the spectral range of light used for photo conversion. Electrically, the p layer should have hole conduction in order to transport the photogenerated holes and block the electrons. Based on these requirements, the p type layer in p i n devices should be the p type transparent conducting oxides (p TCOs) The work performed in this thesis was therefore driven by two main objectives: (1) synthesis and understanding the fundamental physical properties (i.e. structural, electrical, and optical) of p TCOs thin films; (2) design and investigating the multiferroic BFCO thin film absorber based devices for efficient solar energy conversion applications including photodetectors, PV and PEC devices. The results obtained in this work are resumed in two sections as follows: In the first section, we synthesized the p type transparent conducting thin films and studied their structural, electrical and optical properties. (1) Perovskite p type In doped SrTiO3 (SrInxTi1 xO3 with In concentration in the range 0<=x<=0.15) thin films were grown on LaAlO3 substrates using a pulsed laser deposition (PLD) and molecular beam epitaxy (MBE) combination technique. (2) The In STO (ISTO, 0.1<=x<=0.15) films grown under oxygen pressure of 10 7 10 3 Torr show an optimal range of high transmittance ~70% low resistivity ~10 2 O cm) high carrier concentration of ~3x1019 cm 3 with mobility of ~5 cm2/Vs, and large Eg>=3.2 eV. The second section is focused on solar energy conversion applications of BFCO thin films based devices: (1) the photodiodes based on the epitaxial BFCO/SrRuO3 (SRO) thin films were fabricated by using PLD techniques. The photodiodes with a large ideality factor (n5.0) exhibits a substantial photocurrent at forward biased voltages (photoconductive effect) and a fast transient response (in the order of 10 2 s) The tailoring of photoelectric performance was achieved by switching FE polarization state of BFCO (Eg2.5 eV; P40 mC cm 2) The ultrafast charge transfer at BFCO/SRO heterojunction was investigated by time resolved photoluminescence. A peak sensitivity was measured as 0.38 mA/W at 500 nm by photoresponsivity spectroscopy. (2) We reported the fabrication of multiferroic BFCO thin films (Eg1.7 2.4 eV; P14 44 mC cm 2) based PV devices with p i n heterojunction by PLD. A p type NiO thin film acting as hole transporting/electron blocking layer and a typical n type Nb STO acting as electron transporting layer were used to form the double interfaced heterojunctions. Under 1 sun illumination, the optimized p i n devices yielded an open circuit voltage of ~0.53 V and a short circuit current density of ~8.0 mA cm 2, leading to a PCE of ~2.0% a four fold enhancement compared to that of the i n device architecture. (3) The n type BFCO thin films coated with a p type transparent conducting NiO layer were implemented as a heterojunction photoelectrode by PLD for solar driven water splitting. The tailoring of PEC performance of the bare BFCO (Eg1.8 eV; P20 mC cm 2) based photoanodes was achieved by effectively tuning the FE polarization state, and thus resulted in a 1.5 fold increase in photocurrent density. A 4 fold enhancement of photocurrent density, up to 0.4 mA cm 2 (at +1.23 V vs. RHE) in 1 M Na2SO4 (pH 6.8) electrolyte under 1 sun illumination was carried out by coating the bare BFCO photoanodes with a p type transparent conducting NiO layer acting as an electron blocking and protection layer. The stable operation of p NiO/n BFCO heterojunction photoanodes was confirmed by observing a constant current density over 4 hours.", "author_names": [ "Wei Huang" ], "corpus_id": 139128899, "doc_id": "139128899", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Transparent conducting oxides and multiferroic perovskites for solar energy conversion applications.", "venue": "", "year": 2018 } ]
Solar energy conversion: solid-state physics aspects
[ { "abstract": "Spectrally selective surfaces and their impact on photothermal solar energy conversion. Spectral selectivity of composite materials. Solar photoelectrolysis with semiconductor electrodes. Carrier lifetime in silicon and its impact on solar cell characteristics. Problems of the Cu2S/CdS Cell. Heterojunction phenomena and interfacial defects in photovoltaic converters.", "author_names": [ "Bernhard O Seraphin", "Julio A Aranovich" ], "corpus_id": 137562104, "doc_id": "137562104", "n_citations": 125, "n_key_citations": 2, "score": 1, "title": "Solar energy conversion solid state physics aspects", "venue": "", "year": 1979 }, { "abstract": "Solar Energy will contribute to future needs provided that existing devices can be improved in performance, and lowered in cost. Problem areas involve materials, and processes of manufacture. In its search for better solutions, solar energy technology must engage the various aspects of material science more effectively. This may involve parts of the field presently unconnected to the mainstream of solar research, and outside the recognized solutions and approaches.", "author_names": [ "Bernhard O Seraphin" ], "corpus_id": 136528582, "doc_id": "136528582", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Solid State Physics Aspects of Solar Energy Conversion", "venue": "", "year": 1977 }, { "abstract": "Organic dye cells are presently largely investigated aiming at the development of efficient and cheap devices for environmentally friendly energy generation. Among the different oxides used in such cells, titanium dioxide plays a prominent role due to the high electron collection efficiency. As a consequence, the number of scientific articles reporting investigation of titanium dioxide preparation, characterization, and application is presently quite expressive. But the spectrum of TiO2 applications is much broader. In this context, it is nice to see a book written by a single author, in which this knowledge is systematically organized and the different scientific and technological aspects of TiO2 preparation, characterization, and applications are covered. \"Oxide Semiconductors for Solar Energy Conversion Titanium Dioxide,\" authored by Janusz Nowotny, is just such a case. The author covers several basic aspects of oxide physics and chemistry and treats specific aspects on basic properties of TiO2 paying special attention to defects, which in such oxides are highly responsible for oxide physical behavior. Moving to its final target, the author discusses the role of interfaces and applications, including hydrogen generation, water purification, and solar energy conversion. In my opinion, this book will be very useful for researchers working in one or more of the above cited subjects. It will allow them to contextualize their works and look for subject interrelationships that provide a broader understanding of their field and the real importance of each of the single given contributions. Also, for young people just entering the field, the organized exposition of the most important results necessary to the understanding of TiO2 science and technology will pave an easier way in their own research work development.", "author_names": [ "Ivo A Hummelgen" ], "corpus_id": 91645062, "doc_id": "91645062", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "\"Oxide semiconductors for solar energy conversion titanium dioxide\" Author: Janusz Nowotny", "venue": "Journal of Solid State Electrochemistry", "year": 2012 }, { "abstract": "The paper briefly discusses the current situation in the field of traditional and unconventional photovoltaic materials and solar cells. It emphasizes the fact that the main basic achievements in the field of solid state solar photovoltaics were gained at least two decades ago and the further progress focused on the improvement of cell parameters and technological aspects. Finally, the article concludes that this scientific area has nearly fulfilled its historical task. Indeed, the last decade has brought many new achievements in the field of the alternative, molecular based materials. The future of solar energy conversion seems to be mainly connected with chemistry, chemical physics and chemical engineering but not with solid state physics as in the twentieth century. The paper gives also a short over view of some promising organic semiconductors, fullerenes and TiO2 nanocrystalline structures used now in a new generation of molecular solar cells.", "author_names": [ "Oleg Chevaleevski", "Lioudmila Larina" ], "corpus_id": 55784743, "doc_id": "55784743", "n_citations": 6, "n_key_citations": 0, "score": 0, "title": "New trends in solar photovoltaics: From physics to chemistry", "venue": "", "year": 2001 }, { "abstract": "Solar energy conversion devices composed of highly crystalline gel polymers with disk WO3 nanostructure and plate WO3 microstructures (D WO3 and P WO3, respectively) exhibited higher power conversion efficiency than those with a gel electrolyte. In this study, D WO3 and P WO3 were prepared using a hydrothermal process and their structural and morphological features were investigated for application in solar energy conversion devices. The P WO3 solid state electrolyte significantly enhanced the cell performance owing to its charge transportation and light scattering characteristics. The P WO3 solid state electrolyte showed a power conversion efficiency of 6.3% which is higher than those of the gel (4.2% and D WO3 solid state (5.5% electrolytes. The electro chemical impedance spectroscopy (EIS) intensity modulated voltage spectroscopy (IMVS) diffuse reflectance, and incident photon to current conversion efficiency (IPCE) analysis results showed that the P WO3 solid state electrolyte showed improved charge transportation and light scattering, and hence enhanced the cell performance.", "author_names": [ "Juyoung Moon", "Woojun Shin", "Jung Tae Park", "Hongje Jang" ], "corpus_id": 209435298, "doc_id": "209435298", "n_citations": 8, "n_key_citations": 0, "score": 0, "title": "Solid State Solar Energy Conversion from WO3 Nano and Microstructures with Charge Transportation and Light Scattering Characteristics", "venue": "Nanomaterials", "year": 2019 }, { "abstract": "In this work, we prepared a novel kind of Yb3+,Er3+/Ho3+ co doped CsGd(MoO4)2 phosphors with a different structure from the reported ALn(MoO4)2 (A Li, Na or K; Ln La, Gd or Y) compounds using a high temperature solid state reaction method. X ray diffraction showed that the as prepared samples had a pure phase. Based on the efficient energy transfer from Yb3+ to Er3+/Ho3+ the up conversion (UC) luminescence of the optimal CsGd(MoO4)2:0.30Yb3+,0.02Er3+ sample showed intensely green light with dominant emission peaks at 528 and 550 nm corresponding to Er3+ transitions 2H11/2 4I15/2 and 4S3/2 4I15/2, respectively, as well as a weak emission peak originating from 4F9/2 4I15/2 at 671 nm, under 975 nm laser excitation. The CsGd(MoO4)2:Yb3+,Ho3+ samples mainly displayed two emission bands around 540 and 660 nm together with a negligible one at 755 nm, which corresponded to Ho3+ transitions 4F4,5F2 5I8, 5F5 5I8 and 4F4,5F2 5I7, respectively, under 975 nm laser excitation. With increasing Yb3+ concentration in CsGd(MoO4)2:Yb3+,Ho3+ phosphors, the emission color could be tuned from orange red to light yellow due to the large energy gap between levels 4F4,5F2 and 5F5. In addition, the CsGd(MoO4)2:Yb3+,Er3+ showed green light under 376 nm UV irradiation similar to that upon 975 nm laser excitation. However, the emissions for CsGd(MoO4)2:Yb3+,Ho3+ samples under 358 nm UV or 449 nm blue excitation showed dominant emission peaks at 540 nm and weak 660 nm and 752 nm peaks, which were a bit different from those under 975 nm excitation. Interestingly, we observed efficient energy transfer phenomena (possible quantum cutting) from Er3+/Ho3+ to Yb3+ and a Yb3+ O2 charge transfer (CT) transition in the molybdates, which was deduced from the visible and near infrared emission spectra and the decrease of the Er3+/Ho3+ luminescent lifetimes with increasing Yb3+ concentration in the CsGd(MoO4)2:Yb3+,Er3+/Ho3+ samples. The luminescence properties of these phosphors suggest their potential possibility for applications in solid state lighting and displays as well as in c Si solar energy conversion systems.", "author_names": [ "Kai Li", "Rik Van Deun" ], "corpus_id": 54482319, "doc_id": "54482319", "n_citations": 23, "n_key_citations": 0, "score": 0, "title": "Mutual energy transfer luminescent properties in novel CsGd(MoO4)2:Yb3+,Er3+/Ho3+ phosphors for solid state lighting and solar cells.", "venue": "Physical chemistry chemical physics PCCP", "year": 2019 }, { "abstract": "Earth abundant first row transition metal complexes are important for the development of large scale photocatalytic and solar energy conversion applications. Coordination compounds based on iron are especially interesting, as iron is the most common transition metal element in the Earth's crust. Unfortunately, iron polypyridyl and related traditional iron based complexes generally suffer from poor excited state properties, including short excited state lifetimes, that make them unsuitable for most light driven applications. Iron carbene complexes have emerged in the last decade as a new class of coordination compounds with significantly improved photophysical and photochemical properties, that make them attractive candidates for a range of light driven applications. Specific aspects of the photophysics and photochemistry of these iron carbenes discussed here include long lived excited state lifetimes of charge transfer excited states, capabilities to act as photosensitizers in solar energy conversion applications like dye sensitized solar cells, as well as recent demonstrations of promising progress towards driving photoredox and photocatalytic processes. Complementary advances towards photofunctional systems with both Fe(II) complexes featuring metal to ligand charge transfer excited states, and Fe(III) complexes displaying ligand to metal charge transfer excited states are discussed. Finally, we outline emerging opportunities to utilize the improved photochemical properties of iron carbenes and related complexes for photovoltaic, photoelectrochemical and photocatalytic applications. (Less)", "author_names": [ "Linnea Lindh", "Pavel Chabera", "Nils W Rosemann", "Jens Uhlig", "Kenneth Warnmark", "Arkady Yartsev", "Villy Sundstrom", "Petter Persson" ], "corpus_id": 216351949, "doc_id": "216351949", "n_citations": 14, "n_key_citations": 0, "score": 0, "title": "Photophysics and Photochemistry of Iron Carbene Complexes for Solar Energy Conversion and Photocatalysis", "venue": "", "year": 2020 }, { "abstract": "Abstract In this paper a novel polymer based platform is applied for the fabrication of an innovative two electrodes self powered device integrating energy harvesting and storage sections. A multifunctional polymeric layer, made of two poly(ethylene glycol) based sections separated by a perfluorinated barrier, is obtained by oxygen inhibited UV light crosslinking procedure. For the energy harvesting section, one side of the polymeric layer is adapted to enable iodide/triiodide diffusion in a dye sensitized solar cell (DSSC) while the other side empowers sodium/chloride ions diffusion and is used for on board charge storage in an electrochemical double layer capacitor (EDLC) The resulting photocapacitor has a planar architecture appreciably simplified with respect to other recently proposed solutions and more easily exploitable in low power electronics. The measured photo electrical conversion and storage total efficiency is 3.72% during photo charge, which is a remarkable value for DSSC EDLC harvesting storage devices literature. The obtained high frequency discharge capability enlightens promising prospects for practical applications in low power portable electronics.", "author_names": [ "Alberto Scalia", "Federico Bella", "Andrea Lamberti", "Claudio Gerbaldi", "Elena Tresso" ], "corpus_id": 117614635, "doc_id": "117614635", "n_citations": 65, "n_key_citations": 0, "score": 0, "title": "Innovative multipolymer electrolyte membrane designed by oxygen inhibited UV crosslinking enables solid state in plane integration of energy conversion and storage devices", "venue": "", "year": 2019 }, { "abstract": "Novel Photosystem I (PSI) based solid state solar cells were prepared by directly electropolymerizing polyaniline (PAni) in the presence of solubilized PSI on a TiO2 anode. These devices feature a unique bio derived, photoactive composite layer for efficient charge separation and charge transfer from protein to electrode. This work introduces a new artificial photosynthesis platform for scalable and sustainable solar energy conversion.", "author_names": [ "Evan A Gizzie", "J Scott Niezgoda", "Maxwell T Robinson", "Andrew G Harris", "G Kane Jennings", "Sandra J Rosenthal", "David E Cliffel" ], "corpus_id": 96981059, "doc_id": "96981059", "n_citations": 51, "n_key_citations": 2, "score": 0, "title": "Photosystem I polyaniline/TiO2 solid state solar cells: simple devices for biohybrid solar energy conversion", "venue": "", "year": 2015 }, { "abstract": "Abstract Isolated photosystem I (PSI) has been integrated into numerous technologies for solar energy conversion. Interest in PSI is a consequence of its high internal quantum efficiency, thermal stability, ease of extraction, and adaptability. While there has been success in improving performance to elevate PSI biohybrid technologies toward a practical realm, the stability of PSI bioelectrodes is also of critical importance. Commercial solar energy conversion technologies are expected to achieve lifetimes of the order of ten years; however, many research scale PSI bioelectrodes have only been tested for tens of days. Key areas affecting PSI bioelectrode stability include the effects of reactive oxygen species, immobilization strategies, and the environment within solid state PSI biohybrid photovoltaics. At the current state, further investigation of long term stability is necessary in enabling the development of PSI bioelectrodes for both photoelectrochemical cells and solid state biohybrid photovoltaics.", "author_names": [ "Kody D Wolfe", "Dilek Dervishogullari", "Joshua M Passantino", "Christopher D Stachurski", "G Kane Jennings", "David E Cliffel" ], "corpus_id": 210523604, "doc_id": "210523604", "n_citations": 10, "n_key_citations": 0, "score": 0, "title": "Improving the stability of photosystem I based bioelectrodes for solar energy conversion", "venue": "", "year": 2020 } ]
markus müller serdes
[ { "abstract": "Advances in semiconductor manufacturing still lead to ever decreasing feature sizes and constantly allow higher degrees of integration in application specific integrated circuits (ASICs) Therefore the bandwidth requirements on the external interfaces of such systems on chips (SoC) are steadily growing. Yet, as the number of pins on these ASICs is not increasing in the same pace known as pin limitation the bandwidth per pin has to be increased. SerDes (Serializer/Deserializer) technology, which allows to transfer data serially at very high data rates of 25Gbps and more is a key technology to overcome pin limitation and exploit the computing power that can be achieved in todays SoCs. As such SerDes blocks together with the digital logic interfacing them form complex mixed signal systems, verification of performance and functional correctness is very challenging. In this thesis a novel mixed signal design methodology is proposed, which tightly couples model and implementation in order to ensure consistency throughout the design cycles and hereby accelerate the overall implementation flow. A tool flow that has been developed is presented, which integrates well into state of the art electronic design automation (EDA) environments and enables the usage of this methodology in practice. Further, the design space of todays high speed serial links is analyzed and an architecture is proposed, which pushes complexity into the digital domain in order to achieve robustness, portability between manufacturing processes and scaling with advanced node technologies. The all digital phase locked loop (PLL) and clock data recovery (CDR) which have been developed are described in detail. The developed design flow was used for the implementation of the SerDes architecture in a 28nm silicon process and proved to be indispensable for future projects.", "author_names": [ "Markus Muller" ], "corpus_id": 59605109, "doc_id": "59605109", "n_citations": 1, "n_key_citations": 0, "score": 1, "title": "Digital centric multi gigabit SerDes design and verification", "venue": "", "year": 2018 }, { "abstract": "Summary The recent emergence of the novel, pathogenic SARS coronavirus 2 (SARS CoV 2) in China and its rapid national and international spread pose a global health emergency. Cell entry of coronaviruses depends on binding of the viral spike (S) proteins to cellular receptors and on S protein priming by host cell proteases. Unravelling which cellular factors are used by SARS CoV 2 for entry might provide insights into viral transmission and reveal therapeutic targets. Here, we demonstrate that SARS CoV 2 uses the SARS CoV receptor ACE2 for entry and the serine protease TMPRSS2 for S protein priming. A TMPRSS2 inhibitor approved for clinical use blocked entry and might constitute a treatment option. Finally, we show that the sera from convalescent SARS patients cross neutralized SARS 2 S driven entry. Our results reveal important commonalities between SARS CoV 2 and SARS CoV infection and identify a potential target for antiviral intervention.", "author_names": [ "Markus Hoffmann", "Hannah Kleine-Weber", "Simon Schroeder", "Nadine Kruger", "Tanja Herrler", "Sandra Erichsen", "Tobias S Schiergens", "Georg Herrler", "Nai-Huei Wu", "Andreas Nitsche", "Marcel Alexander Muller", "Christian Drosten", "Stefan Pohlmann" ], "corpus_id": 212407874, "doc_id": "212407874", "n_citations": 9418, "n_key_citations": 420, "score": 0, "title": "SARS CoV 2 Cell Entry Depends on ACE2 and TMPRSS2 and Is Blocked by a Clinically Proven Protease Inhibitor", "venue": "Cell", "year": 2020 }, { "abstract": "The global spread of SARS CoV 2/COVID 19 is devastating health systems and economies worldwide. Recombinant or vaccine induced neutralizing antibodies are used to combat the COVID 19 pandemic. However, the recently emerged SARS CoV 2 variants B.1.1.7 (UK) B.1.351 (South Africa) and P.1 (Brazil) harbor mutations in the viral spike (S) protein that may alter virus host cell interactions and confer resistance to inhibitors and antibodies. Here, using pseudoparticles, we show that entry of all variants into human cells is susceptible to blockade by the entry inhibitors soluble ACE2, Camostat, EK 1 and EK 1 C4. In contrast, entry of the B.1.351 and P.1 variant was partially (Casirivimab) or fully (Bamlanivimab) resistant to antibodies used for COVID 19 treatment. Moreover, entry of these variants was less efficiently inhibited by plasma from convalescent COVID 19 patients and sera from BNT162b2 vaccinated individuals. These results suggest that SARS CoV 2 may escape neutralizing antibody responses, which has important implications for efforts to contain the pandemic.", "author_names": [ "Markus Hoffmann", "Prerna Arora", "Rudiger Gross", "Alina Seidel", "Bojan F Hornich", "Alexander S Hahn", "Nadine Kruger", "Luise Graichen", "Heike Hofmann-Winkler", "Amy Kempf", "Martin S Winkler", "Sebastian R Schulz", "Hans-Martin Jack", "Bernd Jahrsdorfer", "Hubert Schrezenmeier", "Martin Muller", "Alexander Kleger", "Jan Munch", "Stefan Pohlmann" ], "corpus_id": 232283312, "doc_id": "232283312", "n_citations": 239, "n_key_citations": 10, "score": 0, "title": "SARS CoV 2 variants B.1.351 and P.1 escape from neutralizing antibodies", "venue": "Cell", "year": 2021 }, { "abstract": "The coronavirus disease 2019 (COVID 19) pandemic, which is caused by severe acute respiratory syndrome coronavirus 2 (SARS CoV 2) has been associated with more than 780,000 deaths worldwide (as of 20 August 2020) To develop antiviral interventions quickly, drugs used for the treatment of unrelated diseases are currently being repurposed to treat COVID 19. Chloroquine is an anti malaria drug that is used for the treatment of COVID 19 as it inhibits the spread of SARS CoV 2 in the African green monkey kidney derived cell line Vero 1 3 Here we show that engineered expression of TMPRSS2, a cellular protease that activates SARS CoV 2 for entry into lung cells 4 renders SARS CoV 2 infection of Vero cells insensitive to chloroquine. Moreover, we report that chloroquine does not block infection with SARS CoV 2 in the TMPRSS2 expressing human lung cell line Calu 3. These results indicate that chloroquine targets a pathway for viral activation that is not active in lung cells and is unlikely to protect against the spread of SARS CoV 2 in and between patients. Expression of TMPRSS2 a protease that activates SARS CoV 2 for entry into cells renders SARS CoV 2 insensitive to chloroquine.", "author_names": [ "Markus Hoffmann", "Kirstin Mosbauer", "Heike Hofmann-Winkler", "Artur Kaul", "Hannah Kleine-Weber", "Nadine Kruger", "Nils C Gassen", "Marcel Alexander Muller", "Christian Drosten", "Stefan Pohlmann" ], "corpus_id": 220716128, "doc_id": "220716128", "n_citations": 203, "n_key_citations": 17, "score": 0, "title": "Chloroquine does not inhibit infection of human lung cells with SARS CoV 2", "venue": "Nature", "year": 2020 }, { "abstract": "Infection related diabetes can arise as a result of virus associated b cell destruction. Clinical data suggest that the severe acute respiratory syndrome coronavirus 2 (SARS CoV 2) causing the coronavirus disease 2019 (COVID 19) impairs glucose homoeostasis, but experimental evidence that SARS CoV 2 can infect pancreatic tissue has been lacking. In the present study, we show that SARS CoV 2 infects cells of the human exocrine and endocrine pancreas ex vivo and in vivo. We demonstrate that human b cells express viral entry proteins, and SARS CoV 2 infects and replicates in cultured human islets. Infection is associated with morphological, transcriptional and functional changes, including reduced numbers of insulin secretory granules in b cells and impaired glucose stimulated insulin secretion. In COVID 19 full body postmortem examinations, we detected SARS CoV 2 nucleocapsid protein in pancreatic exocrine cells, and in cells that stain positive for the b cell marker NKX6.1 and are in close proximity to the islets of Langerhans in all four patients investigated. Our data identify the human pancreas as a target of SARS CoV 2 infection and suggest that b cell infection could contribute to the metabolic dysregulation observed in patients with COVID 19.", "author_names": [ "Janis A Muller", "Rudiger Gross", "Carina Conzelmann", "Jana Kruger", "Uta Merle", "Johannes Steinhart", "Tatjana Weil", "Lennart Koepke", "Caterina Prelli Bozzo", "Clarissa Read", "Giorgio Fois", "Tim Eiseler", "Julia Gehrmann", "Joanne van Vuuren", "Isabel M Wessbecher", "Manfred Frick", "Ivan G Costa", "Markus Breunig", "Beate Gruner", "Lynn Peters", "Michael Schuster", "Stefan Liebau", "Thomas Seufferlein", "Steffen Stenger", "Albrecht Stenzinger", "Patrick E MacDonald", "Frank Kirchhoff", "Konstantin Maria Johannes Sparrer", "Paul Walther", "Heiko Lickert", "Thomas F E Barth", "Martin Wagner", "Jan Munch", "Sandra Heller", "Alexander Kleger" ], "corpus_id": 231805782, "doc_id": "231805782", "n_citations": 80, "n_key_citations": 5, "score": 0, "title": "SARS CoV 2 infects and replicates in cells of the human endocrine and exocrine pancreas.", "venue": "Nature metabolism", "year": 2021 }, { "abstract": "BackgroundReceiver operating characteristic (ROC) curves are useful tools to evaluate classifiers in biomedical and bioinformatics applications. However, conclusions are often reached through inconsistent use or insufficient statistical analysis. To support researchers in their ROC curves analysis we developed pROC, a package for R and S+ that contains a set of tools displaying, analyzing, smoothing and comparing ROC curves in a user friendly, object oriented and flexible interface.ResultsWith data previously imported into the R or S+ environment, the pROC package builds ROC curves and includes functions for computing confidence intervals, statistical tests for comparing total or partial area under the curve or the operating points of different classifiers, and methods for smoothing ROC curves. Intermediary and final results are visualised in user friendly interfaces. A case study based on published clinical and biomarker data shows how to perform a typical ROC analysis with pROC.ConclusionspROC is a package for R and S+ specifically dedicated to ROC analysis. It proposes multiple statistical tests to compare ROC curves, and in particular partial areas under the curve, allowing proper ROC interpretation. pROC is available in two versions: in the R programming language or with a graphical user interface in the S+ statistical software. It is accessible at http:/expasy.org/tools/pROC/ under the GNU General Public License. It is also distributed through the CRAN and CSAN public repositories, facilitating its installation.", "author_names": [ "Xavier Robin", "Natacha Turck", "Alexandre Hainard", "Natalia Tiberti", "Frederique Lisacek", "Jean-Charles Sanchez", "Markus Muller" ], "corpus_id": 19011676, "doc_id": "19011676", "n_citations": 5496, "n_key_citations": 184, "score": 0, "title": "pROC: an open source package for R and S+ to analyze and compare ROC curves", "venue": "BMC Bioinformatics", "year": 2010 }, { "abstract": "Tet proteins oxidize 5 methylcytosine (mC) to generate 5 hydroxymethyl (hmC) 5 formyl (fC) and 5 carboxylcytosine (caC) The exact function of these oxidative cytosine bases remains elusive. We applied quantitative mass spectrometry based proteomics to identify readers for mC and hmC in mouse embryonic stem cells (mESC) neuronal progenitor cells (NPC) and adult mouse brain tissue. Readers for these modifications are only partially overlapping, and some readers, such as Rfx proteins, display strong specificity. Interactions are dynamic during differentiation, as for example evidenced by the mESC specific binding of Klf4 to mC and the NPC specific binding of Uhrf2 to hmC, suggesting specific biological roles for mC and hmC. Oxidized derivatives of mC recruit distinct transcription regulators as well as a large number of DNA repair proteins in mouse ES cells, implicating the DNA damage response as a major player in active DNA demethylation.", "author_names": [ "Cornelia G Spruijt", "Felix A Gnerlich", "Arne H Smits", "Toni Pfaffeneder", "Pascal W Jansen", "Christina Bauer", "Martin Munzel", "Mirko Wagner", "Markus Muller", "Fariha Khan", "H Christian Eberl", "Anneloes Mensinga", "Arie B Brinkman", "Konstantin Lephikov", "Udo Muller", "Jorn Walter", "Rolf Boelens", "Hugo Ingen", "Heinrich Leonhardt", "Thomas Carell", "Michiel Vermeulen" ], "corpus_id": 14321534, "doc_id": "14321534", "n_citations": 819, "n_key_citations": 48, "score": 0, "title": "Dynamic Readers for 5 (Hydroxy)Methylcytosine and Its Oxidized Derivatives", "venue": "Cell", "year": 2013 }, { "abstract": "Abstract The oncofetal mRNA binding protein IGF2BP1 and the transcriptional regulator SRF modulate gene expression in cancer. In cancer cells, we demonstrate that IGF2BP1 promotes the expression of SRF in a conserved and N6 methyladenosine (m6A) dependent manner by impairing the miRNA directed decay of the SRF mRNA. This results in enhanced SRF dependent transcriptional activity and promotes tumor cell growth and invasion. At the post transcriptional level, IGF2BP1 sustains the expression of various SRF target genes. The majority of these SRF/IGF2BP1 enhanced genes, including PDLIM7 and FOXK1, show conserved upregulation with SRF and IGF2BP1 synthesis in cancer. PDLIM7 and FOXK1 promote tumor cell growth and were reported to enhance cell invasion. Consistently, 35 SRF/IGF2BP1 dependent genes showing conserved association with SRF and IGF2BP1 expression indicate a poor overall survival probability in ovarian, liver and lung cancer. In conclusion, these findings identify the SRF/IGF2BP1 miRNome and m6A dependent control of gene expression as a conserved oncogenic driver network in cancer.", "author_names": [ "Simon Muller", "Markus Glass", "Anurag Kumar Singh", "Jacob Haase", "Nadine Bley", "Tommy Fuchs", "Marcell Lederer", "Andreas Dahl", "Huilin Huang", "Jianjun Chen", "Guido Posern", "Stefan Huttelmaier" ], "corpus_id": 53106047, "doc_id": "53106047", "n_citations": 102, "n_key_citations": 2, "score": 0, "title": "IGF2BP1 promotes SRF dependent transcription in cancer in a m6A and miRNA dependent manner", "venue": "Nucleic acids research", "year": 2019 }, { "abstract": "5 Hydroxymethylcytosine (hmC) was recently detected as the sixth base in mammalian tissue at so far controversial levels. The function of the modified base is currently unknown, but it is certain that the base is generated from 5 methylcytosine (mC) This fuels the hypothesis that it represents an intermediate of an active demethylation process, which could involve further oxidation of the hydroxymethyl group to a formyl or carboxyl group followed by either deformylation or decarboxylation. Here, we use an ultra sensitive and accurate isotope based LC MS method to precisely determine the levels of hmC in various mouse tissues and we searched for 5 formylcytosine (fC) 5 carboxylcytosine (caC) and 5 hydroxymethyluracil (hmU) as putative active demethylation intermediates. Our data suggest that an active oxidative mC demethylation pathway is unlikely to occur. Additionally, we show using HPLC MS analysis and immunohistochemistry that hmC is present in all tissues and cell types with highest concentrations in neuronal cells of the CNS.", "author_names": [ "Daniel Globisch", "Martin Munzel", "Markus Muller", "Stylianos Michalakis", "Mirko Wagner", "Susanne F Koch", "Tobias Bruckl", "Martin Biel", "Thomas Carell" ], "corpus_id": 17032910, "doc_id": "17032910", "n_citations": 743, "n_key_citations": 42, "score": 0, "title": "Tissue Distribution of 5 Hydroxymethylcytosine and Search for Active Demethylation Intermediates", "venue": "PloS one", "year": 2010 }, { "abstract": "The control of quantum systems is of fundamental scientific interest and promises powerful applications and technologies. Impressive progress has been achieved in isolating quantum systems from the environment and coherently controlling their dynamics, as demonstrated by the creation and manipulation of entanglement in various physical systems. However, for open quantum systems, engineering the dynamics of many particles by a controlled coupling to an environment remains largely unexplored. Here we realize an experimental toolbox for simulating an open quantum system with up to five quantum bits (qubits) Using a quantum computing architecture with trapped ions, we combine multi qubit gates with optical pumping to implement coherent operations and dissipative processes. We illustrate our ability to engineer the open system dynamics through the dissipative preparation of entangled states, the simulation of coherent many body spin interactions, and the quantum non demolition measurement of multi qubit observables. By adding controlled dissipation to coherent operations, this work offers novel prospects for open system quantum simulation and computation.", "author_names": [ "Julio T Barreiro", "Markus Muller", "Philipp Schindler", "Daniel Nigg", "Thomas Monz", "Michael Chwalla", "Markus Hennrich", "Christian F Roos", "Peter Zoller", "Rainer Blatt" ], "corpus_id": 4359894, "doc_id": "4359894", "n_citations": 619, "n_key_citations": 12, "score": 0, "title": "An open system quantum simulator with trapped ions", "venue": "Nature", "year": 2011 } ]
Logic-in-memory based on an atomically thin semiconductor
[ { "abstract": "The growing importance of applications based on machine learning is driving the need to develop dedicated, energy efficient electronic hardware. Compared with von Neumann architectures, brain inspired in memory computing uses the same basic device structure for logic operations and data storage1 3, thus promising to reduce the energy cost of data centric computing significantly4. While there is ample research focused on exploring new device architectures, the engineering of material platforms suitable for such device designs remains a challenge. Two dimensional materials5,6 such as semiconducting MoS2 could stand out as a promising candidate to face this obstacle thanks to their exceptional electrical and mechanical properties7 9. Here, we explore large area grown MoS2 as an active channel material for developing logic in memory devices and circuits based on floating gate field effect transistors (FGFET) The conductance of our FGFETs can be precisely and continuously tuned, allowing us to use them as building blocks for reconfigurable logic circuits where logic operations can be directly performed using the memory elements. After demonstrating a programmable NOR gate, we show that this design can be simply extended to implement more complex programmable logic and functionally complete sets of functions. Our findings highlight the potential of atomically thin semiconductors for the development of next generation low power electronics.", "author_names": [ "Guilherme Migliato Marega", "Yanfei Zhao", "Ahmet Avsar", "Zhenyu Wang", "Mukesh Tripathi", "Aleksandra Radenovic", "Andras Kis" ], "corpus_id": 226260261, "doc_id": "226260261", "n_citations": 33, "n_key_citations": 1, "score": 1, "title": "Logic in Memory Based on an Atomically Thin Semiconductor", "venue": "Nature", "year": 2020 }, { "abstract": "Silicon based semiconductor technology is facing more and more challenges to continue the Moore's law due to its fundamental scaling limitations. To continue the pace of progress of device performance for both logic and memory devices, researchers are exploring new low dimensional materials, e.g. nanowire, nanotube, graphene and hexagonal boron nitride. Transition metal dichalcogenides (TMDs) are attracted considerable attention due their atomically thin nature and proper bandgap at the initial study. Recently, more and more interesting properties are found in these materials, which will bring out more potential usefulness for electronic applications. Competing with the silicon device performance is not the only goal in the potential path finding of beyond silicon. Low dimensional materials may have other outstanding performances as an alternative materials in many application realms. This thesis explores the potential of TMD based devices in memory and logic applications. For the memory application, TMD based vertical devices are fully studied. Two terminal vertical transition metal dichalcogenide (TMD) based memory selectors were firstly built and characterized, exhibiting better overall performance compared with some traditional selectors. Polymorphism is one of unique properties in TMD materials. 2D phase engineering in TMDs attracted great attention. While electric switching between semiconductor phase to metallic phase is the most desirable. In this thesis, electric field induced structural transition in MoTe2 and Mo1 xWxTe2 is firstly presented. Reproducible bipolar resistive random access (RRAM) behavior is observed in MoTe2 and Mo1 xWxTe2 based vertical devices. Direct confirmation of a phase transition from a 2H semiconductor to a distorted 2Hd metallic phase was obtained after applying an electric field. Set voltage is changed with flake thickness, and switching speed is less than 5 ns. Different from conventional RRAM devices based on ionic migration, the MoTe2 based RRAMs offer intrinsically better reliability and control. In comparison to phase change memory (PCM) based devices that operate based on a change between an amorphous and a crystalline structure, our MoTe2 based RRAM devices allow faster switching due to a transition between two crystalline states. Moreover, utilization of atomically thin 2D materials allows for aggressive scaling and high performance flexible electronics applications. Both of the studies shine lights on the new application in the memory field with two dimensional materials.For the logic application, the ultra thin body nature of TMDs allows for more aggressive scaling compared with bulk material silicon. Two aspects of scaling properties in TMD based devices are discussed, channel length scaling and channel width scaling. A tunability of short channel effects in MoS2 field effect transistor (FET) is reported. The electrical performance of MoS2 flakes is governed by an unexpected dependence on the effective body thickness of the device which in turn depends on the amount of intercalated water molecules that exist in the layered structure. In particular, we observe that the doping stage of a MoS2 FET strongly depends on the environment (air/vacuum) For the channel width scaling, the impact of edge states in three types of TMDs, metallic Td phase WTe2 as well as semiconducting 2H phase MoTe2 and MoS2 were explored, by patterning thin flakes into ribbons with varying channel widths. No obvious charge depletion at the edges is observed for any of these three materials, which is different from what has been observed in graphene nanoribbon devices.", "author_names": [ "Feng Zhang" ], "corpus_id": 202967533, "doc_id": "202967533", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Transition Metal Dichalcogenide Based Memory Devices and Transistors", "venue": "", "year": 2019 }, { "abstract": "Metal oxide semiconductor field effect transistors (MOSFET) based on two dimensional (2D) semiconductors have attracted extensive attention owing to their excellent transport properties, atomically thin geometry, and tunable bandgaps. Besides improving the transistor performance of individual device, lots of efforts have been devoted to achieving 2D logic functions or integrated circuit towards practical application. In this review, we discussed the recent progresses of 2D based logic circuit. We will first start with the different methods for realization of n type metal oxide semiconductor (NMOS) only (or p type metal oxide semiconductor (PMOS) only) logic circuit. Next, various device polarity control and complementary metal oxide semiconductor (CMOS) approaches are summarized, including utilizing different 2D semiconductors with intrinsic complementary doping, charge transfer doping, contact engineering, and electrostatics doping. We will discuss the merits and drawbacks of each approach, and lastly conclude with a short perspective on the challenges and future developments of 2D logic circuit.", "author_names": [ "Lingan Kong", "Yang Chen", "Yuan Liu" ], "corpus_id": 220732363, "doc_id": "220732363", "n_citations": 6, "n_key_citations": 0, "score": 0, "title": "Recent progresses of NMOS and CMOS logic functions based on two dimensional semiconductors", "venue": "Nano Research", "year": 2020 }, { "abstract": "Abstract Piezotronic and piezo phototronic effects have attracted much attention as promising approaches for active electronic/optoelectronic devices. However, the piezotronic and piezo phototronic devices in previous reports are mainly based on nanowires or two dimensional transition metal dichalcogenides that have size of several micrometers along the polarization direction. As the fast development of nanoelectronics and nano optoelectronics, exploring the piezotronic effect and piezo phototronic effect at nanometer scale for ultrathin nanodevices and nanosystems is valuable. Here, we investigated the piezotronic and piezo phototronic effects of atomically thin ZnO nanosheet, and revealed the dominant mechanism. Experiments were performed on the atomically thin ZnO field effect transistor, which showed enhanced electronic transport characteristic under pressure. Theoretical analysis revealed that the change of electronic transport behavior was caused by pressure induced modulation on the effective thickness of the transport channel and the Schottky barrier between ZnO and contact electrodes. Meanwhile, the atomically thin ZnO film exhibited enhanced response to ultraviolet light under pressure with a high photoresponsivity of 300 AW 1 (Vds 2 V) This value was improved 230% than the response of the same device under strain free condition, and more than 103 times higher than the performance of commercial ultraviolet photodetectors, indicating the effectiveness of piezo phototronic effect in nanoscale. This study shows great promises of the ultrathin devices based on piezotronic and piezo phototronic effects, which paves the way for atomically thin semiconductors with out of plane piezoelectricity for applications in novel electronics/optoelectronics.", "author_names": [ "Chunhua An", "Longfei Wang" ], "corpus_id": 230628654, "doc_id": "230628654", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "Piezotronic and piezo phototronic effects of atomically thin ZnO nanosheets", "venue": "", "year": 2021 }, { "abstract": "The self heating effect (SHE) in top gate In Ga Zn O (IGZO) thin film transistors (TFTs) was examined systematically using short electrical pulse measurement methods. The temperature dependence of the pulse measurements of IGZO TFTs revealed a significant increase in temperature during the measurements, suggesting that conventional measurements can overestimate the device performance significantly. The effective temperature was introduced and extracted for IGZO TFTs at various heating powers and ambient temperatures. The short sampling time was determined to be a key in characterizing the intrinsic device properties that are not influenced by the SHE. The cooling behavior after self heating was also examined using multipulse measurements. Because heating and cooling are significant even in a very short time, it is essential to consider the operation condition of the devices when characterizing TFTs to estimate the precise performance and reliability in a real operation.", "author_names": [ "Manh-Cuong Nguyen", "Nuri On", "Hyungmin Ji", "An Hoang-Thuy Nguyen", "Sujin Choi", "Jonggyu Cheon", "Kyoung-Moon Yu", "Seong-Yong Cho", "Jinhyun Kim", "Sangwook Kim", "Jaekyeong Jeong", "Rino Choi" ], "corpus_id": 21703755, "doc_id": "21703755", "n_citations": 5, "n_key_citations": 0, "score": 0, "title": "Electrical Characterization of the Self Heating Effect in Oxide Semiconductor Thin Film Transistors Using Pulse Based Measurements", "venue": "IEEE Transactions on Electron Devices", "year": 2018 }, { "abstract": "Abstract Dilute magnetic semiconductors have shown a great encouragement from the technological point of view owing to their potential application in multiple spintronics, such as spin light emitting diode, spin valve transistor, logic device, nonvolatile memory and ultrafast optical switches. Here, we report on the synthesis of Zn 1 x Cr x O (0.01<=x<=0.09) thin films grown on Si (111) substrate via 1,2 dihydroxyethane modified sol gel dip coating technique for spintronic applications. The influence of partial substitution of Cr ions into the Zn sites on the chemical composition, morphology, crystal structure, and magnetic properties of the prepared films was investigated by the X ray diffraction (XRD) atomic force microscopy (AFM) high resolution transmission electron microscopy (HR TEM) dispersive X ray spectroscopy (EDS) electron diffraction (SAED) X ray electron spectroscopy (XPS) and vibrating magnetometer (VSM) A single phase and highly crystalline films are obtained. These films showed room temperature ferromagnetism at all Cr ions concentration. The Zn 0.95 Cr 0.05 O film showed a remarkable giant magnetic moment of 2.17m B at room temperature. This is the highest magnetic moment value ever achieved among all derived transition elements doped ZnO based dilute magnetic semiconductors till the date.", "author_names": [ "Waleed E Mahmoud" ], "corpus_id": 102201930, "doc_id": "102201930", "n_citations": 64, "n_key_citations": 0, "score": 0, "title": "Observation of room temperature ferromagnetism with giant magnetic moment based on Zn1 xCrxO thin films grown on Si(111) substrate via 1,2 dihydroxyethane modified sol gel dip coating technique", "venue": "", "year": 2016 }, { "abstract": "DOI: 10.1002/aelm.201800308 body.[11 13] As a result, transistors based on TMDs are expected to show superior performances, which means that the application of TMDs can be broadened to digital logic,[14,15] memory,[16,17] or next generation computation systems.[18,19] To fabricate complementary devices including nand p type field effect transistors (FETs) an efficient doping process should be developed and, in addition, the long term stability of these devices needs to be coupled.[20 25] Owing to its superior photoactivity, MoSe2 has recently been investigated for application in various fields, including energy storage, catalysis, and optoelectronic devices. Since the bandgap of MoSe2 is smaller than that of MoS2, a larger electrical conductivity can be expected in MoSe2 FETs.[26 29] Additionally, it has been reported that since the MoSe bond is more stable than the MoS bond, the possibility of defect formation at the oxide TMD channel interface is reduced, as a result of which, the noise current is lower in MoSe2 than MoS2. However, during the synthesis process of MoSe2 via chemical vapor transport (CVT) or chemical vapor deposition (CVD) uncontrolled defects states can be introduced in the MoSe2 flakes, because of involving the high temperature and pressure environment to produce a single crystal mineral TMD. Therefore, the synthesized MoSe2 FETs tend to randomly exhibit the characteristics of not only n type behavior but also ambipolar or p type behavior; as shown in previous results,[31,33 36] it has been reported that CVDor CVT grown MoSe2 FETs can be ambipolar, n dominant, or p dominant transistors depending on the growth conditions. To enable the integration of CVDor CVT synthesized MoSe2 in practical devices, these inhomogeneous characteristics of MoSe2 FETs should be unipolar. The present report demonstrates a process to achieve n doping of CVD grown multilayer MoSe2 FETs via a two step surface functionalization procedure that involves exposure to oxygen plasma followed by the deposition of an Al2O3 passivation layer using atomic layer deposition (ALD) The oxygen plasma treatment induces the formation of interfacial MoOx layer at MoSe2 and the reduction of hall current in all fabricated MoSe2 FETs, while the on current at n branch is nearly constant. Moreover, thin MoOx layer can provide nucleation sites with dangling bonds for the uniform deposition of ALD Al2O3. After the deposition of ALD Al2O3 on MoOx/MoSe2, Ion/Ioff ratio of MoSe2 FETs at n branch is enhanced by up to 9.6 times Molybdenum diselenide (MoSe2) has attracted attention as a potential semiconductor platform. However, the as synthesized MoSe2 field effect transistors (FETs) tend to exhibit the arbitrary properties of n type, p type, or ambipolar behavior due to the uncontrolled growth condition. Here, two step functionalization is proposed to achieve n doping effect and long term stability in chemical vapor deposition (CVD) grown MoSe2 FETs using oxygen plasma treatment followed by the deposition of an Al2O3 layer. After the two step surface functionalization procedure, three types of multilayer MoSe2 FETs are all converted to n type with the improvement of their electrical characteristics and stability; the n doped multilayer MoSe2 FETs exhibit an enhancement in field effect mobility from 12.23 to 31.57 cm2 V 1 s 1 and a 3 times higher Ion/Ioff, compared to pristine multilayer MoSe2 FETs. This enhancement of electric performance is attributed to the oxidation of topmost MoSe2 to interfacial MoOx with SeOx induced by the oxygen plasma treatment, as well as to the existence of fixed positive charges in deposited Al2O3. The functionalized devices exhibit excellent stability against stress, as confirmed with negative bias illumination stress tests for 7200 s. Moreover, an environmental stability test for 21 days reveals no degradation in electric performance of MoSe2 FETs.", "author_names": [ "Seongin Hong", "Haelin Im", "Young Ki Hong", "Na Liu", "Sunkook Kim", "Jun Hong Park" ], "corpus_id": 106110872, "doc_id": "106110872", "n_citations": 11, "n_key_citations": 0, "score": 0, "title": "n Type Doping Effect of CVD Grown Multilayer MoSe2 Thin Film Transistors by Two Step Functionalization", "venue": "", "year": 2018 }, { "abstract": "Abstract A high soluble dimeric perylene tetracarboxylic diimide 1 was fabricated into the thin solid films by means of quasi Langmuir Shafer (QLS) method. The structure and properties of the QLS films were comparatively studied with those of monomeric perylene tetracarboxylic diimide 2 by electronic absorption, fluorescence, polarized electronic absorption spectroscopy, X ray diffraction (XRD) and atomic force microscopy (AFM) Experimental results revealed the film crystallinity and general molecular order in the film of 1 are improved effectively in comparison with those of 2 due to the dimeric structure of 1 Electron mobilities as high as 0.03 cm 2 V 1 s 1 for the films of novel dimeric 1 are achieved, which is much better than that of monomeric 2 (5.0 x 10 7 cm 2 V 1 s 1 In particular, the electronic mobility of 1 only slightly decreased after exposure to air and remained almost unchanged after 90 days, which is attributed to molecular packing effects including close stacking of dimeric PDI units and segregation effects imparted by the 2 N,N di(n butyl) amino 4,6 dihydrazine 1,3,5 trazine unites as well as relatively low lying LUMO energy level. The present result represents not only the first example of solution processed, air stable dimeric PDI based n type OFET, but more importantly provides an efficient way to enhance the performance of air stable n channel organic semiconductors through intra molecular bonding to pre organizing the p conjugated organic molecules into a rigid co facially stacked structure.", "author_names": [ "Nianshuai An", "Yanan Shi", "Junqiang Feng", "Dapan Li", "Jian Gao", "Yanli Chen", "Xiyou Li" ], "corpus_id": 96081678, "doc_id": "96081678", "n_citations": 31, "n_key_citations": 0, "score": 0, "title": "N channel organic thin film transistors based on a soluble cyclized perylene tetracarboxylic diimide dimer", "venue": "", "year": 2013 }, { "abstract": "Monolayer transition metal dichalcogenides (TMDs) with a direct bandgap are suitable for various optoelectronic applications such as ultrathin light emitters and absorbers. However, their weak light absorption caused by the atomically thin layer hinders more versatile applications for high optical gains. Although plasmonic hybridization with metal nanostructures significantly enhance light matter interactions, the corrosion, and instability of the metal nanostructures and the undesired effects of direct metal semiconductor contact act as obstacles to its practical application. Herein, we propose a dielectric nanostructure for plasmon enhanced light matter interaction of TMDs. TiO2 nanowires (NWs) as an example, are hybridized with MoS2 monolayer on various substrates. The structure is implemented by placing a monolayer MoS2 between a TiO2 NW for photonic scattering effect and metallic substrates with a spacer for the plasmonic Purcell effect. Here, the thin dielectric spacer is aimed at minimizing emission quenching from direct metal contact, while maximizing optical field localization in ultrathin MoS2 near TiO2 NW. An effective emission enhancement factor of ~22 is attained for MoS2 near the NW of the hybrid structure compared to the one without NWs. Our work is expected to facilitate a hybridized platform based on 2D semiconductors for high performance and robust optoelectronics via engineering dielectric nanostructures with plasmonic materials.", "author_names": [ "Jung Ho Kim", "Hyun Seok Lee", "Gwang Hwi An", "Jubok Lee", "Hye Min Oh", "Jihoon Choi", "Young Hee Lee" ], "corpus_id": 221306794, "doc_id": "221306794", "n_citations": 5, "n_key_citations": 0, "score": 0, "title": "Dielectric Nanowire Hybrids for Plasmon Enhanced Light Matter Interaction in 2D Semiconductors.", "venue": "ACS nano", "year": 2020 }, { "abstract": "Monolayered, semiconducting molybdenum disulfide (MoS2) is of considerable interest for its potential applications in next generation flexible, wearable, and transparent photodetectors because it has outstanding physical properties coupled with unique atomically thin dimensions. However, there is still a lack of understanding in terms of the underlying mechanisms responsible for the photoresponse dynamics, which makes it difficult to identify the appropriate device design strategy for achieving a fast photoresponse time in MoS2 photodetectors. In this study, we investigate the importance of surface functionalization on controlling the charge carrier densities in a MoS2 monolayer and in turn the corresponding behavior of the photoresponse in relation to the position of the Fermi level and the energy band structure. We find that the p doping and n doping, which is achieved through the surface functionalization of the MoS2 monolayer, leads to devices with different photoresponse behavior. Specifically, the MoS2 devices with surface functional groups contributing to p doping exhibited a faster response time as well as higher sensitivity compared to that observed for the MoS2 devices with surface functional groups contributing to n doping. We attribute this difference to the degree of bending in the energy bands at the metal semiconductor junction as a result of shifting in the Fermi level position, which influences the optoelectronic transport properties as well as the recombination dynamics leading to a low dark and thus high detectivity and fast decay time. Based upon these findings, we have also demonstrated the broad applicability of surface functionalization by fabricating a flexible MoS2 photodetector that shows an outstanding decay time of 0.7 s, which is the fastest response time observed in flexible MoS2 detectors ever reported.", "author_names": [ "Sang Il Pak", "A-Rang Jang", "Juwon Lee", "John Hee Hong", "Paul Henri Giraud", "Sanghyo Lee", "Yuljae Cho", "Geon-Hyoung An", "Young-Woo Lee", "Hyeon Suk Shin", "Stephen M Morris", "SeungNam Cha", "Jung Inn Sohn", "Jong Min Kim" ], "corpus_id": 73495294, "doc_id": "73495294", "n_citations": 15, "n_key_citations": 0, "score": 0, "title": "Surface functionalization induced photoresponse characteristics of monolayer MoS2 for fast flexible photodetectors.", "venue": "Nanoscale", "year": 2019 } ]
CMOS voltage-tofrequency converter with temperature drift compensation
[ { "abstract": "This paper presents a new complementary metal oxide semiconductor (CMOS) differential voltage to frequency converter (VFC) suitable for sensor signal conditioning. Designed in a low cost 0.18 mm CMOS process, the proposed VFC consumes less than 0.4 mW at a 1.8 V supply. For a differential input range of 0 1.2 V, output frequency varies from 0.1 to 1.1 MHz with a linearity error of less than 0.4% A new temperature compensation technique keeps the gain error below 2.4% over the whole frequency span for a range of 20degC +120degC.", "author_names": [ "Maria de Rodanas Valero Bernal", "Santiago Celma", "Belen Calvo", "Nicolas J Medrano-Marques" ], "corpus_id": 6645710, "doc_id": "6645710", "n_citations": 13, "n_key_citations": 1, "score": 1, "title": "CMOS Voltage to Frequency Converter With Temperature Drift Compensation", "venue": "IEEE Transactions on Instrumentation and Measurement", "year": 2011 }, { "abstract": "This paper presents a new CMOS differential voltage to frequency converter (VFC) which targets front end sensor interfacing in wireless sensor network applications. The proposed VFC, designed in a low cost 0.35 micrometer CMOS technology supplied at 3 V, achieves high performances: power consumption below 0.3 mW, 0 2V differential input range operation and nonlinearity error less than 0.3 Thanks to the introduction of a very simple control circuit, linearity is preserved over variations of temperature: the error is less than 2.3 over all the frequency range for a temperature range from 40deg C to +120deg C.", "author_names": [ "M R Valero", "Santiago Celma", "Belen Calvo", "Nicolas Medrano" ], "corpus_id": 17047588, "doc_id": "17047588", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "A CMOS Differential Voltage to Frequency Converter with Temperature Drift Compensation: European Workshop on Smart Objects: Systems, Technologies and Applications", "venue": "", "year": 2010 }, { "abstract": "A CMOS pulse output readout circuit with temperature compensation for a temperature dependent input voltage is presented. The circuit consists of a voltage to current (V I) converter, a current controlled oscillator (CCO) and a temperature sensor with proportional to absolute temperature (PTAT) output current. An input voltage, Vsen, is converted into a current by the V I converter and then the current is used to generate a pulse output through the CCO. The PTAT current can be mirrored into the CCO in order that the temperature induced current drift of the V I converter can be compensated if the Vsen will decrease with increasing temperature. The output pulse frequency of the CCO is linearly proportional to the Vsen with a linearity of at least 99.998% The suitability of the readout circuit for ion sensitive field effect transistors (ISFETs) which effective gate voltage related to pH value decreases with increasing temperature, was estimated and measurement results show that output frequency variation with temperature is decreased by a factor of at least 10 under the compensation of the PTAT current.", "author_names": [ "R L Wang", "C Yu", "W D Wu", "Y F Hao", "J L Shi", "Hsin-Hao Liao", "Hann-Huei Tsai", "Ying-Zong Juang" ], "corpus_id": 114420565, "doc_id": "114420565", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Pulse Output Readout Circuit with Temperature Compensation for a Temperature Dependent Input Voltage", "venue": "", "year": 2014 }, { "abstract": "Process and temperature variations have become a serious concern for ultra low voltage (ULV) technology. The clock generator is the essential component for the ULV very large scale integration (VLSI) MOSFETs is operated in the sub threshold region are widely applied for ULV technology. However, MOSFETs at subthreshold region have relatively high variations with process and temperature. In this paper, process and temperature variations on the clock generators has been studied. A multi phase crystal less clock generator (MPCLCG) with a process voltagetemperature (PVT) calibration circuit is proposed in Chapter 3. It operates at 192 MHz with 8 phases outputs, and is implemented as a 0.18 m CMOS process for digital power management systems. A temperature calibrated circuit is proposed to align operational frequency under process and supply voltage variations. It occupies an area of 65m x75m and consumes 1.1 mW with the power supply of 1.8 V. Temperature coefficient (TC) is 69.5 ppm/degC from 0 to 100degC, and 2 point calibration is applied to calibrate PVT variation. The measured period jitter is a 4.58 ps RMS jitter and a 34.55IV ps peak to peak jitter (P2P jitter) at 192 MHz within 12.67k hits. At 192 MHz, it shows a 1 MHz offset phase noise of 102dBc/Hz. Phase to phase errors and duty cycle errors are less than 5.5% and 4.3% respectively. Being operated with 0.5V supply voltage in a standard 65nm CMOS process, a new CMOS temperature compensated crystal less clock generator. The bias current provided by the bandgap reference circuit and low dropout operate amplifier are nearly independent of temperature due to the existence of mutual compensation of mobility and threshold voltage variation. The new proposed temperature compensated crystalless clock generator functions well by the analog linear compensation mechanism. Chapter 4 presents an ultra low voltage 2.4GHz CMOS voltage controlled oscillator with temperature and process compensation. A new all digital auto compensated mechanism to reduce process and temperature variation without any laser trimming is proposed. With the compensated circuit, the VCO frequency drift is 16.6 times the improvements of the uncompensated one as temperature changes. Furthermore, it also provides low jitter performance. We also discuss the time to digital converter system for time measurement unit in chapter 5. The Appendix A shows the electron distribution in an amorphous indium gallium zinc oxide (a IGZO) thin film transistor (TFT) with a floating metal semiconductor (MS) back interface is analyzed using a technology computer aided design (TCAD) model. The channel geometry (i.e. length and thickness) effect is carefully investigated. At a high work function (i.e. 5 eV) of the capping metal, the capping metal (electron depletion effect) mostly removes electrons inside a IGZO. The depletion of the IGZO film leads to an increase in threshold voltage in a IGZO TFT. TCAD simulation reveals that increasing channel length and decreasing IGZO thickness significantly enhance such an electron depletion effect. Finally, the electron depletion effect is applied to aIGZO TFT with a high conductivity IGZO film to greatly suppress the leakage current by over 5 orders. The Appendix B shows a voltage reference circuits on poly Silicon TFT. Because this paper is based on author's master thesis, detailed contents could refer the author's master thesis.", "author_names": [ "Ting-Chou Lu", "Ming-Dou Ker", "Hsiao-Wen Zan" ], "corpus_id": 210843456, "doc_id": "210843456", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "DESIGN AND IMPLEMENTATION OF CRYSTAL LESS CLOCK GENERATOR WITH PROCESS VOLTAGE TEMPERATURE COMPENSATION", "venue": "", "year": 2017 }, { "abstract": "Multi radio (3G/4G/GPS) mobile communication devices impose stringent requirements (1ppm) on a crystal oscillator's (XO) frequency stability over a wide temperature range (100degC) Furthermore, these devices often subject their internal XOs to sudden temperature ramps caused by power switching during sporadic user activities. In order for applications such as GPS to maintain satellite acquisition under such conditions, a low XO frequency drift rate with temperature (50ppb/degC) is strongly desired. Traditionally, a discrete analog temperature compensated XO (TCXO) is often used for crystal frequency temperature compensation. Recently, an integrated digital TCXO (DTCXO) [1] that uses an on chip PTAT sensor and a DS ADC interface was presented. However, this system's accuracy is limited by the proximity induced temperature difference between the crystal and on chip sensor. Moreover, the high converter latency (up to 0.1s) [2] imposed by the low bandwidth DS ADC degrades the system's frequency drift control capability in response to the temperature ramp. The temperature sensing interface presented in this paper addresses these issues by using: (1) an in situ crystal temperature sensor; (2) a digitally calibrated SAR ADC; (3) mixed voltage domain (2.5V/1V) SC front end sampling to accommodate the ADC under a 1V supply; and (4) chopping and digital demodulation to reduce the 1/f noise.", "author_names": [ "Zhenning Wang", "Richard Lin", "Eshel Gordon", "Hasnain Lakdawala", "Larry Richard Carley", "Jonathan C Jensen" ], "corpus_id": 34176170, "doc_id": "34176170", "n_citations": 13, "n_key_citations": 2, "score": 0, "title": "An in situ temperature sensing interface based on a SAR ADC in 45nm LP digital CMOS for the frequency temperature compensation of crystal oscillators", "venue": "2010 IEEE International Solid State Circuits Conference (ISSCC)", "year": 2010 }, { "abstract": "This paper describes a 10 bit, 500 kHz, low power steering current CMOS D/A converter for portable communications. A triple segmented architecture is used to improve the linearity while minimizing the circuit area and gain error compensating circuitry is employed to correct for full scale errors. The prototype chip fabricated in a 1.2 /spl mu/m standard CMOS technology occupies less than 0.45 mm/sup 2/ and consumes less than 2.4 mW for a 1 V output swing at 5 V supply. Full scale voltage errors due to chip to chip variations are better than /spl plusmn/3% and below /spl plusmn/43 ppm//spl deg/C for temperature drifts in the range 30/spl deg/C to +85/spl deg/C.", "author_names": [ "Bernardo G Henriques", "K Kananen", "Jose E Franca", "Juha Rapeli" ], "corpus_id": 61066605, "doc_id": "61066605", "n_citations": 9, "n_key_citations": 0, "score": 0, "title": "A 10 bit low power CMOS D/A converter with on chip gain error compensation", "venue": "Proceedings of the IEEE 1995 Custom Integrated Circuits Conference", "year": 1995 }, { "abstract": "In this work, we proposed a linear CMOS temperature to current converter as a temperature sensor, which especially benefit on enhancing the accuracy of thermal monitoring. The proposed design achieves highly linear relationship between temperature and current by annulling the most critical nonlinear square terms of it. Through utilizing a compensation scheme among two current sources driven in saturation region with different bias voltages, the nonlinear effect originated from the temperature dependence of both mobility degradation and threshold voltage drift is cancelled. The experiment results demonstrate competitive performance. The maximum temperature error and average power consumption of temperature sensor are merely 0.022degC and 137.6uW respectively while the die area is about 27.1um*18.3um under the implementation of TSMC 0.35um CMOS process with 3.3V power supply.", "author_names": [ "Chun Wei Lin", "Sheng-Feng Lin" ], "corpus_id": 12147296, "doc_id": "12147296", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "A highly linear CMOS temperature sensor", "venue": "The 8th Electrical Engineering/ Electronics, Computer, Telecommunications and Information Technology (ECTI) Association of Thailand Conference 2011", "year": 2011 }, { "abstract": "The design of a direct time of flight complementary metal oxide semiconductor (CMOS) image sensor (dToF CIS) based on a single photon avalanche diode (SPAD) array with an in pixel time to digital converter (TDC) must contemplate system level aspects that affect its overall performance. This paper provides a detailed analysis of the impact of process parameters, voltage supply, and temperature (PVT) variations on the time bin of the TDC array. Moreover, the design and characterization of a global compensation loop is presented. It is based on a phase locked loop (PLL) that is integrated on chip. The main building block of the PLL is a voltage controlled ring oscillator (VCRO) that is identical to the ones employed for the in pixel TDCs. The reference voltage that drives the master VCRO is distributed to the voltage control inputs of the slave VCROs such that their multiphase outputs become invariant to PVT changes. These outputs act as time interpolators for the TDCs. Therefore the compensation scheme prevents the time bin of the TDCs from drifting over time due to the aforementioned factors. Moreover, the same scheme is used to program different time resolutions of the direct time of flight (ToF) imager aimed at 3D ranging or depth map imaging. Experimental results that validate the analysis are provided as well. The compensation loop proves to be remarkably effective. The spreading of the TDCs time bin is lowered from: (i) 20% down to 2.4% while the temperature ranges from 0 degC to 100 degC; (ii) 27% down to 0.27% when the voltage supply changes within 10% of the nominal value; (iii) 5.2 ps to 2 ps standard deviation over 30 sample chips, due to process parameters' variation.", "author_names": [ "Ion Vornicu", "Ricardo Carmona-Galan", "Angel Rodriguez-Vazquez" ], "corpus_id": 3593836, "doc_id": "3593836", "n_citations": 6, "n_key_citations": 2, "score": 0, "title": "Compensation of PVT Variations in ToF Imagers with In Pixel TDC", "venue": "Sensors", "year": 2017 }, { "abstract": "The aim of this thesis was to develop time to digital converters (TDC) for the integrated receiver of a pulsed time of flight (TOF) laser rangefinder aiming at cm level accuracy over an input range of 10 m 15 m. A simple structure, a high integration level and low power consumption are the desired features for such a TDC. From the pulsed TOF laser rangefinder point of view an integrated receiver consisting of both the TDC and the receiver channel on the same die offers the possibility of manufacturing these laser rangefinders with a high integration level and at a low price to fulfil the needs of mass industrial markets. The heart of the TDC is a CMOS ring oscillator, the clock frequency of which is used to calculate the full clock cycles between timing signals, the positions of the timing signals inside the clock period being determined by storing the state of the phase of the ring oscillator for each timing signal. This will improve the resolution of the TDC. Also, additional delay lines are used to generate multiple timing signals, each having a time difference of a fraction of that of the ring oscillator. This will further improve the resolution of the whole TDC. To achieve stable results regardless of temperature and supply voltage variations, the TDC is locked to an on chip reference voltage, or the resolution of the TDC is calibrated before the actual time interval measurement. The systematic walk error in the receiver channel caused by amplitude variation in the received pulse is compensated for by the TDC measuring the slew rate of the received pulse. This time domain compensation method is not affected by the low supply voltage range of modern CMOS technologies. Three TDC prototypes were tested. A single shot precision standard deviation of 16 ps (2.4 mm) and a power consumption of 5.3 mW/channel were achieved at best over an input range of 100 ns (15 m) The temperature drifts of an on chip voltage reference locked TDC and a TDC based on the calibration method were 90 ppm/degC and 0.27 ps/degC, respectively. The results also showed that a pulsed TOF laser rangefinder with cm level accuracy over a 0 15 m input range can be realized using the integrated receiver with the time domain walk error compensation described here.", "author_names": [ "Ilkka Nissinen" ], "corpus_id": 55213300, "doc_id": "55213300", "n_citations": 7, "n_key_citations": 1, "score": 0, "title": "CMOS time to digital converter structures for the integrated receiver of a pulsed time of flight laser rangefinder", "venue": "", "year": 2011 }, { "abstract": "In this paper we report on the design of a frequency compensation system for AlN on Si MEMS reference oscillator to replace temperature compensated crystal oscillators (TCXOs) in cellular handsets. A 76.8MHz, 105ppm Temperature Stable, AlN on Si MEMS Oscillator is designed to demonstrate the frequency compensation scheme. Double layers of SiO 2 are used for passive temperature compensation. The oscillator consumes 850uA, with phase noise of 127dBc/Hz at 1kHz frequency offset. Temperature drift errors and initial frequency offset of z 8000ppm are combined and further tackled electronically. A simple digital compensation circuitry generates a compensation word to a 21 bit MASH 1 1 1 DS modulator included in LTE fractional N PLL for frequency compensation. Temperature is sensed using 4.6uA, 11.5 bit temperature to digital converter TDC with resolution of 0.1degC in 100ms conversion time. The paper presents the first AlN on Si oscillator platform with z 0.5ppm frequency stability over temperature ranges from 40degC to 85degC. The system runs on 1.8V supply in 32nm CMOS.", "author_names": [ "Ali Kourani", "Emad Hegazi", "Yehea I Ismail" ], "corpus_id": 39666105, "doc_id": "39666105", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Electronic frequency compensation of AlN on Si MEMS reference oscillators", "venue": "Microelectron. J.", "year": 2016 } ]
Semiconductor Devices: Physics and Technology
[ { "abstract": "Preface. Introduction. PART I: SEMICONDUCTOR PHYSICS. Energy Bands and Carrier Concentration in Thermal Equilibrium. Carrier Transport Phenomena. PART II: SEMICONDUCTOR DEVICES. p n Junction. Bipolar Transistor and Related Devices. MOSFET and Related Devices. MESFET and Related Devices. Microwave Diodes, Quantum Effect, and Hot Electron Devices. Photonic Devices. PART III: SEMICONDUCTOR TECHNOLOGY. Crystal Growth and Epitaxy. Film Formation. Lithography and Etching. Impurity Doping. Integrated Devices. Appendix A: List of Symbols. Appendix B: International Systems of Units (SI Units) Appendix C: Unit Prefixes. Appendix D: Greek Alphabet. Appendix E: Physical Constants. Appendix F: Properties of Important Element and Binary Compound Semiconductors at 300 K. Appendix G: Properties of Si and GaAs at 300 K. Appendix H: Derivation of the Density of States in Semiconductor. Appendix I: Derivation of Recombination Rate for Indirect Recombination. Appendix J: Calculation of the Transmission Coefficient for a Symmetric Resonant Tunneling Diode. Appendix K: Basic Kinetic Theory of Gases. Appendix L: Answers to Selected Problems. Index.", "author_names": [ "S M Sze" ], "corpus_id": 93226361, "doc_id": "93226361", "n_citations": 3382, "n_key_citations": 222, "score": 2, "title": "Semiconductor Devices: Physics and Technology", "venue": "", "year": 1985 }, { "abstract": "The Planar Technology. Solid State Technology. Vapor Phase Growth. Thermal Oxidation. Solid State Diffusion. Semiconductors and Semiconductor Devices. Elements of Semiconductor Physics. Semiconductors under Non Equilibrium Conditions. p n Junction. Junction Transistor. Junction Field Effect Transistors. Surface Effects and Surface Controlled Devices. Theory of Semiconductor Surfaces. Surface Effects on p n Junctions. Surface Field Effect Transistors. Properties of the Silicon Silicon Dioxide System.", "author_names": [ "Andrew S Grove" ], "corpus_id": 108892408, "doc_id": "108892408", "n_citations": 2260, "n_key_citations": 51, "score": 1, "title": "Physics and Technology of Semiconductor Devices", "venue": "", "year": 1967 }, { "abstract": "", "author_names": [ "David C Northrop" ], "corpus_id": 112827091, "doc_id": "112827091", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Book Review: Semiconductor Devices Physics and Technology", "venue": "", "year": 1986 }, { "abstract": "", "author_names": [], "corpus_id": 208153718, "doc_id": "208153718", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Book Review: Semiconductor Devices: Physics and Technology", "venue": "", "year": 1987 }, { "abstract": "The physics and technology of metal/semiconductor interfaces are key points in the development of silicon carbide (SiC) based devices. Although in the last decade, the metal to 4H SiC contacts, either Ohmic or Schottky type, have been extensively investigated with important achievements, these remain even now an intriguing topic since metal contacts are fundamental bricks of all electronic devices. Hence, their comprehension is at the base of the improvement of the performances of simple devices and complex systems. In this context, this paper aims to highlight some relevant aspects related to metal/semiconductor contacts to SiC, both on n type and p type, with an emphasis on the role of the barrier and on the carrier transport mechanisms at the interfaces.", "author_names": [ "Fabrizio Roccaforte", "Marilena Vivona", "Giuseppe Greco", "Raffaella Lo Nigro", "Filippo Giannazzo", "Simone Rascuna", "M Saggio" ], "corpus_id": 139812059, "doc_id": "139812059", "n_citations": 5, "n_key_citations": 0, "score": 0, "title": "Metal/Semiconductor Contacts to Silicon Carbide: Physics and Technology", "venue": "", "year": 2018 }, { "abstract": "", "author_names": [ "Halyna M Khlyap" ], "corpus_id": 134991910, "doc_id": "134991910", "n_citations": 5, "n_key_citations": 0, "score": 0, "title": "Physics and Technology of Semiconductor Thin Film Based Active Elements and Devices", "venue": "", "year": 2012 }, { "abstract": "Electronic devices based on oxide semiconductors are the focus of much attention, with crystalline materials generating huge commercial success. Indium gallium zinc oxide (IGZO) transistors have a higher mobility than amorphous silicon transistors, and an extremely low off state current. C axis aligned crystalline (CAAC) IGZO enables aggressive down scaling, high reliability, and process simplification of transistors in displays and LSI devices. This original book introduces the CAAC IGZO structure, and describes the physics and technology of this new class of oxide materials. It explains the crystallographic classification and characteristics of crystalline oxide semiconductors, their crystallographic characteristics and physical properties, and how this unique material has made a major contribution to the field of oxide semiconductor thin films. Two further books in this series describe applications of CAAC IGZO in flatpanel displays and LSI devices.", "author_names": [ "Noboru Kimizuka", "Shunpei Yamazaki" ], "corpus_id": 138687513, "doc_id": "138687513", "n_citations": 19, "n_key_citations": 0, "score": 0, "title": "Physics and Technology of Crystalline Oxide Semiconductor CAAC IGZO: Fundamentals", "venue": "", "year": 2016 }, { "abstract": "SCD has developed a range of advanced infrared detectors based on III V semiconductor heterostructures, grown on GaSb. The XBn/XBp family of detectors enables diffusion limited behavior with dark currents comparable with MCT Rule 07 and with high quantum efficiencies. InAsSb/AlSbAs based XBn focal plane array detectors with a cut off wavelength of 4.1 mm and formats presently up to 1024x1280 15 mm, operate with background limited performance up to ~175 K at F/3. They have a sensitivity and image quality comparable with those of standard InSb detectors working at 77K. In an XBp configuration, the same concept has been applied to an InAs/GaSb type II superlattice (T2SL) detector with a cut off wavelength of 9.5 mm, which operates with background limited performance up to ~100 K at F/2. In order to design our detectors effectively, a suite of simulation algorithms was developed based on the k p and optical transfer matrix methods. In a given T2SL detector, the complete spectral response curve can be predicted essentially from a knowledge of the InAs and GaSb layer widths in a single period of the superlattice. Gallium free T2SL detectors in which the GaSb layer is replaced with InAs1 xSbx (x 0.15 0.5) have also been simulated and the predicted spectral response compared for the two detector types.", "author_names": [ "P C Klipstein" ], "corpus_id": 120395169, "doc_id": "120395169", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Physics and technology of antimonide heterostructure devices at SCD", "venue": "SPIE OPTO", "year": 2015 }, { "abstract": "Semiconductor physics is the basis for semiconductor science and technology and semiconductor devices just like the cornerstone of entire the electronics industry and the information society. Considering \"Semiconductor devices physics\" is an important required course of micro electronics and other similar specialties, it is necessary to improve the teaching methods and content. With the development of the computer, it is necessary to apply computer aided instruction in the semiconductor devices physics. It is useful for the qualitative analysis and quantitative computation with application of MATLAB software, which will help students deepen their understanding and grasp the knowledge. Utilizing the MATLAB software, students can analyze and solve many problems in semiconductor physics and devices. This article is discussed the application of MATLAB software in the course of \"Semiconductor Devices Physics\" in detail, including in the physics of semiconductor and the semiconductor devices. Teaching practice shows that the application of MATLAB can improve teaching effectiveness and methods effectively, can active classroom ambience and mobilize the enthusiasm of the students and the spirit of the research.", "author_names": [ "Ying Tang", "Tieying Ma", "Yiling Sun", "Weiming Shen" ], "corpus_id": 33814917, "doc_id": "33814917", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Application of MATLAB in teaching of semiconductor devices physics", "venue": "2010 International Conference on E Health Networking Digital Ecosystems and Technologies (EDT)", "year": 2010 }, { "abstract": "Power Semiconductor Devices Key Components for Efficient Electrical Energy Conversion Systems. Semiconductor Properties. pn Junctions. Short introduction to power device technology. pin Diodes. Schottky Diodes. Bipolar Transistors. Thyristors. MOS Transistors. IGBTs. Packaging and Reliability of Power Devices. Destructive Mechanisms in Power Devices. Power Device Induced Oscillations and Electromagnetic Disturbances. Power Electronic Systems. Appendix. Index.", "author_names": [ "Josef Lutz", "Heinrich Dr Schlangenotto", "Uwe Scheuermann", "Rik W De Doncker" ], "corpus_id": 106910905, "doc_id": "106910905", "n_citations": 344, "n_key_citations": 44, "score": 0, "title": "Semiconductor Power Devices: Physics, Characteristics, Reliability", "venue": "", "year": 2011 } ]
band gap prediction
[ { "abstract": "The bandgap often plays an important role in functional materials applications. For example, optoelectronic materials are generally wide bandgap semiconductors, while thermoelectric materials are narrow bandgap semiconductor materials. Therefore, predicting the bandgap rapidly and accurately for a given class of materials structures has great scientific importance for the functional materials applications. However, considering that the method of obtaining high precision band gaps based on first principles high throughput calculations is time consuming and inefficient, and it is also not realistic to systematically measure a large number of material system band gaps. Machine learning methods based the statistics may be a promising alternative. This paper designs an ensemble learning model for effectively and accurately predicting bandgap values. Based on the calculated band gap values of diamond like structures in thermoelectric materials, on the one hand, single component substitution strategy was used to generate large quantities of similar compounds, and the repetitive structures was filtered out by using the structural repeatability examination technique, resulting in 356 unique material structures. On the other hand, in combination with machine learning techniques, an efficient band gap prediction model was constructed, and by which the band gap values of 50 similar material systems are predicted and verified. As is the result of the experiment, this prediction model has 77.73% accuracy. It is enough robustness and stability to be widely used in thermoelectric materials application scenarios which require large band gap prediction.", "author_names": [ "Yonglin Xu", "Xiangmeng Wang", "Xin Li", "Lili Xi", "Jianyue Ni", "Wenhao Zhu", "Wu Zhang", "Jiong Yang" ], "corpus_id": 86555493, "doc_id": "86555493", "n_citations": 2, "n_key_citations": 0, "score": 1, "title": "New materials band gap prediction based on the high throughput calculation and the machine learning", "venue": "", "year": 2019 }, { "abstract": "", "author_names": [ "Bikash Patra", "Subrata Jana", "Lucian A Constantin", "Prasanjit Samal" ], "corpus_id": 201269801, "doc_id": "201269801", "n_citations": 17, "n_key_citations": 0, "score": 0, "title": "Efficient band gap prediction of semiconductors and insulators from a semilocal exchange correlation functional", "venue": "Physical Review B", "year": 2019 }, { "abstract": "Machine learning models are capable of capturing the structure property relationship from a dataset of computationally demanding ab initio calculations. Over the past two years, the Organic Materials Database (OMDB) has hosted a growing number of calculated electronic properties of previously synthesized organic crystal structures. The complexity of the organic crystals contained within the OMDB, which have on average 82 atoms per unit cell, makes this database a challenging platform for machine learning applications. In this paper, the focus is on predicting the band gap which represents one of the basic properties of a crystalline materials. With this aim, a consistent dataset of 12 500 crystal structures and their corresponding DFT band gap are released, freely available for download at this https URL. An ensemble of two state of the art models reach a mean absolute error (MAE) of 0.388 eV, which corresponds to a percentage error of 13% for an average band gap of 3.05 eV. Finally, the trained models are employed to predict the band gap for 260 092 materials contained within the Crystallography Open Database (COD) and made available online so that the predictions can be obtained for any arbitrary crystal structure uploaded by a user.", "author_names": [ "Bart Olsthoorn", "R Matthias Geilhufe", "Stanislav S Borysov", "Alexander V Balatsky" ], "corpus_id": 53578389, "doc_id": "53578389", "n_citations": 24, "n_key_citations": 2, "score": 0, "title": "Band Gap Prediction for Large Organic Crystal Structures with Machine Learning", "venue": "Advanced Quantum Technologies", "year": 2019 }, { "abstract": "Abstract We present a novel approach to apply machine learning techniques to build a more robust prediction model for band gap energies (BG E) of chalcopyrites, a class of materials for energy applications in the fields of solar energy, photocatalysis, and thermoelectrics. Guided by knowledge from domain experts and by previous works on the field, we aim to accelerate the discovery of new solar materials. Our objectives are two folds: (i) Identify the optimal set of features that best describes a given predicted variable. (ii) Boost prediction accuracy via applying various regression algorithms. Ordinary Least Square, Partial Least Square and Lasso regressions, combined with well adjusted feature selection techniques are applied and tested to predict the band gap energy of chalcopyrites materials. Compared to the results reported in Zeng et al. (2002) Suh et al. (1999, 2004) and Dey et al. (2014) our approach shows that learning and using only a subset of relevant features can improve the prediction accuracy by about 40", "author_names": [ "Fadoua Khmaissia", "Hichem Frigui", "Mahendra Sunkara", "Jacek B Jasinski", "Alejandro Martinez Garcia", "Tom Pace", "Madhu Menon" ], "corpus_id": 139377814, "doc_id": "139377814", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "Accelerating band gap prediction for solar materials using feature selection and regression techniques", "venue": "", "year": 2018 }, { "abstract": "An important property with any new material is the band gap. Standard density functional theory methods grossly underestimate band gaps. This is known as the band gap problem. Here, we show that the hybrid B3PW91 density functional returns band gaps with a mean absolute deviation (MAD) from experiment of 0.22 eV over 64 insulators with gaps spanning a factor of 500 from 0.014 to 7 eV. The MAD is 0.28 eV over 70 compounds with gaps up to 14.2 eV, with a mean error of 0.03 eV. To benchmark the quality of the hybrid method, we compared the hybrid method to the rigorous GW many body perturbation theory method. Surprisingly, the MAD for B3PW91 is about 1.5 times smaller than the MAD for GW. Furthermore, B3PW91 is 3 4 orders of magnitude faster computationally. Hence, B3PW91 is a practical tool for predicting band gaps of materials before they are synthesized and represents a solution to the band gap prediction problem.", "author_names": [ "Jason M Crowley", "Jamil Tahir-Kheli", "William A Goddard" ], "corpus_id": 41968979, "doc_id": "41968979", "n_citations": 121, "n_key_citations": 1, "score": 1, "title": "Resolution of the Band Gap Prediction Problem for Materials Design.", "venue": "The journal of physical chemistry letters", "year": 2016 }, { "abstract": "Lappeenranta University of Technology LUT School of Engineering Science Technical Physics", "author_names": [ "Vadim Sotskov" ], "corpus_id": 139220963, "doc_id": "139220963", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Band gap prediction for inorganic crystals with machine learning", "venue": "", "year": 2018 }, { "abstract": "This paper provides a detailed comparison of the two scale homogenization method and of the Bloch Floquet theory for the determination of band gaps in locally resonant metamaterials. A medium composed by a stiff matrix with soft inclusions with 2D periodicity is considered and the equivalent mass density of the homogenized medium is explicitly obtained both for in plane and out of plane wave propagation through two scale asymptotic expansion. The intervals of frequency where the effective mass is negative identify the band gaps of the material. The Bloch Floquet problem is then considered and, through an asymptotic analysis, its is shown that it leads to the same prediction of the band gaps. The results are confirmed by some examples and the limits of the asymptotic approach are explicitly given and numerically verified.", "author_names": [ "Claudia Comi", "Jean-Jacques Marigo" ], "corpus_id": 199684226, "doc_id": "199684226", "n_citations": 14, "n_key_citations": 1, "score": 0, "title": "Homogenization Approach and Bloch Floquet Theory for Band Gap Prediction in 2D Locally Resonant Metamaterials", "venue": "Journal of Elasticity", "year": 2019 }, { "abstract": "In this paper, a Multilayer Perceptron artificial neural network is modeled to estimate complete photonic band gaps (C PBGs) of bi dimensional photonic crystals. Unit cells of square lattice photonic crystals, composed of two silicon round rods and embedded in air, have been designed by an artificial immune network algorithm, and their geometries have been stored in a database along with their C PGBs. Tests using unknown unit cells assess and demonstrate the C PBG predicting capability of the modeled Multilayer Perceptron.", "author_names": [ "Adriano da Silva Ferreira", "H E H Figueroa", "Gilliard Nardel Malheiros Silveira" ], "corpus_id": 24850507, "doc_id": "24850507", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "Complete band gap prediction of 2D photonic crystals by using multilayer perceptron", "venue": "2017 IEEE XXIV International Conference on Electronics, Electrical Engineering and Computing (INTERCON)", "year": 2017 }, { "abstract": "Abstract We report a comprehensive and systematic study of two halide perovskites by using density functional theory calculations. Two structurally different perovskites have been studied, which are cubic CH3NH3PbI3 (MAPI) and HC(NH2)2PbI3 (FAPI) We have used twenty four exchange correlation functionals, ranging from three LDA functionals, ten GGA functionals, seven MGGA and four hybrids among others have been tested, in order to determine the accuracy of these methods for the prediction of band gaps. Moreover, we have studied several possibilities to tackle the calculations of perovskites. That is, we have tested Numerical Atomic Orbitals with All Electron Relativistic calculations and compared to Plane Wave framework with pseudopotentials and relativistic corrections. Moreover, we have studied different k points set, pseudopotentials types, with and without cell optimizations, with and without dispersion corrections and with and without Spin Orbit coupling. The results show that PBE and RPBE exhibit a good compromise between the accuracy of the results and computational demands.", "author_names": [ "Noemi Hernandez-Haro", "Joaquin Ortega-Castro", "Yaroslav B Martynov", "Rashid G Nazmitdinov", "Antonio Frontera" ], "corpus_id": 105845307, "doc_id": "105845307", "n_citations": 34, "n_key_citations": 0, "score": 0, "title": "DFT prediction of band gap in organic inorganic metal halide perovskites: An exchange correlation functional benchmark study", "venue": "", "year": 2019 }, { "abstract": "A novel nonempirical scaling correction method is developed to tackle the challenge of band gap prediction in density functional theory. For finite systems the scaling correction largely restores the straight line behavior of electronic energy at fractional electron numbers. The scaling correction can be generally applied to a variety of mainstream density functional approximations, leading to significant improvement in the band gap prediction. In particular, the scaled version of a modified local density approximation predicts band gaps with an accuracy consistent for systems of all sizes, ranging from atoms and molecules to solids. The scaled modified local density approximation thus provides a useful tool to quantitatively characterize the size dependent effect on the energy gaps of nanostructures.", "author_names": [ "Xiao Zheng", "Aron J Cohen", "Paula Mori-Sanchez", "Xiangqian Hu", "Weitao Yang" ], "corpus_id": 22140314, "doc_id": "22140314", "n_citations": 114, "n_key_citations": 1, "score": 0, "title": "Improving band gap prediction in density functional theory from molecules to solids.", "venue": "Physical review letters", "year": 2011 } ]
A 12 to 1 V five phase interleaving GaN POL converter for high current low voltage applications
[ { "abstract": "As applications like high performance cloud computing grow exponentially, there is an immediate need for high efficiency high current point of load (POL) converters. Interleaving phases is a common technique to reduce conduction loss, lower output ripple, improve high load performance, and reduce stress on power switching devices. However, multiphase POLs are primarily limited by size. The development of Gallium Nitride (GaN) power semiconductors is enabling higher power density in power supply applications. This paper demonstrates this with a 120 W five phase interleaving GaN synchronous buck converter that achieves a peak efficiency above 96% and maintains over 90% efficiency up to 105 A.", "author_names": [ "Benjamin K Rhea", "Luke L Jenkins", "William E Abell", "Frank T Werner", "Chris Wilson", "Robert Neal Dean", "Daniel K Harris" ], "corpus_id": 18262557, "doc_id": "18262557", "n_citations": 5, "n_key_citations": 0, "score": 1, "title": "A 12 to 1 V five phase interleaving GaN POL converter for high current low voltage applications", "venue": "2014 IEEE Workshop on Wide Bandgap Power Devices and Applications", "year": 2014 }, { "abstract": "High performance processing boards using ASICs and reconfigurable FPGAs require particular power supplies with voltages below 1 V and current capabilities up to 50 A. High efficiency is mandatory for low power consumption and thermal reasons and is considered as most important goal for the DCDC converter. Off the shelf radiation hardened point of load (POL) converters are not optimized for such an application. Most devices are limited to approximately 10 A and show maximum efficiency at approximately half load current. Only a few parts offer the possibility for parallel operation to increase the current capability. Apart from the non isolating POL converters also high current supplies based on an isolated converter topology with good efficiency are available on the market. The efficiency of both concepts relies on high performance/low on resistance FETs, and GaN technology seems to be a very attractive solution. This paper evaluates discrete designs of a non isolating POL converter and an isolating converter based on GaN FETs regarding their suitability for low voltage/high current applications. Beside of theoretical investigations, experimental results gained from laboratory prototypes are presented.", "author_names": [ "Franz Stoegerer", "Thomas Panhofer" ], "corpus_id": 209382800, "doc_id": "209382800", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "High Efficiency Low Voltage/High Current Power Supplies for High Performance Digital Equipment by using GaN FET Technology", "venue": "2019 European Space Power Conference (ESPC)", "year": 2019 }, { "abstract": "A GaN Active Clamp Phase Shifted Full bridge (PSFB) is introduced which enables zero voltage switching (ZVS) over entire load and voltage range without any additional auxiliary circuit elements. For high load operation ZVS is achieved through a small leakage inductance as in conventional PSFB converters. To expand the ZVS range to zero load conditions the ripple current of the current doubler inductor in conjunction with an increased magnetizing current of the main transformer is used to obtain ZVS in every operating point. A secondary active clamp circuit limits the over voltage of the current doubler rectifier. The ZVS mechanism is discussed in detail and some design tradeoffs are highlighted. The ability of the proposed circuit is demonstrated using a 1.5 kW, 400 V to 12 V DC/DC converter for automotive application with a wide voltage and power range. The small leakage inductance together with the ability to realize soft switching even under low load conditions enables a significant increase of the switching frequency up to 500 kHz and thus a remarkable increase of power density with very high efficiency.", "author_names": [ "M C Heintze", "Ing Stefan Butzmann" ], "corpus_id": 53602746, "doc_id": "53602746", "n_citations": 1, "n_key_citations": 1, "score": 0, "title": "A GaN 500 kHz High Current Active Clamp Phase Shifted Full Bridge Converter With Zero Voltage Switching Over the Entire Line and Load Range", "venue": "2018 20th European Conference on Power Electronics and Applications (EPE'18 ECCE Europe)", "year": 2018 }, { "abstract": "This paper introduces a multi phase interleaved dc dc converter, targeted for high step down applications including voltage regulator modules (VRM) for microprocessors, where low volume and high efficiency are the key priorities. The introduced architecture, reducing the voltage swing at the switching node, along with doubling the effective switching frequency of the inductor current ripple, allows reducing the size of the inductors by up to 4 times, resulting in superior dynamic regulation, while maintaining high power processing efficiency of above 90% Along with the interleaved 2 phase operation of the introduced converter, practical implementation details, including gate driver implementation, startup, and additional features such as automatic phase current balancing, are also addressed. Experimental verifications with a 12 to 1.2 V, 10 A, 250 kHz prototype show proper functionality of the introduced converter.", "author_names": [ "Samuel da Silva Carvalho", "S M Ahsanuzzaman", "Aleksandar Prodic" ], "corpus_id": 23542242, "doc_id": "23542242", "n_citations": 17, "n_key_citations": 0, "score": 0, "title": "A low volume multi phase interleaved Dc Dc converter for high step down applications with auto balancing of phase currents", "venue": "2017 IEEE Applied Power Electronics Conference and Exposition (APEC)", "year": 2017 }, { "abstract": "This work presents experimental results of an optimized five phase GaN/Si hybrid synchronous buck converter designed to improve low voltage point of load (POL) converter efficiency while meeting increasing load demands typical in high performance computing. The best enhancement mode GaN HEMTs have very low gate charge, switch much faster than Si, and enable higher frequency operation which can reduce size. However, GaN HEMTs are not yet available with extremely low RDS(ON) like comparable Si MOSFETs. For this reason, GaN based systems are susceptible to poor efficiency at high loads. To mitigate this consequence, the appropriate combination of GaN and Si is utilized in a 12 to 1 V POL converter with peak efficiency above 95% and 125 W rated load. The results of this work submit that optimal performance can be achieved by combining two semiconductor technologies, and the design is a novel approach to improve upon current state of the art POL converters.", "author_names": [ "Luke L Jenkins", "Benjamin K Rhea", "William E Abell", "Frank T Werner", "Chris Wilson", "Robert Neal Dean", "Daniel K Harris" ], "corpus_id": 16874373, "doc_id": "16874373", "n_citations": 5, "n_key_citations": 0, "score": 0, "title": "125 W multiphase GaN/Si hybrid point of load converter for improved high load efficiency", "venue": "2014 IEEE Workshop on Wide Bandgap Power Devices and Applications", "year": 2014 }, { "abstract": "Data centers demand high current, high efficiency, and low cost power solutions. The high voltage dc distribution power architecture has been drawing attention due to its lower conduction loss on cables and harnesses. In this structure, the 380 12 V high output current isolated converter is the key stage. This paper presents a 1 MHz 1 kW LLC resonant converter using GaN devices and planar matrix transformers that are designed and optimized for this application. The transformer design and the optimization of the output capacitor termination are performed and verified. Finally, this cost effective converter achieves above 97% peak efficiency and 700 W/in3 power density.", "author_names": [ "Mingkai Mu", "Fred C Lee" ], "corpus_id": 43751029, "doc_id": "43751029", "n_citations": 90, "n_key_citations": 3, "score": 0, "title": "Design and Optimization of a 380 12 V High Frequency, High Current LLC Converter With GaN Devices and Planar Matrix Transformers", "venue": "IEEE Journal of Emerging and Selected Topics in Power Electronics", "year": 2016 }, { "abstract": "This paper presents a novel 400 to 12 V isolated bidirectional dc dc converter based on a phase shift controlled modified dual active bridge power stage. The proposed converter consists of a half bridge and center tap with active clamp circuit, which has promising performance for low voltage high current applications. 650 V gallium nitride high electron mobility transistors are used on the high voltage side to avoid issues encountered using Si superjunction MOSFETs in phase shift controlled bidirectional power conversions. The operation principle and power transfer characteristic are obtained based on a time domain analysis of the inductor current. Design methodology and criteria and converter's efficiency analysis are discussed. Both the analysis and experiments verify that the proposed converter is capable of achieving low power loss and high power density in soft switching and hard switching modes. Experimental results are presented for a 1 kW, 400 V to 12 V dc dc prototype converter operating at 100 kHz switching frequency. A power density of 30 W/in3 and a peak efficiency of 98.3% in a wide input/output voltage range are achieved.", "author_names": [ "Fei Xue", "Ruiyang Yu", "Alex Q Huang" ], "corpus_id": 8338570, "doc_id": "8338570", "n_citations": 102, "n_key_citations": 3, "score": 0, "title": "A 98.3% Efficient GaN Isolated Bidirectional DC DC Converter for DC Microgrid Energy Storage System Applications", "venue": "IEEE Transactions on Industrial Electronics", "year": 2017 }, { "abstract": "Wide input wide output converters are popular for their versatility in different bus systems. The voltage variation is four times as both input and output voltage vary two times. At the same time, high input voltage can effectively reduce bus loss and the volume and weight. When the input voltage is up to 800 V, current 650 V GaN devices cannot be directly used. A stacked bridge structure is used, ensuring the application of 650 V GaN devices and reducing dv/dt to 20 kV/ms, even when the input voltage is 800 V. The LLC resonant converters are well known for its high efficiency, compact and wide range of ZVS. However, on the occasion when input voltage is 400 800V and output voltage is 22 40 V whose voltage range varieties four times, a single stage LLC converter is hardly suitable to maintain high efficiency and high power density because the switching frequency variation has to be considerably large and the magnetics cannot be optimally designed. A two stage solution consisting of a LLC DCX and three phase interleaving synchronous buck converters are proposed to maintain high efficiency. All GaN HEMTS are applied and the converter can run up to 1MHz to achieve high power density. The analysis of the influence of parasitic junction capacitance of the rectifier diodes on the primary side ZVS realization is given. A 2 kW GaN based prototype with 400 800 V input and 22 40 V output was built to verify the theoretical analysis. The converter can achieve power density of 130 W/in3 and peak efficiency of 93.8%", "author_names": [ "Mingxie He", "Xinyi Zhu", "Zhiliang Zhang", "Xiaoyong Ren" ], "corpus_id": 169034043, "doc_id": "169034043", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "A 1 MHz GaN Converter with 4X Voltage Range*", "venue": "2019 IEEE Applied Power Electronics Conference and Exposition (APEC)", "year": 2019 }, { "abstract": "A new five level voltage source inverter (VSI) with sinusoidal pulse width modulator for medium voltage high power applications is proposed in this paper by using of ANN. The proposed inverter is based on the upgrade of a four level nested neutralpoint clamped (NNPC) converter. To control and balance the capacitor voltages a novel and simple SPWM techniques is also developed for the proposed converter. This inverter can operate over a wide range of voltages without the need for connecting power semiconductor in series, has high quality output voltage and fewer components compared to other classic fivelevel topologies. The features and operation of the proposed converter are studied and a simple sinusoidal PWM scheme is developed to control and balance the flying capacitors to their desired values. The performance of the proposed converter is evaluated by simulation and experimental results. By using the simulation results we can analyze the performance of the proposed method under different operating conditions. INTRODUCTION Multilevel inverter technology has emerged recently as a very important alternative in the area of high power medium voltage energy control. For high power medium voltage (MV) industrial applications, multilevel converters are the best candidates and this is because multilevel topologies can synthesize near sinusoidal voltage with low harmonic distortion that reduces the size of output filter. These topologies have also low switch stress, reduced common mode voltage, and high voltage capability [1] [4] In this paper, a new five level voltage source converter that is based on the upgrade of a four level NNPC converter is proposed. This inverter, compared to other classic five level topologies, can operate over a wider range of voltages without the need for connecting power semiconductor in series and it also has fewer components. The diode clamped converter (DCC) flying capacitor converter (FC) and the cascaded H bridge (CHB) converter are the most well known multilevel converter topologies [2] that have been commercialized successfully by major manufacturers. However, these topologies have some drawbacks which limit their applications for more levels. DCC topology with more number of levels is less attractive because of its limitations; 1) dc link capacitor voltage balance becomes unattainable in higher level topologies with a passive front end, and 2) the number of clamping diodes increases substantially with the voltage level [5] Flying Capacitor (FC) topology needs to have higher switching frequencies to keep the capacitors properly balanced, whether a self balancing or a controlassisted balancing modulation method is used. Also the number of flying capacitors increases with the voltage level. Although cascade H Bridge (CHB) topology can reach higher voltage and higher power levels with modular structure, this topology needs a number of isolated dc sources, an expensive and bulky phase shifting transformer, and a substantially more number of active devices to achieve a regenerative operation. A five level H bridge NPC (5L HNPC) is the H bridge connection of two classic 3L NPC phase legs [6] [8] A five level active NPC (5L ANPC) is a combination of a 3L ANPC and 3L FC, which increases the number of voltage levels [9] A five level diode clamped converter [5] which has a large number of clamping diodes. This converter has 36 diodes, 12 diodes in each phase. Voltage balancing of the dc link capacitors is another issue with this topology which has been studied comprehensively in [5] Recently, a novel four level nested neutral point clamped (NNPC) converter has been proposed in this paper. The proposed five level converter mitigates the drawbacks of the previous five level converters. This converter has the following features; 1. The number of clamping diode has been reduced significantly compared to five level DCC converter. A five level DCC converter has 12 diodes in each phase however the proposed topology needs 2 diodes per phase [5] 2. It has fewer flying capacitors compared to fivelevel FC converter. 3. Unlike 5L HNPC converter, the proposed converter does not need any isolated DC source that INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 10 /Issue 1 JUN 2018 IJPRES makes the converter appropriate for regenerative applications. 4. Unlike 5L ANPC, the voltage stresses of the power switches are the same and they are equal to quarter of the input dc link voltage[6] in MATLAB/Simulink environment. The feasibility of the proposed converter is verified by a scale down prototype converter. CONVERTER TOPOLOGY A. Operation of the Proposed Five Level Voltage Source Inverter The proposed multilevel topology, as shown in Fig. 1, is based on the upgrade of a four level nested neutral point clamped (NNPC) converter. Fig. 1. A new five level three phase inverter. To ensure equally spaced steps in the output voltages, the capacitor Cx1 and Cx2, x=a,b,c are charged to 1/4Vdc and Cx3 is charged to 3/4Vdc Five output levels are achieved from twelve distinct switching combinations. The list of switching combinations is shown in Table I. It should be noted that the voltage stresses across the switches do not exceed 1/4 of dc link voltage. Another advantage of the proposed converter is the redundancy in switch combination to generate the output levels[4] B.SPWM Scheme for the Proposed Five Level Inverter One of the most popular modulation scheme in industry applications is Sinusoidal PWM (SPWM) This modulation scheme is based on multicarrier PWM strategy. In this section, a new approach is proposed to use the multicarrier SPWM strategy to generate multilevel output voltage while regulating the voltage of flying capacitors[5] This approach employs the deviation of the capacitor voltages from their nominal values and based on the converter output current select the best switching state from the available redundant switching states to charge or discharge the capacitors and finally regulate the voltages of capacitors. In this strategy, four level shifted triangular carriers with in phase disposition (IPD) method are employed, where all carriers are in phase and have the same magnitude as shown in Fig.2(a) Fig. 2. Level shifted multicarrier strategy for a five level inverter. (a) Level shifted multicarrier modulation for a 5L FC NNPC topology. (b) Desired output levels. Fig. 2(b) shows the desired output levels which is the result of comparing carriers and modulation signal. Based on the desired level at the output, the corresponding switching state can be selected from Table I and then applied to the power switches. If there is no control over the currents that flow into/out from the flying capacitors to charge/discharge the capacitors, therefore the voltage of the flying capacitors may deviate from their desired values. The voltage deviation of the flying capacitors can be expressed as: 1,2,3 (1) where VCxi are the capacitor voltages, Vcxi, ref are the nominal values which V cxi, ref Vdc 4 for i =1,2 and Vcx3, ref 3Vdc 4. To achieve capacitor voltage balancing, the deviation DVCxi should be close to zero. INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 10 /Issue 1 JUN 2018 IJPRES TABLE I Switching States Of The Proposed Five Level Converter And Contribution Of The Ac Side Currents To The Flying Capacitor Voltages As can be seen from the Table I, there are enough redundancy at level 1, 2 and 3 to charge and discharge the flying capacitors[8] Level 1 is a good candidate to control voltages of capacitor Cx3 and Cx2 while Level 3 is a good candidate to control voltage of capacitors Cx3 and Cx1. Table II shows that which switching state should be chosen in different conditions to control voltage of flying capacitors. For example, if the level is 2 and the deviation of capacitor Cx1 is more than other capacitors |DVCX1| |DVCX3| |DVCX1| |DVCX2| it means that the main priority should be given to charge or discharge capacitor Cx1. Assume that ix> 0, if DVcx1 0, therefore the capacitor Cx1 should be discharged and thus the State C1 should be selected. According to Table I and II, the procedure bellow should be followed to control the flying capacitor voltages: 1The desired output level should be determined by comparing carriers and modulation signal, as shown in Fig. 2, 2The direction of the phase current and voltages of the flying capacitors should be measured and then the capacitor voltage deviation can be determined based on (1) and finally the appropriate switching state can be selected from Table II and the corresponding gating signals will be applied to the power semiconductors. First, the modulating signal for phase x (x=a,b,c) is compared to carriers (four carriers) and then the desired output levels are determined. If the desired output level (L) is 0 or 4, the corresponding switching state will be State A or E from Table I respectively. Otherwise, the capacitor voltages (VCX1, VCX2 and VCX3) and phase current should be measured and then based on the output level (L) the appropriate switching state should be selected from Table II TABLE II THE PROPOSED VOLTAGE BALANCING METHOD FOR EACH PHASE OF THE FIVELEVEL INVERTER The flowchart shown in Fig.3 illustrates the procedure to control voltage of flying capacitors in each phase. Fig.3. The procedure to control of flying capacitor voltages. INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 10 /Issue 1 JUN 2018 IJPRES The parameters of the system are shown in Table III. The simulation also demonstrates the effectiveness of the developed SPWM to generate output voltages and to regulate and balance the voltage of flying capacitors. TABLE III: PARAMETERS OF THE STUDY SYSTEM (SIMULATION) This procedure can be applied to each leg separately to control its flying capacitor voltages, the only difference is that modulating signals should have 120o phase shi", "author_names": [ "T Divya Bharathi", "Mahamood Khan" ], "corpus_id": 195728761, "doc_id": "195728761", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "A NOVEL FIVE LEVEL VOLTAGE SOURCE INVERTER WITH SINUSOIDAL PULSE WIDTH MODULATOR FOR MEDIUM VOLTAGE APPLICATIONS BY USING ANN CONTROLLER", "venue": "", "year": 2018 }, { "abstract": "AC power amplifiers are e.g. used to emulate the power grid for testing three phase power electronics mains interfaces of renewable energy systems or measuring the inner impedance of power distribution grids. Typically, the output stages of the test systems are realized as analog amplifiers and thus achieve very high dynamics and a very high signal quality, but also have significant drawbacks, especially high losses and/or poor efficiencies, which leads to a large cooling volume and weight that dominates the full system power density. In addition, analog amplifiers cannot easily handle bidirectional power flow, i.e. power fed back from active loads such as renewable energy inverter systems is dissipated internally. Furthermore, future power distribution systems, e.g. of More Electric Aircraft, will have fundamental frequencies exceeding 1 kHz, thus ultra high bandwidth 100 kHz) power amplifiers with multiple kW output power are required to emulate harmonic distortions and variations of voltage and/or frequency in such power grids. Currently available analog power amplifiers reach bandwidths of up to 30 kHz, which is too low for all desired applications. Switched mode, i.e. class D power amplifiers achieve a high efficiency, however, bandwidths of no more than 5 kHz are reached today due to the high required switching frequency (around a factor of 50 higher than the output bandwidth) However, as shown in this paper, novel wide bandgap semiconductor technology and suitable circuit techniques such as series interleaving (multi level converter topologies) and parallel interleaving render a switched mode realization with an effective switching frequency of 4.8 MHz and/or 100 kHz large signal bandwidth possible, while still keeping the switching losses at a moderate level. An analysis of designs with different numbers of voltage levels and interleaved branches is performed and shows that with a triple interleaved three level flying capacitor converter, where each switching cell operates at 800 kHz, the targeted effective switching frequency is reached and an efficiency above 95 is feasible for both directions of power flow. Furthermore, a virtual prototype of the selected design is presented, showing that thanks to the high effective switching frequency, an extremely power dense overall realization is possible (50 kW/dm3) Finally, simulations of the control behavior verify excellent control dynamics of the presented concept.", "author_names": [ "Pascal S Niklaus", "Jon Azurza Anderson", "Dominik Bortis", "Johann Walter Kolar" ], "corpus_id": 207987356, "doc_id": "207987356", "n_citations": 6, "n_key_citations": 0, "score": 0, "title": "Ultra High Bandwidth GaN Based Class D Power Amplifier for Testing of Three Phase Mains Interfaces of Renewable Energy Systems", "venue": "2019 8th International Conference on Renewable Energy Research and Applications (ICRERA)", "year": 2019 } ]
Study of the effect of the stress on CdTe nuclear detectors
[ { "abstract": "CdTe detectors are commonly used for X and g ray applications. The performance of these detectors is strongly affected by different types of mechanical stress; such as that caused by differential expansion between the semiconductor and its intimate metallic contacts and that caused by applied pressure during the bonding process. The aim of this work was to study the effects of stress on the performance of CdTe detectors. A difference in expansion coefficients induces transverse stress under the metallic contact, while contact pressure induces longitudinal stress. These stresses have been simulated by applying known static pressures. For the longitudinal case, the pressure was applied directly to the metallic contact; while in the transverse case, it was applied to the side. We have studied the effect of longitudinal and transverse stresses on the electrical characteristics including leakage current measurements and g ray detection performance. We have also investigated induced defects, their nature, activation energies, cross sections, and concentrations under the applied stress by using photo induced current transient spectroscopy and thermoelectric effect spectroscopy techniques. The operational stress limit is also given.", "author_names": [ "M Ayoub", "M Hage-ali", "Ian Radley", "John T Mullins" ], "corpus_id": 122275242, "doc_id": "122275242", "n_citations": 1, "n_key_citations": 0, "score": 1, "title": "Study of the effect of the stress on CdTe nuclear detectors", "venue": "", "year": 2013 }, { "abstract": "Objective: Pentoxifylline has previously been shown to increase haemoglobin levels in patients with chronic kidney disease (CKD) and erythropoietin stimulating agent (ESA) hyporesponsive anaemia in the HERO multi centre double blind, randomized controlled trial. The present study evaluated the effects of pentoxifylline on oxidative stress in ESA hyporesponsive CKD patients. Methods: This sub study of the HERO trial compared 15 patients in the pentoxifylline arm (400 mg daily) and 17 in the matched placebo arm on oxidative stress markers: plasma total F2 isoprostanes, protein carbonyls, glutathione peroxidase (GPX) and superoxide dismutase (SOD) activities. Results: Pentoxifylline did not significantly alter total F2 isoprostanes (adjusted mean difference (MD) 35.01 pg/ml, P 0.11) SOD activity (MD 0.82 U/ml, P 0.07) GPX activity (MD 6.06 U/l, P 0.09) or protein carbonyls (MD 0.04 nmol/mg, P 0.52) Replicating results from the main study, pentoxifylline significantly increased haemoglobin concentration compared with controls (MD 7.2 g/l, P 0.04) Conclusions: Pentoxifylline did not alter oxidative stress biomarkers, suggesting that alternative mechanisms may be responsible for the agent's ability to augment haemoglobin levels in CKD patients with ESA hyporesponsive anaemia.", "author_names": [ "Lei Zhang", "Jeff S Coombes", "Elaine M Pascoe", "Sunil V Badve", "Kim Dalziel", "Alan Cass", "Philip Clarke", "Paolo Ferrari", "Stephen P McDonald", "Alicia T Morrish", "Eugenie Pedagogos", "Vlado Perkovic", "Donna Reidlinger", "Anish Scaria", "Rowan G Walker", "Liza A Vergara", "Carmel M Hawley", "David W Johnson", "" ], "corpus_id": 37590815, "doc_id": "37590815", "n_citations": 5, "n_key_citations": 0, "score": 0, "title": "The effect of pentoxifylline on oxidative stress in chronic kidney disease patients with erythropoiesis stimulating agent hyporesponsiveness: Sub study of the HERO trial", "venue": "Redox report communications in free radical research", "year": 2016 }, { "abstract": "Cardiovascular disease (CVD) and mental distress have been suggested to be associated with post traumatic stress disorder (PTSD) but the effect of their combination on PTSD is unknown. We reviewed the synergistic effects of the history of CVD and mental distress on the possibility of PTSD among residents in Fukushima after the Great East Japan Earthquake. This cross sectional study was conducted among 38,392 participants aged 40 74 years in the evacuation area who applied for the Fukushima Health Management Study in Fiscal Year 2011. Relative excess risk due to interaction (RERI) attributable proportion (AP) odds ratio (OR) and 95% confidence interval (CI) were calculated to investigate the combined effect of history of CVD and mental distress on PTSD. We identified 8104 probable cases of PTSD (21.1% History of CVD, mental distress, and their combination were positively associated with probable PTSD: the multivariable ORs (95% CIs) were 1.44 (1.04, 2.01) 20.08 (18.14, 22.22) and 26.60 (23.07, 30.67) respectively. There was a significant increase in RERI: the corresponding RERI (95% CI) and AP were 6.08 (3.16, 9.00) and 22.9% Gender specific analyses showed similar associations. Thus, we found a supra additive association of history of CVD and mental distress with probable PTSD after the disaster.", "author_names": [ "Kazuhide Tezuka", "Tetsuya Ohira", "Hirooki Yabe", "Seiji Yasumura", "Mayumi Harigane", "Masahiko Kiyama" ], "corpus_id": 238740872, "doc_id": "238740872", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Synergistic Effect of History of Cardiovascular Disease and Mental Distress on Post Traumatic Stress Disorder after the Great East Japan Earthquake: The Fukushima Health Management Survey", "venue": "International journal of environmental research and public health", "year": 2021 }, { "abstract": "Allergic rhinitis (AR) a common chronic disease, impairs patients' quality of life (QoL) This study aimed to determine the effect of perceived stress on disease related QoL in AR patients. There were 741 patients from eight medical centers of the Allergic Rhinitis Cohort (ARCO) study. Data on sociodemographics, chronic conditions, AR severity, perceived stress level and Rhinoconjunctivitis QoL Questionnaire (RQLQ) results, and laboratory test findings were collected. The relationship between perceived stress and total RQLQ was analyzed using multiple linear regression. Potential confounding variables were adjusted. A high perceived stress level was associated with a high total RQLQ, which reflected worsening disease related QoL. The high stress level was associated with an increased total RQLQ of 1.210 (95% confidence interval, 0.831 1.589; p 0.0001) compared with the very low level. In the final model, the multiple regression adjusted R2 for RQLQ in AR participants was 0.5279, and perceived stress levels contributed 4.08% in additional explanatory power to RQLQ in AR patients. In conclusion, perceived stress is a potentially modifiable risk factor for decreased disease related QoL in patients with AR, which may be improved with stress management.", "author_names": [ "Il Gyu Kong", "Chae-Seo Rhee", "Hyo Jin Yim" ], "corpus_id": 237324417, "doc_id": "237324417", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Association between Perceived Stress and Rhinitis Related Quality of Life: A Multicenter, Cross Sectional Study", "venue": "Journal of clinical medicine", "year": 2021 }, { "abstract": "Globally the COVID 19 pandemic outbreak has triggered an economic downturn and a rise in unemployment. As a result, global communities have had to face physical, health, psychological and socio economical related stressors. The purpose of this study was to assess and report the impact of isolation and effect of coronavirus on selected psychological correlates associated with emotions. Following ethical approval, a mixed methods observational study was conducted using the validated COVISTRESS questionnaire. Two observational study scenarios were evaluated namely \"Prior\" to the COVID 19 outbreak and \"Currently\" i.e. during the COVID 19 pandemic. 10,121 participants from 67 countries completed the COVISTRESS questionnaire. From the questionnaire responses only questions that covered the participant's occupation; sociodemographic details, isolation and impact of coronavirus were selected. Further analyses were performed on output measures that included leisure time, physical activity, sedentary time and emotions. All output measures were evaluated using the Visual Analogue Scale (VAS) with an intensity ranging from 0 100. Descriptive statistics, Wilcoxon signed rank test and Spearman correlational analysis were applied to the leisure time, physical activity, sedentary time and emotional feeling datasets; p 0.05 was set as the significance level. Both males and females displayed similar output measures. The Wilcoxon signed rank test showed significant differences with respect to \"Prior\" COVID 19 and \"Currently\" for sedentary activity (Z 40.462, p 0.001) physical activity (Z 30.751, p 0.001) and all other emotional feeling output measures. A moderate correlation between \"Prior\" COVID 19 and \"Currently\" was observed among the Males (r 0.720) in comparison to the Females (r 0.639) for sedentary activity while weaker correlations (r 0.253) were observed for physical activity and emotional feeling measurements, respectively. Our study reported incremental differences in the physical and psychological output measures reported, i.e. \"Prior\" COVID 19 and \"Currently\" \"Prior\" COVID 19 and \"Currently\" participants increased their sedentary habits by 2.98% and the level of physical activity reduced by 2.42% depression levels increased by 21.62% anxiety levels increased by 16.71% and stress levels increased by 21.8% There were no correlations (r) between leisure, physical activity and sedentary action (i.e. \"Prior\" 0.071; \"Currently\" 0.097) no correlations (r) between leisure physical activity and emotion (i.e. 0.071 r 0.081) for \"Prior\" and poor correlations (r) between leisure, physical activity and sedentary action (i.e. 0.078 r 0.167) for \"Current\" The correlations (r) between sedentary action and emotion for \"Prior\" and \"Currently\" were 0.100 r 0.075) and 0.040 r 0.041) respectively. The findings presented here indicate that the COVISTRESS project has created awareness in relation to the physical and psychological impact resulting from the COVID 19 pandemic. The findings have also highlighted individual distress caused by COVID 19 and associated health consequences for the global community.", "author_names": [ "Ukadike Chris Ugbolue", "Martine Duclos", "Constanta Urzeala", "Mickael Berthon", "Keri S Kulik", "Aura Bota", "David Thivel", "Reza Bagheri", "Yaodong Gu", "Julien Steven Baker", "Nicolas Andant", "Bruno Pereira", "Karine Rouffiac", "Maelys Clinchamps", "Frederic Dutheil", "" ], "corpus_id": 224823875, "doc_id": "224823875", "n_citations": 9, "n_key_citations": 1, "score": 0, "title": "An Assessment of the Novel COVISTRESS Questionnaire: COVID 19 Impact on Physical Activity, Sedentary Action and Psychological Emotion", "venue": "Journal of clinical medicine", "year": 2020 }, { "abstract": "One of the uncertainties in the interpretation of ultrahigh energy cosmic ray data comes from the hadronic interaction models used for air shower Monte Carlo (MC) simulations. The number of muons observed at the ground from ultrahigh energy cosmic ray induced air showers is expected to depend upon the hadronic interaction model. One may therefore test the hadronic interaction models by comparing the measured number of muons with the MC prediction. In this paper, we present the results of studies of muon densities in ultrahigh energy extensive air showers obtained by analyzing the signal of surface detector stations which should have high muon purity. The muon purity of a station will depend on both the inclination of the shower and the relative position of the station. In seven years' data from the Telescope Array experiment, we find that the number of particles observed for signals with an expected muon purity of ~65% at a lateral distance of 2000 m from the shower core is 1.72+ 0.10(stat) 0.37(syst) times larger than the MC prediction value using the QGSJET II 03 model for proton induced showers. A similar effect is also seen in comparisons with other hadronic models such as QGSJET II 04, which shows a 1.67+ 0.10+ 0.36 excess. We also studied the dependence of these excesses on lateral distances and found a slower decrease of the lateral distribution of muons in the data as compared to the MC, causing larger discrepancy at larger lateral distances.", "author_names": [ "Telescope Array Collaboration R U Abbasi", "Masashi Abe", "T Abu-Zayyad", "Monica Allen", "R Azuma", "Elliott Barcikowski", "J W Belz", "D R Bergman", "Stephen Blake", "Robert Cady", "Byung Gu Cheon", "Junsei Chiba", "Michiyuki Chikawa", "A di Matteo", "T Fujii", "Kazuhisa Fujita", "M Asaki Fukushima", "G Furlich", "T Goto", "W Hanlon", "Motoki Hayashi", "Yoshitsugu Hayashi", "N Hayashida", "K Hibino", "Ken Honda", "D Ikeda", "Nobutaka Inoue", "T Ishii", "R Ishimori", "H Ito", "D Ivanov", "Hyun Mook Jeong", "S M Jeong", "Charles C H Jui", "K Kadota", "Fumio Kakimoto", "Oleg E Kalashev", "Kota Kasahara", "Hisashi Kawai", "S Kawakami", "Shingo Kawana", "K Kawata", "E Kido", "H B Kim", "Joon Hyun Kim", "Shunsuke Kishigami", "S Kitamura", "Yoshiyuki Kitamura", "Valentin Kuzmin", "M Kuznetsov", "Y -J Kwon", "Kuan Huang Lee", "Bayarto Lubsandorzhiev", "J P Lundquist", "Koji Machida", "Kalen Martens", "T Matsuyama", "J N Matthews", "Rosa Mayta", "Mayuko Minamino", "K Mukai", "Isaac Myers", "Kazuya Nagasawa", "Shigehiro Nagataki", "R Nakamura", "Toru M Nakamura", "T Nonaka", "Hiroshi Oda", "Shoichi Ogio", "J Ogura", "Munehiro Ohnishi", "Hideyuki Ohoka", "Takeshi Okuda", "Yasuhisa Omura", "Masaomi Ono", "Ryota Onogi", "Akitoshi Oshima", "Shunsuke Ozawa", "Il Han Park", "M S Pshirkov", "J Remington", "Diego Rodriguez", "G I Rubtsov", "D Ryu", "Hiroyuki Sagawa", "Ryosuke Sahara", "K Saito", "Y Saito", "Nobuhiro Sakaki", "Nobuyuki Sakurai", "Lauren M Scott", "Tetsuo Seki", "Koichi Sekino", "P D Shah", "Fumiya Shibata", "Tatsuo Shibata", "Hideaki Shimodaira", "Bok Kyun Shin", "H S Shin", "J Torquil Smith", "P Sokolsky", "Bradford T Stokes", "Sean R Stratton", "Thomas Stroman", "Takumi Suzawa", "Yukinori Takagi", "Y H Takahashi", "Mitsuko Takamura", "Masahiro Takeda", "Ryuji Takeishi", "Akimichi Taketa", "Masatoshi Takita", "Y Tameda", "H Tanaka", "K H Tanaka", "M Tanaka", "S B Thomas", "Gordon Bennett Thomson", "P Tinyakov", "Igor Tkachev", "Hisao Tokuno", "Takayuki Tomida", "S V Troitsky", "Yoshiki Tsunesada", "Katsuya Tsutsumi", "Yukio Uchihori", "Shigeharu Udo", "Federico R Urban", "T Wong", "M Yamamoto", "R Yamane", "Hiroshi Yamaoka", "Kanako Yamazaki", "Jinghui Yang", "Kurato Yashiro", "Yuichi Yoneda", "Shigeru Yoshida", "Hisashi Yoshii", "Ya V Zhezher", "Z Zundel High Energy Astrophysics Institute", "Department of Engineering Physics", "", "University of Utah", "Salt lake City", "The Barcelona Institute of Science", "Saitama University", "Graduate School of Science", "Tokyo Institute of Technology", "Hanyang University", "Tokyo University of Science", "Kindai University", "Higashi Osaka", "Service de Physique Th'eorique", "Universit'e libre de Bruxelles", "Inst for Nucl Research", "University of Tokyo", "Osaka City University", "Kavli Institute for the Physics", "Mathematics of the Universe", "Todai Institutes for Advanced Study", "Information Science", "Shinshu University", "Faculty of Electrical Engineering", "Kanagawa University", "Interdisciplinary Graduate School of Medicine", "University of Yamanashi", "Astrophysical Big Bang Laboratory", "Sun Yat-sen University", "Tokyo City University", "Institute for Nuclear Research of the Russian Academy of Sciences", "Advanced Research Institute for Science", "Waseda University", "Chiba University", "School of Physical Sciences", "Ulsan National Institute of Science", "Yonsei University", "A Misaki Graduate School of Science", "Technology Institute of Engineering", "Faculty of Computer Science", "Kochi University", "Department of Astrophysical Sciences", "Ritsumeikan University", "Sternberg Astronomical Institute", "Moscow state University", "Rutgers University - The State University of New Jersey", "New Jersey", "Earthquake Research Institute", "Department of Materials Science", "Osaka Electro-Communication University", "Graduate School of Sciences", "Hiroshima City University", "Institute of Particle", "Nuclear Studies", "National Institute for Materials Science", "Institute of Metal Physics", "Czech Academy of Sciences", "Czech Republic", "Institute for Fundamental Physics of the Universe", "Ewha Womans University", "Ehime University" ], "corpus_id": 55297545, "doc_id": "55297545", "n_citations": 32, "n_key_citations": 1, "score": 0, "title": "Study of muons from ultrahigh energy cosmic ray air showers measured with the Telescope Array experiment", "venue": "", "year": 2018 }, { "abstract": "Elevated cerebrospinal fluid (CSF) levels of markers of oxidative stress, neuronal injury, and inflammation and decreased neurotransmitter levels have been reported in HIV associated neurocognitive disorders (HAND) Minocycline may have a neuroprotective effect by inhibiting inducible nitric oxide synthase, which produces nitric oxide, a compound that induces oxygen free radical production. In A5235, \"Phase II, Randomized, Placebo Controlled, Double Blind Study of Minocycline in the Treatment of HIV Associated Cognitive Impairment,\" minocycline was not associated with cognitive improvement, but the effect on the above CSF measures was not examined previously. The objective of this study was to examine the effect of minocycline on markers of oxidative stress, neuronal injury, neurotransmitter levels, and inflammation from CSF in participants in A5235. One hundred seven HIV+ individuals received either minocycline 100 mg or placebo orally every 12 h for 24 weeks. Twenty one HIV+ individuals received the optional lumbar punctures. Lipid and protein markers of oxidative stress (e.g. ceramides and protein carbonyls) glutamate, neurotransmitter precursors, kynurenine metabolites, neurofilament heavy chain, and inflammatory cytokines were measured in the CSF before and after treatment. The 24 week change in ceramides was larger in a beneficial direction in the minocycline group compared to the placebo group. The two groups did not differ in the 24 week changes for other markers.These results suggest that minocycline may decrease lipid markers of oxidative stress (ceramides) in individuals with HAND; however, an effect of minocycline on other CSF markers was not observed. A larger sample size is needed to further validate these results.", "author_names": [ "Ned Sacktor", "Sachiko Miyahara", "Scott R Evans", "Giovanni Schifitto", "Bruce A Cohen", "Norman J Haughey", "Julia L Drewes", "David R Graham", "Mary Christine Zink", "Caroline Anderson", "Avindra Nath", "Carlos A Pardo", "Sean McCarthy", "Lara Hosey", "David B Clifford", "on behalf of the Actg A5235 team" ], "corpus_id": 15183307, "doc_id": "15183307", "n_citations": 24, "n_key_citations": 0, "score": 0, "title": "Impact of minocycline on cerebrospinal fluid markers of oxidative stress, neuronal injury, and inflammation in HIV seropositive individuals with cognitive impairment", "venue": "Journal of NeuroVirology", "year": 2014 }, { "abstract": "PurposeThis is the second of a series of surveys designed to assess myocardial perfusion scintigraphy (MPS) practice in Europe.MethodsData were collected from 258 centres in 18 countries. The number of MPS studies per million population (pmp) was estimated assuming that the nonresponding centres performed either no studies (lower estimate) or the same number as the responding centres (upper estimate).ResultsThe responding centres served 24% of the population of their countries. The total number of noncardiac nuclear medicine studies was between 2,160 and 8,000 studies pmp. The total number of MPS studies was between 529 and 2,293 pmp. The median number of MPS studies per centre was 571 per year with 57% performing fewer than 500 studies per year and 23% of centres performing fewer than 250 studies per year. There was significant variation between countries, with higher numbers of MPS studies (lower limit of estimate above the mid range of all countries combined) in Austria, Denmark, Hungary, Portugal and Slovenia, and lower numbers (upper limit of estimate below the mid range of all countries) in Finland, Norway, Spain and Switzerland. The ratio of MPS to coronary angiography to revascularization procedures was 0.9 to 2.2 to 1. Pharmacological stress was used in 57% and technetium 99m labelled tracers in 88% of studies. ECG gating was performed in 74% of studies and attenuation correction in 22%.ConclusionMPS utilization in Europe remains low compared with coronary angiography although there has been a 21% increase in the number of studies pmp in centres that reported in both 2005 and 2007. Pharmacological agents continue to be the predominant form of stress. Despite the widespread use of technetium 99m labelled tracers, ECG gating is not universally performed. As in the 2005 survey, imaging aids such as attenuation and motion correction and prone imaging are not commonly used.", "author_names": [ "Eliana M Reyes", "Susanna Wiener", "Stephen Richard Underwood", "on behalf of the European Council of Nuclear Cardiology" ], "corpus_id": 7287622, "doc_id": "7287622", "n_citations": 31, "n_key_citations": 2, "score": 0, "title": "Myocardial perfusion scintigraphy in Europe 2007: a survey of the European Council of Nuclear Cardiology", "venue": "European Journal of Nuclear Medicine and Molecular Imaging", "year": 2011 }, { "abstract": "PurposeClinical applicability of the appropriate use criteria for SPECT myocardial perfusion imaging has not yet been evaluated in Italy. We investigated the applicability of the Appropriate Use Criteria (AUC) in Italy.MethodsThe indications for testing were prospectively recorded in three different nuclear cardiology laboratories: a general hospital, an academic hospital, and a tertiary centre. Indications were categorized as appropriate, uncertain or inappropriate according to the 2009 AUC; the specialty of the ordering physician was also noted. SPECT results were classified as: normal, probably normal, uncertain, probably abnormal, abnormal. The presence and severity of ischaemia were also noted.ResultsOver a 9 month period, 2,134 patients (age 67 10 years, 68 men) were evaluated (62 exercise stress test) On average, there were 700 (84 appropriate, 73 (7 inappropriate and 93 (9 uncertain tests. The rates for the appropriateness of indications were comparable in men and women (84 and 83 not significant) As expected, the rate of nonnormal studies was higher (58 for appropriate than for inappropriate (33 indications. Appropriateness was lower in the tertiary centre (74 and uncertain (16 and inappropriate (10 indications were more common; this was related to the higher rate of outpatients scheduled by nonhospital cardiologists (37 The most common indications associated with inappropriate testing were: chest pain, low likelihood of coronary artery disease, interpretable ECG and able to exercise (29 and asymptomatic <2 years after percutaneous coronary intervention (24 .ConclusionIn this preliminary evaluation of the AUC in Italy, the majority of studies were classified as appropriate, but a consistent proportion of scheduled SPECT studies, particularly by nonhospital cardiologists, were still categorized as uncertain or inappropriate. Educational approaches should be implemented to reduce the rate of less appropriate examinations. However, a substantial proportion (33 of inappropriate studies were classified as nonnormal, with 26 of these patients having ischaemia.", "author_names": [ "Giuseppe Medolago", "C Claudio Marcassa", "Ahmed Alkraisheh", "Riccardo Campini", "Adrian Ghilardi", "Raffaele Giubbini", "on behalf of the European Council of Nuclear Cardiology" ], "corpus_id": 8550472, "doc_id": "8550472", "n_citations": 14, "n_key_citations": 1, "score": 0, "title": "Applicability of the appropriate use criteria for SPECT myocardial perfusion imaging in Italy: preliminary results", "venue": "European Journal of Nuclear Medicine and Molecular Imaging", "year": 2014 }, { "abstract": "The burden of cardiovascular diseases (CVDs) in the world is ever growing. They represent the first cause of death worldwide and in Latin America. Nuclear cardiology has a well established role in the management of patient with CVDs and is being increasingly integrated into the healthcare systems in the region. However, there remains variability as to the infrastructure available across the countries, in terms of existing technology, radiopharmaceuticals, and human resources. The approximate number of gamma (g) cameras in the region is 1348, with an average of 2.25 per million population; Argentina and Brazil having the largest number. Nearly 80% of the existing cameras are single photon emission tomography (SPECT) of which 8% are hybrid SPECT CT systems. Positron emission tomography technology is steadily increasing, and currently, there is an average of 0.25 scanners per million inhabitants, indicating that there is a potential to expand the capacities in order to cover the needs. Four countries have nuclear reactors for research purposes, which allow the production of technetium 99 m (Argentina, Chile, Mexico and Peru) while four (Argentina, Brazil, Cuba, and Mexico) assemble 99Mo 99mTc generators. As for the nuclear cardiology studies, about 80% of studies performed are gated SPECT myocardial perfusion imaging; less than 10% are multi gated acquisition (mainly for evaluation of cardiac toxicity in cancer patients) and the other 10% correspond to other types of studies, such as viability detection, and adrenergic innervation studies with 123I MIBG. Physical stress is preferred, when possible, based on the clinical condition of the patient. Regarding human resources, there is an average of 1.1 physicians and 1.3 technologists per g camera, with 0.1 medical physicists and 0.1 radiopharmacists per center in the region. The future of nuclear cardiology in Latin America and the Caribbean is encouraging, with great potential and possibilities for growth. National, regional, and international cooperation including support from scientific societies and organizations such as International Atomic Energy Agency, American Society of Nuclear Cardiology, and Latin American Association of Biology and Nuclear Medicine Societies, as well as governmental commitment are key factors for the development of the specialty. A multimodality approach in cardiac imaging will contribute to a better management of patients with CVDs.", "author_names": [ "Diana Paez", "Amalia Peix", "Pilar Orellana", "Joao Vicente Vitola", "Fernando Mut", "C Gutierrez", "Crosby Plaza", "Tarik Becic", "Maurizio Dondi", "Enrique Estrada", "on behalf of the pertussis Eqa participants" ], "corpus_id": 3363096, "doc_id": "3363096", "n_citations": 9, "n_key_citations": 1, "score": 0, "title": "Current status of nuclear cardiology practice in Latin America and the Caribbean", "venue": "Journal of Nuclear Cardiology", "year": 2016 } ]
Electrostatic separation for recycling conductors, semiconductors, and nonconductors from electronic waste
[ { "abstract": "Electrostatic separation has been widely used to separate conductors and nonconductors for recycling e waste. However, the components of e waste are complex, which can be classified as conductors, semiconductors, and nonconductors according to their conducting properties. In this work, we made a novel attempt to recover the mixtures containing conductors (copper) semiconductors (extrinsic silicon) and nonconductors (woven glass reinforced resin) by electrostatic separation. The results of binary mixtures separation show that the separation of conductor and nonconductor, semiconductor and nonconductor need a higher voltage level while the separation of conductor and semiconductor needs a higher roll speed. Furthermore, the semiconductor separation efficiency is more sensitive to the high voltage level and the roll speed than the conductor separation efficiency. An integrated process was proposed for the multiple mixtures separation. The separation efficiency of conductors and semiconductors can reach 82.5% and 88% respectively. This study contributes to the efficient recycling of valuable resources from e waste.", "author_names": [ "Mianqiang Xue", "Guoqing Yan", "Jia Li", "Zhenming Xu" ], "corpus_id": 41143926, "doc_id": "41143926", "n_citations": 26, "n_key_citations": 0, "score": 1, "title": "Electrostatic separation for recycling conductors, semiconductors, and nonconductors from electronic waste.", "venue": "Environmental science technology", "year": 2012 }, { "abstract": "Abstract The wealth of the society depends on several metals, including base metals, precious metals and increasingly rare earth elements (REE) They are collectively termed as technology metals. Numerous applications stimulated the use of technology metals, and their supply is at stake, owing to the high demand and uneven geographical distribution of these metals. Their stable supply is crucial for the transition to a sustainable and circular economy. There is an increasing interest in secondary sources of these metals. This article outlines the global state of electronic waste, its management and the latest technological developments in metal recovery from various streams of electronic waste. An emphasis is given to printed circuit boards (PCB) hard disc drives (HDD) and displays regarding their critical metal content. Physical, pyrometallurgical and (bio)hydrometallurgical metal recovery technologies are overviewed. In addition, perspectives on electronic waste as a secondary source of critical metals are given.", "author_names": [ "Arda Isildar", "Eldon R Rene", "Eric D van Hullebusch", "Piet N L Lens" ], "corpus_id": 115791782, "doc_id": "115791782", "n_citations": 118, "n_key_citations": 4, "score": 0, "title": "Electronic waste as a secondary source of critical metals: Management and recovery technologies", "venue": "", "year": 2017 }, { "abstract": "E Waste describes electrical and electronic products nearing their end of life. In this technical world Usage of electrical and electronic product has been increased and its safe disposal and recycling are also very important for the society to avoid environmental pollution and to increase the economic growth of country. E waste contains both hazardous and valuable metals such as lead, Polychlorinated biphenyls, copper, nickel and gold, silver, palladium etc. Recovery of noble metal from E waste (PCB) board can be done in different ways.", "author_names": [ "N Gomathi", "I Sridevi" ], "corpus_id": 56188333, "doc_id": "56188333", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Recovery of noble metal from E waste using leaching, electro deposition and electro generative process", "venue": "", "year": 2015 }, { "abstract": "Triboelectrostatic separation is a promising method used to separate non conductive minerals. However, the knowledge about the underlying triboelectrification mechanisms is still very limited. Thus, predicting the separation results and finding proper separation parameters are challenging tasks.This article presents a comprehensive summary of phenomena and factors which play a decisive role in the charging behavior of non conductors and, by implication, the efficiency of the separation process, such as water and adsorbents layers on the surface, surface roughness, humidity, type of contact, etc. The authors hope that this article opens a way for a systematic approach through basic experiments dedicated to a better understanding of triboelectrification processes.ZusammenfassungDie Elektroscheidung nach Triboaufladung stellt eine vielversprechende Methode zur Trennung nicht leitfahiger Mineralphasen dar. Das begrenzte Wissen uber die zugrundeliegenden Mechanismen der Triboaufladung macht die Vorhersage von uber einzustellende Prozessparameter zu erzielenden Trennergebnissen zu einer herausfordernden Aufgabe.Diese Veroffentlichung gibt einen umfassenden Uberblick uber Phanomene und Faktoren, die eine entscheidende Rolle beim Aufladeverhalten von Nichtleitern spielen oder spielen konnen und die damit Einfluss auf die Effizienz der Trennung nehmen. Solche Faktoren konnen sein: Wasser und Adsorbatschichten, Oberflachenrauigkeit, Luftfeuchtigkeit, Kontaktart, usw. Die Autoren hoffen, dass dieser Artikel Wege fur einen systematischen Ansatz durch grundlegende Experimente aufzeigt und damit zu einem besseren Verstandnis der bei der Triboaufladung wirkenden Faktoren beitragt.", "author_names": [ "Monika Mirkowska", "Markus Kratzer", "Christian Teichert", "Helmut Flachberger" ], "corpus_id": 113749774, "doc_id": "113749774", "n_citations": 9, "n_key_citations": 0, "score": 0, "title": "Principal Factors of Contact Charging of Minerals for a Successful Triboelectrostatic Separation Process a Review", "venue": "BHM Berg und Huttenmannische Monatshefte", "year": 2016 }, { "abstract": "With advances in information and telecommunication technologies and data enabled decision making, smart manufacturing can be an essential component of sustainable development. In the era of the smart world, semiconductor industry is one of the few global industries that are in a growth mode to smartness, due to worldwide demand. The important opportunities that can boost the cost reduction of productivity and improve quality in wafer fabrication are based on the simulations of actual environment in Cyber Physical Space and integrate them with decentralized decision making systems. However, this integration faced the industry with novel unique challenges. The stream of the data from sensors, robots, and Cyber Physical Space can aid to make the manufacturing smart. Therefore, it would be an increased need for modeling, optimization, and simulation for the value delivery from manufacturing data. This paper aims to review the success story of smart manufacturing in semiconductor industry with the focus on data enabled decision making and optimization applications based on operations research and data science perspective. In addition, we will discuss future research directions and new challenges for this industry.", "author_names": [ "Marzieh Khakifirooz", "Mahdi Fathi", "Kan Wu" ], "corpus_id": 201066560, "doc_id": "201066560", "n_citations": 8, "n_key_citations": 0, "score": 0, "title": "Development of Smart Semiconductor Manufacturing: Operations Research and Data Science Perspectives", "venue": "IEEE Access", "year": 2019 }, { "abstract": "Electronic waste is a mixture of end of life electronic products collected from various sources. These wastes are highly complex in composition, phase, and physiochemical properties. The metals which are embedded in the electronic waste can be recovered in order to reduce the risk in potential supply of strategic raw materials and environmental concerns. Some of the state of art technologies with the maximum recycling potential to recover gold silver were discussed in this paper. This review paper also deals with some of the methodologies to extract copper zinc. These methods have been selected based on their potential in the secondary supply of metals into the market with reduced operational costs, especially when dealing with solutions of low ion concentrations.", "author_names": [ "Dr N Gomathi" ], "corpus_id": 212451056, "doc_id": "212451056", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "ON EFFECTIVE METHODS FOR NOBLE METALS EXTRACTION FROM E WASTE A REVIEW 1", "venue": "", "year": 2017 }, { "abstract": "Hotelling's T2 charts have already proven their effectiveness in the multivariate control of electrostatic separation process. The aim of this work is to extend the use of these charts from two to three variables, in order to improve the statistical control of an industrial roll type electrostatic separator designed for the recycling industry. In the case of electrostatic separation processes, the use of Hotelling's T2 chart for three variables would allow controlling on a single graph the correlation between the masses accumulated in the three boxes of the product collector. The results of the study carried out on a pilot plant electrostatic separator for metals and insulators from electric cable wastes in Algeria demonstrated the effectiveness of such a chart in detecting out of control situations: accumulation of dust particles on the high voltage electrodes and reduction of the input flow of raw materials.", "author_names": [ "Khouira Senouci", "Karim Medles", "Sara Messal", "Lucian Dascalescu" ], "corpus_id": 13888430, "doc_id": "13888430", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Multivariate Control for Three Variables of an Industrial Roll Type Electrostatic Separator", "venue": "IEEE Transactions on Industry Applications", "year": 2015 }, { "abstract": "Preface. 1 Introduction to the Lanthanides. 2 The Lanthanides Principles and Energetics. 3 The Lanthanide Elements and Simple Binary Compounds. 4 Coordination Chemistry of the Lanthanides. 5 Electronic and Magnetic Properties of the Lanthanides. 6 Organometallic Chemistry of the Lanthanides. 7 The Misfits: Scandium, Yttrium, and Promethium. 8 The Lanthanides and Scandium in Organic Chemistry. 9 Introduction to the Actinides. 10 Binary Compounds of the Actinides. 11 Coordination Chemistry of the Actinides. 12 Electronic and Magnetic Properties of the Actinides. 13 Organometallic Chemistry of the Actinides. 14 Synthesis of the Transactinides and their Chemistry. Bibliography. Index.", "author_names": [ "Simon A Cotton" ], "corpus_id": 92734775, "doc_id": "92734775", "n_citations": 647, "n_key_citations": 33, "score": 0, "title": "Lanthanide and Actinide Chemistry", "venue": "", "year": 1991 }, { "abstract": "Energy is the lifeblood of civilization, but inexpensive, high energy density sources are rapidly being depleted and their exploitation is severely degrading the environment. This paper explores a radical solution to this energy environmental dilemma. In the last 10 15 years, the universality of the second law of thermodynamics has fallen into serious theoretical doubt (1 3) Should it prove experimentally violable, this would open the door to a nearly limitless reservoir of ubiquitous, clean, recyclable energy. If economical, it could precipitate paradigm shifts in energy production, utilization and politics. In this paper, recent challenges to the second law are reviewed, with focus given to one for which laboratory experiments are planned. Possible consequences of its violation for technology, society and the environment are explored.", "author_names": [ "Daniel P Sheehan" ], "corpus_id": 45273479, "doc_id": "45273479", "n_citations": 5, "n_key_citations": 1, "score": 0, "title": "Energy, Entropy and the Environment (How to Increase the First by Decreasing the Second to Save the Third)", "venue": "", "year": 2008 }, { "abstract": "This thesis presents initial designs for the extension of Outotec's HSC SIM 8 simulation platform into the field of mechanical recycling. The designs focus in the implementation of a generalized particle description framework, which allows flexible characterization of recycling particle populations. The generalized particle description framework was developed on the basis of a literature review, and observations of recycling particles in a plant environment. The literature review studied the established particle description framework of mineral processing, as well as the particle description frameworks utilized in the early modeling of mechanical recycling. The plant observations were conducted at the Kuusakoski Heinola Works recycling plant. The principal method of observation was the hand sorting of two output fractions of a shredder, which processes end of life vehicles and mixed scrap. This sorting study was complemented by freeform observations. The generalized framework embodies the common structure of the more specific frameworks that have been utilized in both mineral processing and mechanical recycling. Thus, it allows any of those frameworks, or even entirely new ones, to be utilized. This flexibility makes the development of a mechanical recycling simulation platform sensible, even though a common particle description framework has yet to be established in the field. The initial designs for HSC SIM describe the intended functionality of a set of three user interface elements. The set was designed for the specification of the properties of particle populations in a way that adheres to the new generalized structure. Such functionality sets a foundation for the simulation of mechanical recycling, but this does not yet complete the platform. Thus, it was recommended that the implementation of the designs be followed by three additional development stages: implementation of modeling structures, direct implementation specific properties to the generalized particle description framework, and initiation of the development of a material database.", "author_names": [ "Kari Heiskanen", "Markus A Reuter", "Bosco Martinez", "Tekija Bosco Martinez", "Tyon nimi Yleistetty", "simulointiin Hsc SIMissa", "Laitos Materiaalitekniikka", "Professuuri Mekaaninen" ], "corpus_id": 40422050, "doc_id": "40422050", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "School of Chemical Technology Degree Programme of Materials Science and Engineering Bosco Alejandro Martinez Oksanen A GENERALIZED PARTICLE DESCRIPTION FRAMEWORK FOR THE SIMULATION OF MECHANICAL RECYCLING IN HSC SIM Master's Thesis for the degree of Master of Science in Technology", "venue": "", "year": 2014 } ]
Optical Fiber Communication Systems with MATLAB
[ { "abstract": "Preface. 1 Introduction. 1.1 Historical Perspective. 1.2 Basic Concepts. 1.3 Optical Communication Systems. 1.4 Lightwave System Components. Problems. References. 2 Optical Fibers. 2.1 Geometrical Optics Description. 2.2 Wave Propagation. 2.3 Dispersion in Single Mode Fibers. 2.4 Dispersion Induced Limitations. 2.5 Fiber Losses. 2.6 Nonlinear Optical Effects. 2.7 Fiber Design and Fabrication. Problems. References. 3 Optical Transmitters. 3.1 Semiconductor Laser Physics. 3.2 Single Mode Semiconductor Lasers. 3.3 Laser Characteristics. 3.4 Optical Signal Generation. 3.5 Light Emitting Diodes. 3.6 Transmitter Design. Problems. References. 4 Optical Receivers. 4.1 Basic Concepts. 4.2 Common Photodetectors. 4.3 Receiver Design. 4.4 Receiver Noise. 4.5 Coherent Detection. 4.6 Receiver Sensitivity. 4.7 Sensitivity Degradation. 4.8 Receiver Performance. Problems. References. 5 Lightwave Systems. 5.1 System Architectures. 5.2 Design Guidelines. 5.3 Long Haul Systems. 5.4 Sources of Power Penalty. 5.5 Forward Error Correction. 5.6 Computer Aided Design. Problems. References. 6 Multichannel Systems. 6.1 WDM Lightwave Systems. 6.2 WDM Components. 6.3 System Performance Issues. 6.4 Time Division Multiplexing. 6.5 Subcarrier Multiplexing. 6.6 Code Division Multiplexing. Problems. References. 7 Loss Management. 7.1 Compensation of Fiber Losses. 7.2 Erbium Doped Fiber Amplifiers. 7.3 Raman Amplifiers. 7.4 Optical Signal To Noise Ratio. 7.5 Electrical Signal To Noise Ratio. 7.6 Receiver Sensitivity and Q Factor. 7.7 Role of Dispersive and Nonlinear Effects. 7.8 Periodically Amplified Lightwave Systems. Problems. References. 8 Dispersion Management. 8.1 Dispersion Problem and Its Solution. 8.2 Dispersion Compensating Fibers. 8.3 Fiber Bragg Gratings. 8.4 Dispersion Equalizing Filters. 8.5 Optical Phase Conjugation. 8.6 Channels at High Bit Rates. 8.7 Electronic Dispersion Compensation. Problems. References. 9 Control of Nonlinear Effects. 9.1 Impact of Fiber Nonlinearity. 9.2 Solitons in Optical Fibers. 9.3 Dispersion Managed Solitons. 9.4 Pseudo linear Lightwave Systems. 9.5 Control of Intrachannel Nonlinear Effects. Problems. References. 10 Advanced Lightwave Systems. 10.1 Advanced Modulation Formats. 10.2 Demodulation Schemes. 10.3 Shot Noise and Bit Error Rate. 10.4 Sensitivity Degradation Mechanisms. 10.5 Impact of Nonlinear Effects. 10.6 Recent Progress. 10.7 Ultimate Channel Capacity. Problems. References. 11 Optical Signal Processing. 11.1 Nonlinear Techniques and Devices. 11.2 All Optical Flip Flops. 11.3 Wavelength Converters. 11.4 Ultrafast Optical Switching. 11.5 Optical Regenerators. Problems. References. A System of Units. B Acronyms. C General Formula for Pulse Broadening. D Software Package.", "author_names": [ "Govind P Agrawal" ], "corpus_id": 108425658, "doc_id": "108425658", "n_citations": 3531, "n_key_citations": 391, "score": 0, "title": "Fiber optic communication systems", "venue": "", "year": 1992 }, { "abstract": "Detailing a systems approach, Optical Wireless Communications: System and Channel Modelling with MATLAB, is a self contained volume that concisely and comprehensively covers the theory and technology of optical wireless communications systems (OWC) in a way that is suitable for undergraduate and graduate level students, as well as researchers and professional engineers. Incorporating MATLAB throughout, the authors highlight past and current research activities to illustrate optical sources, transmitters, detectors, receivers, and other devices used in optical wireless communications. They also discuss both indoor and outdoor environments, discussing how different factorsincluding various channel modelsaffect system performance and mitigation techniques. In addition, this book broadly covers crucial aspects of OWC systems: Fundamental principles of OWC Devices and systems Modulation techniques and schemes (including polarization shift keying) Channel models and system performance analysis Emerging visible light communications Terrestrial free space optics communication Use of infrared in indoor OWC One entire chapter explores the emerging field of visible light communications, and others describe techniques for using theoretical analysis and simulation to mitigate channel impact on system performance. Additional topics include wavelet denoising, artificial neural networks, and spatial diversity. Content also covers different challenges encountered in OWC, as well as outlining possible solutions and current research trends. A major attraction of the book is the presentation of MATLAB simulations and codes, which enable readers to execute extensive simulations and better understand OWC in general.", "author_names": [ "Zabih Ghassemlooy", "Wasiu O Popoola", "Sujan Rajbhandari" ], "corpus_id": 64575166, "doc_id": "64575166", "n_citations": 1209, "n_key_citations": 192, "score": 0, "title": "Optical Wireless Communications: System and Channel Modelling with MATLAB(r)", "venue": "", "year": 2012 }, { "abstract": "Optical fibers are used extensively for data transmission systems because of their dielectric nature and their large information carrying capacity. Network architectures using multiple wavelength channels per optical fiber are utilized in local, metropolitan, or wide area applications to connect thousands of users having a wide range of transmission capacities and speeds. A powerful aspect of an optical communication link is that many different wavelengths can be sent along a fiber simultaneously in the 1300 to 1600 nm spectrum. The technology of combining a number of wavelengths onto the same fiber is known as wavelength division multiplexing (WDM) The concept of WDM used in conjunction with optical amplifiers has resulted in communication links that allow rapid communications between users in countries all over the world. Keywords: optical fibers; attenuation; photonic systems; WDM; optical amplifiers; dispersion; nonlinear effects", "author_names": [ "Gerd E Keiser" ], "corpus_id": 108858021, "doc_id": "108858021", "n_citations": 1291, "n_key_citations": 101, "score": 0, "title": "Optical Fiber Communications", "venue": "", "year": 1983 }, { "abstract": "Getting the books optical fiber communication systems with matlab and simulink models second edition now is not type of inspiring means. You could not deserted going in the manner of books deposit or library or borrowing from your associates to admittance them. This is an completely easy means to specifically acquire lead by on line. This online declaration optical fiber communication systems with matlab and simulink models second edition can be one of the options to accompany you next having further time.", "author_names": [ "Le Nguyen Binh" ], "corpus_id": 53812321, "doc_id": "53812321", "n_citations": 24, "n_key_citations": 6, "score": 1, "title": "Optical Fiber Communication Systems with MATLAB(r) and Simulink(r) Models", "venue": "", "year": 2014 }, { "abstract": "We report the fabrication of a new type of optical waveguide: the photonic crystal fiber. It consists of a pure silica core surrounded by a silica air photonic crystal material with a hexagonal symmetry. The fiber supports a single robust low loss guided mode over a very broad spectral range of at least 458 1550 nm.", "author_names": [ "Jonathan C Knight", "Timothy A Birks", "Phillip St J Russell", "D M Atkin" ], "corpus_id": 19320574, "doc_id": "19320574", "n_citations": 2805, "n_key_citations": 57, "score": 0, "title": "All silica single mode optical fiber with photonic crystal cladding.", "venue": "Optics letters", "year": 1996 }, { "abstract": "Preface 1. Differentiation matrices 2. Unbounded grids: the semidiscrete Fourier transform 3. Periodic grids: the DFT and FFT 4. Smoothness and spectral accuracy 5. Polynomial interpolation and clustered grids 6. Chebyshev differentiation matrices 7. Boundary value problems 8. Chebyshev series and the FFT 9. Eigenvalues and pseudospectra 10. Time stepping and stability regions 11. Polar coordinates 12. Integrals and quadrature formulas 13. More about boundary conditions 14. Fourth order problems Afterword Bibliography Index.", "author_names": [ "Lloyd N Trefethen" ], "corpus_id": 118008175, "doc_id": "118008175", "n_citations": 3213, "n_key_citations": 290, "score": 0, "title": "Spectral Methods in MATLAB", "venue": "", "year": 2000 }, { "abstract": "1. Introduction. 2. Fundamentals. 3. Intensity Transformations and Spatial Filtering. 4. Frequency Domain Processing. 5. Image Restoration. 6. Color Image Processing. 7. Wavelets. 8. Image Compression. 9. Morphological Image Processing. 10. Image Segmentation. 11. Representation and Description. 12. Object Recognition.", "author_names": [ "Rafael C Gonzalez", "Richard E Woods", "Steven L Eddins" ], "corpus_id": 44708800, "doc_id": "44708800", "n_citations": 6387, "n_key_citations": 352, "score": 0, "title": "Digital image processing using MATLAB", "venue": "", "year": 2004 }, { "abstract": "(NOTE: Each chapter concludes with Further Reading and Problems. 1. Introduction. Historical Review. Elements of an Electrical Communication System. Communication Channels and Their Characteristics. Mathematical Models for Communication Channels. Organization of the Book. 2. Frequency Domain Analysis of Signals and Systems. Fourier Series. Fourier Transforms. Power and Energy. Sampling of Bandlimited Signals. Bandpass Signals. 3. Analog Signal Transmission and Reception. Introduction to Modulation. Amplitude Modulation (AM) Angle Modulation. Radio and Television Broadcasting. Mobile Radio Stations. 4. Random Processes. Probability and Random Variables. Random Processes: Basic Concepts. Random Processes in the Frequency Domain. Gaussian and White Processes. Bandlimited Processes and Sampling. Bandpass Processes. 5. Effect of Noise on Analog Communication Systems. Effect of Noise on Linear Modulation Systems. Carrier Phase Estimation with a Phase Locked Loop (PLL) Effect of Noise on Angle Modulation. Comparison of Analog Modulation Systems. Effects of Transmission Losses and Noise in Analog Communication Systems. 6. Information Sources and Source Coding. Modeling of Information Sources. Source Coding Theorem. Source Coding Algorithms. Rate Distortion Theory. Quantization. Waveform Coding. Analysis Synthesis Techniques. Digital Audio Transmission and Digital Audio Recording. The JPEG Image Coding Standard. 7. Digital Transmission through the Additive White Gaussian Noise Channel. Geometric Representation of Signal Waveforms. Pulse Amplitude Modulation. Two Dimensional Signal Waveforms. Multidimensional Signal Waveforms. Optimum Receiver for Digitally Modulated Signals in Additive White Gaussian Noise. Probability of Error for Signal Detection in Additive White Gaussian Noise. Performance Analysis for Wireline and Radio Communication Channels. Symbol Synchronization. 8. Digital Transmission through Bandlimited AWGN Channels. Digital Transmission through Bandlimited Channels. The Power Spectrum of Digitally Modulated Signals. Signal Design for Bandlimited Channels. Probability of Error in Detection of Digital PAM. Digitally Modulated Signals with Memory. System Design in the Presence of Channel Distortion. Multicarrier Modulation and OFDM. 9. Channel Capacity and Coding. Modeling of Communication Channels. Channel Capacity. Bounds on Communication. Coding for Reliable Communication. Linear Block Codes. Cyclic Codes. Convolutional Codes. Complex Codes Based on Combination of Simple Codes. Coding for Bandwidth Constrained Channels. Practical Applications of Coding. 10. Wireless Communications. Digital Transmission on Fading Multipath Channels. Continuous Carrier Phase Modulation. Spread Spectrum Communication Systems. Digital Cellular Communication Systems. Appendix A: The Probability of Error for Multichannel Reception of Binary Signals. References. Index.", "author_names": [ "John G Proakis", "Masoud Salehi" ], "corpus_id": 60705845, "doc_id": "60705845", "n_citations": 1066, "n_key_citations": 65, "score": 0, "title": "Communication Systems Engineering", "venue": "", "year": 1994 }, { "abstract": "This best selling, easy to read book offers the most complete discussion on the theories and principles behind today's most advanced communications systems. Throughout, Haykin emphasizes the statistical underpinnings of communication theory in a complete and detailed manner. Readers are guided though topics ranging from pulse modulation and passband digital transmission to random processes and error control coding. The fifth edition has also been revised to include an extensive treatment of digital communications.", "author_names": [ "Simon Haykin" ], "corpus_id": 195929746, "doc_id": "195929746", "n_citations": 1924, "n_key_citations": 114, "score": 0, "title": "Communication Systems", "venue": "", "year": 1978 }, { "abstract": "The drive for higher performance in optical fiber systems has renewed interest in coherent detection. We review detection methods, including noncoherent, differentially coherent, and coherent detection, as well as a hybrid method. We compare modulation methods encoding information in various degrees of freedom (DOF) Polarization multiplexed quadrature amplitude modulation maximizes spectral efficiency and power efficiency, by utilizing all four available DOF, the two field quadratures in the two polarizations. Dual polarization homodyne or heterodyne downconversion are linear processes that can fully recover the received signal field in these four DOF. When downconverted signals are sampled at the Nyquist rate, compensation of transmission impairments can be performed using digital signal processing (DSP) Linear impairments, including chromatic dispersion and polarization mode dispersion, can be compensated quasi exactly using finite impulse response filters. Some nonlinear impairments, such as intra channel four wave mixing and nonlinear phase noise, can be compensated partially. Carrier phase recovery can be performed using feedforward methods, even when phase locked loops may fail due to delay constraints. DSP based compensation enables a receiver to adapt to time varying impairments, and facilitates use of advanced forward error correction codes. We discuss both single and multi carrier system implementations. For a given modulation format, using coherent detection, they offer fundamentally the same spectral efficiency and power efficiency, but may differ in practice, because of different impairments and implementation details. With anticipated advances in analog to digital converters and integrated circuit technology, DSP based coherent receivers at bit rates up to 100 Gbit/s should become practical within the next few years.", "author_names": [ "Ezra Ip", "Alan Pak Tao Lau", "Daniel J F Barros", "Joseph M Kahn" ], "corpus_id": 52887770, "doc_id": "52887770", "n_citations": 802, "n_key_citations": 40, "score": 0, "title": "Coherent detection in optical fiber systems.", "venue": "Optics express", "year": 2008 } ]
T-type inverter; SPWM
[ { "abstract": "Quasi impedance source (qZ source) network which is coupled between the DC source and converter circuit, can single stage power conversion buck and boost ability of the DC link voltage and upgrade the reliability of the inverter. This paper describes the steady state and total harmonic distortion (THD) values of qZ source and T type inverter. Both types of inverter are simulated by using MATLAB/SIMULINK. The qZ source inverter suffers from relatively large semiconductor conduction losses because the output current always flows through two switching devices. In contrast, to reduce the power losses on semiconductor device and get the improve output voltage quality; the qZ source T type is used. The first method of qZ source is based on the simple boost (SB) sinusoidal pulse width modulation (SPWM) control method and the second method of qZ source T type combines multicarrier phase disposition (PD) and SB SPWM control method. Output voltage quality of qZ source T type inverter is better than qZ source inverter. Average switch voltage stress and output THDv value of the T type inverter is less value than qZ source inverter.", "author_names": [ "thinzar thinzar", "Tun Linnaing" ], "corpus_id": 202937859, "doc_id": "202937859", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "SIMULATION OF QUASI Z SOURCE THREE PHASE VOLTAGE SOURCE INVERTER AND T TYPE INVERTER WITH SB SPWM CONTROL METHOD", "venue": "", "year": 2019 }, { "abstract": "In this letter, a new control method based on the sinusoidal pulsewidth modulation scheme is proposed to control capacitor voltages of a T type four level nested neutral point clamped (NNPC) inverter. The T type four level NNPC inverter has a lower number of switches and components compared with other four level classic and advanced inverters, which make this topology attractive for high power medium voltage applications. This topology has been proposed and studied with the assumption of constant dc sources instead of flying capacitors. In this letter, a simple single phase modulator is developed to balance flying capacitor voltages. The performance and the feasibility of the proposed control technique are evaluated experimentally under a steady state and transient conditions and for different modulation indexes and loads. The experimental results demonstrate the effectiveness of the developed control method to control the capacitors' voltages.", "author_names": [ "Ahoora Bahrami", "Mehdi Narimani" ], "corpus_id": 56598018, "doc_id": "56598018", "n_citations": 23, "n_key_citations": 3, "score": 1, "title": "A Sinusoidal Pulsewidth Modulation (SPWM) Technique for Capacitor Voltage Balancing of a Nested T Type Four Level Inverter", "venue": "IEEE Transactions on Power Electronics", "year": 2019 }, { "abstract": "In this work, a vector controlled induction motor drive (VCIMD) is proposed for the medium and high rating applications. At input end of the proposed drive, a thirty six pulse AC DC converter is used to limit the utility current harmonics to acceptable level as specified in IEEE 519 standard. A 5 level T type multilevel inverter is used at the drive end to improve the steady state, dynamic and power quality performances of VCIMD. To avoid voltage balancing problem at the input of a T type inverter, the isolated DC supplies are used. These isolated supplies are derived from a delta polygon configured phase shifting transformer followed by six pulse diode bridge rectifiers (DBRs) In order to control a T type inverter and an induction motor, the simple phase disposition (PD) sinusoidal pulse width modulation (SPWM) and the indirect vector control are used, respectively. The proposed drive is examined under all possible operations of an induction motor. The proposed thirty six pulse AC DC converter fed 5 level T type inverter based VCIMD is modelled and its performance is simulated in Simulink/MATLAB environment to demonstrate its effectiveness for the medium and high rating applications.", "author_names": [ "Piyush Kant", "Bhim Singh" ], "corpus_id": 4777760, "doc_id": "4777760", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Thirty six pulse AC DC converter fed T type inverter based vector controlled induction motor drive", "venue": "2017 IEEE Transportation Electrification Conference (ITEC India)", "year": 2017 }, { "abstract": "Abstract This paper is a study on the neutral point voltage balancing of the three phase 3 level T type inverter using the predictive control techniques. Recently, multi level inverter has been attracting attention as the advantages such as efficiency improving and harmonic reduction. Especially, the T type inverter topology is advantageous in low DC link voltage. However, in case of the prediction control, it takes a lot of time, because there exist 27 voltage vectors and it has to be calculated according to the respective voltage vectors. Therefore, in this paper, we propose a method to implement predictive control techniques while reducing the operation time. In order to reduce the operation time, the predictive control is implemented by using the minimum voltage vector except for the unnecessary voltage vector. The result of the implemented predictive control is added to the SPWM by using the offset voltage. It was verified through simulation and experimental results.Key Words 3 Level inverter, T type inverter, Voltage balancing, Predictive control+Corresponding Author Dept. of Electrical Electronic Control Engineering, Institute for information technology convergence, Hankyong National University, Korea E mail [email protected]* Dept. of Electrical Electronic Control Engineering, Hankyong National University, Korea Received October 7, 2015; Accepted January 13, 2016", "author_names": [ "Tae-hun Kim", "Woo-Cheol Lee" ], "corpus_id": 112930502, "doc_id": "112930502", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "The DC link Voltage Balancing of the Three Level T type Inverter Using the Predictive Control", "venue": "", "year": 2016 }, { "abstract": "In this paper, a comparative study of a T type Asymmetrical multilevel inverter (ASMLI) with two sources namely a Battery as a low voltage source and solar with boost converter as a higher voltage source is analyzed with respect to a conventional H Bridge Converter. Two switches with an antiparallel diode are used to modulate the low voltage level and a conventional VSI is used to obtain a high voltage level in the power circuit. A state space model is derived and stability analysis is performed. A vertical shifted SPWM control is used for obtaining switching mechanism of the ASMLI circuit. The analytical study ASMLI is interfaced between the low level input voltage sources solar and/or battery with the AC load or AC grid. It is observed that the system is well stable by taking the output voltage and inductor current. A comparison with a conventional H Bridge inverter is brought about through various cases with single source. Total Harmonic Distortion, conduction losses, efficiency, voltage and current stresses are in detail compared and derived that this inverter is capable for applications for power ratings less than 100 KW. Also the stress and rate of change of current on the front end Boost converter is found to be approximately 50% less compared to a conventional based DC AC configuration. The simulation is performed in PSCAD v4.6 and observation is done for 5 kW load with different power factor's and also with different modulation indexes. For medium and low power applications, T type Asymmetrical multilevel inverter (ASMLI) can be preferred.", "author_names": [ "Sreedhar Andavarapu", "Satish Kumar Gudey" ], "corpus_id": 148574233, "doc_id": "148574233", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "A Comparative study of a T type Asymmetrical Multilevel Inverter with Two Different Sources with Conventional H bridge Converter", "venue": "2018 8th IEEE India International Conference on Power Electronics (IICPE)", "year": 2018 }, { "abstract": "An efficient multicarrier SPWM for cascaded H Bridge symmetrical multilevel inverter is discussed here. The performance output levels of the CHB MLI is depends on number of DC input voltage sources. If two DC sources are applied then it gives five levels at output and three DC sources gives seven levels of output. In this papermulticarrierSPWM switching is provided to the multilevel inverter switches. In this switching method two signals are used, one is reference and another is carrier signal. For SPWM technique reference signal is sine wave and triangular wave is carrier signal. This type of inverters have thecapability to produce waveforms with better harmonic spectrum and realistic output results. The results of the model shows that the THD is reduced with the modulation of sinusoidal pulse width. The simulation results shows that quality of output voltagewaveform gets improved with less loss as well as lower THD.", "author_names": [ "Patil Swapnil Sanjay", "Patil Rupali Tanaji", "Sunil K Patil" ], "corpus_id": 53281587, "doc_id": "53281587", "n_citations": 7, "n_key_citations": 0, "score": 0, "title": "Symmetrical Multilevel Cascaded H Bridge Inverter Using Multicarrier SPWM Technique", "venue": "2018 3rd International Conference for Convergence in Technology (I2CT)", "year": 2018 }, { "abstract": "Multi Level converter topologies have gained much attention during recent three decades due to their significant benefits in a wide range of applications especially for high power and medium voltage applications. This paper presented a novel Nested T Type (NT Type) four level inverter for high power and medium voltage applications. Main advantages of NT Type four level topology are: operating over a wide range of voltage without the requirement to connect to power devices in series, high quality output voltage, and less proportion of components in comparison with other classical four level topologies. Also, comparison of the conduction losses between the NT Type and Nested Neural Point Clamped (NNPC) inverter is determined. The three type Sinusoidal Pulse Width Modulation (SPWM) schemes, which are the most popular modulation schemes in industry applications, are utilized in this study for NT Type and NNPC topologies. The performance of the NT Type inverter is verified by MATLAB/Simulink.", "author_names": [ "Ch Sreenu", "Ch Chandana" ], "corpus_id": 115012142, "doc_id": "115012142", "n_citations": 9, "n_key_citations": 3, "score": 0, "title": "A Novel Nested T Type Four Level Inverter for Medium Voltage Applications", "venue": "", "year": 2016 }, { "abstract": "Multi Level converter topologies have gained much attention during recent three decades due to their significant benefits in a wide range of applications especially for high power and medium voltage applications. This paper presented a novel Nested T Type (NT Type) four level inverter for high power and medium voltage applications. Main advantages of NT Type four level topology are: operating over a wide range of voltage without the requirement to connect to power devices in series, high quality output voltage, and less proportion of components in comparison with other classical four level topologies. Also, comparison of the conduction losses between the NT Type and Nested Neural Point Clamped (NNPC) inverter is determined. The three type Sinusoidal Pulse Width Modulation (SPWM) schemes, which are the most popular modulation schemes in industry applications, are utilized in this study for NT Type and NNPC topologies. The performance of the NT Type inverter is verified by MATLAB/Simulink. Keywords Nested T Type four level inverter; medium voltage applications.", "author_names": [ "Aliasghar Hajirayat", "Faramarz Faraji", "A Birjandi" ], "corpus_id": 195653810, "doc_id": "195653810", "n_citations": 1, "n_key_citations": 1, "score": 0, "title": "A Novel Nested T Type Four Level Inverter for Medium Voltage Applications", "venue": "", "year": 2016 }, { "abstract": "With the rapid development of photovoltaic (PV) power generation, technology of the grid connected photovoltaic system becomes an important part of the photovoltaic power generation. Based on this background, grid connection techniques of T Type three level grid connected inverter with an LCL filter is studied in this paper. The subject combines SPWM modulation strategy and dual current loop control strategy. Due to the coupling relationship among LCL filter parameters, it is complicated to design the L and C parameters in the LCL filter. This paper analyzes the selection of LCL filter parameters. The control strategies of this paper are carried out under the MATLAB/Simulink simulation environment. Correctness and feasibility of control strategies are verified through the simulation results.", "author_names": [ "Mengyan Wang", "Quan Chen", "Guoli Li", "Cungang Hu", "Long Cheng", "Rui Zhou" ], "corpus_id": 17181509, "doc_id": "17181509", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "LCL filter design in T type three level grid connected inverter", "venue": "2016 IEEE 11th Conference on Industrial Electronics and Applications (ICIEA)", "year": 2016 }, { "abstract": "This paper presents the comparison power quality of the voltage source inverter type a space vector pulse width modulation (SVPWM) and sinusoidal pulse width modulation (SPWM) technique for adjust speed of the induction motor. All the drives are implemented on real time Simulink model of three phase variable magnitude of voltage and variable frequency type are used the drive voltage source inverter system. This scheme leads to be able to adjust the speed of the motor by control the frequency and amplitude of the model. The ratio of input stator voltage to frequency should be kept constant. The overall control system is implemented on dSPACE DSP DS1104 controller board. The power quality of voltage source inverter are measured and compared to supply the 120 watt induction motor full load condition.", "author_names": [ "Satean Tunyasrirut", "S Srilad", "Tianchai Suksri" ], "corpus_id": 38770100, "doc_id": "38770100", "n_citations": 14, "n_key_citations": 0, "score": 0, "title": "Comparison power quality of the voltage source inverter type SVPWM and SPWM technique for induction motor drive", "venue": "2008 SICE Annual Conference", "year": 2008 } ]
energy conversion by dye-sensitized
[ { "abstract": "The quality of human life depends to a large degree on the availability of energy. This is threatened unless renewable energy resources can be developed in the near future. Chemistry is expected to make important contributions to identify environmentally friendly solutions of the energy problem. One attractive strategy discussed in this Forum Article is the development of solar cells that are based on the sensitization of mesoscopic oxide films by dyes or quantum dots. These systems have already reached conversion efficiencies exceeding 11% The underlying fundamental processes of light harvesting by the sensitizer, heterogeneous electron transfer from the electronically excited chromophore into the conduction band of the semiconductor oxide, and percolative migration of the injected electrons through the mesoporous film to the collector electrode will be described below in detail. A number of research topics will also be discussed, and the examples for the first outdoor application of such solar cells will be provided.", "author_names": [ "Michael Gratzel" ], "corpus_id": 38562218, "doc_id": "38562218", "n_citations": 2880, "n_key_citations": 22, "score": 1, "title": "Solar energy conversion by dye sensitized photovoltaic cells.", "venue": "Inorganic chemistry", "year": 2005 }, { "abstract": "Development of new counter electrode materials is vital for commercialization of efficient dye sensitized solar cells (DSCs) process. Research on DSCs has been focused mainly on using platinum as counter electrode, which makes them expensive. In this paper, we report DSCs fabricated with high surface area mesoporous carbon thin film with uniform spherical particles as counter electrode. An overall light to electricity efficiency as high as 7.6% has been achieved under illumination of air mass (AM) 1.5 sunlight (100 mW/cm2) In comparison with activated carbon, high surface area mesoporous carbon shows superior performance. Our results show that mesoporous carbon with high specific surface area and uniform pore size distribution proved to be better efficient electrode material for DSCs.", "author_names": [ "Pavuluri Srinivasu", "Surya Prakash Singh", "Ashraful Islam", "Liyuan Han" ], "corpus_id": 110389992, "doc_id": "110389992", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Solar Energy Conversion by Dye Sensitized Photovoltaic Cells Using High Surface Area Mesoporous Carbon Counter Electrode", "venue": "", "year": 2011 }, { "abstract": "The achievement of long lived photoinduced redox separation lifetimes has long been a central goal of molecular based solar energy conversion strategies. The longer the redox separation lifetime, the more time available for useful work to be extracted from the absorbed photon energy. Here we describe a novel strategy for dye sensitized solar energy applications in which redox separated lifetimes on the order of milliseconds to seconds can be achieved based on a simple toolkit of molecular components. Specifically, molecular chromophores (C) electron acceptors (A) and electron donors (D) were self assembled on the surfaces of mesoporous, transparent conducting indium tin oxide nanoparticle (nanoITO) electrodes to prepare both photoanode (nanoITO| A C D) and photocathode (nanoITO| D C A) assemblies. Nanosecond transient absorption and steady state photolysis measurements show that the electrodes function microscopically as molecular analogues of semiconductor p/n junctions. These results point to a new chemical strategy for dye sensitized solar energy conversion based on molecular excited states and electron acceptors/donors on the surfaces of transparent conducting oxide nanoparticle electrodes.", "author_names": [ "Byron H Farnum", "Kyung-Ryang Wee", "Thomas J Meyer" ], "corpus_id": 10406430, "doc_id": "10406430", "n_citations": 52, "n_key_citations": 1, "score": 0, "title": "Self assembled molecular p/n junctions for applications in dye sensitized solar energy conversion.", "venue": "Nature chemistry", "year": 2016 }, { "abstract": "We investigated a range of different mesoporous NiO electrodes prepared by different research groups and private firms in Europe to determine the parameters which influence good quality photoelectrochemical devices. This benchmarking study aims to solve some of the discrepancies in the literature regarding the performance of p DSCs due to differences in the quality of the device fabrication. The information obtained will lay the foundation for future photocatalytic systems based on sensitized NiO so that new dyes and catalysts can be tested with a standardized material. The textural and electrochemical properties of the semiconducting material are key to the performance of photocathodes. We found that both commercial and non commercial NiO gave promising solar cell and water splitting devices. The NiO samples which had the two highest solar cell efficiency (0.145% and 0.089% also gave the best overall theoretical H2 conversion.", "author_names": [ "Christopher J Wood", "Gareth H Summers", "Charlotte Adele Clark", "Nicolas Kaeffer", "Max Braeutigam", "Lea Roberta Carbone", "Luca D'Amario", "Ke Fan", "Yoann Farre", "Stephanie Narbey", "Frederic Oswald", "Lee A Stevens", "Christopher D J Parmenter", "Michael W Fay", "Alessandro La Torre", "Colin E Snape", "Benjamin Dietzek", "Danilo Dini", "Leif Hammarstrom", "Yann Pellegrin", "Fabrice Odobel", "Licheng Sun", "Vincent Artero", "Elizabeth A Gibson" ], "corpus_id": 4354695, "doc_id": "4354695", "n_citations": 97, "n_key_citations": 2, "score": 0, "title": "A comprehensive comparison of dye sensitized NiO photocathodes for solar energy conversion.", "venue": "Physical chemistry chemical physics PCCP", "year": 2016 }, { "abstract": "With the lab made carbon nanotube aerogel (CNA) serving as the counter electrode (CE) dye sensitized solar cells (DSSCs) operated under solar simulator illumination (100 mW cm 2, AM 1.5 G) demonstrated an overall power conversion efficiency (e) of 8.35% higher than the e of 5.95 and 7.39% of DSSCs with a multi walled carbon nanotube (MWCNT) CE and conventional Pt CE, respectively. With only 3.75 wt% Pt nanoparticles to form an aerogel carbon nanotube composite (CNA 3.75 wt% Pt) CE, the corresponding e was further increased to 9.04% The enhanced performance by introducing Pt nanoparticles is mainly attributed to the improved electrocatalytic activity, reduced charge transfer resistance (Rct) at the CE/electrolyte interface, and increased diffusion for the redox couple in the electrolyte. This work provides substantial support for developing low cost Pt loaded nanocomposite CEs to further enhance the DSSC performance.", "author_names": [ "Haijun Chen", "Tao Liu", "Jing Ren", "He Hongcai", "Yong Cao", "Ning Wang", "Zhanhu Guo" ], "corpus_id": 101767828, "doc_id": "101767828", "n_citations": 31, "n_key_citations": 0, "score": 0, "title": "Synergistic carbon nanotube aerogel Pt nanocomposites toward enhanced energy conversion in dye sensitized solar cells", "venue": "", "year": 2016 }, { "abstract": "In this work we report how crucial is the correct design of the porphyrin sensitizers in Dye Sensitized Solar Cells (DSSCs) Only a single atom change switches on the efficiency from 2 3% to over 10% under standard measurement conditions. We used the 2,1,3 benzothiadazole (BDT) group, as a p conjugated linker, for the porphyrin LCVC01, a thiophene moiety for the porphyrin LCVC02 and also the furan group for the LCVC03 porphyrin, as molecular spacers between the BDT fragment and the molecule anchoring group, respectively. These three porphyrins were investigated for their application in DSSC devices. All the devices were characterized and found to achieve a record cell efficiency of 10.5% for LCVC02 but only 3.84% and 2.55% for LCVC01 and LCVC03 respectively. On one hand, the introduction of a thiophene, instead of a furan group, illustrates the importance of introducing a chemical group as a spacer, such as thiophene, between the BDT and the anchoring group. On the other hand, the selection of this group has to be correct because the change of a single atom increases the charge recombination rate and decreases the device performance. These changes can be rationalized by analyzing the dye dipoles and their interactions.", "author_names": [ "Lydia Cabau", "Challuri Vijay Kumar", "Antonio Caselles Moncho", "John N Clifford", "Nuria Lopez", "Emilio Jose Palomares" ], "corpus_id": 93953001, "doc_id": "93953001", "n_citations": 53, "n_key_citations": 0, "score": 0, "title": "A single atom change \"switches on\" the solar to energy conversion efficiency of Zn porphyrin based dye sensitized solar cells to 10.5%", "venue": "", "year": 2015 }, { "abstract": "With the lab made carbon nanotube aerogel (CNA) serving as the counter electrode (CE) dye sensitized solar cells (DSSCs) operated under solar simulator illumination (100 mW cm AM 1.5 G) demonstrated an overall power conversion efficiency (h) of 8.35% higher than the h of 5.95 and 7.39% of DSSCs with a multi walled carbon nanotube (MWCNT) CE and conventional Pt CE, respectively. With only 3.75 wt% Pt nanoparticles to form an aerogel carbon nanotube composite (CNA 3.75 wt% Pt) CE, the corresponding h was further increased to 9.04% The enhanced performance by introducing Pt nanoparticles is mainly attributed to the improved electrocatalytic activity, reduced charge transfer resistance (Rct) at the CE/electrolyte interface, and increased diffusion for the redox couple in the electrolyte. This work provides substantial support for developing lowcost Pt loaded nanocomposite CEs to further enhance the DSSC performance.", "author_names": [ "Haijun Chen", "Tao Liu", "Jing Ren", "Hongcai He", "Yong Cao", "Ning Wang", "Zhanhu Guo" ], "corpus_id": 13822984, "doc_id": "13822984", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "n nanotube aerogel Pt nanocomposites toward enhanced energy conversion in dye sensitized solar cells", "venue": "", "year": 2016 }, { "abstract": "The electron injection energy losses of dye sensitized solar cells (DSSCs) are among the fundamental problems hindering their successful breakthrough application. Two triazatruxene (TAT) based sensitizers, with one containing a flexible Z type double bond and another a rigid single bond, coded as ZL001 and ZL003, respectively, have been synthesized and applied in DSSCs to probe the energy losses in the process of electron injection. Using time resolved laser spectroscopic techniques in the kinetic study, ZL003 with the rigid single bond promotes much faster electron injection into the conductive band of TiO2 especially in the locally excited state (hot injection) which leads to higher electron density in TiO2 and a higher Voc. The devices based on ZL003 exhibited a champion power conversion efficiency (PCE) of 13.6% with Voc 956 mV, Jsc 20.73 mA cm 2, and FF 68.5% which are among the highest recorded results to date on single dye sensitized DSSCs. An independent certified PCE of 12.4% has been obt.", "author_names": [ "Li Zhang", "Xichuan Yang", "Weihan Wang", "Gagik G Gurzadyan", "Jiajia Li", "Xiaoxin Li", "Jincheng An", "Ze Yu", "Haoxin Wang", "Bin Cai", "Anders Hagfeldt", "Licheng Sun" ], "corpus_id": 140841580, "doc_id": "140841580", "n_citations": 130, "n_key_citations": 0, "score": 0, "title": "13.6% Efficient Organic Dye Sensitized Solar Cells by Minimizing Energy Losses of the Excited State", "venue": "ACS Energy Letters", "year": 2019 }, { "abstract": "As potential third generation photovoltaic cells, dye sensitized solar cells (DSSCs) have attracted extensive research interests and have become one of the hot topics in current research. In this work, a series of DSSCs based on TiO2 photoanodes modified by graphene oxide (GO) and nitrogen reduced graphene oxide (N rGO) were fabricated. Results indicate that N rGO is a better TiO2 photoanode modifier of DSSCs compared to GO. With an increase in the amount of N rGO, the open circuit voltage increases, while both the short circuit current and power conversion efficiency (PCE) of the DSSCs exhibit a maximum at the content of 0.2 wt N rGO. In particular, the maximum PCE of the DSSCs reaches 7.19% in this work, which gains a 13.23% enhancement compared to the PCE of 6.42% of conventional TiO2 DSSCs. The enhancement of the PCE of the DSSCs with N rGO was mainly attributed to the reduction in electron recombination and the increase in electron transfer efficiency after incorporating N rGO into TiO2 photoanodes.", "author_names": [ "Zhonghua Xiang", "Xing Xing Zhou", "Gang Wan", "Guoxin Zhang", "Dapeng Cao" ], "corpus_id": 100675326, "doc_id": "100675326", "n_citations": 50, "n_key_citations": 0, "score": 0, "title": "Improving Energy Conversion Efficiency of Dye Sensitized Solar Cells by Modifying TiO2 Photoanodes with Nitrogen Reduced Graphene Oxide", "venue": "", "year": 2014 }, { "abstract": "Even though the solid polymer electrolyte has many intrinsic advantages over the liquid electrolyte, its ionic conductivity and mesopore filling are much poorer than those of the liquid electrolyte, limiting its practical application to electrochemical devices such as dye sensitized solar cells (DSCs) Two major shortcomings associated with utilizing solid polymer electrolytes in DSCs are first discussed, low ionic conductivity and poor pore filling in mesoporous photoanodes for DSCs. In addition, future directions for the successful utilization of solid polymer electrolytes toward improving the performance of DSCs are proposed. For instance, the facilitated mass transport concept could be applied to increase the ionic conductivity. Modified biphasic and triple phasic structures for the photoanode are suggested to take advantage of both the liquid and solid state properties of electrolytes.", "author_names": [ "Donghoon Song", "Woohyung Cho", "Jung Hyun Lee", "Yong Soo Kang" ], "corpus_id": 6851704, "doc_id": "6851704", "n_citations": 57, "n_key_citations": 1, "score": 0, "title": "Toward Higher Energy Conversion Efficiency for Solid Polymer Electrolyte Dye Sensitized Solar Cells: Ionic Conductivity and TiO2 Pore Filling.", "venue": "The journal of physical chemistry letters", "year": 2014 } ]
Frequency regulation inverter Modulation methods for Frequency regulation of photovoltaic systems
[ { "abstract": "In this study, a new multi input high step up inverter, based on isolated soft switching DC DC converter blocks is proposed. Each of these blocks can provide zero voltage and zero current switching for its semiconductors, which improve power efficiency. The interesting feature of this DC DC converter is using bidirectional switches to generate both positive and negative output voltage levels in each DC DC block with an appropriate control scheme. Each DC DC converter operates by simple pulse width modulation control through fixed frequency and has two degrees of freedom, which provide the capability of output voltage regulation or maximum power point tracking. The proposed inverter consists of the cascaded connection of these DC DC converters at their output terminal. This inverter can operate with high voltage gain, where the output voltage of each DC DC converter is regulated. Furthermore, it can generate more output voltage levels with less number of DC DC blocks. All these advantages make the proposed inverter suitable for photovoltaic power conditioning systems. In this study, the theoretical analysis with steady state waveforms, design constraints, resonant tank analysis and comparison study are given. Then, experimental results of both the proposed inverter and its DC DC blocks are presented.", "author_names": [ "Ebrahim Babaei", "Hadi Tarzamni", "Farzad Tahami", "Hossein Khoun Jahan", "Mohammad Bagher Bannae Sharifian" ], "corpus_id": 208828364, "doc_id": "208828364", "n_citations": 3, "n_key_citations": 0, "score": 0, "title": "Multi input high step up inverter with soft switching capability, applicable in photovoltaic systems", "venue": "", "year": 2020 }, { "abstract": "To ensure the reliable delivery of AC power to consumers from renewable energy sources, the photovoltaic inverter has to ensure that the frequency and magnitude of the generated AC voltage are within acceptable limits. This paper develops models and control strategies for the DC AC converter to ensure that the sinusoidal waveform of the desired frequency voltage and magnitude generated for both single phase and three phase operation depend on the robustness of the inverter control system. The paper reviews various topologies and modulation approaches for photovoltaic inverters in both single phase and three phase operational modes. Finally, a proposed control strategy is presented to ensure frequency and voltage regulation.", "author_names": [ "Shayan Ebrahimi", "Ali Moghassemi", "Javad Olamaei" ], "corpus_id": 214703517, "doc_id": "214703517", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "PV Inverters and Modulation Strategies: A Review and A Proposed Control Strategy for Frequency and Voltage Regulation", "venue": "", "year": 2020 }, { "abstract": "In the era of world transition, it is vital to redefine the means of energy generation in order to obtain affordable low carbon energy and to overcome deregulation of electricity. One of the best solutions is to utilize the renewable energy sources (Chambers et al. 2001; Jenkins et al. 2010) such as photovoltaic (PV) wind turbine and fuel cell technology (Roomi et al. 2014; Roomi et al. 2015; Roomi et al. 2016) However, PV and wind systems are prone to environmental factors. In the case of PV systems, intermittency and unpredictability of solar energy due to weather make the system unreliable. Variations in wind speed lead to uncertain and randomly fluctuating power from the wind power generator. Even though fuel cells are not prone to the fluctuations observed in PV or wind, low power generation remains a major downside. Hence, renewable energy sources require buffering elements such as energy storage systems and power electronic conditioning circuits before connecting to the utility mains or a power grid. Additionally, these systems experience problems with efficiency. Therefore, energy regulation is indispensable during the interfacing stage to provide efficient energy usage. With recent developments in power electronics, the aforementioned hurdles can be ameliorated. Power electronic regulators consist of a power controller and a power converter and play a vital role in energy regulation. The power controller performs maximum power point tracking, grid synchronization and fast dynamic response in the system. The magnitude, frequency and electrical phase conversions are performed by the power converters. Energy conversion for applications involving ac motor drives (Banerjee and Ranganathan, 2009; Levi", "author_names": [ "Muhammad M Roomi" ], "corpus_id": 201743306, "doc_id": "201743306", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Roomi An Overview of Carrier based Modulation Methods for Z Source Inverter 1", "venue": "", "year": 2019 }, { "abstract": "Distributed photovoltaic could not respond to frequency deviation, and the photovoltaic modules, connected to the grid through the inverter, are non rotating static component, which means that it does not have the rotary inertia of the synchronous generator. When distributed photovoltaic is connected to the grid in a dense manner, it will reduce the system inertia. Under the same boundary conditions, the system frequency may drop even lower. To solve this problem, this paper proposes to add energy storage system on the DC side to satisfy the frequency regulation requirements. By adopting the virtual synchronous generator control strategy, the solar photovoltaic energy storage hybrid system is equivalent to a voltage source on the DC side. And it has similar characteristics to the synchronous generator on the AC side. This improves the stability of the external output power of photovoltaic, and also enables the distributed photovoltaic to respond to frequency deviation. the effectiveness of the proposed control strategy is verified in MATLAB/Simulink.", "author_names": [ "Liu Yunxin", "Liao Siyang", "Sun Yuan-zhang", "Xu Jian", "Ghamgeen Izat Rashed", "Ke Deping", "Yao Liangzhong", "Yang Jun", "Wu Di", "Tang Wei", "Yang Cheng" ], "corpus_id": 204939379, "doc_id": "204939379", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Primary Frequency Modulation of Solar Photovoltaic energy Storage Hybrid System Based on Virtual Synchronous Generator", "venue": "2019 International Conference on Power Generation Systems and Renewable Energy Technologies (PGSRET)", "year": 2019 }, { "abstract": "Power inverter adopting virtual synchronous generator (VSG) control can provide inertia support for distributed generation systems. However, it cannot take into account the dynamic regulation characteristics of frequency. Thus, when the system encounters a sudden change in load or disturbance, the dynamic process of frequency regulation will be greatly influenced. In view of this issue, an improved VSG control strategy based on a coordinated self adaptive (CSA) method is proposed. The time domain analysis method is used to study the influences of virtual inertia and damping parameter perturbation on the system steady and dynamic performances. Further, in order to make the control strategy suitable for large load changes and suppress frequency variation beyond the limit, the secondary frequency modulation is introduced into the control loop. Through the coordinated adaptive control of virtual inertia, virtual damping and frequency modulation, the dynamic performance of VSG frequency regulation can be improved obviously. Simulation and experiment results have verified the effectiveness of the proposed CSA control strategy.", "author_names": [], "corpus_id": 236828068, "doc_id": "236828068", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Improved virtual synchronous generator control for frequency regulation with a coordinated self adaptive method", "venue": "", "year": 2020 }, { "abstract": "Purpose The specific modulation methods are used to control different kind of single phase, as well as three phase, inverters to ensure flexibility and high quality of the output waveform. This paper aims to present a combination of two classical methods, namely, pulse width modulation method and direct digital synthesis modulation method. Design/methodology/approach The total harmonic distortion of output waveforms of single phase inverter based on elaborated modulation method has been determined by means of fast Fourier transform analysis. Tests have been carried out by using standard low frequency application and also a wireless resonant energy link system. Findings Applying appropriate timer parameters of microcontroller enables to obtain a waveform for given output parameters (amplitude, frequency, frequency modulation index, etc. The only limitation is the computing power of a microcontroller. Originality/value The elaborated method can be successfully used in both low and high frequency application ensuring high level of output waveform quality. Additional signal generators and the control of amplitude modulation ratio are no longer indispensable, what simplify immensely a control system.", "author_names": [ "Wojciech Pietrowski", "Wojciech Ludowicz", "Rafal Wojciechowski" ], "corpus_id": 196218347, "doc_id": "196218347", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "The wide range of output frequency regulation method for the inverter using the combination of PWM and DDS", "venue": "COMPEL The international journal for computation and mathematics in electrical and electronic engineering", "year": 2019 }, { "abstract": "Abstract The future power system is developing to an inverter based system from a machine dominated power system due to a large integration of renewable energy sources (RESs) Lack of inertial part and intermittency of the RESs causes frequency instability in the power system. The virtual synchronous generator (VSG) development has been made to add inertial control to the power system for controlling the frequency deviation caused by the integration of the photovoltaic system. The VSG system comprised of power electronics components having a droop control mechanism like the conventional synchronous generator, which provides the necessary frequency stability to the power system. In this paper, three parallel VSG based photovoltaic systems integrated with battery storage systems are used to analyze the frequency response and its stability. Moreover, an advanced genetic algorithm optimization method is used to get the optimal values of parameters of the droop controller used in VSG. Furthermore, two different cases with respect to variation in supply and load power of the system are analyzed in detail. Additionally, an IEEE 14 bus system is utilized to validate the proposed system in MATLAB/Simulink. Evident improvements are observed in the simulation results presented through the suggested GA based VSG control technique.", "author_names": [ "Haseeb ur Rehman", "Xiangwu Yan", "Mohamed Abdelkarim Abdelbaky", "Mishkat Ullah Jan", "Sheeraz Iqbal" ], "corpus_id": 224878694, "doc_id": "224878694", "n_citations": 12, "n_key_citations": 1, "score": 1, "title": "An advanced virtual synchronous generator control technique for frequency regulation of grid connected PV system", "venue": "", "year": 2021 }, { "abstract": "Abstrac Due to their intermittent features and poorer inertial response, distributed energy resources (DERs) such as photovoltaic (PV) systems and fuel cell systems have increased the complexity of the power system. This reorganization of the power system has a significant impact on the system's transient responsiveness, resulting in inter area oscillations, less synchronised coupling, and power swings. Furthermore, the idea of being dispersed and generating electricity from many points in the electrical system exacerbates the transitory impact of DERs by introducing difficulties like reverse power flows. This technique proposed the effects of changing power system characteristics that are impeding large scale DER integration. In addition, by introducing virtual inertia to inverter based DERs in the electricity system, a method to enhance the system's inertial responsiveness is addressed. The suggested control increased the stability margin and allowed the system to follow its rated frequency. By increasing the rate of change of frequency, the injected synchronized active power to the system avoids the protective relays from tripping. The suggested system functioning is tested experimentally using the MATLAB 2015 simulation system on a sample power grid that includes generation, transmission, and distribution with solar pv and fuel cell system integration.", "author_names": [ "Danish Khan", "Chetan M Bobade" ], "corpus_id": 236492815, "doc_id": "236492815", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Frequency Regulation of the Bulk Power System for Distributed Energy Resources", "venue": "", "year": 2021 }, { "abstract": "The future of the machine controlled power system is advancing to an inverter based power system with a huge integration of renewable energy sources (RES) like photovoltaic (PV) and wind energy. The penetration of RES leads to instability of frequency due to the lack of inertia. Recent development has been made in inverter's based virtual inertia control for frequency regulation in virtual synchronous generator based systems. Inverters connecting to the microgrid are provided with virtual inertia and droop control by the virtual synchronous generator (VSG) system. In this study, three parallel VSGs based PV systems are used to investigate the frequency response and voltage stability. An advanced genetic algorithm (GA) optimization method is utilized to attain the optimum parameters of the droop control used in the VSGs. Furthermore, two different case studies with respect to load change are analyzed in detail with comparisons with the classical droop controller. The simulation results observed many improvements of the proposed controller over the classical controller.", "author_names": [ "Haseeb Ur Rehman", "Xiangwu Yan", "Mohamed Abdelkarim Abdelbaky", "Mishkat Ullah Jan", "Ahmed Rabee Sayed", "Sayed A Zaki", "Sheeraz Iqbal" ], "corpus_id": 231977069, "doc_id": "231977069", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Frequency Regulation and optimization of Microgrid System with Multi PV VSG using Advanced Droop Controller", "venue": "2020 IEEE 4th Conference on Energy Internet and Energy System Integration (EI2)", "year": 2020 }, { "abstract": "The main objective of this paper is to develop a simulation model of stand alone solar photovoltaic (PV) system based on mathematical models. A maximum power point tracking (MPPT) algorithm based on incremental conductance method is applied to extract the maximum power from solar PV energy conversion system. A DC/DC boost converter allows the MPPT to control the intermediate voltage. To regulate to voltage at load side a voltage source converter (VSC) based controller is applied to generate the pulse signal for three phase inverter. The frequency regulation controller is developed based on conventional phase locked loop (PLL) system along with resistive dumping loads. A simulation model based on mathematical model is constructed using Matlab (r) /Simulink (r) /SimPower (r) interface. Results from simulation are stated to demonstrate the behaviour of stand alone solar PV energy conversion system.", "author_names": [ "Md Aminul Islam", "Adel Merabet", "Rachid Beguenane", "Hussein Ibrahim", "Hafiz Ahmed" ], "corpus_id": 114916836, "doc_id": "114916836", "n_citations": 4, "n_key_citations": 1, "score": 0, "title": "Simulation based study of Maximum Power Point Tracking and Frequency Regulation for Stand alone Solar Photovoltaic Systems", "venue": "", "year": 2014 } ]
Power electronics converters, applications, and design
[ { "abstract": "Partial table of contents: Overview of Power Semiconductor Switches. Computer Simulation of Power Electronic Converters and Systems. GENERIC POWER ELECTRONIC CIRCUITS. dc dc Switch Mode Converters. Resonant Converters: Zero Voltage and/or Zero Current Switchings. POWER SUPPLY APPLICATIONS. Power Conditioners and Uninterruptible Power Supplies. MOTOR DRIVE APPLICATIONS. dc Motor Drives. Induction Motor Drives. Synchronous Motor Drives. OTHER APPLICATIONS. Residential and Industrial Applications. Optimizing the Utility Interface with Power Electronic Systems. SEMICONDUCTOR DEVICES. Basic Semiconductor Physics. Power Diodes. Power MOSFETs. Thyristors. Emerging Devices and Circuits. PRACTICAL CONVERTER DESIGN CONSIDERATIONS. Snubber Circuits. Gate and Base Drive Circuits. Design of Magnetic Components. Index.", "author_names": [ "Ned Mohan", "T M Undeland", "William P Robbins" ], "corpus_id": 109363530, "doc_id": "109363530", "n_citations": 5582, "n_key_citations": 245, "score": 1, "title": "Power Electronics: Converters, Applications and Design", "venue": "", "year": 1989 }, { "abstract": "Thank you for downloading power electronics converters applications and design. Maybe you have knowledge that, people have look numerous times for their favorite readings like this power electronics converters applications and design, but end up in harmful downloads. Rather than enjoying a good book with a cup of tea in the afternoon, instead they cope with some malicious virus inside their desktop computer.", "author_names": [ "Luca Weisz" ], "corpus_id": 114527015, "doc_id": "114527015", "n_citations": 930, "n_key_citations": 98, "score": 0, "title": "Power Electronics Converters Applications And Design", "venue": "", "year": 2016 }, { "abstract": "", "author_names": [ "F V P Robinson" ], "corpus_id": 111271032, "doc_id": "111271032", "n_citations": 59, "n_key_citations": 7, "score": 0, "title": "Power electronics converters, applications and design: Ned Mohan, Tore M. Undeland and William P. Robbins (2nd edition) John Wiley, Chichester, 1995, 802 pp. ISBN: 0 471 30576 6, PS22.50", "venue": "", "year": 1997 }, { "abstract": "Curriculum Design: from an art towards a science: S. WAKS (Tempus Publications, 1995, 304 pp. PS33.95, US$57 paperback) The sub title of this volume is From an Art Towards a Science and reflects the style of the book accurately. The author and his team at the Technion Israel Institute of Technology have carried out research into the design, implementation and evaluation of curriculum development and updating in technology and science over a twenty year period. The author adopts a systematic approach to the consideration of curriculum design and a mathematically rigorous analysis of procedures designed to evaluate the effectiveness of each curriculum adopted. This review is being written as the new session is about to commence in the universities and colleges of the northern hemisphere. Hard pressed staff in engineering departments struggling to set up or to modify existing courses would be unlikely to find much in the book under review to assist them in the short term. The author's approach is both scholarly and philosophical. In contrast, engineering and science staff would adopt, for the most part, a rather pragmatic approach based on their own experience and that of immediate colleagues. However, the book should not be dismissed. It is worthy of a place in the libraries of Departments of Education where it would be a useful reference work. It would be valuable when setting up and evaluating large scale educational projects such as are provided by the Open University in the U.K. and similar institutions elsewhere. In such situations, the time and effort required to set up the design and evaluation processes would be justified in terms of the large number of students participating in the programme. The book is available from Tempus Publications, Berliner Tor 21, 20099 Hamburg, Germany. MICHAEL G. HARTLEY Department of Electrical Engineering and Electronics. UMIST", "author_names": [ "Math H J Bollen" ], "corpus_id": 117622824, "doc_id": "117622824", "n_citations": 10, "n_key_citations": 0, "score": 0, "title": "Book Review: Power Electronics Converters, Applications and Design", "venue": "", "year": 1996 }, { "abstract": "This paper presents an overview of most promising power electronics topologies for a fuel cell hybrid power conversion system which can be utilized in many applications such as hybrid electrical vehicles (HEV) distributed generations (DG) and uninterruptible power supply (UPS) systems. Then, a multiple input power conversion system including a decoupled dual input converter and a three phase neutral point clamped (NPC) inverter is proposed. The system can operate in both stand alone and grid connected modes. Simulation and experimental results are provided to show the feasibility of the proposed system and the effectiveness of the control methods.", "author_names": [ "Zhe Zhang", "Riccardo Pittini", "Michael A E Andersen", "Ole C Thomsena" ], "corpus_id": 54501458, "doc_id": "54501458", "n_citations": 57, "n_key_citations": 0, "score": 0, "title": "A Review and Design of Power Electronics Converters for Fuel Cell Hybrid System Applications", "venue": "", "year": 2012 }, { "abstract": "The development of power electronics in the past century and the current state of the art of power electronics converters are briefly reviewed, before giving an insight into the deficiencies of the conventional current source and voltage source converters and into the superiority of impedance source converters and, then, proposing a design methodology for impedance source converters aimed to replace the traditional tedious, manual and experience dependent design methods. Some examples for their deployment in renewable energy applications are discussed, and the direction into which power electronic converters will develop in the future is indicated.", "author_names": [ "Guidong Zhang", "Zhong Li", "Bo Zhang", "Wolfgang A Halang" ], "corpus_id": 113495034, "doc_id": "113495034", "n_citations": 71, "n_key_citations": 1, "score": 0, "title": "Power electronics converters: Past, present and future", "venue": "", "year": 2018 }, { "abstract": "Abstract A large number of factors such as the increasingly stringent pollutant emission policies, fossil fuel scarcity and their price volatility have increased the interest towards the partial or total electrification of current vehicular technologies. These transition of the vehicle fleet into electric is being carried out progressively. In the last decades, several technological milestones have been achieved, which range from the development of basic components to the current integrated electric drives made of silicon (Si) based power modules. In this context, the automotive industry and political and social agents are forcing the current technology of electric drives to its limits. For example, the U.S Department of Energy's goals for 2020 include the development of power converter technologies with power densities higher than 14.1 kW/kg and efficiencies greater than 98% Additionally, target price of power converters has been set below $3.3/kW. Thus, these goals could be only achieved by using advanced semiconductor technologies. Wide bandgap (WBG) semiconductors, and, most notably, silicon carbide (SiC) based power electronic devices, have been proposed as the most promising alternative to Si devices due to their superior material properties. As the power module is one of the most significant component of the traction power converter, this work focuses on an in deep review of the state of the art concerning such element, identifying the electrical requirements for the modules and the power conversion topologies that will best suit future drives. Additionally, current WBG technology is reviewed and, after a market analysis, the most suitable power semiconductor devices are highlighted. Finally, this work focuses on practical design aspects of the module, such as the layout of the module and optimum WBG based die parallelization, placement and Direct Bonded Copper (DBC) routing.", "author_names": [ "Asier Matallana", "Edorta Ibarra", "I Lopez", "Jon Andreu", "Jose Ignacio Garate", "Xavier Jorda", "Jose Rebollo" ], "corpus_id": 201238594, "doc_id": "201238594", "n_citations": 24, "n_key_citations": 1, "score": 0, "title": "Power module electronics in HEV/EV applications: New trends in wide bandgap semiconductor technologies and design aspects", "venue": "Renewable and Sustainable Energy Reviews", "year": 2019 }, { "abstract": "This paper will revise, experimentally investigate, and discuss the main application challenges related to gallium nitride power semiconductors in switch mode power converters. Gallium Nitride (GaN) devices are inherently gaining space in the market. Due to its high switching speed and operational switching frequency, challenges related to the circuit design procedure, passive component selection, thermal management, and experimental testing are currently faced by power electronics engineers. Therefore, the focus of this paper is on low voltage <650 V) devices that are used to assemble DC DC and/or DC AC converters to, for instance, interconnect PV generation systems in the DC and/or AC grids. The current subjects will be discussed herein: GaN device structure, the advantages and disadvantages of each lateral gallium nitride technology available, design challenges related to electrical layout and thermal management, overvoltages and its implications in the driver signal, and finally, a comprehensive comparison between GaN and Si technology considering the main parameters to increase the converters efficiency.", "author_names": [ "Mauricio Dalla Vecchia", "Simon Ravyts", "Giel Van den Broeck", "Johan Driesen" ], "corpus_id": 199082935, "doc_id": "199082935", "n_citations": 15, "n_key_citations": 1, "score": 0, "title": "Gallium Nitride Semiconductor Technology and Its Practical Design Challenges in Power Electronics Applications: An Overview", "venue": "Energies", "year": 2019 }, { "abstract": "Megawatt power electronics is an enabling technology for many important industrial applications, including renewable power generation and integration, flexible electric power transmission and distribution, medium voltage motor drives, and locomotive tractions. Major obstacles still exist for further enhancing its capability and reliability. Part I concluded that the transient behaviors are the key to megawatt power electronics systems designs for superior overall performance, low de rating requirements and high reliability. This paper builds on the perspective of transient behaviors and presents some key techniques for the design and control of megawatt power electronics converters for high capability and reliability. The techniques include effective utilization of the state of art active devices and passive components with optimal power device selections, transient circuit topologies, and energy balance based control strategies. Practical application examples are presented to validate the proposed design techniques and to demonstrate their effectiveness.", "author_names": [ "Zhengming Zhao", "Don Tan", "Kai Li", "Liqiang Yuan" ], "corpus_id": 61808968, "doc_id": "61808968", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "Transient Behaviors of Multiscale Megawatt Power Electronics Systems Part II: Design Techniques and Practical Applications", "venue": "IEEE Journal of Emerging and Selected Topics in Power Electronics", "year": 2019 }, { "abstract": "The combination of multilevel inverter with renewable energy source power generation is paid more attention among the researchers, because multilevel inverters are widely accepted power converters in for high power applications. The conventional multilevel inverters are highly implemented in industries, and the success of this has motivated to create newer topologies with the reduced overall power electronics components. In this paper, recent multilevel inverter topologies with reduced number of switches are taken into account and to find a suitable topology for photovoltaic (PV) and wind energy applications. Finally, this paper gives a pathway for the upcoming researchers to consider the design issues and challenges in the development of new multilevel inverter topologies.", "author_names": [ "Jagabar Sathik Mohamed Ali", "Vijayakumar Krishnaswamy" ], "corpus_id": 116176357, "doc_id": "116176357", "n_citations": 59, "n_key_citations": 5, "score": 0, "title": "An assessment of recent multilevel inverter topologies with reduced power electronics components for renewable applications", "venue": "", "year": 2018 } ]
perovskite materials review
[ { "abstract": "Abstract The rapid increase in the efficiency of perovskite solar cells (PSCs) in last few decades have made them very attractive to the photovoltaic (PV) community. However, the serious challenge is related to the stability under various conditions and toxicity issues. A huge number of articles have been published in PSCs in the recent years focusing these issues by employing different strategies in the synthesis of electron transport layer (ETL) active perovskite layer, hole transport layer (HTL) and back contact counter electrodes. This article tends to focus on the role and classification of different materials used as HTL in influencing long term stability, in improving the photovoltaic parameters and thereby enhancing the device efficiency. Hole Transport Materials (HTMs) are categorized by dividing into three primary types, namely; organic, inorganic and carbonaceous HTMs. To analyze the role of HTM in detail, we further divide these primary type of HTMs into different subgroups. The organic based HTMs are subdivided into three categories, namely; long polymer HTMs, small molecule HTMs and cross linked polymers and the inorganic HTMs have been classified into nickel (Ni) derivatives and copper (Cu) derivatives based HTMs, p type semiconductor based HTMs and transition metal based HTMs. We further analyze the dual role of carbonaceous materials as HTM and counter electrode in the perovskite devices. In addition, in this review, an overview of the preparation methods, and the influence of the thickness of the HTM layers on the performance and stability of the perovskite devices are also provided. We have carried out a detailed comparison about the various classification of HTMs based on their cost effectiveness and considering their role on effective device performance. This review further discusses the critical challenges involved in the synthesis and device engineering of HTMs. This will provide the reader a better insight into the state of the art of perovskite solar devices.", "author_names": [ "Selvakumar Pitchaiya", "Muthukumarasamy Natarajan", "Agilan Santhanam", "Vijayshankar Asokan", "Akila Yuvapragasam", "Venkatraman Madurai Ramakrishnan", "Subramaniam E Palanisamy", "Senthilarasu Sundaram", "Dhayalan Velauthapillai" ], "corpus_id": 103678112, "doc_id": "103678112", "n_citations": 61, "n_key_citations": 1, "score": 0, "title": "A review on the classification of organic/inorganic/carbonaceous hole transporting materials for perovskite solar cell application", "venue": "", "year": 2020 }, { "abstract": "Abstract In this article, different perovskite structure related materials are reviewed, which could be potential candidates for cathode materials in solid oxide fuel cells. Solid oxide fuel cells provide an alternative, environmentally viable and efficient option to conventional electricity producing devices. Different properties are required for the materials to qualify as a cathode for solid oxide fuel cells. Therefore, the analysis and review are done based on the process parameters and their effect on the electrical conductivity, electrochemical properties, the coefficient of thermal expansion and mechanical properties of different cathode materials. Fracture toughness and hardness have been the focus while analysing the mechanical properties. The selection of the initial composition, dopants and their valence plays a vital role in deciding the properties mentioned above of cathode materials. The prospective cathode materials classified as cobalt based and cobalt free are further bifurcated based on the A site elements of the perovskite (ABO3) structure. Also given in this article is the summary of the latest development on the cathode materials. As observed from the properties studied, cobalt based materials tend to have higher conductivity than cobalt free materials. While cobalt free compositions are cost effective and have a comparable coefficient of thermal expansion with other components of solid oxide fuel cells. The last section of the article gives the future scope of the research.", "author_names": [ "Paramvir Kaur", "K Singh" ], "corpus_id": 210258313, "doc_id": "210258313", "n_citations": 41, "n_key_citations": 0, "score": 0, "title": "Review of perovskite structure related cathode materials for solid oxide fuel cells", "venue": "", "year": 2020 }, { "abstract": "Halide perovskites have recently emerged as promising materials for low cost, high efficiency solar cells. The efficiency of perovskite based solar cells has increased rapidly, from 3.8% in 2009 to 19.3% in 2014, by using the all solid state thin film architecture and engineering cell structures with mixed halide perovskites. The emergence of perovskite solar cells revolutionized the field not only because of their rapidly increased efficiency, but also flexibility in material growth and architecture. The superior performance of the perovskite solar cells suggested that perovskite materials possess intrinsically unique properties. In this review, we summarize recent theoretical investigations into the structural, electrical, and optical properties of halide perovskite materials in relation to their applications in solar cells. We also discuss some current challenges of using perovskites in solar cells, along with possible theoretical solutions.", "author_names": [ "Wan-Jian Yin", "Ji-Hui Yang", "Joongoo Kang", "Yanfa Yan", "Suhuai Wei" ], "corpus_id": 96703199, "doc_id": "96703199", "n_citations": 749, "n_key_citations": 6, "score": 0, "title": "Halide perovskite materials for solar cells: a theoretical review", "venue": "", "year": 2015 }, { "abstract": "Due to the requirement to develop carbon free energy, solar energy conversion into chemical energy carriers is a promising solution. Thermochemical fuel production cycles are particularly interesting because they can convert carbon dioxide or water into CO or H 2 with concentrated solar energy as a high temperature process heat source. This process further valorizes and upgrades carbon dioxide into valuable and storable fuels. Development of redox active catalysts is the key challenge for the success of thermochemical cycles for solar driven H2O and CO2 splitting. Ultimately, the achievement of economically viable solar fuel production relies on increasing the attainable solar to fuel energy conversion efficiency. This necessitates the discovery of novel redox active and thermally stable materials able to split H2O and CO2 with both high fuel productivities and chemical conversion rates. Perovskites have recently emerged as promising reactive materials for this application as they feature high non stoichiometric oxygen exchange capacities and diffusion rates while maintaining their crystallographic structure during cycling over a wide range of operating conditions and reduction extents. This paper provides an overview of the best performing perovskite formulations considered in recent studies, with special focus on their non stoichiometry extent, their ability to produce solar fuel with high yield and performance stability, and the different methods developed to study the reaction kinetics.", "author_names": [ "Anita Haeussler", "Stephane Abanades", "Julien Jouannaux", "Anne Julbe" ], "corpus_id": 105035476, "doc_id": "105035476", "n_citations": 31, "n_key_citations": 0, "score": 0, "title": "Non Stoichiometric Redox Active Perovskite Materials for Solar Thermochemical Fuel Production: A Review", "venue": "", "year": 2018 }, { "abstract": "Abstract In recent years, due to their high photo to electric power conversion efficiency (PCE) (up to 23% (certified) and low cost, perovskite solar cells (PSCs) have attracted a great deal of attention in photovoltaics field. The high PCE can be attributed to the excellent physical properties of organic inorganic hybrid perovskite materials, such as a long charge diffusion length and a high absorption coefficient in the visible range. There are different diffusion lengths of holes in electrons in a PSC device, and thus the electron transporting layer (ETL) plays a critical role in the performance of PSCs. An alternative for TiO2, to the most common ETL material is SnO2, which has similar physical properties to TiO2 but with much higher electron mobility, which is beneficial for electron extraction. In addition, there are many facile methods to fabricate SnO2 nanomaterials with low cost and low energy consumption. In this review paper, we focus on recent developments in SnO2 as the ETL of PSCs. The fabrication methods of SnO2 materials are briefly introduced. The influence of multiple SnO2 types in the ETL on the performance of PSCs is then reviewed. Different methods for improving the PCE and long term stability of PSCs based on SnO2 ETL are also summarized. The review provides a systematic and comprehensive understanding of the influence of different SnO2 ETL types on PSC performance and potentially motivates further development of PSCs with an extension to SnO2 based PSCs.", "author_names": [ "Yichuan Chen", "Qi Meng", "Linrui Zhang", "Hongli Gao", "Yongzhe Zhang", "Hui Yan" ], "corpus_id": 104322713, "doc_id": "104322713", "n_citations": 54, "n_key_citations": 0, "score": 0, "title": "SnO2 based electron transporting layer materials for perovskite solar cells: A review of recent progress", "venue": "Journal of Energy Chemistry", "year": 2019 }, { "abstract": "Abstract Plenty of options for inorganic electron transport materials (ETMs) for perovskite solar cells (PSCs) are available. However, most hole transport materials (HTMs) is of organic nature. Organic materials are less stable as they are easily degraded by water and oxygen. Developing more variants of inorganic HTM is a major challenge. Till date, many materials have been reported, but their performance has not superseded that of their organic counterparts. In this review article, we look into the various inorganic HTMs that are available and analyze their performance. Apart from stability, their performance is also a concern for reproducible parameters of device performance. CuSCN, NiOx and MoS2 based PSCs are highly stable devices, maintaining power conversion efficiency (PCEs) over 20% whereas, number of devices made from CuI, CuOx, CuS, CuGaO2 and MoOx but shows low PCEs below 20% Recently, HTM free carbon/CNTs/rGO based PSCs shows promises for commercialization. Inorganic HTMs is overcoming the stability and cost issue over organic HTMs, various techniques, their novelty is shown in this work which will contribute in paving a path for synthesizing the ideal inorganic HTM for PSCs.", "author_names": [ "Rahul Singh", "Pramod K Singh", "Bhaskar Bhattacharya", "Hee Woo Rhee" ], "corpus_id": 139154069, "doc_id": "139154069", "n_citations": 72, "n_key_citations": 0, "score": 0, "title": "Review of current progress in inorganic hole transport materials for perovskite solar cells", "venue": "Applied Materials Today", "year": 2019 }, { "abstract": "Lead based organic inorganic hybrid perovskite materials have been developed for advanced optoelectronic applications. However, the toxicity of lead and the chemical instability of lead based perovskite materials have so far demonstrated to be an overwhelming challenge. The discovery of perovskite materials based on low toxic elements, such as, Sn, Bi, Sb, Ge and Cu, with superior optoelectronic properties provides alternative approach to realize high performance perovskite optoelectronics. In this review, recent advances in the aspects of low toxic perovskite solar cells, photodetectors, light emitting diodes and thermoelectric devices are highlighted. The anti oxidation stability of metal cation and the crystallization process of the low toxic perovskite materials are discussed. In the last part, the outlook towards addressing various issues required further attention in the development of low toxic perovskite materials is outlined.", "author_names": [ "Tao Zhu", "Yongrui Yang", "Xiong Gong" ], "corpus_id": 218755367, "doc_id": "218755367", "n_citations": 22, "n_key_citations": 0, "score": 1, "title": "Recent Advancements and Challenges for Low Toxic Perovskite Materials.", "venue": "ACS applied materials interfaces", "year": 2020 }, { "abstract": "Abstract Halide perovskite materials serve as excellent candidates for solar cell and optoelectronic devices. Recently, the design of the halide perovskite materials is greatly facilitated by machine learning techniques, which effectively identify suitable halide perovskite candidates and unveil hidden relationships by algorithms that mimic the human cognitive functions. In this manuscript, we review recent progresses on the machine learning studies of the halide perovskite materials, including the prediction and understanding of lead free and stable halide perovskite materials. The structural descriptors to describe the property and performance of the halide perovskite materials are discussed. In addition, the design strategy of the additive species for the halide perovskite materials via the machine learning technique is provided. Suggestions to further develop the halide perovskite based systems via the machine learning methods in the future are provided.", "author_names": [ "Lei Zhang", "Mu He", "Shaofeng Shao" ], "corpus_id": 225021025, "doc_id": "225021025", "n_citations": 10, "n_key_citations": 0, "score": 0, "title": "Machine learning for halide perovskite materials", "venue": "", "year": 2020 }, { "abstract": "Solar water splitting is a promising strategy for sustainable production of renewable hydrogen, and solving the crisis of energy and environment in the world. However, large scale application of this method is hampered by the efficiency and the expense of the solar water splitting systems. Searching for non toxic, low cost, efficient and stable photocatalysts is an important way for solar water splitting. Due to the simplicity of structure and the flexibility of composition, perovskite based photocatalysts have recently attracted widespread attention for application in solar water splitting. In this review, the recent developments of perovskite based photocatalysts for water splitting are summarized. An introduction including the structures and properties of perovskite materials, and the fundamentals of solar water splitting is first provided. Then, it specifically focuses on the strategies for designing and modulating perovskite materials to improve their photocatalytic performance for solar water splitting. The current challenges and perspectives of perovskite materials in solar water splitting are also reviewed. The aim of this review is to summarize recent findings and developments of perovskite based photocatalysts and provide some useful guidance for the future research on the design and development of highly efficient perovskite based photocatalysts and the relevant systems for water splitting.", "author_names": [ "Yongjiang Huang", "Jun Liu", "Yanchun Deng", "Yuanyuan Qian", "Xiaohao Jia", "Mengmeng Ma", "Cheng Yang", "Kong Liu", "Zhijie Wang", "Shengchun Qu", "Zhanguo Wang" ], "corpus_id": 213497937, "doc_id": "213497937", "n_citations": 12, "n_key_citations": 0, "score": 0, "title": "The application of perovskite materials in solar water splitting", "venue": "", "year": 2020 }, { "abstract": "Metal halide perovskites having three dimensional crystal structures have already been applied successfully in various optoelectronic applications. To address their most challenging issues instability and toxicity without losing efficiency, lower dimensional perovskites appear to be promising alternatives. Recently, two dimensional (2D) perovskite solar cells have been developed exhibiting excellent photostability and moisture stability, together with moderate device efficiency. This Review summarizes the photophysical properties and operating mechanisms of 2D perovskites as well as recent advances in their applications in solar cell devices. Also presented is an agenda for the next stage development of stable perovskite materials for solar cell applications, highlighting the issues of stability and toxicity that require further study to ensure commercialization.", "author_names": [ "Chintam Hanmandlu", "Anupriya Singh", "Karunakara Moorthy Boopathi", "Chao-Sung Lai", "Chih-Wei Chu" ], "corpus_id": 220048025, "doc_id": "220048025", "n_citations": 11, "n_key_citations": 0, "score": 0, "title": "Layered Perovskite Materials: Key Solutions for Highly Efficient and Stable Perovskite Solar Cells.", "venue": "Reports on progress in physics. Physical Society", "year": 2020 } ]
Focus on properties and applications of perovskites
[ { "abstract": "Missouri University of Science and Technology, USA 2 Tsinghua University, People's Republic of China University of Rennes 1, France E mail: [email protected], [email protected], maryline.guilloux [email protected] and octavio. pena@univ rennes1.fr Perovskite materials with the same crystal structure as CaTiO3 exhibit intriguing and unusual physical properties that have been extensively studied for both practical applications and theoretical modeling. From the discovery of ceramic high temperature superconductors to the organic inorganic semiconductors for high efficiency photovoltaics, the materials science and applications of perovskites have been a very broad research area open to many revolutionary discoveries for new device concepts. The impressive range of structure and property interplay of perovskites makes them an excellent research field for studies in materials science, physics and solid state chemistry. Structurally, such materials form various crystals including oxide and organometallic perovskites, spinels, and pyrochlores, to name just a few. Many different types of lattice distortions can occur owing to the flexibility of bond angles within the ideal perovskite structure. A broad range of novel functional materials and device concepts can be envisaged through fundamental understanding of the relationships between the structural and chemical compatibility, thermal stability, solid solubility and lattice strain. In this focus issue of Science and Technology of Advanced Materials, we present articles that cover a wide range of topics on perovskites, which include ferroelectric, dielectric, pyroelectric, piezoelectric, magnetic, catalytic, photovoltaic and electronic conduction properties. While this focus issue may not exhaust the many aspects of perovskite materials, it does highlight our fundamental understanding and underscore many exciting developments in this field. We hope that these articles will stimulate more interest in perovskites and help readers better understand some important scientific and technological issues related to perovskite materials. Special thanks are due to the authors and manuscript reviewers. Without their efforts, this focus issue would not have been completed.", "author_names": [ "Fatih Dogan", "Hong Lin", "Maryline Guilloux-Viry", "Octavio Pena" ], "corpus_id": 33557707, "doc_id": "33557707", "n_citations": 28, "n_key_citations": 0, "score": 1, "title": "Focus on properties and applications of perovskites", "venue": "Science and technology of advanced materials", "year": 2015 }, { "abstract": "Due to their attractive optoelectronic properties, metal halide APbI3 perovskites employing formamidinum (FA+ as A cation are presently the focus of intense research, The superior chemical and thermal stability of FA+ cations renders a FAPbI3 more suitable for solar cell applications than methylammonium lead iodide (MAPbI3) However, its spontaneous conversion to the yellow non perovskite phase (d FAPbI3) under ambient conditions poses a serious challenge for practical applications. Here, we report on the stabilization of the desired a FAPbI3 perovskite phase by protecting it with a two dimensional (2D) IBA2FAPb2I7 (IBA iso butylammonium overlayer, formed via stepwise annealing. Remarkably, the a FAPbI3/IBA2FAPb2I7 based perovskite solar cell (PSC) reached a high power conversion efficiency (PCE) of close to 23% In addition, it showed excellent operational stability, retaining ~85% of its initial efficiency under severe combined heat and light stress, i.e. simultaneous exposure with maximum power tracking to full simulated sunlight at 80 degC over a period of 500 h.", "author_names": [ "Yuhang Liu", "Seckin Akin", "Alexander Hinderhofer", "Felix Thomas Eickemeyer", "Hongwei Zhu", "Ji-Youn Seo", "Jiahuan Zhang", "Frank Schreiber", "Haowen Zhang", "Shaik Mohammed Zakeeruddin", "Anders Hagfeldt", "M Ibrahim Dar", "Michael Gratzel" ], "corpus_id": 218618563, "doc_id": "218618563", "n_citations": 41, "n_key_citations": 0, "score": 0, "title": "Stabilization of highly efficient and stable phase pure FAPbI3 Perovskite Solar Cells by Molecularly Tailored 2D Overlayers.", "venue": "Angewandte Chemie", "year": 2020 }, { "abstract": "Perovskite thin films possess excellent light absorption and light emission properties, as well as a high defect tolerance and high charge carrier mobilities [1] These properties founded their rapid development in solar cell applications and established this new class of materials as a strong candidate for solution processed light emitting applications and on chip laser sources [2] So far, the focus has been on investigating the laser behaviour under short pulsed excitation, given the laser death phenomenon observed after a few hundreds of nanoseconds of excitation [3] Clear CW lasing in perovskites still remains a challenge: It was only observed in a single cation perovskite at a specific temperature of 100 K, where the optical gain originates from small inclusions of a pump induced crystal phase [3] an unviable approach for practical applications. In contrast, we found that sustained CW operation is possible over a temperature range of ~80 130 K if the methylammonium (MA) cation is partially replaced by formamidinium (FA) and Caesium.", "author_names": [ "Isabel Allegro", "Philipp Brenner", "Ofer Bar-On", "Marius Jakoby", "Bryce Sydney Richards", "Ulrich Wilhelm Paetzold", "Ian A Howard", "Jacob Scheuer", "Uli Lemmer" ], "corpus_id": 204817594, "doc_id": "204817594", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Continuous Wave Amplified Spontaneous Emission in Phase Stable Triple Cation Lead Halide Perovskite Thin Films", "venue": "2019 Conference on Lasers and Electro Optics Europe European Quantum Electronics Conference (CLEO/Europe EQEC)", "year": 2019 }, { "abstract": "Oxides are versatile materials with applications in many different research fields; especially those related to clean energy technologies, such as fuel cells, batteries and solar panels. Many functional properties of these materials derive from lattice imperfections, or defects, and a lot of effort has been put into fine tuning these materials by modifying their structure on the atomic scale. This lays the foundation for this thesis, where the aim has been to explain the underlying mechanisms of properties in a selection of oxides, mainly those with the perovskite structure AB O3, by studying a variety of different defect species: oxygen vacancies, protons and hydride ions, acceptor dopants, electron and hole polarons, and grain boundaries (GBs) The methodology employed here constitutes a set of first principles methods, mainly different flavors of density functional theory (DFT) but also perturbation theory within the G0W0 approximation, assisted by thermodynamic modeling. The major part of the thesis is focused on defects in BaZrO3, an oxide that when acceptor doped and exposed to water acts as one of the most promising ceramic proton conductors by combining high bulk conductivity with high stability. The total proton conductivity in this material is, however, limited by high impedance at GBs due to the formation of space charges at these interfaces. This issue is addressed in four of the appended papers, which include studies on several different GBs as well as a comparison with GBs in the similar perovskite BaCeO3. Acceptor doped BaZrO3 is also a p type conductor under oxidizing conditions and this serves as the motivation for two papers, which address the methodology required for a proper theoretical description of holes in these materials. The final paper on BaZrO3 sets out to describe the chemical expansion the material exhibits upon hydration. The work extends beyond conventional ceramic proton conductors with a study on the novel oxyhydride material BaTiO3 xHx, where the electronic and vibrational properties of hydride ions are investigated. Finally, the thesis is concluded with a study of the oxygen vacancy in a set of binary and ternary oxides, where the aim is to show the general behavior this defect displays in these materials despite the fact that both the electronic and ionic structure varies significantly between the different compounds.", "author_names": [ "Anders Lindman" ], "corpus_id": 55617409, "doc_id": "55617409", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "On the defective origin of conductive and structural properties of oxides: insights from first principles", "venue": "", "year": 2017 }, { "abstract": "Lead free piezoelectric ceramics with the formula of (1 x)Bi0.5(Na0.80K0.20)0.5TiO3 xLiNbO3 or (1 x)BNKT xLN system, when x 0, 0.01, 0.02, 0.03, 0.04, 0.05 and 0.06 mol fraction, were fabricated by a conventional mixed oxide method and sintered at the temperature of 1100degC for 2 h. All ceramics were focused in terms of phase, microstructure, dielectric, ferroelectric and piezoelectric properties. All samples have the density ranging of 5.50 5.66 g/cm3. X ray diffraction pattern exhibited a single perovskite structure without any secondary phases. Scanning electron micrographs indicated a cubic like grain shape occurred for all compositions with average grain size of 1.11 1.09 mm. The addition of LN also improved dielectric properties of BNKT ceramic. The maximum dielectric constant measured at room temperature was 1830 for BNKT 0.03LN sample.", "author_names": [ "Pimpilai Wannasut", "Anucha Watcharapasorn", "Pharatree Jaita", "Sukanda Jiansirisomboon" ], "corpus_id": 16321536, "doc_id": "16321536", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Microstructure and electrical properties of (1 x)Bi0.5(Na0.80K0.20)0.5TiO3 xLiNbO3 lead free piezoelectric ceramics", "venue": "2015 Joint IEEE International Symposium on the Applications of Ferroelectric (ISAF) International Symposium on Integrated Functionalities (ISIF) and Piezoelectric Force Microscopy Workshop (PFM)", "year": 2015 }, { "abstract": "We aimed to perform a comprehensive systematic review of the existing ataxia scales. We described the disorders for which the instruments have been validated and used, the time spent in its application, its validated psychometric properties, and their use in studies of natural history and clinical trials. A search from 1997 onwards was performed in the MEDLINE, LILACS, and Cochrane databases. The web sites ClinicalTrials.gov and Orpha.net were also used to identify the endpoints used in ongoing randomized clinical trials. We identified and described the semiquantitative ataxia scales (ICARS, SARA, MICARS, BARS) semiquantitative ataxia and non ataxia scales (UMSARS, FARS, NESSCA) a semiquantitative non ataxia scale (INAS) quantitative ataxia scales (CATSYS 2000, AFCS, CCFS and CCFSw, and SCAFI) and the self performed ataxia scale (FAIS) SARA and ICARS were the best studied and validated so far, and their reliability sustain their use. Ataxia and non ataxia scores will probably provide a better view of the overall disability in long term trials and studies of natural history. Up to now, no clear advantage has been disclosed for any of them; however, we recommend the use of specific measurements of gait since gait ataxia is the first significant manifestation in the majority of ataxia disorders and comment on the best scales to be used in specific ataxia forms. Quantitative ataxia scales will be needed to speed up evidence from phase II clinical trials, from trials focused on the early phase of diseases, and for secondary endpoints in phase III trials. Finally, it is worth remembering that estimation of the actual minimal clinically relevant difference is still lacking; this, together with changes in quality of life, will probably be the main endpoints to measure in future therapeutic studies.", "author_names": [ "Jonas Alex Morales Saute", "Karina C Donis", "Carmen Serrano-Munuera", "David Genis", "Luis Torres Ramirez", "Pilar Mazzetti", "Luis Velazquez Perez", "Pilar Latorre", "Jorge Sequeiros", "Antoni Matilla-Duenas", "Laura Bannach Jardim", "On behalf of the Iberoamerican Multidisciplinary Netw Group" ], "corpus_id": 14740313, "doc_id": "14740313", "n_citations": 94, "n_key_citations": 5, "score": 0, "title": "Ataxia Rating Scales Psychometric Profiles, Natural History and Their Application in Clinical Trials", "venue": "The Cerebellum", "year": 2011 }, { "abstract": "IntroductionAssessing the significance of pharmacist interventions (PIs) is essential to demonstrate the added value of pharmacists. Methods and tools for assessing the potential significance of PIs are diverse and their properties are questionable.ObjectivesWe aimed to systematically review the tools available to assess the potential significance of PIs.MethodsWe conducted a systematic search for English or French language publications from 1986 to 2013 in PubMed, PsycINFO, PASCAL, and CINAHL. Studies were screened by two independent reviewers based on inclusion/exclusion criteria and were abstracted for content, structure of tools, and validation process.ResultsOf 873 citations screened, 82 distinct tools were identified from 133 studies. While clinical aspects were often defined quite clearly, terminology regarding humanistic, economic, and process related aspects of PIs was omitted, incomplete, or ambiguous in most tools. The probabilities of consequences of PIs/drug related problems were evaluated in 20/82 tools. Few tools simultaneously measured economic, clinical, humanistic, and process related variables. Structure of the tools varied from an implicit, mono dimensional tool to an explicit, multi dimensional algorithm. Validation processes were diverse in terms of quantification and number of raters, rating method, and psychometric parameters. Of 133 identified studies, there was limited evidence of validity (8/133, 6.0 inter rater reliability (49/133, 36.8 and intra rater reliability (2/133, 1.5 .ConclusionsThe majority of tools focused primarily on assessing clinical aspects and failed to detect comprehensive impacts. The heterogeneity of tools and assessment processes hindered our ability to synthesize the results of evaluations. Limited results for their validity and reliability cast doubt on the credibility of this methodology for justification of the value of PIs. Recommendations for development of tools with optimal theoretical, pragmatic, and psychometric properties are proposed.", "author_names": [ "Thi Ha Vo", "Bruno Charpiat", "C Catoire", "Michel Juste", "Renaud Roubille", "F X Rose", "Sebastien Chanoine", "Jean-Luc Bosson", "Ornella Conort", "Benoit Allenet", "Pierrick Bedouch", "On Behalf of the Working Group \"Standardizing and Dem Pharmacy" ], "corpus_id": 32554988, "doc_id": "32554988", "n_citations": 18, "n_key_citations": 2, "score": 0, "title": "Tools for Assessing Potential Significance of Pharmacist Interventions: A Systematic Review", "venue": "Drug Safety", "year": 2015 }, { "abstract": "Materials that exhibit colossal magnetoresistance (CMR) are currently the focus of an intense research effort, driven by the technological applications that their properties suggest. Using the angular correlation of photons from electron positron annihilation, we present a first view of the Fermi surface of a material that exhibits CMR, supported by `virtual crystal' electronic structure calculations. The Fermi surface is shown to be sufficiently cubic in nature that it is likely to support nesting.", "author_names": [ "Eric A Livesay", "Roy Neil West", "Stephen B Dugdale", "Gilles Santi", "The University of Texas at Arlington", "University of Bristol", "", "University of Geneva" ], "corpus_id": 117830592, "doc_id": "117830592", "n_citations": 13, "n_key_citations": 0, "score": 0, "title": "Fermi surface of the colossal magnetoresistance perovskite La0.7Sr0.3MnO3", "venue": "", "year": 1998 }, { "abstract": "Perovskite solar cells are notorious for exhibiting transient behaviour not seen in conventional inorganic semiconductor devices. Significant inroads have been made into understanding this fact in terms of rapid ion migration, now a well established property of the prototype photovoltaic perovskite MAPbI$_3$ and strongly implicated in the newer mixed compositions. Here we study the manifestations of ion migration in frequency domain small signal measurements, focusing on the popular technique of Electrical Impedance Spectroscopy (EIS) We provide new interpretations for a variety of previously puzzling features, including giant photo induced low frequency capacitance and negative capacitance in a variety of forms. We show that these apparently strange measurements can be rationalized by the splitting of AC current into two components, one associated with charge storage, and the other with the quasi steady state recombination current of electrons and holes. The latter contribution to the capacitance can take either a positive or a negative sign, and is potentially very large when slow, voltage sensitive processes such as ion migration are at play. Using numerical drift diffusion semiconductor models, we show that giant photo induced capacitance, inductive loop features, and low frequency negative capacitance all emerge naturally as consequences of ion migration via its coupling to quasi steady state electron and hole currents. In doing so, we unify the understanding of EIS measurements with the comparably well developed theory of rate dependent current voltage (I V) measurements in perovskite cells. Comparing the two techniques, we argue that EIS is more suitable for quantifying I V hysteresis than conventional methods based on I V sweeps, and demonstrate this application on a variety of cell types.", "author_names": [ "Daniel A Jacobs", "Heping Shen", "Florian Pfeffer", "Jun Peng", "Thomas P White", "Fiona J Beck", "Kylie R Catchpole Research School of Engineering", "The Australian National University", "" ], "corpus_id": 53455518, "doc_id": "53455518", "n_citations": 56, "n_key_citations": 0, "score": 0, "title": "The Two Faces of Capacitance: New Interpretations for Electrical Impedance Measurements of Perovskite Solar Cells and Their Relation to Hysteresis", "venue": "", "year": 2018 }, { "abstract": "1.The national principle of exhaustion of exclusive trademark rights applies in combination with the principle of exhaustion of rights as regulated by international agreements, to which the Russian Federation is a party.2.A court may deny in full or in part the ordering of legal measures countering the importation into the territory of the Russian Federation without the consent of the trademark right holder of a specific quantity of goods, on which the trademark is affixed by the right holder himself or with his consent and which were legally put into circulation outside of the borders of the Russian Federation, in the cases, when due to the trademark right holder's unfair conduct the application upon his request of such legal consequences may create a threat upon the citizen's life and health, and other publicly significant interests.3.Moreover the observance by the trademark right holder of a regime of sanctions against the Russian Federation, which was adopted by any other State outside of the predetermined international legal procedure and in violation of multilateral international agreements, to which the Russian Federation is party, expressed in the attitude adopted by the right holder towards the Russian market, may be in itself considered as unfair behaviour.4.It is not envisaged to order against the importation into the territory of the Russian Federation without the consent of the trademark right holder of a quantity of goods on which the trademark is affixed by the right holder or with his consent, civil liability measures that are identical in terms of volume (gravity of the outcome) to those countering the importation of fake goods, unless in the circumstances of a specific dispute it leads to losses for the right holder, comparable to the losses suffered from the introduction in circulation of fake goods.5.Goods, bearing a trademark affixed by the right holder himself or with his consent, introduced into the territory of the Russian Federation without the consent of the right holder, may be removed from circulation and destroyed pursuant to the remedies countering the infringement of an exclusive trademark right only in the event of their inadequate quality and (or) in order to ensure safety, protection of people's lives and health, nature and cultural property.", "author_names": [ "Arts 1252 para 4 1487 1515 paras 1 and 2 Agreement on \"PAG\" LLC v Sony Corporation Civil Code of the Ru" ], "corpus_id": 189822571, "doc_id": "189822571", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "\"PAG LLC v. Sony\"", "venue": "", "year": 2018 } ]
Connecting bulk properties of germanium with the behavior of self- and dopant diffusion
[ { "abstract": "Abstract The understanding of self and dopant diffusion properties over a range of temperatures and pressures can be technologically important for the formation of defined and efficient nanoelectronic devices. Phosporous, Arsenic and antimony are n type dopants that can be considered for n channel germanium metal oxide semiconductor field effect transistors. Using recent experimental data we show that elastic and expansivity data can reproduce the self diffusion and n type dopant diffusion coefficient of germanium in the temperature range 702 1177 K. This is achieved in the framework of the cBO model, which assumes that the defect Gibbs energy is proportinal to the isothermal bulk modulus and the mean volume per atom.", "author_names": [ "Alexander Chroneos", "R V Vovk" ], "corpus_id": 94953226, "doc_id": "94953226", "n_citations": 14, "n_key_citations": 0, "score": 1, "title": "Connecting bulk properties of germanium with the behavior of self and dopant diffusion", "venue": "", "year": 2015 }, { "abstract": "Diffusion is a fundamental process that can have an impact on numerous technological applications, such as nanoelectronics, nuclear materials, fuel cells, and batteries, whereas its understanding is important across scientific fields including materials science and geophysics. In numerous systems, it is difficult to experimentally determine the diffusion properties over a range of temperatures and pressures. This gap can be bridged by the use of thermodynamic models that link point defect parameters to bulk properties, which are more easily accessible. The present review offers a discussion on the applicability of the cBO model, which assumes that the defect Gibbs energy is proportional to the isothermal bulk modulus and the mean volume per atom. This thermodynamic model was first introduced 40 years ago; however, consequent advances in computational modelling and experimental techniques have regenerated the interest of the community in using it to calculate diffusion properties, particularly under extrem.", "author_names": [ "Alexander Chroneos" ], "corpus_id": 67794945, "doc_id": "67794945", "n_citations": 20, "n_key_citations": 0, "score": 0, "title": "Connecting point defect parameters with bulk properties to describe diffusion in solids", "venue": "", "year": 2016 }, { "abstract": "Copper diffusion in germanium is fundamentally and technologically important as it has a very low activation energy and influences the precipitation and gettering of copper respectively. These constitute the understanding of copper's diffusion properties in germanium over a range of temperatures and pressures important. In the present study we use the cBO model in which the defect Gibbs energy is proportional to the isothermal bulk modulus (B) and the mean volume per atom (O) The elastic and expansivity data is used in the description of the cBO model to derive the copper interstitial diffusion coefficient in germanium in the temperature range 827 1,176 K. The calculated results are discussed in view of the available experimental data.", "author_names": [ "Alexander Chroneos", "Yerassimos Panayiotatos", "R V Vovk" ], "corpus_id": 137543842, "doc_id": "137543842", "n_citations": 9, "n_key_citations": 0, "score": 0, "title": "Copper diffusion in germanium: connecting point defect parameters with bulk properties", "venue": "Journal of Materials Science: Materials in Electronics", "year": 2015 }, { "abstract": "The understanding of dopant diffusion and its temperature dependance is technologically important in metals. As a model system we consider germanium diffusion in aluminium. This is an appropriate system as germanium does not form intermetallic compounds in aluminium and therefore it simplifies the investigation of its diffusion behavior. Here we use experimental elastic and expansivity data to derive the germanium diffusion coefficient in aluminium in the framework of the so called cBO model, between 673 and 883 K. This model is a powerful way to study point defect parameters in metals as it connects them to bulk properties, which are more easily accessible. The calculated diffusivities are in excellent agreement with the experimental data.", "author_names": [ "E Ganniari-Papageorgiou", "Michael E Fitzpatrick", "Alexander Chroneos" ], "corpus_id": 53692950, "doc_id": "53692950", "n_citations": 6, "n_key_citations": 0, "score": 0, "title": "Germanium diffusion in aluminium: connection between point defect parameters with bulk properties", "venue": "Journal of Materials Science: Materials in Electronics", "year": 2015 }, { "abstract": "Indium is a p type dopant that can be considered for p channel germanium metal oxide semiconductor field effect transistors. As such understanding its diffusion properties over a range of temperatures and pressures is technologically important. This can be realized in the cBO model in which the defect Gibbs energy is proportional to the isothermal bulk modulus (B) and the mean volume per atom (O) In the present study elastic and expansivity data is used in the framework of the cBO model to derive the indium diffusion coefficient in germanium in the temperature range 827 1,176 K. The calculated results are in excellent agreement with the available experimental data.", "author_names": [ "Alexander Chroneos", "R V Vovk" ], "corpus_id": 136688543, "doc_id": "136688543", "n_citations": 15, "n_key_citations": 0, "score": 0, "title": "Modeling indium diffusion in germanium by connecting point defect parameters with bulk properties", "venue": "Journal of Materials Science: Materials in Electronics", "year": 2015 }, { "abstract": "quantum confinement of electrons and phonons. All chapters have their own references (from historical important papers to modern monographs and textbooks) and are enriched with tables of material parameters, figures and problems (105 in total) The book contains 246 two color figures, 50 tables, a subject index and a very interesting appendix \"Pioneers of Semiconductor Physics remember.\" Heavy emphasis on optical properties and photoelectron spectroscopy reflects the expertise of the authors. The book treats semiconductors as materials, no device applications are contained. It attempts to fill the gap between solid state physics textbooks and research articles. The approach is physical and intuitive rather than formal. Theories are presented to explain experimental results. In the author's opinion it needs for understanding only undergraduate physics and mathematics course materials (with the exception of group theory, which is presented as a \"crash course\" in chapter 2.3) but in fact a deeper understanding of special topics requires knowledge in second quantization. Greens functions and Freynman diagrams (for calculating light scattering) The book will be of great value for graduate students and research students in the field of semiconductor physics, for lecturers of semiconductor physics and for engineers working in the field of research and development of electronic and especially of optoelectronic devices.", "author_names": [ "Klaus Kreher" ], "corpus_id": 102265731, "doc_id": "102265731", "n_citations": 760, "n_key_citations": 97, "score": 0, "title": "Fundamentals of Semiconductors Physics and Materials Properties", "venue": "", "year": 1997 }, { "abstract": "Zinc oxide is a unique material that exhibits semiconducting and piezoelectric dual properties. Using a solid vapour phase thermal sublimation technique, nanocombs, nanorings, nanohelixes/nanosprings, nanobelts, nanowires and nanocages of ZnO have been synthesized under specific growth conditions. These unique nanostructures unambiguously demonstrate that ZnO probably has the richest family of nanostructures among all materials, both in structures and in properties. The nanostructures could have novel applications in optoelectronics, sensors, transducers and biomedical sciences. This article reviews the various nanostructures of ZnO grown by the solid vapour phase technique and their corresponding growth mechanisms. The application of ZnO nanobelts as nanosensors, nanocantilevers, field effect transistors and nanoresonators is demonstrated.", "author_names": [ "Zhong Lin Wang" ], "corpus_id": 15816304, "doc_id": "15816304", "n_citations": 3070, "n_key_citations": 54, "score": 0, "title": "Zinc oxide nanostructures: growth, properties and applications", "venue": "", "year": 2004 }, { "abstract": "From a theory of Hohenberg and Kohn, approximation methods for treating an inhomogeneous system of interacting electrons are developed. These methods are exact for systems of slowly varying or high density. For the ground state, they lead to self consistent equations analogous to the Hartree and Hartree Fock equations, respectively. In these equations the exchange and correlation portions of the chemical potential of a uniform electron gas appear as additional effective potentials. (The exchange portion of our effective potential differs from that due to Slater by a factor of \\frac{2}{3} Electronic systems at finite temperatures and in magnetic fields are also treated by similar methods. An appendix deals with a further correction for systems with short wavelength density oscillations.", "author_names": [ "Walter Kohn", "Lu J Sham" ], "corpus_id": 55364462, "doc_id": "55364462", "n_citations": 37359, "n_key_citations": 1310, "score": 0, "title": "Self Consistent Equations Including Exchange and Correlation Effects", "venue": "", "year": 1965 }, { "abstract": "MOSFETs with gate length down to 17 nm are reported. To suppress the short channel effect, a novel self aligned double gate MOSFET, FinFET, is proposed. By using boron doped Si/sub 0.4/Ge/sub 0.6/ as a gate material, the desired threshold voltage was achieved for the ultrathin body device. The quasiplanar nature of this new variant of the vertical double gate MOSFETs can be fabricated relatively easily using the conventional planar MOSFET process technologies.", "author_names": [ "Digh Hisamoto", "Wen-Chin Lee", "Jakub Kedzierski", "Hideki Takeuchi", "K Asano", "C Kuo", "Erik H Anderson", "Tsu-Jae King", "Jeffrey Bokor", "Chenming Calvin Hu" ], "corpus_id": 7830838, "doc_id": "7830838", "n_citations": 1372, "n_key_citations": 60, "score": 0, "title": "FinFET a self aligned double gate MOSFET scalable to 20 nm", "venue": "", "year": 2000 }, { "abstract": "We present a pair of nonorthogonal tight binding (TB) models for germanium within the NRL TB approach. One uses an sp 3 basis, and is optimized for total energy calculations by fitting to the total energy and band structures of several high symmetry lattice structures. The other uses an spuds basis to accurately reproduce the diamond lattice band structure, including three conduction bands. We present tests of the sp 3 TB model on bulk properties, including high symmetry lattice structure energies and volumes and the diamond lattice elastic constants, phonons, and band structure. We also present results for point defect formation and relaxation energies and low index surface energies and stresses, many of which have not been calculated using the density functional theory (DFT) as well as some medium size clusters. Taking advantage of the computational efficiency of the TB approach, we go beyond the capabilities of standard density functional theory, combining it with molecular dynamics to simulate finite temperature properties of Ge. We get good agreement with experiment for the atomic mean squared displacement and the melting point approximated using the Linde mann criterion, as well as the linear thermal expansion coefficient. In another demonstration of the efficiency of the TB approach, we present results for the structure and electronic properties of a high angle twist grain boundary (GB) In agreement with DFT simulation we see a range of structures with comparable energies, all with electronic states deep in the band gap. In contrast to previous work we find some different geometries with perfect fourfold coordination of all atoms in the GB. Despite the perfect coordination, these structures also have deep electronic states in the gap, indicating that the GB will be electrically active.", "author_names": [ "Noam Bernstein", "Michael J Mehl", "Dimitrios A Papaconstantopoulos" ], "corpus_id": 121828187, "doc_id": "121828187", "n_citations": 40, "n_key_citations": 0, "score": 0, "title": "Nonorthogonal tight binding model for germanium", "venue": "", "year": 2002 } ]
Single-layer MoS2 transistors
[ { "abstract": "Two dimensional materials are attractive for use in next generation nanoelectronic devices because, compared to one dimensional materials, it is relatively easy to fabricate complex structures from them. The most widely studied two dimensional material is graphene, both because of its rich physics and its high mobility. However, pristine graphene does not have a bandgap, a property that is essential for many applications, including transistors. Engineering a graphene bandgap increases fabrication complexity and either reduces mobilities to the level of strained silicon films or requires high voltages. Although single layers of MoS(2) have a large intrinsic bandgap of 1.8 eV (ref. 16) previously reported mobilities in the 0.5 3 cm(2) V( 1) s( 1) range are too low for practical devices. Here, we use a halfnium oxide gate dielectric to demonstrate a room temperature single layer MoS(2) mobility of at least 200 cm(2) V( 1) s( 1) similar to that of graphene nanoribbons, and demonstrate transistors with room temperature current on/off ratios of 1 x 10(8) and ultralow standby power dissipation. Because monolayer MoS(2) has a direct bandgap, it can be used to construct interband tunnel FETs, which offer lower power consumption than classical transistors. Monolayer MoS(2) could also complement graphene in applications that require thin transparent semiconductors, such as optoelectronics and energy harvesting.", "author_names": [ "Branimir Radisavljevic", "Aleksandra Radenovic", "Jacopo Brivio", "Valentina Giacometti", "Andras Kis" ], "corpus_id": 205446552, "doc_id": "205446552", "n_citations": 9689, "n_key_citations": 117, "score": 1, "title": "Single layer MoS2 transistors.", "venue": "Nature nanotechnology", "year": 2011 }, { "abstract": "MoS2 and related metal dichalcogenides (MoSe2, WS2, WSe2) are layered two dimensional materials that are promising for nanoelectronics and spintronics. For instance, large spin orbit coupling and spin splitting in the valence band of single layer (SL) MoS2 could lead to enhanced spin lifetimes and large spin Hall angles. Understanding the nature of the contacts is a critical first step for realizing spin injection and spin transport in MoS2. Here, we have investigated Co contacts to SL MoS2 and find that the Schottky barrier height can be significantly decreased with the addition of a thin oxide barrier (MgO) Further, we show that the barrier height can be reduced to zero by tuning the carrier density with back gate. Therefore, the MgO could simultaneously provide a tunnel barrier to alleviate conductance mismatch while minimizing carrier depletion near the contacts. Such control over the barrier height should allow for careful engineering of the contacts to realize spin injection in these materials.", "author_names": [ "Jen-Ru Chen", "Patrick Michael Odenthal", "Adrian G Swartz", "G Floyd", "Hua Wen", "Kelly Yunqiu Luo", "Roland Kenji Kawakami" ], "corpus_id": 24812603, "doc_id": "24812603", "n_citations": 274, "n_key_citations": 1, "score": 0, "title": "Control of Schottky barriers in single layer MoS2 transistors with ferromagnetic contacts.", "venue": "Nano letters", "year": 2013 }, { "abstract": "Ubiquitous low frequency 1/f noise can be a limiting factor in the performance and application of nanoscale devices. Here, we quantitatively investigate low frequency electronic noise in single layer transition metal dichalcogenide MoS2 field effect transistors. The measured 1/f noise can be explained by an empirical formulation of mobility fluctuations with the Hooge parameter ranging between 0.005 and 2.0 in vacuum <10( 5) Torr) The field effect mobility decreased, and the noise amplitude increased by an order of magnitude in ambient conditions, revealing the significant influence of atmospheric adsorbates on charge transport. In addition, single Lorentzian generation recombination noise was observed to increase by an order of magnitude as the devices were cooled from 300 to 6.5 K.", "author_names": [ "Vinod K Sangwan", "Heather N Arnold", "Deep Jariwala", "Tobin J Marks", "Lincoln J Lauhon", "Mark C Hersam" ], "corpus_id": 14803449, "doc_id": "14803449", "n_citations": 193, "n_key_citations": 3, "score": 0, "title": "Low frequency electronic noise in single layer MoS2 transistors.", "venue": "Nano letters", "year": 2013 }, { "abstract": "Since the first experimental demonstration of a field effect transistor (FETs) based on a single layer (SL) MoS2 channel [1] this material as well as other members of the transition metal dichalcogenide (TMD) family have received a wide attention as potential replacement for Silicon at the end of the semiconductor roadmap. While the electronic characteristics of TMD FETs have been extensively investigated, showing excellent electrostatic controls, high Ion/Ioff current ratios, and decent mobility values, their thermal and electro thermal properties have so far remained largely unexplored. It has however been demonstrated in Ref. [2] that power/heat dissipation might be a severe performance limiting factor in next generation logic switches. This concerns even more 2 D crystals such as SL MoS2, for which a reduced heat sinking is expected, as compared to bulk structures [3] To provide a theoretical insight into this issue, we performed the first electro thermal quantum transport simulations of ultra scaled SL MoS2 transistors, as in Fig. 1(a) where both the electron and phonon populations are treated at the ab initio level. The key findings (formation of local hot spots close to the drain side and peak lattice temperatures much higher than in Si devices under similar operating conditions) qualitatively agree with recent experimental results [4] and reveal that heat management could be the bottleneck of the SL MoS2 technology.", "author_names": [ "Christian Stieger", "Aron Szabo", "Teute Bunjaku", "Mathieu Luisier" ], "corpus_id": 45435569, "doc_id": "45435569", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "Ab initio modeling of self heating in single layer MoS2 transistors", "venue": "2017 75th Annual Device Research Conference (DRC)", "year": 2017 }, { "abstract": "Field effect transistors using ultrathin molybdenum disulfide (MoS(2) have recently been experimentally demonstrated, which show promising potential for advanced electronics. However, large variations like hysteresis, presumably due to extrinsic/environmental effects, are often observed in MoS(2) devices measured under ambient environment. Here, we report the origin of their hysteretic and transient behaviors and suggest that hysteresis of MoS(2) field effect transistors is largely due to absorption of moisture on the surface and intensified by high photosensitivity of MoS(2) Uniform encapsulation of MoS(2) transistor structures with silicon nitride grown by plasma enhanced chemical vapor deposition is effective in minimizing the hysteresis, while the device mobility is improved by over 1 order of magnitude.", "author_names": [ "Dattatray J Late", "Bin Liu", "H S S Ramakrishna Matte", "Vinayak P Dravid", "C N R Rao" ], "corpus_id": 36572816, "doc_id": "36572816", "n_citations": 790, "n_key_citations": 10, "score": 0, "title": "Hysteresis in single layer MoS2 field effect transistors.", "venue": "ACS nano", "year": 2012 }, { "abstract": "While two dimensional (2D) van der Waals (vdW) layered materials are promising channel materials for wearable electronics and energy efficient field effect transistors (FETs) large hysteresis and large subthreshold swing induced by either dangling bonds at gate oxide dielectrics and/or trap molecules in bubbles at vdW interface are a serious drawback, hampering implementation of the 2D material based FETs in real electronics. Here, we report a monolayer MoS2 FET with near zero hysteresis reaching 0.15% of the sweeping range of the gate bias, a record value observed so far in 2D FETs. This was realized by squeezing the MoS2 channel between top h BN layer and bottom h BN gate dielectrics and further removing the trap molecules in bubbles at the vdW interfaces via post annealing. By segregating the bubbles out to the edge of the channel, we also obtain excellent switching characteristics with a minimum subthreshold swing of 63 mV/dec, an average subthreshold slope of 69 mV/dec for a current range of four orders of magnitude at room temperature, and a high on/off current ratio of 108 at a small operating voltage <1 V) Such a near zero hysteresis and a near ideal subthreshold limit originate from the reduced trap density of ~5.2 x 109 cm 2 eV 1, a thousand times smaller than previously reported values.", "author_names": [ "Quoc An Vu", "Sidi Fan", "Sang Hyup Lee", "Min-Kyu Joo", "Woo Jong Yu", "Young Hee Lee" ], "corpus_id": 115604074, "doc_id": "115604074", "n_citations": 55, "n_key_citations": 1, "score": 0, "title": "Near zero hysteresis and near ideal subthreshold swing in h BN encapsulated single layer MoS2 field effect transistors", "venue": "", "year": 2018 }, { "abstract": "Engineering the substrate of 2D transition metal dichalcogenides can couple the quasiparticle interaction between the 2D material and substrate, providing an additional route to realize conceptual quantum phenomena and novel device functionalities, such as realization of a 12 time increased valley spitting in single layer WSe2 through the interfacial magnetic exchange field from a ferromagnetic EuS substrate, and band to band tunnel field effect transistors with a subthreshold swing below 60 mV dec 1 at room temperature based on bilayer n MoS2 and heavily doped p germanium, etc. Here, it is demonstrated that epitaxially grown single layer MoS2 on a lattice matched GaN substrate, possessing a type I band alignment, exhibits strong substrate induced interactions. The phonons in GaN quickly dissipate the energy of photogenerated carriers through electron phonon interaction, resulting in a short exciton lifetime in the MoS2 /GaN heterostructure. This interaction enables an enhanced valley helicity at room temperature (0.33 0.05) observed in both steady state and time resolved circularly polarized photoluminescence measurements. The findings highlight the importance of substrate engineering for modulating the intrinsic valley carriers in ultrathin 2D materials and potentially open new paths for valleytronics and valley optoelectronic device applications.", "author_names": [ "Yi Wan", "Jun Xiao", "Jingzhen Li", "X Z Fang", "Kun Zhang", "Lei Fu", "Pan Li", "Zhigang Song", "Hui Zhang", "Yilun Wang", "Mervin Zhao", "Jing Lu", "Ning Tang", "Guangzhao Ran", "Xiang Zhang", "Yu Ye", "Lun Dai" ], "corpus_id": 5448588, "doc_id": "5448588", "n_citations": 42, "n_key_citations": 2, "score": 0, "title": "Epitaxial Single Layer MoS2 on GaN with Enhanced Valley Helicity.", "venue": "Advanced materials", "year": 2018 }, { "abstract": "We combine the linearized Boltzmann Transport Equation (LBTE) and quantum transport by means of the Non equilibrium Green's Functions (NEGF) to simulate monolayer MoS2 and WS2 ultra scaled transistors with carrier mobilities extracted from experiments. Electron phonon, charged impurity, and surface optical phonon scattering are taken into account with all necessary parameters derived from ab initio calculations or measurements, except for the impurity concentration. The LBTE method is used to scale the scattering self energies of NEGF, which only include local interactions. This ensures an accurate reproduction of the measured mobilities by NEGF. We then perform device simulations and demonstrate that the considered transistors operate far from their performance limit (from 50% for MoS2 to 60% for WS2) Higher quality materials and substrate engineering will be needed to improve the situation.", "author_names": [ "Y Lee", "Sara Fiore", "Mathieu Luisier" ], "corpus_id": 211210210, "doc_id": "211210210", "n_citations": 8, "n_key_citations": 0, "score": 0, "title": "Ab initio mobility of single layer MoS2 and WS2: comparison to experiments and impact on the device characteristics", "venue": "2019 IEEE International Electron Devices Meeting (IEDM)", "year": 2019 }, { "abstract": "Single layer MoS2, with its ultimate atomic thickness, has shown promise to scale down transistors for modern integrated circuitry. On the way to implementing two dimensional (2D) electronic devices, controlled wafer scale synthesis of single layer MoS2, single layer MoS2metal oxide semiconductor field effect transistors, ohmic contact of single layer MoS2for low contact resistance, etc. have been extensively studied. However, the most commonly used two terminal electronic component, a diode, that conducts current primarily in one direction was rarely reported based on single layer MoS2. Here, a two terminal high rectification ratio metal insulator semiconductor (MIS) tunnel diode was reported based on single layer MoS2. The In/Au (10/70 nm) electrode via thermal evaporation was used to form a good ohmic contact with the single layer MoS2. The Si3N4/Pd/Au (5/10/70 nm) electrode via electron beam evaporation was used to form an MIS tunneling structure with single layer MoS2, showing a current rectification ratio of up to 107at room temperature. The high current rectification ratio is realized by controlling the quantum tunneling carrier density and the tunneling barrier width. The single layer MoS2MIS tunnel diode fabricated via the silicon technology compatible evaporation method has the potential application as the fundamental electronic building block for future 2D electronics.", "author_names": [ "Ziling Li", "Kai Yuan", "Yu Ye" ], "corpus_id": 207834827, "doc_id": "207834827", "n_citations": 2, "n_key_citations": 0, "score": 0, "title": "High rectification ratio metal insulator semiconductor tunnel diode based on single layer MoS2.", "venue": "Nanotechnology", "year": 2019 }, { "abstract": "Polycrystalline Bi3TaTiO9 (BTTO) thin films of layered perovskite ferroelectric materials were deposited on a Pt/Ta/glass substrate via a pulsed laser deposition (PLD) method using two different PLD deposition rates of 0.03 and 0.5 nm/pulse under the same substrate temperature conditions. The BTTO thin film grown by the low PLD deposition rate exhibited a highly a oriented crystal structure, which was confirmed by X ray diffraction experiments. The crystallinity of the a oriented film caused an improvement in the ferroelectric polarization and piezoelectric coefficients; at the same time, the leakage current characteristics were slightly deteriorated. Ferroelectric field effect transistors (FeFETs) made of monolayer MoS2 channels and BTTO thin films as a gate dielectric layer, exhibited clearly different memory windows as a nonvolatile memory. In addition, the reliability of the FeFETs was confirmed by fatigue tests up to 1012 switching cycles and retention tests up to 106 s.Polycrystalline Bi3TaTiO9 (BTTO) thin films of layered perovskite ferroelectric materials were deposited on a Pt/Ta/glass substrate via a pulsed laser deposition (PLD) method using two different PLD deposition rates of 0.03 and 0.5 nm/pulse under the same substrate temperature conditions. The BTTO thin film grown by the low PLD deposition rate exhibited a highly a oriented crystal structure, which was confirmed by X ray diffraction experiments. The crystallinity of the a oriented film caused an improvement in the ferroelectric polarization and piezoelectric coefficients; at the same time, the leakage current characteristics were slightly deteriorated. Ferroelectric field effect transistors (FeFETs) made of monolayer MoS2 channels and BTTO thin films as a gate dielectric layer, exhibited clearly different memory windows as a nonvolatile memory. In addition, the reliability of the FeFETs was confirmed by fatigue tests up to 1012 switching cycles and retention tests up to 106 s.", "author_names": [ "Hyun Wook Shin", "Sunghoon Lee", "Jong Yeog Son" ], "corpus_id": 213060929, "doc_id": "213060929", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Characteristics of ferroelectric field effect transistors composed of a ferroelectric Bi3TaTiO9 gate stack and a single layer MoS2 channel", "venue": "", "year": 2019 } ]
Nb-doped single crystalline MoS2 field effect transistor
[ { "abstract": "We report on the demonstration of a p type, single crystalline, few layer MoS2 field effect transistor (FET) using Niobium (Nb) as the dopant. The doping concentration was extracted and determined to be ~3 x 1019/cm3. We also report on bilayer Nb doped MoS2 FETs with ambipolar conduction. We found that the current ON OFF ratio of the Nb doped MoS2 FETs changes significantly as a function of the flake thickness. We attribute this experimental observation to bulk type electrostatic effect in ultra thin MoS2 crystals. We provide detailed analytical modeling in support of our claims. Finally, we show that in the presence of heavy doping, even ultra thin 2D semiconductors cannot be fully depleted and may behave as a 3D material when used in transistor geometry. Our findings provide important insights into the doping constraints of 2D materials, in general.", "author_names": [ "Saptarshi Das", "Marcel Demarteau", "Andreas Karl Gehard Roelofs" ], "corpus_id": 120065763, "doc_id": "120065763", "n_citations": 62, "n_key_citations": 0, "score": 1, "title": "Nb doped single crystalline MoS2 field effect transistor", "venue": "", "year": 2015 }, { "abstract": "This letter demonstrates unipolar p type MoS<sub>2</sub> field effect transistors (p FETs) The p FETs are fabricated using high work function Pt as the contact electrode and p type MoS <sub>2</sub> film as the active channel. The p FETs, with a channel length of 1 <inline formula> <tex math notation=\"LaTeX\"\\mu /tex math>/inline formula> m, show an output current of <inline formula> <tex math notation=\"LaTeX\" 10~\\mu /tex math>/inline formula> A/<inline formula> <tex math notation=\"LaTeX\"\\mu /tex math>/inline formula> m with a drain voltage of 1 V. The Pt electrode, formed by slow electron beam evaporation, shows a contact barrier height of 0.13 eV. In comparison, a faster deposition rate results in a larger resistance and a higher contact barrier of the Pt electrode. Raman characterization provides certain support for the improved contact interface of the slowly deposited Pt electrode, which may be an essential factor in improving current drive.", "author_names": [ "Zichao Ma", "Lining Zhang", "Changjian Zhou", "Mansun Chan" ], "corpus_id": 232063054, "doc_id": "232063054", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "High Current Nb Doped P Channel MoS2 Field Effect Transistor Using Pt Contact", "venue": "IEEE Electron Device Letters", "year": 2021 }, { "abstract": "For the first time, we report the successful fabrication of the well behaved field effect transistors based on the Nb doped b Ga2O3 nanobelt mechanically exfoliated from bulk single crystal. The exfoliated b Ga2O3 nanobelts were transferred onto a purified surface of the 110 nm SiO2/Si substrate. These Nb doped devices showed excellent electrical performance such as ultra small cutoff current of ~10 fA, high current on/off ratio of >108, and a quite steep subthreshold swing (SS, ~120 mV/decade) Furthermore, we investigated the temperature dependence down to 200 K, providing insightful information for its operation in the harsh environment. This work lays a foundation for wider application of Nb doped b Ga2O3 in nano electronics.", "author_names": [ "Jinxin Chen", "Xiao-Xi Li", "Jia-Jia Tao", "Huiyuan Cui", "Wei Huang", "Zhi-Gang Ji", "Qinglin Sai", "Changtai Xia", "Hong-Liang Lu", "David-Wei Zhang" ], "corpus_id": 210984785, "doc_id": "210984785", "n_citations": 4, "n_key_citations": 0, "score": 0, "title": "Fabrication of Nb Doped b Ga2O3 Nanobelt Field Effect Transistor and Its Low Temperature Behavior.", "venue": "ACS applied materials interfaces", "year": 2020 }, { "abstract": "Growth of highly crystalline MoS<inf>2</inf> single crystals with large edge length of ~260 mm was demonstrated via the sulfurization process. The high quality of the MoS<inf>2</inf> atomic layer, exemplified by its optical property and electrical performance, presents a significant step towards scalable preparation of this material. The back gated FETs based on as grown, single layer MoS<inf>2</inf> exhibited the extraordinary high electron mobility value ~90 cm<sup>2</sup>V<sup> 1</sup>s<sup> 1</sup> which is the largest reported to date for as grown, monolayer MoS<inf>2</inf> in a 2 terminal device configuration.", "author_names": [ "Shi Wun Tong", "Henry Medina", "Wugang Liao", "C Zhu", "Kedar Hippalgaonkar", "Dongzhi Chi" ], "corpus_id": 174819350, "doc_id": "174819350", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "High Performance Field Effect Transistor based on Large sized Highly Crystalline MoS2 Single Crystal", "venue": "2019 Electron Devices Technology and Manufacturing Conference (EDTM)", "year": 2019 }, { "abstract": "We fabricated ferroelectric non volatile random access memory (FeRAM) based on a field effect transistor (FET) consisting of a monolayer MoS2 channel and a ferroelectric PbTiO3 (PTO) thin film of gate insulator. An epitaxial PTO thin film was deposited on a Nb doped SrTiO3 (Nb:STO) substrate via pulsed laser deposition. A monolayer MoS2 sheet was exfoliated from a bulk crystal and transferred to the surface of the PTO/Nb:STO. Structural and surface properties of the PTO thin film were characterized by X ray diffraction and atomic force microscopy, respectively. Raman spectroscopy analysis was performed to identify the single layer MoS2 sheet on the PTO/Nb:STO. We obtained mobility value (327 cm2/V*s) of the MoS2 channel at room temperature. The MoS2 PTO FeRAM FET showed a wide memory window with 17 kO of resistance variation which was attributed to high remnant polarization of the epitaxially grown PTO thin film. According to the fatigue resistance test for the FeRAM FET, however, the resistance states gradually varied during the switching cycles of 109.", "author_names": [ "Hyun Wook Shin", "Jong Yeog Son" ], "corpus_id": 139130409, "doc_id": "139130409", "n_citations": 11, "n_key_citations": 0, "score": 0, "title": "Nonvolatile ferroelectric memory based on PbTiO3 gated single layer MoS2 field effect transistor", "venue": "Electronic Materials Letters", "year": 2017 }, { "abstract": "Atomically thin semiconductors have versatile future applications in the information and communication technologies for the ultimate miniaturization of electronic components. In particular, the ongoing research demands not only a large scale synthesis of pristine quality monolayer MoS2 but also advanced nanofabrication and characterization methods for investigation of intrinsic device performances. Here, we conduct a meticulous investigation of the fast transient charge trapping mechanisms in field effect transistors (FETs) of high quality CVD MoS2 monolayers grown by a salt driven method. To unfold the intrinsic transistor behavior, an amplitude sweep pulse I~V methodology is adapted with varying pulse widths. A significant increase in the field effect mobility up to ~100% is achieved along with a hysteresis free transfer characteristic by applying the shortest pulse. Moreover, to correlate these results, a single pulse time domain drain current analysis is carried out to unleash the fast and slow transient charge trapping phenomena. Furthermore, rigorous density functional theory (DFT) calculations are implemented to inspect the effects of the Schottky barrier and metal induced gap states between drain/source electrode and MoS2 for the superior carrier transport. Our findings on the controllable transient charge trapping mechanisms for estimation of intrinsic field effect mobility and hysteresis free transfer characteristic in salt assisted CVD grown MoS2 FETs will be beneficial for future device applications in complex memory, logic, and sensor systems.", "author_names": [ "Sameer Kumar Mallik", "Sandhyarani Sahoo", "Mousam Charan Sahu", "Sanjeev K Gupta", "Saroj Prasad Dash", "Rajeev Ahuja", "Satyaprakash Sahoo" ], "corpus_id": 222133980, "doc_id": "222133980", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Fast transient charge trapping in salt aided CVD synthesized monolayer MoS2 field effect transistor", "venue": "", "year": 2020 }, { "abstract": "gate dielectric, Nb doped STOOver the past several decades, the aggressive scaling ofSi based metal oxide semiconductor field effect transistor(MOSFET) devices has been successfully achieved. Morerecently, however, as the technology node approaches itsphysical limit down to 10 nm regime, alternative methodo logies have been made for further extension of the Moore'slaw, which has mainly focused on implementation of highcarrier mobility channel materials. Of various path findings,the advent of graphene, a fascinating two dimensional (2D)crystal, has received intensive attention, especially due to itsmassless charge carriers.", "author_names": [ "Woo Hee Kim", "Jong Yeog Son" ], "corpus_id": 97563325, "doc_id": "97563325", "n_citations": 7, "n_key_citations": 0, "score": 0, "title": "Single Layer MoS 2 Field Effect Transistor with Epitaxially Grown SrTiO 3 Gate Dielectric on Nb doped SrTiO 3 Substrate", "venue": "", "year": 2013 }, { "abstract": "We report the demonstration of an n channel lateral Si tunnel field effect transistor (TFET) with a single crystalline Ge source fabricated using the gate last process. The p+ Ge source was in situ doped and grown at 320degC. An abrupt interface between Ge source and Si channel with type II band alignment and a steep source doping profile ~1.5 nm/decade) formed the tunneling junction. This allows the realization of a TFET with a steep subthreshold swing of 49 mV/decade at room temperature and an ION/IOFF ratio of 107.", "author_names": [ "Yan Liu", "Hongjuan Wang", "Jielu Yan", "Genquan Han" ], "corpus_id": 119699653, "doc_id": "119699653", "n_citations": 5, "n_key_citations": 0, "score": 0, "title": "A Silicon Tunnel Field Effect Transistor with an In Situ Doped Single Crystalline Ge Source for Achieving Sub 60 mV/decade Subthreshold Swing", "venue": "", "year": 2013 }, { "abstract": "In this report, a screening engineered carbon nanotube (CNT) network/MoS2/metal heterojunction vertical field effect transistor (CNT VFET) is fabricated for an efficient gate modulation independent of the drain voltage. The gate field in the CNT VFET transports through the empty space of the CNT network without any screening layer and directly modulates the MoS2 semiconductor energy band, while the gate field from the Si back gate is mostly screened by the graphene layer. Consequently, the on/off ratio of CNT VFET maintained 103 in overall drain voltages, which is 10 times and 1000 times higher than that of the graphene (Gr) VFET at Vsd 0.1 (ratio 81.9) and 1 V (ratio 3) respectively. An energy band diagram simulation shows that the Schottky barrier modulation of CNT/MoS2 contact along the sweeping gate bias is independent of the drain voltage. On the other hand, the gate modulation of Gr/MoS2 is considerably reduced with increased drain voltage because more electrons are drawn into the graphene electrode and screens the gate field by applying a higher drain voltage to the graphene/MoS2/metal capacitor.", "author_names": [ "Thanh Luan Phan", "Quoc An Vu", "Young Rae Kim", "Yong Seon Shin", "Ilmin Lee", "Minh Dao Tran", "Jinbao Jiang", "Dinh Hoa Luong", "Lei Liao", "Young Hee Lee", "Woo Jong Yu" ], "corpus_id": 195772254, "doc_id": "195772254", "n_citations": 7, "n_key_citations": 0, "score": 0, "title": "Efficient Gate Modulation in a Screening Engineered MoS2/Single Walled Carbon Nanotube Network Heterojunction Vertical Field Effect Transistor.", "venue": "ACS applied materials interfaces", "year": 2019 }, { "abstract": "Abstract Epitaxial SrTiO 3 (STO) thin film as a gate dielectric layer was grown on single crystalline (100) Nb doped SrTiO 3 substrate. On the 100 nm thick STO gate dielectric layer, a 5 nm thick phosphorene sheet channel layer was exfoliated from a bulk crystal. A phosphorene field effect transistor (P STO FET) was prepared by the formation of 90 nm thick Au source/drain (S/D) contacts. The P STO FET exhibited the transport characteristics of a p type transistor with a mobility of approximately 376 cm 2 /Vs and an on/off ratio of approximately 10 3 Furthermore, it was experimentally confirmed that the mobility of the P STO FET was significantly influenced by the flatness of the phosphorene sheet.", "author_names": [ "Hyun Wook Shin", "Jong Yeog Son" ], "corpus_id": 102738886, "doc_id": "102738886", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Phosphorene field effect transistors using high k gate dielectrics of epitaxial SrTiO3 layers grown on Nb doped SrTiO3 substrates", "venue": "", "year": 2017 } ]
Quantum Physics of Atoms, Molecules, Solids, and Particles
[ { "abstract": "Thermal Radiation and Planck's Postulate. Photons Particlelike Properties of Radiation. De Broglie's Postulate Wavelike Properties of Particles. Bohr's Model of the Atom. Schroedinger's Theory of Quantum Mechanics. Solutions of Time Independent Schroedinger Equations. One Electron Atoms. Magnetic Dipole Moments, Spin, and Transition Rates. Multielectron Atoms Ground States and X Ray Excitations. Multielectron Atoms Optical Excitations. Quantum Statistics. Molecules. Solids Conductors and Semiconductors. Solids Superconductors and Magnetic Properties. Nuclear Models. Nuclear Decay and Nuclear Reactions. Introduction to Elementary Particles. More Elementary Particles. Appendixes.", "author_names": [ "Robert Martin Eisberg", "Robert Resnick" ], "corpus_id": 118596682, "doc_id": "118596682", "n_citations": 315, "n_key_citations": 11, "score": 1, "title": "Quantum Physics of Atoms, Molecules, Solids, Nuclei, and Particles, 2nd Edition", "venue": "", "year": 1974 }, { "abstract": "Robert Eisberg and Robert Resnick Chichester: J Wiley 1974 pp xii 713 price PS7.75 It is by no means invariably the case that two authors who each have a well deserved reputation can combine to form an effective writing team. In this particular case, however, any such concern can be put aside.", "author_names": [ "Maurice Ebison" ], "corpus_id": 125436925, "doc_id": "125436925", "n_citations": 309, "n_key_citations": 28, "score": 0, "title": "Quantum Physics of Atoms, Molecules, Solids, Nuclei and Particles", "venue": "", "year": 1975 }, { "abstract": "", "author_names": [ "P Q Bessey" ], "corpus_id": 202936938, "doc_id": "202936938", "n_citations": 25, "n_key_citations": 0, "score": 0, "title": "Quantum Physics Of Atoms, Molecules, Solids, Nuclei And Particles", "venue": "", "year": 2014 }, { "abstract": "This textbook is intended to be used for students who have been through substantial treatments of elementary differential and integral calculus and elementary level of classical physics. Various phenomena of early quantum physics, basic core of quantum mechanics and its application to one and two electron atoms, multielectron atoms, quantum statistics and nuclei are discussed.", "author_names": [ "Robert Martin Eisberg", "Robert Resnick" ], "corpus_id": 231235554, "doc_id": "231235554", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Quantum physics of atoms, molecules, solids, nuclei and particles. Fizik e Koantomy atomha, molekolha, jamedat, hasteh ha va zarat e bonyady", "venue": "", "year": 1983 }, { "abstract": "Atoms, Nuclei, and Particles. Assemblies of Particles. Quantum Phenomena. Introductory Quantum Mechanics. Atomic Structure and Spectra. Molecules. Binding and Energy Banks in Solids. Electrical, Thermal, and Magnetic Properties of Solids. Imperfections in Solids. Semiconductors. Nuclear Physics. Experimental and Applied Nuclear Physics.", "author_names": [ "Robert L Sproull" ], "corpus_id": 117550368, "doc_id": "117550368", "n_citations": 7, "n_key_citations": 0, "score": 0, "title": "Modern physics the quantum physics of atoms, solids, and nuclei Robert L. Sproull", "venue": "", "year": 1963 }, { "abstract": "From the standpoint of a rigid systematical approach, a discussion of the atomistic structure of matter should begin with a discussion of the elementary particles and atomic nuclei. Then atomic physics in its more restricted sense, molecular physics, and physics of the solid state would logically be built up on the theory of the structure of atomic nuclei. In presenting Bohr's pictorial atomic physics first, we have followed the historical development and, at the same time, had the advantage of proceeding from the simpler to the more difficult. Historically, up to about 1927, the first field to be studied and explained was physics of the atomic shells. Then further development led, on the one hand, to a theory of molecules which are composed of a number of atoms as well as to a theory of larger atomic complexes (liquids and solids) and, on the other hand, to nuclear physics. For this development, it was necessary to have the knowledge of Bohr's atomic theory and its quantum mechanical refinements which we discussed in the last chapter. The same applies to our presentation in this book. Energy states and the transitions between them, accompanied by radiation, occur in the nucleus (though with correspondingly larger amounts of energy) just as in the electron shells of the atom. It is impossible to understand essential processes such as the decay of nuclei or the exchange forces which cause the bond between the nuclear constituents without a knowledge of quantum mechanics. It thus seems reasonable, both from the standpoint of an intelligible introduction as well as from that of the actual development of our science, to discuss nuclear physics at this point.", "author_names": [ "Wolfgang Finkelnburg" ], "corpus_id": 123675529, "doc_id": "123675529", "n_citations": 9, "n_key_citations": 0, "score": 0, "title": "Physics of Atomic Nuclei and Elementary Particles", "venue": "", "year": 1964 }, { "abstract": "We report on specific actions undertaken to promote active learning in an introductory course on quantum physics that presented the following challenges: (1) quantum ideas surprise and contradict common sense beliefs and background knowledge; (2) it is hard to study the interactions of matter and radiation with an appropriate balance of the phenomenology and the formalism; (3) nowadays understanding a huge diversity of systems such as atoms, molecules, solids, nuclei and particles has attained extraordinary results but also addresses very difficult questions, and (4) modern physics can be studied from very different perspectives: the mathematical treatment, the history and epistemology of the evolution of concepts, models and theories, as well as the interconnections between the experimental devices and procedures, the representation of measurement of strange properties, their important technological applications, and the implications of the scientific enterprise in economic, social, political, environmental and cultural aspects. As a reaction to traditional teaching, we focused on the following objectives: to promote and ensure active learning, to prepare and make available appropriate learning materials, and to assess the student performance with a rewarding but strict system. We implemented a teaching strategy that changed the role of the teacher from a transmitter of information to a guide of learning actions. In order to support such a strategy we created an integrated system for active learning containing the following components: The syllabus, the calendar, the notes, the home works, the questionnaires, the tests, the web page, the presentations, and the assessment criteria. Our teaching strategy worked in the direction of \"less quantity and better quality\" looking for the application of four pedagogic principles: to make thinking visible, to make knowledge accessible, to help one each other learning, and to learn all the time. We evaluated the success of our support system by analyzing how the students reacted to different components of that support system in the context of the four pedagogical principles into consideration.", "author_names": [ "Jorge Barojas Weber", "Manuel Jimenez" ], "corpus_id": 115017919, "doc_id": "115017919", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "Motivating, guiding and assessing active learning in quantum physics", "venue": "", "year": 2012 }, { "abstract": "Quantum mechanics is arguably the most successful quantitative theory of nature. The theory is now 80 years old, and no violation of quantum mechanics has ever been detected in any laboratory despite a huge number of experimental tests involving light, atoms, molecules, and solids, as well as nuclei, electrons, and other subatomic particles. In fact, various experimental tests of quantum electrodynamics have achieved an astonishing agreement between measurement and quantum theory on the order of one part in a billion. Not only is our modern intellectual description of reality entirely quantum mechanical in nature, our modern", "author_names": [ "Sankar Das Sarma", "Michael H Freedman", "C Nayak" ], "corpus_id": 124993845, "doc_id": "124993845", "n_citations": 0, "n_key_citations": 0, "score": 0, "title": "The search for a large scale, error free quantum computer is reaching an intellectual junction at which semiconductor physics, knot theory, string the ory, anyons, and quantum Hall effects are all coming together to produce quantum immunity.", "venue": "", "year": 2006 }, { "abstract": "I. RELATIVITY. 1. The Space and Time of Relativity. 2. Relativistic Mechanics. II. QUANTUM MECHANICS. 3. Atoms. 4. Quantization of Light. 5. Quantization of Atomic Energy Levels. 6. Matter Waves. 7. The Schrodinger Equation in One Dimension. 8. The Three Dimensional Schrodinger Equation. 9. Electron Spin. 10. Multielectron Atoms the Pauli Principle and the Periodic Table. 11. Atomic Transitions and Radiation. III. SYSTEMS WITH TWO OR MORE ATOMS. 12. Molecules. 13. Solids Theory. 14. Solids Applications. 15. Statistical Mechanics. IV. SUBATOMIC PHYSICS. 16. The Structure of Atomic Nuclei. 17. Radioactivity and Nuclear Reactions. 18. Elementary Particles. APPENDIXES. A. Physical Constants. B. Useful Mathematical Relations. C. Alphabetical List of the Elements. D. Atomic and Nuclear Data. Suggestions for Further Reading. Picture Credits and References. Answers to Odd Numbered Problems. Index.", "author_names": [ "John R Taylor", "Chris D Zafiratos" ], "corpus_id": 191152674, "doc_id": "191152674", "n_citations": 32, "n_key_citations": 0, "score": 0, "title": "Modern Physics for Scientists and Engineers", "venue": "", "year": 1991 }, { "abstract": "Due to the zero (or at least up to now immeasurably small) rest mass of the photon, electrodynamics, the theory of time dependent electromagnetic fields, is an intrinsically relativistic theory and, as is well known, had served as the prototype of relativistic physics. Quantum electrodynamics (QED) is the relativistic quantum theory of electrically charged particles, mutually interacting by exchange of photons. If this system is additionally subject to a (static) external field, an inhomogeneous situation is obtained to which density functional theory may apply. The standard situation is again that of electrons (and possibly appearing positrons) moving in the adiabatic field produced by nuclei in atoms, molecules or solids. Since we will focus on that situation, in our context the particle field will exclusively be the electron positron field.", "author_names": [ "Helmut Eschrig" ], "corpus_id": 117695323, "doc_id": "117695323", "n_citations": 1, "n_key_citations": 0, "score": 0, "title": "A Brief Introduction to Quantum Electrodynamics", "venue": "", "year": 1996 } ]