query
stringlengths 3
298
| candidates
listlengths 10
10
|
---|---|
Multigate transistors as the future of classical metal–oxide–semiconductor field-effect transistors | [
{
"abstract": "For more than four decades, transistors have been shrinking exponentially in size, and therefore the number of transistors in a single microelectronic chip has been increasing exponentially. Such an increase in packing density was made possible by continually shrinking the metal oxide semiconductor field effect transistor (MOSFET) In the current generation of transistors, the transistor dimensions have shrunk to such an extent that the electrical characteristics of the device can be markedly degraded, making it unlikely that the exponential decrease in transistor size can continue. Recently, however, a new generation of MOSFETs, called multigate transistors, has emerged, and this multigate geometry will allow the continuing enhancement of computer performance into the next decade.",
"author_names": [
"Isabelle Ferain",
"Cindy Colinge",
"J P Colinge"
],
"corpus_id": 4364851,
"doc_id": "4364851",
"n_citations": 663,
"n_key_citations": 21,
"score": 2,
"title": "Multigate transistors as the future of classical metal oxide semiconductor field effect transistors",
"venue": "Nature",
"year": 2011
},
{
"abstract": "Device white noise levels in short channel Metal Oxide Semiconductor Field Effect Transistors (MOSFETs) dictate the performance and reliability of high frequency circuits ranging from high speed microprocessors to Low Noise Amplifiers (LNAs) and microwave circuits. Recent experimental noise measurements with very short devices demonstrate the existence of suppressed shot noise, contrary to the predictions of classical channel thermal noise models. In this work we show that, as the dimensions continue to shrink, shot noise has to be considered when the channel resistance becomes comparable to the barrier resistance at the source channel junction. By adopting a semi classical approach and taking retrospectively into account transport, short channel and quantum effects, we investigate the partitioning between shot and thermal noise, and formulate a predictive model that describes the noise characteristics of modern devices.",
"author_names": [
"Christos Spathis",
"Alexios N Birbas",
"Konstantina-Maria Georgakopoulou"
],
"corpus_id": 93591002,
"doc_id": "93591002",
"n_citations": 3,
"n_key_citations": 1,
"score": 0,
"title": "Semi classical noise investigation for sub 40nm metal oxide semiconductor field effect transistors",
"venue": "",
"year": 2015
},
{
"abstract": "Abstract N type metal oxide semiconductor field effect transistors (NMOSFETs) using strained silicon carbon alloy (Si 1 x C x surface channels are reported in this work. Tensile strained Si 1 x C x layers with substitutional carbon content up to 1% were epitaxially grown on (100) Si substrates by ultra high vacuum chemical vapor deposition, using silane and methylsilane as the silicon and carbon sources, respectively. NMOSFETs were fabricated using standard MOS processing with reduced thermal treatment in order to minimize the possibility of strain relaxation. A reciprocal space mapping method was used to analyze strain distribution in the silicon carbon alloy thin films on Si substrates. The election inversion layer mobilities of the Si 1 x C x and Si control devices at room temperature are comparable. This is in contrast to the electron mobility enhancement observed in NMOSFETs fabricated using tensile strained Si grown on relaxed Si 1 x Ge x layers. At low temperatures, the electron inversion layer mobility of Si 1 x C x devices is lower than that of the Si controls, and appears to be affected by Coulomb as well as possibly by random alloy scattering.",
"author_names": [
"B F Hsieh",
"Shun-Ping Chang",
"Min-Hung Lee"
],
"corpus_id": 96778456,
"doc_id": "96778456",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "Characterization of silicon carbon alloy materials for future strained Si metal oxide semiconductor field effect transistors",
"venue": "",
"year": 2013
},
{
"abstract": "Steep slope $SS<60$ mV/dec at room temperature) negative capacitance (NC) FETs, based on the 2 D transition metal dichalcogenide semiconductor channel materials, may have a promising future in low power electronics because of their high on state current and very high on/off ratio. In this paper, we develop an analytically compact drain current model for long channel back gated 2 D NC FETs by solving the classical drift diffusion equations. The equations describe the transition from depletion to accumulation regimes of operation as a continuous function of gate/drain voltages. The continuity ensures time efficient simulation of large systems. Several key features of the model are verified by comparing with the experimental data. Specifically, the negative drain induced barrier lowering effect and negative differential resistance effect predicted by the model are successfully observed in our experiments.",
"author_names": [
"Chunsheng Jiang",
"Mengwei Si",
"Renrong Liang",
"Jun Xu",
"Peide D Ye",
"Muhammad Ashraful Alam"
],
"corpus_id": 20004584,
"doc_id": "20004584",
"n_citations": 24,
"n_key_citations": 4,
"score": 0,
"title": "A Closed Form Analytical Model of Back Gated 2 D Semiconductor Negative Capacitance Field Effect Transistors",
"venue": "IEEE Journal of the Electron Devices Society",
"year": 2018
},
{
"abstract": "Scaling of the conventional planar complementary metal oxide semiconductor (CMOS) faces many challenges. Top down fabricated gate all around Si nanowire FinFETs, which are compatible with the CMOS processes, offer an opportunity to circumvent these limitations to boost the device scalability and performance. Beyond applications in CMOS technology, the thus fabricated Si nanowire arrays can be explored as biosensors, providing a possible route to multiplexed label free electronic chips for molecular diagnostics.",
"author_names": [
"Pengpeng Zhang",
"Theresa S Mayer",
"Thomas N Jackson"
],
"corpus_id": 1990135,
"doc_id": "1990135",
"n_citations": 12,
"n_key_citations": 0,
"score": 0,
"title": "2007 IEEE Device Research Conference: Tour de Force Multigate and Nanowire Metal Oxide Semiconductor Field Effect Transistors and Their Application.",
"venue": "ACS nano",
"year": 2007
},
{
"abstract": "This article gives a detailed review on the evaluation of present development and future projection of high mobility strained silicon Metal Oxide Semiconductor Field Effect Transistors technology. Recent scaling of integrated circuit technology limits the employment of conventional strained silicon, thus walks the combination of high mobility strained channel with others advanced technologies. Various types of strain channel on relaxed SixGe1 x were reviewed, with focus on the layer architecture, energy band structure and mobility characteristics. Also, an attempt has been made to review the literature on the performance enhancement of strain engineering integrated on various structures, including on insulator, multiple gate, carbon nanotubes as well as the graphic. The combination of high mobility channels with advanced architectures are expected to take a greater share in the near future.",
"author_names": [
"Eng Siew Kang",
"Fatimah Hamid",
"Razali Ismail"
],
"corpus_id": 101011627,
"doc_id": "101011627",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "Future of nanoscale strained Si/SixGe1 x Metal Oxide Semiconductor Field Effect Transistor for performance metric evaluation: A review",
"venue": "",
"year": 2014
},
{
"abstract": "In this paper, the variabilities of threshold voltage (VTH) drain induced barrier lowering (DIBL) and current onset voltage (COV) in intrinsic channel silicon nanowire metal oxide semiconductor field effect transistors (MOSFETs) were evaluated and compared with those of conventional bulk and fully depleted (FD) silicon on insulator (SOI) MOSFETs. The random component of variability is extracted by a \"within device\" variability method to exclude the systematic component. It is found that the within device variabilities of DIBL and COV as well as VTH are extremely small in intrinsic channel nanowire MOSFETs owing to the non intentionally doped channel and small gate workfunction variability. The intrinsic channel nanowire MOSFET is promising for a future scaled device structure in terms of not only the short channel effect suppression but also the variability suppression.",
"author_names": [
"Ke Mao",
"Tomoko Mizutani",
"Anil Kumar",
"Takuya Saraya",
"Toshiro Hiramoto"
],
"corpus_id": 121207675,
"doc_id": "121207675",
"n_citations": 7,
"n_key_citations": 0,
"score": 0,
"title": "Suppression of Within Device Variability in Intrinsic Channel Tri Gate Silicon Nanowire Metal Oxide Semiconductor Field Effect Transistors",
"venue": "",
"year": 2012
},
{
"abstract": "Strained silicon techniques have become an indispensable technology feature, enabling the momentum of semiconductor scaling. Embedded silicon germanium (eSiGe) is already widely adopted in the industry and delivers outstanding p metal oxide semiconductor field effect transistor (MOSFET) performance improvements. The counterpart for n MOSFET is embedded silicon carbon (eSi:C) However, n MOSFET performance improvement is much more difficult to achieve with eSi:C due to the challenging process integration. In this study, detailed TCAD simulations are employed to compare the efficiency of eSiGe and eSi:C stressors and to estimate their potential for performance enhancements in future nanoscaled devices with gate lengths down to 20nm. It is found that eSiGe as a stressor is superior to eSi:C in deeply scaled and highly strained devices due to its easier process integration, reduced parasitic resistance, and nonlinear effects in the silicon band structure, favoring hole mobility enhancement at high strain levels.",
"author_names": [
"S Flachowsky",
"Ralf Illgen",
"Tom Herrmann",
"Wilfried Klix",
"Roland Stenzel",
"Ina Ostermay",
"Andreas Naumann",
"Andy Wei",
"Jan Hontschel",
"Manfred Horstmann"
],
"corpus_id": 110987128,
"doc_id": "110987128",
"n_citations": 10,
"n_key_citations": 1,
"score": 0,
"title": "Detailed simulation study of embedded SiGe and Si:C source/drain stressors in nanoscaled silicon on insulator metal oxide semiconductor field effect transistors",
"venue": "",
"year": 2010
},
{
"abstract": "Metal oxide semiconductor (MOS) field effect transistor (FET) scaling is following the prediction of the Moore's law for the past 45 years, a key factor that enabled the IC industry to cope with the everlasting demand for higher performances. However, this scaling process becomes increasingly difficult as several limits from both process and device capabilities pop up as the technology node reaches 28nm and beyond. To stand the pace of downscaling, non classical devices are currently introduced in the roadmap. In this context, the junctionless FET is part of these attempts. It is a new emerging device that can potentially withstand the downscaling of CMOS technology as it still has an excellent control from the gate, a low leakage current, an expected enhancement in carrier transport, besides easier fabrication processes. This dissertation focuses on the physics and modeling of nanoscale junctionless double gate MOSFET and junctionless nanowire FETs. The first part of the thesis is focusing on junctionless transistors by discussing the advantages and limitations of such technology. A brief overview of existing models and the current status of symmetrical/asymmetrical operation of junctionless FETs in a planar double gate configuration as well as junctionless nanowires topologies will be presented. Next, the model that is developed in this thesis is detailed in different chapter, each of which will cover a specific aspect. The model relies on Poisson Boltzmann equation and on the drift diffusion transport to derive charges and current in long channel devices. It is based on two set of relationships to cover all the operating regions: from depletion to accumulation; from linear to saturation with no fitting parameter. Following a core analysis, more features are developed and added to the ideal long channel concept. This includes modeling short channel effects and DIBL, modeling full trans capacitance matrix for AC simulations, modeling thermal noise and induced gate noise, modeling the inversion layer to predict off state currents. Importantly, we have shown that equivalent symmetric devices could also be used to simulate asymmetric operation, which are likely to be the most common situation. In addition, the charge based approach developed along the thesis has also been generalized to the quite popular junctionless nanowire architecture. Regarding junctionless FETs, technological parameter are very critical. For instance, the device cannot be made of any dimension and doping otherwise it cannot be effectively switched off at a given current. Therefore, we also derived rules providing a design space tool with explicit links between silicon thickness and doping ensuring safe operation. Finally, since the mobility extraction in junctionless FETs is still an issue, we have developed a new method for a reliable measurement of free carriers mobility in real devices which does not assume any predefined mobility law. Based on these developments, the EPFL JL model was implemented into Hspice platforms to be used by circuit designers.",
"author_names": [
"Farzan Jazaeri"
],
"corpus_id": 111242847,
"doc_id": "111242847",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Modeling Junctionless Metal Oxide Semiconductor Field Effect Transistor",
"venue": "",
"year": 2015
},
{
"abstract": "We clarified in this study how plasma induced charging damage (PCD) affects the so called \"random telegraph noise (RTN)\" a principal concern in designing ultimately scaled large scale integrated circuits (LSIs) Metal oxide semiconductor field effect transistors (MOSFETs) with SiO2 and high k gate dielectric were exposed to an inductively coupled plasma (ICP) with Ar gas. Drain current vs gate voltage (Ids Vg) characteristics were obtained before and after the ICP plasma exposure for the same device. Then, the time evolution of Ids fluctuation defined as Ids/mIds was measured, where mIds is the mean Ids. This value corresponds to an RTN feature, and RTN was obtained under various gate voltages (Vg) by a customized measurement technique. We focused on the statistical distribution width of (Ids/mIds) d(Ids/mIds) in order to clarify the effects of PCD on RTN. d(Ids/mIds) was increased by PCD for both MOSFETs with the SiO2 and high k gate dielectrics, suggesting that RTN can be used as a measure of PCD, i.e. a distribution width increase directly indicates the presence of PCD. The dependence of d(Ids/mIds) on the overdrive voltage Vg Vth, where Vth is the threshold voltage, was investigated by the present technique. It was confirmed that d(Ids/mIds) increased with a decrease in the overdrive voltage for MOSFETs with the SiO2 and high k gate dielectrics. The presence of created carrier trap sites with PCD was characterized by the time constants for carrier capture and emission. The threshold voltage shift (DVth) induced by PCD was also evaluated and compared with the RTN change, to correlate the RTN increase with DVth induced by PCD. Although the estimated time constants exhibited complex behaviors due to the nature of trap sites created by PCD, d(Ids/mIds) showed a straightforward tendency in accordance with the amount of PCD. These findings provide an in depth understanding of plasma induced RTN characteristic changes in future MOSFETs.",
"author_names": [
"Masayuki Kamei",
"Yoshinori Takao",
"Koji Eriguchi",
"Kouichi Ono"
],
"corpus_id": 117495863,
"doc_id": "117495863",
"n_citations": 7,
"n_key_citations": 1,
"score": 0,
"title": "Effects of plasma induced charging damage on random telegraph noise in metal oxide semiconductor field effect transistors with SiO",
"venue": "",
"year": 2014
}
] |
On-nanowire spatial bandgap design for white light emission | [
{
"abstract": "We demonstrated a substrate moving vapor liquid solid (VLS) route for growing composition gradient ZnCdSSe alloy nanowires. Relying on temperature selected composition deposition along their lengths, single tricolor ZnCdSSe alloy nanowires with engineerable band gap covering the entire visible range were obtained. The photometric property of these tricolor nanowires, which was determined by blue green and red color emission intensities, can be in turn controlled by their corresponding emission lengths. More particularly, under carefully selected growth conditions, on nanowire white light emission has been achieved. Band gap engineered semiconductor alloy nanowires demonstrated here may find applications in broad band light absorption and emission devices.",
"author_names": [
"Zongyin Yang",
"Jinyou Xu",
"Pan Wang",
"Xiujuan Zhuang",
"Anlian Pan",
"Limin Tong"
],
"corpus_id": 29844766,
"doc_id": "29844766",
"n_citations": 74,
"n_key_citations": 0,
"score": 1,
"title": "On nanowire spatial band gap design for white light emission.",
"venue": "Nano letters",
"year": 2011
},
{
"abstract": "The white light LEDs of today are usually based on a blue LED and a phosphor, converting the blue light to longer wavelengths. While these phosphor converted LEDs are extremely efficient compared to incandescent light or fluorescent light, there is still plenty of room for improvement. In the conversion between blue light and light of lower energy approximately 25 45 of the radiant power is lost as heat [1] A more efficient solution is to use white light sources based on RGB LEDs. Since these LEDs do not require phosphor conversion the efficiency has potential to be much higher compared to today's white light sources. High efficiency blue LEDs are based on an InGaN quantum well and barrier layers of GaN. By altering the In content in InGaN the bandgap can be tuned for emission from UV to red light, which makes the material system a good candidate to be used in fabrication of RGB LEDs. In green and red LEDs the In content in the quantum well is higher compared to blue LEDs which gives rise to more strain between the quantum well and GaN barrier layers. The strain induces piezoelectric charges which spatially separates electrons and holes in the quantum well leading to lower radiative recombination efficiency (quantum confined Stark effect) The use of InGaN barrier layers would thus enable fabrication of red and green LEDs of higher efficiency, but the main problem is that it is very hard to synthesise thick layers of InGaN with high material quality. The poor crystal quality is partly due to strain induced dislocations and partly due to phase separation and In content fluctuations [2] which will reduce the efficiency of the device [3] However it has been shown that thick InGaN layers of high material quality can be synthesised in MOCVD grown nanocrystals of InGaN. These nanocrystals, or platelets (truncated pyramids with a flat c plane) can be used as dislocation free substrates for growth of LEDs, which enables the use of InGaN barrier layers instead of GaN. The strain between the quantum well and barriers in In rich quantum wells is thus reduced which makes it possible to fabricate red and green LEDs of high efficiency. The reduced strain between the barriers and the quantum well leads to a decrease of the quantum confined Stark effect and therefore potential of higher efficiency LEDs. In this thesis the potential of nano RGB LEDs based on InGaN and GaN platelets is evaluated and the results are promising. During the project an LED device design for LEDs based on (In)GaN platelets was developed, characterised (electrically and electroluminescence) and optimised (spacer layer thicknesses, contact materials) The implemented device design works well. Parasitic currents outside the active area are negligible and the devices show much improved stability compared to previous designs. The device design is based on parallel connected platelets in the device area and lifted bond pads outside of the device area. The bond pads are lifted by a thick spacer layer (resist) in order to enable easy bonding and avoid shunt current. A range of devices of different size were studied, from single platelets <1mm) to tens of thousands of platelets (400mmx400mm) and the crystal homogeneity is shown to be very important for the device performance. The current rectification is excellent for single platelet devices but decreases with increased number of platelets in the device. While work lies ahead in improving homogeneity, efficiency and device design, this technology is unique in achieving high quality material with very high In content and an extremely small light emission area. Not only are these structures interesting for high efficiency RGB illumination, but also for microLED displays potentially with pixel size an order of magnitude smaller than what is possible today.",
"author_names": [
"Patrik Olausson"
],
"corpus_id": 210154336,
"doc_id": "210154336",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Fabrication and characterisation of RGB LEDs based on nanowire technology",
"venue": "",
"year": 2019
},
{
"abstract": "III NITRIDE NANOWIRE LIGHT EMITTING DIODES: DESIGN AND CHARACTERIZATION by Dipayan Datta Choudhary III nitride semiconductors have been intensively studied for optoelectronic devices, due to the superb advantages offered by this materials system. The direct energy bandgap III nitride semiconductors can absorb or emit light efficiently over a broad spectrum, ranging from 0.65 eV (InN) to 6.4 eV (AlN) which encompasses from deep ultraviolet to near infrared spectrum. However, due to the lack of native substrates, conventional III nitride planar heterostructures generally exhibit very high dislocation densities that severely limit the device performance and reliability. On the other hand, nanowire heterostructures can be grown on lattice mismatched substrates with drastically reduced dislocation densities, due to highly effective lateral stress relaxation. Nanowire light emitting diodes (LEDs) with emission in the ultraviolet to visible wavelength range have recently been studied for applications in solid state lighting, flat panel displays, and solar blind detectors. In this thesis, investigation of the systematic process flow of design and epitaxial growth of group III nitride nanoscale heterostructures was done. Moreover, demonstration of phosphor free nanowire white LEDs using InGaN/AlGaN nanowire heterostructures grown directly on Si(111) substrates by molecular beam epitaxy was made. Full color emission across nearly the entire visible wavelength range was realized by controlling the In composition in the InGaN active region. Strong white light emission was recorded for the unpackaged nanowire LEDs with an unprecedentedly high color rendering index of 98. Moreover, LEDs with the operating wavelengths in the ultraviolet (UV) spectra, with emission wavelength in the range of 280 320 nm (UV B) or shorter wavelength hold tremendous promise for applications in phototherapy, skin treatments, high speed dissociation and high density optical recording. Current planar AlGaN based UV B LEDs have relatively low quantum efficiency due to their high dislocation density resulted from the large lattice mismatch between the AlGaN and suitable substrates. In this study, associated with the achievement of visible LEDs, the development of high brightness AlGaN/GaN nanowire UV LEDs via careful design and device fabrication was shown. Strong photoluminescence spectra were recorded from these UV B LEDs. The emission peak can be tunable from 290 nm to 320 nm by varying the Al content in AlGaN active region which can be done by optimizing the growth condition including Al/Ga flux ratio and also the growth temperature. Such visible to UV B nanowire LEDs are ideally suited for future smart lighting, full color display, phototherapy and skin treatments applications. III NITRIDE NANOWIRE LIGHT EMITTING DIODES: DESIGN AND CHARACTERIZATION by Dipayan Datta Choudhary A Thesis Submitted to the Faculty of New Jersey Institute of Technology and in Partial Fulfillment of the Requirements for the Degree of Masters of Science in Energy and Power Systems Helen and John C. Hartmann Department of Electrical and Computer Engineering",
"author_names": [
"Dipayan Datta Choudhary"
],
"corpus_id": 139567766,
"doc_id": "139567766",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "III nitride nanowire light emitting diodes: design and characterization",
"venue": "",
"year": 2017
},
{
"abstract": "We analyze epitaxially grown InGaN/GaN dot in a wire heterostructures to relate growth and design properties to trends seen in photoluminescence (PL) and resonance Raman spectra. Temperature dependent PL measurement of these dot in a wire heterostructures illustrate an expected decrease in integrated PL emission and an unusual narrowing of peak width with increasing temperature. Information extracted from Resonance Raman spectra was utilized in a time dependent model to analyze and to simulate PL spectra. These spectra were found to be in good agreement with the experimental PL data and provided insight into the broadening mechanisms affecting the samples. PL measurements were taken as a function of position on the sample and radial variation of peak energies was observed. This variation was attributed to the radial temperature gradient present during nanowire growth. These additional characteristics of the nanowire heterostructures will allow for increased understanding of these systems potentials for ap.",
"author_names": [
"Yousef Kamali",
"Brenna R Walsh",
"John B Mooney",
"Hieu Pham Trung Nguyen",
"Colin-Nadeau Brosseau",
"Richard Leonelli",
"Zetian Mi",
"Patanjali Kambhampati"
],
"corpus_id": 120988656,
"doc_id": "120988656",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "Spectral and spatial contributions to white light generation from InGaN/GaN dot in a wire nanostructures",
"venue": "",
"year": 2013
},
{
"abstract": "The design of highly emissive and stable blue emitters for organic light emitting diodes (OLEDs) is still a challenge, justifying the intense research activity of the scientific community in this field. Recently, a great deal of interest has been devoted to the elaboration of emitters exhibiting a thermally activated delayed fluorescence (TADF) By a specific molecular design consisting into a minimal overlap between the highest occupied molecular orbital (HOMO) and the lowest unoccupied molecular orbital (LUMO) due to a spatial separation of the electron donating and the electron releasing parts, luminescent materials exhibiting small S1 T1 energy splitting could be obtained, enabling to thermally upconvert the electrons from the triplet to the singlet excited states by reverse intersystem crossing (RISC) By harvesting both singlet and triplet excitons for light emission, OLEDs competing and sometimes overcoming the performance of phosphorescence based OLEDs could be fabricated, justifying the interest for this new family of materials massively popularized by Chihaya Adachi since 2012. In this review, we proposed to focus on the recent advances in the molecular design of blue TADF emitters for OLEDs during the last few years. Introduction Since the pioneering works of Tang and VanSlyke in 1987 [1] organic light emitting diodes (OLEDs) have known major evolutions of their structures, not only of the device stacking but also of the materials composing the different layers [2] The interest of both the scientific and industrial communities for organic electroluminescent devices is supported by the fact that Beilstein J. Org. Chem. 2018, 14, 282 308. 283 Figure 1: Radiative deactivation pathways existing in fluorescent, phosphorescent and TADF materials. OLEDs have been identified as the key elements for the fabrication of the next generation display and lighting technology [3] Notably, lightweight and thin devices can be fabricated onto flexible substrates, favouring the penetration of OLEDs in these two markets. With the aim at reducing the global energy demand on Earth, two parameters govern the power consumption of OLEDs, namely the quantum yield of luminescence of the light emitting material and the device stacking. Indeed, the driving voltage of OLEDs is highly sensitive to the thickness of the different layers, the charge transport ability of the materials but also to their energy levels. By minimizing the energy gaps between adjacent layers and facilitating charge injection from the electrodes, the injection and transportation of holes and electrons can be realized at lower operating voltages. The second parameter concerns the light emitting ability of the emitter, which is directly related to the nature, and the photoluminescence quantum yield (PLQY) of the emitter. Based on spin statistics, upon electrical excitation, singlet and triplet excitons are formed in a 1:3 ratio [4] In the case of fluorescent materials, only singlet excitons can be utilized for light emission, limiting the internal quantum efficiency (IQE) of fluorescent OLEDs to 25% Conversely, phosphorescent materials can both harvest singlet and triplet excitons for emission by intersystem crossing (ISC) enabling to reach a theoretical IQE of 100% for phosphorescent OLEDs [5] As drawback, triplet emitters are transition metal complexes mostly based on iridium, platinum and osmium and the scarcity of these metals on Earth, their toxicity and high cost make these materials unsuitable candidates for a mass production of OLEDs [6] However, efforts have also been carried out to incorporate emitters comprising less toxic metals, providing mitigate results when tested in devices [7,8] In 2012, a breakthrough has been obtained by the Adachi group who developed purely organic materials capable to harvest both singlet and triplet excitons for emission [9] This new family of light emitting materials capable to compete with the well established triplet emitters and displaying a similar efficiency in devices by developing a new emission mechanism was immediately termed as the third generation of OLEDs emitters that consists of thermally activated delayed fluorescence (TADF) emitters. As specificity, these materials can thermally repopulate the singlet state from the triplet state by reverse intersystem crossing (RISC) leading to an increase of the luminescence intensity. From the OLEDs viewpoint, TADF emitters behave by harvesting both singlet and triplet excitons for radiative transition, excepted that the emission occurs from the singlet state and not from the triplet state (as observed for metal complexes) and that the triplet triplet annihilation commonly observed with phosphorescent OLEDs [10] can be drastically reduced (see Figure 1) TADF materials can also be metal free, addressing the fabrication cost and environmental issues. Therefore, TADF emitters retain the high efficiency of the second generation of emitters (triplet emitters) the stability of the first generation of fluorescent materials while eliminating the different problems observed with the two previous generations: triplet triplet annihilation and low device stability for phosphorescent OLEDs, low IQE for fluorescent OLEDs. To get full color displays or white light OLEDs, the combination of the three primary colors red green blue (RGB) is indispensable. At present, highly emissive and stable blue emitters are actively researched [11 16] Several points justify the low availability of highly efficient blue materials. First, due to their large bandgaps (DE 3 eV) charge injection from the adjacent Beilstein J. Org. Chem. 2018, 14, 282 308. 284 layers is often difficult, requiring devices to be operated at high voltages [17] Second, and still related to their wide bandgaps, the probability to transfer an electron from the ground to the excited stable state is considerably reduced, providing materials displaying PLQY greatly reduced compared to that observed for the other colors [18,19] To end, the propensity of blue emitters to rapidly degradate upon device operation is well established, resulting in a fast and irreversible color shift [20,21] In this context, TADF blue emitters have been identified as promising candidates to address the color purity, quantum efficiency and long term device stability issues. Due to the enthusiasm of the scientific community for TADF emitters, this research field evolves extremely rapidly. In this review, a summary of the strategies developed during the last years to design organic blue TADF emitters is presented. It has to be noticed that the values of EQEs reported in the different tables correspond to the maximum EQEs, because many articles do not give sufficient data concerning EQE at the practically relevant luminance of 100 cd/m2. Review 1. Molecular design rules to produce a delayed fluorescence The efficiency of OLEDs is intimately related to the ability of the light emitting materials to convert a maximum of injected charges into photons. To optimize this, the TADF process is the most promising strategy as it allows converting the generated and lost triplet excitons of the classical fluorescent materials into emissive singlets. By efficiently upconverting the triplet excitons from the triplet (T1) to the singlet state (S1) the intrinsic limitation of 25% imposed to fluorescent materials by the 1:3 singlet triplet ratio can be overcome and an ultimate IQE of 100% can be realized with TADF materials. To promote the endothermic RISC, the energy gap between S1 and T1 (DEST) plays a key role and should be as small as possible. From a molecular design viewpoint, DEST can be drastically reduced if the highest occupied molecular orbital (HOMO) and the lowest unoccupied molecular orbital (LUMO) are spatially separated, what can be obtained by a suitable steric hindrance that introduces an internal twist and interrupts the p conjugation but also by a sufficient distance between the electron donating and the electron accepting moieties [22 25] In the design of TADF materials, it should be mentioned the major importance of the spin orbit vibronic coupling, in addition to the small DEST. Indeed, a small DEST is not sufficient to ensure for a TADF material an efficient RISC which is a vibronically coupled, spin orbit coupling process with the involvement of the charge transfer state. To remain efficient, the spin orbit coupling should still have a significant value, even if the separation of the HOMO and LUMO wavefunctions remain a requirement to minimize DEST. At present, systematic investigations examining the correlation between the spin orbit coupling and RISC are stil l scarce [26 29] Considering that the singlet triplet energy splitting is one of the key elements for controlling the RISC efficiency, that the dihedral angle between the donor and the acceptor can be difficultly anticipated and that an overlap of both the HOMO/LUMO energy levels could adversely affect the color purity and DEST, it has to be noticed that the photophysical properties and the geometry of molecules that are suspected to be TADF emitters are often investigated by theoretical calculations prior to synthesis, optimizing the chance to get suitable energy levels and the desired DEST. This strategy was notably applied to the design of TADF blue emitters containing triarylboron accepting units. Besides, as we will see in this review, the design of a good TADF material by optimizing its structure by theoretical calculations is not sufficient to ensure the fabrication of highly emissive OLEDs. As observed for phosphorescent emitters, optimization of the device stacking, an appropriate choice of the host as well as the materials in the adjacent layers, an adequate dopant concentration, and the efficient confinement of excitons within the emissive layer are primordial parameters to elaborate high performance OLEDs while maintaining the color purity [30] Due to the",
"author_names": [
"Eli Zysman-Colman"
],
"corpus_id": 52012378,
"doc_id": "52012378",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "Recent advances in materials for organic light emitting diodes",
"venue": "Beilstein journal of organic chemistry",
"year": 2018
},
{
"abstract": "S. Xu C. Xu Y. Liu Y. F. Hu R. S. Yang Q. Yang Prof. Z. L. Wang School of Materials Science and Engineering Georgia Institute of Technology Atlanta, Georgia, 30332 0245 (USA) E mail: [email protected] J. H. Ryou H. J. Kim Z. Lochner S. Choi Prof. R. Dupuis School of Electrical and Computer Engineering Georgia Institute of Technology Atlanta, Georgia, 30332 0245 (USA) ZnO based light emitting diodes (LEDs) have been considered as a potential candidate for the next generation of blue/ near UV light sources, 1 due to a direct wide bandgap energy of 3.37 eV, a large exciton binding energy of 60 meV at room temperature, and several other manufacturing advantages of ZnO. 2 While the pursuit of stable and reproducible p ZnO is still undergoing, 3,4 heterojunctions of n ZnO and p GaN are employed as an alternative approach in this regard by considering the similar crystallographic and electronic properties of ZnO and GaN. 5 7 Compared with the thin fi lm/thin fi lm LEDs, 5,6 8 which may suffer from the total internal refl ection, n ZnO nanowire/p GaN thin fi lm heterostructures are utilized in order to increase the extraction effi ciency of the LEDs by virtue of the waveguiding properties of the nanowires. 9 11 But in all of these cases, the n ZnO nanowires are randomly distributed on the substrate, which largely limits their applications in high performance optoelectronic devices. Here in this work, we demonstrate the capability of controlling the spatial distribution of the blue/near UV LEDs composed of position controlled arrays of n ZnO nanowires on a p GaN thin fi lm substrate. The device was fabricated by a conjunction of low temperature wet chemical methods and electron beam lithography (EBL) The EBL could be replaced by other more convenient patterning techniques, such as photolithography and nanosphere lithography, rendering our technique low cost and capable of scaling up easily. Under forward bias, each single nanowire is a light emitter. By Gaussian deconvolution of the emission spectrum, the origins of the blue/nearUV emission are assigned particularly to three distinct electronhole recombination processes. By virtue of the nanowire/thin fi lm heterostructures, these LEDs give an external quantum effi ciency of 2.5% This approach has great potential applications in high resolution electronic display, optical interconnect, and high density data storage. The design of the LED is shown in Figure 1a Ordered ZnO nanowire arrays were grown on p GaN (Figure 1 b d) 12 14",
"author_names": [
"Sheng Xu",
"Chen Xu",
"Yuehan Liu",
"Youfan Hu",
"Rusen Yang",
"Qing Yang",
"Jae-Hyun Ryou",
"Hee Jin Kim",
"Zachary Lochner",
"Suk Choi",
"Russell D Dupuis",
"Zhong Lin Wang"
],
"corpus_id": 205237905,
"doc_id": "205237905",
"n_citations": 203,
"n_key_citations": 3,
"score": 0,
"title": "Ordered nanowire array blue/near UV light emitting diodes.",
"venue": "Advanced materials",
"year": 2010
},
{
"abstract": "Layered assembly structures composed of nanomaterials, such as nanocrystals, have attracted considerable attention as promising candidates for new functional devices whose optical, electromagnetic and electronic behaviours are determined by the spatial arrangement of component elements. However, difficulties in handling each constituent layer in a material specific manner limit the 3D integration of disparate nanomaterials into the appropriate heterogeneous electronics. Here we report a pick and place transfer method that enables the transfer of large area nanodot assemblies. This solvent free transfer utilizes a lifting layer and allows for the reliable transfer of a quantum dot (QD) monolayer, enabling layer by layer design. With the controlled multistacking of different bandgap QD layers, we are able to probe the interlayer energy transfer among different QD monolayers. By controlling the emission spectrum through such designed monolayer stacking, we have achieved white emission with stable optoelectronic properties, the closest to pure white among the QD light emitting diodes reported so far.",
"author_names": [
"Tae-Ho Kim",
"Dae-Young Chung",
"Jiyeon Ku",
"Inyong Song",
"Soohwan Sul",
"Dae-Hyeong Kim",
"Kyung-Sang Cho",
"Byoung Lyong Choi",
"Jong Min Kim",
"Sungwoo Hwang",
"Kinam Kim"
],
"corpus_id": 6793508,
"doc_id": "6793508",
"n_citations": 64,
"n_key_citations": 0,
"score": 0,
"title": "Heterogeneous stacking of nanodot monolayers by dry pick and place transfer and its applications in quantum dot light emitting diodes.",
"venue": "Nature communications",
"year": 2013
},
{
"abstract": "Zinc oxide (ZnO) nanostructures are generating significant interest due to unique characteristics that make them good candidates for UV optoelectronic applications such as biosensors and resonators. These properties are due to the wide bandgap of ZnO (3.37eV at room temperature) and to its large exciton energy (60meV) which makes it possible to employ excitonic recombination as a UV lasing mechanism. ZnO is also a piezoelectric and biosafe material that has probably spawned the richest family of nanostructures to date. Moreover, the ferromagnetic properties of ZnO doped with rare earth metals are also of interest for the design of novel devices that store information as a particular spin orientation (spintronics) Of the techniques for growing ZnO nanostructures with controlled dimensions, we have been using two of the most common and cost effective, namely, the catalytic vapor liquid solid (VLS) method and a low temperature technique based on chemical engineering. When optimized, both approaches can be used to produce large scale wafers and are suitable for commercial production. Figure 1 shows the schematics of the oven used in our VLS growth experiments.1 We have generated a wide family of different ZnO nanostructures, including wires (both vertically aligned and randomly oriented) ribbons, dots, flowers, branched structures, and leaves, on a variety of substrates with crystalline or amorphous surfaces.2 The room temperature photoluminescence (PL) spectra of typical ZnO nanowire samples are characterized by two main emission bands. The first is a sharp free exciton UV band that usually centers on ~380nm, and the second is a wider broad band observed between 420 and 700nm, historically referred to as the green luminescence or deep level emission band. Figure 1. Fabrication of zinc oxide (ZnO) nanowires using the catalytic VLS growth method. Insert: Transmission electron microscope image of a nanowire with a gold (Au) particle at the tip. Ar: Argon.",
"author_names": [
"Magnus Willander",
"Qing Xiang Zhao",
"Omer Nour"
],
"corpus_id": 55124088,
"doc_id": "55124088",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Zinc oxide nanostructures at the forefront of new white light emitting technology",
"venue": "",
"year": 2008
},
{
"abstract": "White organic light emitting diodes (WOLEDs) have attracted great attention for their potential use in full color displays and solid state lighting applications due to several advantages, such as low cost and flexibility. To date, the most efficient WOLEDs have used small phosphorescent molecules in multilayer structured devices prepared by high vacuum vapor deposition. The key issue in these systems is that the phosphorescent emission produced by each individual metal complex Ir(III) or Pt(II) is narrow, thus requiring simultaneous emission from more than one color phosphor to illuminate across the visible region. Typically this is achieved through a combination of either three different chromophores emitting blue, green, and red, or of two different ones emitting green/blue and orange/red. If more than one phosphorescent emitter is present in a device, the electroluminescent color may be affected by the energy transfer (both Forster and Dexter) between emitters. Vapor deposition enables isolation of the various emitters to minimize the energy transfer and achieve the desired goal of multiple emission using techniques such as patterning, stacking, layered isolation, and exciton management. Because polymeric materials can be solution processed, they constitute an interesting option for application in OLEDs due to their potential to reduce cost and increase scalability. Another advantage is that a single polymer chain can bear multiple functional groups, each contributing to the tuning of properties. For example, successful demonstrations of polymer WOLEDs have been based on blends of fluorescent polymers, polymers incorporating multiple fluorescent emitters in their side chains or their backbone and fluorescent polymers doped with small molecule phosphorescent emitters. However, these devices are generally fluorescent systems with limited internal quantum efficiencies or doped phosphorescent systems with poor stability. Furthermore, the occurrence of energy transfer limits the amount of low energy dopant that can be incorporated into these polymers, which affects their intrinsic efficiency. There have been some efforts to suppress this energy transfer using dendrimers for site isolation, but ultimately multilayer structures that can isolate phosphorescent emitters are needed. Unfortunately, this is extremely difficult to achieve with solution processing as the deposition of a layer must not affect any previously deposited layers. Block copolymers allow hierarchical supramolecular control over the spatial location of their functional component blocks as well as various nanoscale objects. This design flexibility has been exploited in the efficient fabrication of novel functional materials, such as nanostructured solar cells, photonic bandgap materials, highly efficient catalysts, and high density magneticstorage media. Therefore, block copolymers have the unique potential to spontaneously achieve phosphorescent emitter isolation through self assembly. Herein, we have explored their use as active materials for WOLEDs in which phosphorescent emitter isolation can be achieved. We have exploited the use of triarylamine (TPA) oxadiazole (OXA) diblock copolymers (TPA b OXA) which have been used as host materials due to their high triplet energy and charge transport properties enabling a balance of holes and electrons. These coil coil type TPA b OXA diblocks can produce various morphologies with controlled domain spacings ranging from 10 50 nm. By incorporating two different colored phosphorescent Ir(III) emitters (green blue and orange red emissive pendant styryl heteroleptic Ir(III) complexes) randomly into each different block, we have been able to produce a block copolymer system, (TPA r Blue) b (OXA r Red) which can deliver site isolation of the two emitters. As a result of site isolation these diblock copolymers can be targeted to suppress energy transfer from high to lower energy emitters, which generally occurs at distances below 10 nm. With these block copolymers, we demonstrate a seld assembled single layer solution processed WOLED that provides improved white color balance, and efficiency. Furthermore, by varying the molecular weight (MW) of (TPA r Blue) b (OXA r Red) and the ratio of blue to red emitters, we have investigated the effect of domain spacing on the electroluminescence spectrum and device performance. Polymers containing heavy metal complexes have been demonstrated previously for similar Ir(III) complexes through incorporation of ancillary ligand then post polymerization complex formation, or through the post polymerization attachment of preformed Ir(III) complexes. Unfortunately, these strategies are unsuitable since they do not allow incorporation of",
"author_names": [
"Daniel A Poulsen",
"Bumjoon J Kim",
"Biwu Ma",
"C Sebastian Zonte",
"Jean M J Frechet"
],
"corpus_id": 3116119,
"doc_id": "3116119",
"n_citations": 83,
"n_key_citations": 0,
"score": 0,
"title": "Site isolation in phosphorescent bichromophoric block copolymers designed for white electroluminescence.",
"venue": "Advanced materials",
"year": 2010
},
{
"abstract": "We summarize the design, capabilities, and some of the priority science goals of a next generation Very Large Array (ngVLA) The ngVLA is an interferometric array with 10x larger effective collecting area and 10x higher spatial resolution than the current VLA and the Atacama Large Millimeter Array (ALMA) optimized for operation in the wavelength range 0.3cm to 3cm. The ngVLA opens a new window on the Universe through ultra sensitive imaging of thermal line and continuum emission down to milliarcecond resolution, as well as unprecedented broad band continuum polarimetric imaging of non thermal processes. The continuum resolution will reach 9mas at 1cm, with a brightness temperature sensitivity of 6K in 1 hour. For spectral lines, the array at 1\" resolution will reach 0.3K surface brightness sensitivity at 1cm and 10 km s^ 1) spectral resolution in 1 hour. These capabilities are the only means with which to answer a broad range of critical scientific questions in modern astronomy, including direct imaging of planet formation in the terrestrial zone, studies of dust obscured star formation and the cosmic baryon cycle down to pc scales out to the Virgo cluster, making a cosmic census of the molecular gas which fuels star formation back to first light and cosmic reionization, and novel techniques for exploring temporal phenomena from milliseconds to years. The ngVLA is optimized for observations at wavelengths between the superb performance of ALMA at submm wavelengths, and the future SKA1 at few centimeter and longer wavelengths. This memo introduces the project. The science capabilities are outlined in a parallel series of white papers. We emphasize that this initial set of science goals are simply a starting point for the project. We invite comment on these programs, as well as new ideas, through our public forum link on the ngVLA web page: https:/science.nrao.edu/futures/ngvla",
"author_names": [
"Christopher L Carilli",
"Mark McKinnon",
"Jurgen Ott",
"Anthony J Beasley",
"Andrea Isella",
"Eric J Murphy",
"Adam K Leroy",
"C M Casey",
"Arielle Moullet",
"Mark Lacy",
"Jacqueline Hodge",
"Geoff Bower",
"Paul B Demorest",
"Chat Hull",
"Meredith Hughes",
"James Di Francesco",
"Desika Narayanan",
"Brian R Kent",
"Barry Clark",
"Bonn Nrao",
"O POBox",
"",
"520 Edgemont Road",
"Rice University",
"Ohio State University",
"University of North Texas",
"Leiden University",
"Nrao Jansky Fellow",
"Harvard--Smithsonian Center for Astrophysics",
"Weslyan University",
"Haverford College",
"Cavendish Astrophysics Group"
],
"corpus_id": 43136356,
"doc_id": "43136356",
"n_citations": 19,
"n_key_citations": 6,
"score": 0,
"title": "Next Generation Very Large Array Memo No. 5: Science Working Groups Project Overview",
"venue": "",
"year": 2015
}
] |
Effect of the TiO2 amounts on microwave absorption properties of Ni/TiO2 heterostructure composites | [
{
"abstract": "Abstract Hybrid core/shell Ni/TiO2 composites were prepared with different contents of tetrabutyl orthotitanate (TBOT) The microwave absorption properties of the Ni/TiO2 composites have been studied in the range of 1 18 GHz. The amount of TiO2 is found to have a great impact on the microwave absorption of this metal semiconductor composite. The microwave absorption properties of Ni/TiO2 heterostructure prepared at 2 mL TBOT were highly enhanced compared with other two samples. The excellent microwave absorption properties are due to the proper complementarities between magnetic loss and dielectric loss, geometrical effect, the natural resonance and multipolarization. The multiple dielectric resonances are attributed to interfacial polarization and the magnetic resonances are ascribed to natural resonance.",
"author_names": [
"Biao Zhao",
"Gang Shao",
"Bingbing Fan",
"Yongqiang Chen",
"Rui Zhang"
],
"corpus_id": 123493208,
"doc_id": "123493208",
"n_citations": 16,
"n_key_citations": 0,
"score": 1,
"title": "Effect of the TiO2 amounts on microwave absorption properties of Ni/TiO2 heterostructure composites",
"venue": "",
"year": 2014
},
{
"abstract": "Photoexcited semiconductor nanoparticles undergo charge equilibration when they are in contact with metal nanoparticles. Such a charge distribution has direct influence in dictating the energetics of the composite by shifting the Fermi level to more negative potentials. The transfer of electrons to Au nanoparticles has now been probed by exciting TiO(2) nanoparticles under steady state and laser pulse excitation. Equilibration with the C(60)/C(60) redox couple provides a means to determine the apparent Fermi level of the TiO(2) Au composite system. The size dependent shift in the apparent Fermi level of the TiO(2) Au composite (20 mV for 8 nm diameter and 40 mV for 5 nm and 60 mV for 3 nm gold nanoparticles) shows the ability of Au nanoparticles to influence the energetics by improving the photoinduced charge separation. Isolation of individual charge transfer steps from UV excited TiO(2) Au C(60) has provided mechanistic and kinetic information on the role of metal in semiconductor assisted photocatalysis and size dependent catalytic activity of metal semiconductor nanocomposites.",
"author_names": [
"Vaidyanathan Ravi Subramanian",
"Eduardo E Wolf",
"Prashant V Kamat"
],
"corpus_id": 24763098,
"doc_id": "24763098",
"n_citations": 1639,
"n_key_citations": 4,
"score": 0,
"title": "Catalysis with TiO2/gold nanocomposites. Effect of metal particle size on the Fermi level equilibration.",
"venue": "Journal of the American Chemical Society",
"year": 2004
},
{
"abstract": "Climate change and the consumption of non renewable resources are considered as the greatest problems facing humankind. Because of this, photocatalysis research has been rapidly expanding. TiO2 nanoparticles have been extensively investigated for photocatalytic applications including the decomposition of organic compounds and production of H2 as a fuel using solar energy. This article reviews the structure and electronic properties of TiO2, compares TiO2 with other common semiconductors used for photocatalytic applications and clarifies the advantages of using TiO2 nanoparticles. TiO2 is considered close to an ideal semiconductor for photocatalysis but possesses certain limitations such as poor absorption of visible radiation and rapid recombination of photogenerated electron/hole pairs. In this review article, various methods used to enhance the photocatalytic characteristics of TiO2 including dye sensitization, doping, coupling and capping are discussed. Environmental and energy applications of TiO2, including photocatalytic treatment of wastewater, pesticide degradation and water splitting to produce hydrogen have been summarized.",
"author_names": [
"Shipra Mital Gupta",
"Manoj Tripathi"
],
"corpus_id": 92647218,
"doc_id": "92647218",
"n_citations": 857,
"n_key_citations": 25,
"score": 0,
"title": "A review of TiO2 nanoparticles",
"venue": "",
"year": 2011
},
{
"abstract": "In this study, yolk shell Ni@SnO2 composites with a designable interspace were successfully prepared by the simple acid etching hydrothermal method. The Ni@void@SnO2 composites were characterized by X ray diffraction, Fourier transform infrared spectroscopy, X ray photoelectron spectroscopy, scanning electron microscopy, and transmission electron microscopy. The results indicate that interspaces exist between the Ni cores and SnO2 shells. Moreover, the void can be adjusted by controlling the hydrothermal reaction time. The unique yolk shell Ni@void@SnO2 composites show outstanding electromagnetic wave absorption properties. A minimum reflection loss (RLmin) of 50.2 dB was obtained at 17.4 GHz with absorber thickness of 1.5 mm. In addition, considering the absorber thickness, minimal reflection loss, and effective bandwidth, a novel method to judge the effective microwave absorption properties is proposed. On the basis of this method, the best microwave absorption properties were obtained with a 1.7 mm thick absorber layer (RLmin= 29.7 dB, bandwidth of 4.8 GHz) The outstanding electromagnetic wave absorption properties stem from the unique yolk shell structure. These yolk shell structures can tune the dielectric properties of the Ni@air@SnO2 composite to achieve good impedance matching. Moreover, the designable interspace can induce interfacial polarization, multiple reflections, and microwave plasma.",
"author_names": [
"Biao Zhao",
"Xiaoqin J Guo",
"Wanyu Zhao",
"Jiushuai Deng",
"Gang Shao",
"Bingbing Fan",
"Zhongyi Bai",
"Rui Zhang"
],
"corpus_id": 26006921,
"doc_id": "26006921",
"n_citations": 329,
"n_key_citations": 2,
"score": 0,
"title": "Yolk Shell Ni@SnO2 Composites with a Designable Interspace To Improve the Electromagnetic Wave Absorption Properties.",
"venue": "ACS applied materials interfaces",
"year": 2016
},
{
"abstract": "Jenny Schneider, Masaya Matsuoka, Masato Takeuchi, Jinlong Zhang, Yu Horiuchi, Masakazu Anpo, and Detlef W. Bahnemann* +Institut fur Technische Chemie, Leibniz Universitat Hannover, Callinstrasse 3, D 30167 Hannover, Germany +Faculty of Engineering, Osaka Prefecture University, 1 Gakuen cho, Sakai Osaka 599 8531, Japan Key Lab for Advanced Materials and Institute of Fine Chemicals, East China University of Science and Technology, Shanghai 200237, China",
"author_names": [
"Jenny Schneider",
"Masaya Matsuoka",
"Masato Takeuchi",
"Jinlong Zhang",
"Yu Horiuchi",
"Masakazu Anpo",
"Detlef W Bahnemann"
],
"corpus_id": 206900461,
"doc_id": "206900461",
"n_citations": 3180,
"n_key_citations": 22,
"score": 0,
"title": "Understanding TiO2 photocatalysis: mechanisms and materials.",
"venue": "Chemical reviews",
"year": 2014
},
{
"abstract": "Herein, Ni doped ZnO TiO2 composites were prepared by facile sol gel approach and were characterized by X ray diffraction (XRD) transmission electron microscopy (TEM) UV visible diffuse reflectance spectroscopy (UV Vis DRS) X ray photoelectron spectroscopy (XPS) and photoluminescence spectroscopy (PL) The results indicated that the Ni ions can be incorporated into the lattice of TiO2 structure and replace Ti. The introduction of Ni expanded light absorption of TiO2 to visible region, increased amount of surface hydroxyl groups and physically adsorbed oxygen (as the electronic scavenges) and then enhanced separation rate of photogenerated carriers. The photodegradation test of reactive brilliant blue (KN R) under simulated solar light indicated that Ni doped ZnO TiO2 composites have better photocatalytic activities, as compared to those of TiO2 and ZnO TiO2.",
"author_names": [
"Xiao-Hang Zou",
"Xiaoli Dong",
"Limei Wang",
"Hongchao Ma",
"Xinxin Zhang",
"Xiufang Zhang"
],
"corpus_id": 55640633,
"doc_id": "55640633",
"n_citations": 18,
"n_key_citations": 0,
"score": 0,
"title": "Preparation of Ni Doped ZnO TiO2 Composites and Their Enhanced Photocatalytic Activity",
"venue": "",
"year": 2014
},
{
"abstract": "A combination nanostructured matrix with metal Au nanoislands and semiconductor TiO2 nanowires is presented to enhance both desorption and ionization efficiency in laser desorption/ionization (LDI) mass spectrometry. The heterostructure of Au nanoislands on TiO2 nanowires was fabricated via (1) TiO2 nanowire synthesis through a modified wet corrosion method and (2) Au nanoisland formation through thermal annealing of a sputtered Au layer on the TiO2 nanowires. Herein, the synergistic effect of this heterostructure for highly efficient ion production was experimentally elucidated in terms of the formation of high temperature on the surface of Au and the creation of a Schottky barrier at the Au TiO2 interface. Finally, four types of immunosuppressors were analyzed to demonstrate the improved ionization performance of the heterostructure for LDI mass spectrometry.",
"author_names": [
"Moon-Ju Kim",
"Tae Gyeong Yun",
"Joo-Yoon Noh",
"Jong-Min Park",
"Min-Jung Kang",
"Jae-Chul Pyun"
],
"corpus_id": 206496556,
"doc_id": "206496556",
"n_citations": 8,
"n_key_citations": 0,
"score": 0,
"title": "Synergistic Effect of the Heterostructure of Au Nanoislands on TiO2 Nanowires for Efficient Ionization in Laser Desorption/Ionization Mass Spectrometry.",
"venue": "ACS applied materials interfaces",
"year": 2019
},
{
"abstract": "Core shell microspheres with Ni cores and two phases of TiO2 (anatase, rutile) shells have been successfully synthesized. The crystal structure, morphology and microwave absorption properties of the as prepared composites were analyzed by X ray diffraction, field emission scanning electron microscopy, energy dispersive X ray spectroscopy, transmission electron microscopy, and vector network analysis. The core shell rutile TiO2 coated Ni exhibits better antioxidation ability than that of pure Ni due to the presence of the rutile TiO2 shell, which is confirmed by the thermal gravimetric analysis (TGA) In comparison with bare Ni, these two composites show better microwave absorption properties. The minimum reflection loss (RL) is 38.0 dB at 11.1 GHz with a thickness of only 1.8 mm for the Ni@TiO2 (rutile) composite. The enhanced absorption capability arises from the efficient complementarities between the magnetic loss and dielectric loss, multiple interfacial polarization, high thermal conductivity of rutile TiO2 and microwave attenuation constant. These results show that the thin high efficiency rutile TiO2 coated Ni composite is a great potential microwave absorbing material for practical applications.",
"author_names": [
"Biao Zhao",
"Gang Shao",
"Bingbing Fan",
"Wanyu Zhao",
"Yajun Xie",
"Rui Zhang"
],
"corpus_id": 23269357,
"doc_id": "23269357",
"n_citations": 111,
"n_key_citations": 1,
"score": 0,
"title": "Facile preparation and enhanced microwave absorption properties of core shell composite spheres composited of Ni cores and TiO2 shells.",
"venue": "Physical chemistry chemical physics PCCP",
"year": 2015
},
{
"abstract": "Uniform BaTiO(3) nanotubes were synthesized via a simple wet chemical route at low temperature (50 degC) The as synthesized BaTiO(3) nanotubes were characterized using powder X ray diffraction, field emission scanning electron microscopy, transmission electron microscopy, Raman spectroscopy, and X ray photoelectron spectroscopy. The results show that the BaTiO(3) nanotubes formed a cubic phase with an average diameter of ~10 nm and wall thickness of 3 nm at room temperature. The composition of the mixed solvent (ethanol and deionized water) was a key factor in the formation of these nanotubes; we discuss possible synthetic mechanisms. The microwave absorption properties of the BaTiO(3) nanotubes were studied at microwave frequencies between 0.5 and 15 GHz. The minimum reflection loss of the BaTiO(3) nanotubes/paraffin wax composite (BaTiO(3) nanotubes weight fraction 70% reached 21.8 dB ~99.99% absorption) at 15 GHz, and the frequency bandwidth less than 10 dB is from 13.3 to 15 GHz. The excellent absorption property of BaTiO(3) nanotubes at high frequency indicates that these nanotubes could be promising microwave absorbing materials.",
"author_names": [
"Yaofeng Zhu",
"Li Zhang",
"Toshiaki Natsuki",
"Ya Fu",
"Qingqing Ni"
],
"corpus_id": 5306378,
"doc_id": "5306378",
"n_citations": 122,
"n_key_citations": 1,
"score": 0,
"title": "Facile synthesis of BaTiO3 nanotubes and their microwave absorption properties.",
"venue": "ACS applied materials interfaces",
"year": 2012
},
{
"abstract": "Highly uniform core shell composites, polypyrrole@polyaniline (PPy@PANI) have been successfully constructed by directing the polymerization of aniline on the surface of PPy microspheres. The thickness of PANI shells, from 30 to 120 nm, can be well controlled by modulating the weight ratio of aniline and PPy microspheres. PPy microspheres with abundant carbonyl groups have very strong affinity to the conjugated chains of PANI, which is responsible for the spontaneous formation of uniform core shell microstructures. However, the strong affinity between PPy microspheres and PANI shells does not promote the diffusion or reassembly of two kinds of conjugated chains. Coating PPy microspheres with PANI shells increases the complex permittivity and creates the mechanism of interfacial polarization, where the latter plays an important role in increasing the dielectric loss of PPy@PANI composites. With a proper thickness of PANI shells, the moderate dielectric loss will produce well matched characteristic impedance, so that the microwave absorption properties of these composites can be greatly enhanced. Although PPy@PANI composites herein consume the incident electromagnetic wave by absolute dielectric loss, their performances are still superior or comparable to most PANI based composites ever reported, indicating that they can be taken as a new kind of promising lightweight microwave absorbers. More importantly, microwave absorption of PPy@PANI composites can be simply modulated not only by the thickness of the absorbers, but also the shell thickness to satisfy the applications in different frequency bands.",
"author_names": [
"Chunhua Tian",
"Yunchen Du",
"Ping Xu",
"Rong Qiang",
"Ying Wang",
"Ding Ding",
"Jianlei Xue",
"Jun Ma",
"Hongtao Zhao",
"Xijiang Han"
],
"corpus_id": 10604122,
"doc_id": "10604122",
"n_citations": 291,
"n_key_citations": 4,
"score": 0,
"title": "Constructing Uniform Core Shell PPy@PANI Composites with Tunable Shell Thickness toward Enhancement in Microwave Absorption.",
"venue": "ACS applied materials interfaces",
"year": 2015
}
] |
Lithium adsorption and migration in group IV-VI compounds and GeS/graphene heterostructures: A comparative study | [
{
"abstract": "By means of first principles calculations, the adsorption and transport properties of lithium (Li) in orthorhombic group IV VI compounds MX (M Ge, Sn; X S, Se) and GeS/graphene heterostructures have been systematically investigated. Strong interactions and distinct charge transfer between Li and compounds MX are observed. The Li diffusion barriers along the zigzag direction are found to be much lower than that along the armchair direction in monolayer and bulk MX, showing distinct anisotropic diffusion features. In particular, monolayer GeS has a lowest barrier of 0.173 eV (zigzag) among them and it will transit from a semiconductor to a metallic state after Li intercalation, indicating fast Li and electron transport properties. As a comparison, the addition of graphene in a GeS/graphene heterostructure could enhance its binding with Li, decrease the Li diffusion barrier and inhibit the volume expansion dramatically, suggesting a potential performance improvement. Our study not only reveals the directional transport properties of Li in MX, but also improves the understanding of the role of graphene in the MX/graphene heterostructure, and shows great potential application in the field of electrode materials.",
"author_names": [
"Kuan-Rong Hao",
"Lincan Fang",
"Qing-Bo Yan",
"Gang Su"
],
"corpus_id": 4967998,
"doc_id": "4967998",
"n_citations": 9,
"n_key_citations": 0,
"score": 1,
"title": "Lithium adsorption and migration in group IV VI compounds and GeS/graphene heterostructures: a comparative study.",
"venue": "Physical chemistry chemical physics PCCP",
"year": 2018
},
{
"abstract": "Direct fabrication of large micropatterned single crystals. p1205 21 Feb 2003. (news) Academy plucks best biophysicists from a sea of mediocrity. p994 14 Feb 2003.",
"author_names": [
"Aaas News",
"Eric Lu",
"Minmin Zhou",
"Rong Mocsai",
"Attila Myers",
"E Huang",
"B Jackson",
"Davide Ferrari",
"V Tybulewicz",
"Victor Lowell",
"Clifford A Lepore",
"J Koretzky",
"Gary Kahn",
"Mark L",
"Frederic Achard",
"Hugh Douglas Eva",
"Ernst-Detlef See Also Schulze",
"Jairaj K Acharya",
"Usha Acharya",
"Shetal Patel",
"E Koundakjian",
"Kunio Nagashima",
"Xianlin Han",
"Daniel L Adams",
"Jonathan C And Horton",
"",
"Melissa D Adams",
"Mitch McVey",
"Jeff Sekelsky",
"John W Adamson",
"Gerd G Kochendoerfer",
"A W Adeleke",
"A See Kamdem-Toham",
"Alan Aderem",
"C Picard",
"Gerald H Haug",
"Girish S Agarwal",
"Marlan O Scully",
"Hugo Aguilaniu",
"Lena Gustafsson",
"Michel Rigoulet",
"Thomas Nystrom",
"Ferhaan Ahmad",
"Joachim P Schmitt",
"Misako Aida",
"Salai C Ammal",
"Joanna Aizenberg",
"David A Muller",
"John L Grazul",
"Don R Hamann",
"James W Ajioka",
"C J Su",
"Aravind B Akella",
"M S Alam",
"F Gao",
"Ahmet Alatas",
"Harald Sinn",
"Titus V Albu",
"Peter S Zuev",
"Maher Al-Dayeh",
"Joseph R Dwyer",
"Abdulaziz Al-ghonaium",
"Sami Al-Hajjar",
"Sulaiman Al-Jumaah",
"Andrei Allakhverdov",
"V A Pokrovsky",
"Andrew P See Brown",
"James H Allen",
"James H Gillooly"
],
"corpus_id": 15642412,
"doc_id": "15642412",
"n_citations": 70612,
"n_key_citations": 5625,
"score": 0,
"title": "\"A and B\"",
"venue": "Sophonisba Breckinridge",
"year": 2019
},
{
"abstract": "Abstract SRIM is a software package concerning the S topping and R ange of I ons in M atter. Since its introduction in 1985, major upgrades are made about every six years. Currently, more than 700 scientific citations are made to SRIM every year. For SRIM 2010 the following major improvements have been made: (1) About 2800 new experimental stopping powers were added to the database, increasing it to over 28,000 stopping values. (2) Improved corrections were made for the stopping of ions in compounds. (3) New heavy ion stopping calculations have led to significant improvements on SRIM stopping accuracy. (4) A self contained SRIM module has been included to allow SRIM stopping and range values to be controlled and read by other software applications. (5) Individual interatomic potentials have been included for all ion/atom collisions, and these potentials are now included in the SRIM package. A full catalog of stopping power plots can be downloaded at www.SRIM.org Over 500 plots show the accuracy of the stopping and ranges produced by SRIM along with 27,000 experimental data points. References to the citations which reported the experimental data are included.",
"author_names": [
"James F Ziegler",
"Matthias Ziegler",
"Jochen P Biersack"
],
"corpus_id": 94627202,
"doc_id": "94627202",
"n_citations": 6516,
"n_key_citations": 318,
"score": 0,
"title": "SRIM The stopping and range of ions in matter (2010)",
"venue": "",
"year": 2008
},
{
"abstract": "The method of dispersion correction as an add on to standard Kohn Sham density functional theory (DFT D) has been refined regarding higher accuracy, broader range of applicability, and less empiricism. The main new ingredients are atom pairwise specific dispersion coefficients and cutoff radii that are both computed from first principles. The coefficients for new eighth order dispersion terms are computed using established recursion relations. System (geometry) dependent information is used for the first time in a DFT D type approach by employing the new concept of fractional coordination numbers (CN) They are used to interpolate between dispersion coefficients of atoms in different chemical environments. The method only requires adjustment of two global parameters for each density functional, is asymptotically exact for a gas of weakly interacting neutral atoms, and easily allows the computation of atomic forces. Three body nonadditivity terms are considered. The method has been assessed on standard benchmark sets for inter and intramolecular noncovalent interactions with a particular emphasis on a consistent description of light and heavy element systems. The mean absolute deviations for the S22 benchmark set of noncovalent interactions for 11 standard density functionals decrease by 15% 40% compared to the previous (already accurate) DFT D version. Spectacular improvements are found for a tripeptide folding model and all tested metallic systems. The rectification of the long range behavior and the use of more accurate C(6) coefficients also lead to a much better description of large (infinite) systems as shown for graphene sheets and the adsorption of benzene on an Ag(111) surface. For graphene it is found that the inclusion of three body terms substantially (by about 10% weakens the interlayer binding. We propose the revised DFT D method as a general tool for the computation of the dispersion energy in molecules and solids of any kind with DFT and related (low cost) electronic structure methods for large systems.",
"author_names": [
"Stefan Grimme",
"Jens Antony",
"Stephan Ehrlich",
"Helge Krieg"
],
"corpus_id": 28512828,
"doc_id": "28512828",
"n_citations": 19388,
"n_key_citations": 134,
"score": 0,
"title": "A consistent and accurate ab initio parametrization of density functional dispersion correction (DFT D) for the 94 elements H Pu.",
"venue": "The Journal of chemical physics",
"year": 2010
},
{
"abstract": "Origin of the elements, isotopes and atomic weights Chemical periodicity and the periodic table Hydrogen Lithium, sodium, potassium, rubidium, caesium and francium Beryllium, magnesium, calcium, strontium, barium and radium Boron Aluminium, gallium, indium and thallium Carbon Silicon Germanium, tin and lead Nitrogen Phosphorus Arsenic, antimony and bismuth Oxygen Sulfur Selenium, tellurium and polonium The halogens: fluorine, chlorine, bromine, iodine and astatine The noble gases: helium, neon, argon, krypton, xenon, and radon Coordination and organometallic compounds Scandium, yttrium, lanthanum and actinium Titanium, zirconium and hafnium Vanadium, niobium and tantalum Chromium, molybdenum and tungsten Manganese, technetium and rhenium Iron, ruthenium and osmium Cobalt, rhodium and iridium Nickel, palladium, and platinum Copper, silver and gold Zinc, cadmium and mercury The lanthanide elements The actinideand transactinide elements (Z=90 112)",
"author_names": [
"Norman Neill Greenwood",
"Alan Earnshaw"
],
"corpus_id": 93902610,
"doc_id": "93902610",
"n_citations": 6172,
"n_key_citations": 240,
"score": 0,
"title": "Chemistry of the elements",
"venue": "",
"year": 1984
},
{
"abstract": "",
"author_names": [
"Robert W Stephenson"
],
"corpus_id": 28480406,
"doc_id": "28480406",
"n_citations": 40488,
"n_key_citations": 3519,
"score": 0,
"title": "A and V",
"venue": "The British journal of ophthalmology",
"year": 1962
},
{
"abstract": "",
"author_names": [
"Neil Genzlinger"
],
"corpus_id": 127667495,
"doc_id": "127667495",
"n_citations": 9957,
"n_key_citations": 582,
"score": 0,
"title": "A. and Q",
"venue": "",
"year": 2006
},
{
"abstract": "There is, I think, something ethereal about i the square root of minus one. I remember first hearing about it at school. It seemed an odd beast at that time an intruder hovering on the edge of reality. Usually familiarity dulls this sense of the bizarre, but in the case of i it was the reverse: over the years the sense of its surreal nature intensified. It seemed that it was impossible to write mathematics that described the real world in",
"author_names": [
"Kevin Barraclough"
],
"corpus_id": 120156521,
"doc_id": "120156521",
"n_citations": 33639,
"n_key_citations": 2702,
"score": 0,
"title": "I and i",
"venue": "BMJ British Medical Journal",
"year": 2001
},
{
"abstract": "VOLUME ONE: Determination of Optical Constants: E.D. Palik, Introductory Remarks. R.F. Potter, Basic Parameters for Measuring Optical Properties. D.Y. Smith, Dispersion Theory, Sum Rules, and Their Application to the Analysis of Optical Data. W.R. Hunter, Measurement of Optical Constants in the Vacuum Ultraviolet Spectral Region. D.E. Aspnes, The Accurate Determination of Optical Properties by Ellipsometry. J. Shamir, Interferometric Methods for the Determination of Thin Film Parameters. P.A. Temple, Thin Film Absorplance Measurements Using Laser Colorimetry. G.J. Simonis, Complex Index of Refraction Measurements of Near Millimeter Wavelengths. B. Jensen, The Quantum Extension of the Drude Zener Theory in Polar Semiconductors. D.W. Lynch, Interband Absorption Mechanisms and Interpretation. S.S. Mitra, Optical Properties of Nonmetallic Solids for Photon Energies below the Fundamental Band Gap. Critiques Metals: D.W. Lynch and W.R. Hunter, Comments of the Optical Constants of Metals and an Introduction to the Data for Several Metals. D.Y. Smith, E. Shiles, and M. Inokuti, The Optical Properties of Metallic Aluminum. Critiques Semiconductors: E.D. Palik, Cadium Telluride (CdTe) E.D. Palik, Gallium Arsenide (GaAs) A. Borghesi and G. Guizzetti, Gallium Phosphide (GaP) R.F. Potter, Germanium (Ge) E.D. Palik and R.T. Holm, Indium Arsenide (InAs) R.T. Holm, Indium Antimonide (InSb) O.J. Glembocki and H. Piller, Indium Phosphide (InP) G. Bauer and H. Krenn, Lead Selenide (PbSe) G. Guizzetti and A. Borghesi, Lead Sulfide (PbS) G. Bauer and H. Krenn, Lead Telluride (PbTe) D.F. Edwards, Silicon (Si) H. Piller, Silicon (Amorphous) Si) W.J. Choyke and E.D. Palik, Silicon Carbide (SiC) E.D. Palik and A. Addamiano, Zinc Sulfide (ZnS) Critiques Insulators: D.J. Treacy, Arsenic Selenide (As 2 gt Se 3 gt D.J. Treacy, Arsenic Sulfide (As 2 gt S 3 gt D.F. Edwards and H.R. Philipp, Cubic Carbon (Diamond) E.D. Palik and W.R. Hunter, Litium Fluoride (LiF) E.D. Palik, Lithium Niobote (LiNbO 3 gt E.D. Palik, Potassium Chloride (KCl) H.R. Philipp, Silicon Dioxide (SiO 2 gt Type (Crystalline) H.R. Philipp, Silicon Dioxide (SiO 2 gt (Glass) gt H.R. Philipp, Silicon Monoxide (SiO) (Noncrystalline) H.R. Philipp, Silicon Nitride (Si 3 gt N 4 gt (Noncrystalline) J.E. Eldridge and E.D. Palik, Sodium Chloride (NaCl) M.W. Ribarsky, Titanium Dioxide (TiO 2 gt (Rutile)",
"author_names": [
"Edward D Palik"
],
"corpus_id": 138765507,
"doc_id": "138765507",
"n_citations": 12904,
"n_key_citations": 539,
"score": 0,
"title": "Handbook of Optical Constants of Solids",
"venue": "",
"year": 1997
},
{
"abstract": "VII ZUSAMMENFASSUNG VIII",
"author_names": [
"Nicolas Jackel"
],
"corpus_id": 104268050,
"doc_id": "104268050",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Structure and properties of supercapacitor and lithium ion battery electrodes: the role of material, electrolyte, binder and additives",
"venue": "",
"year": 2018
}
] |
Carbon quantum dots and their applications | [
{
"abstract": "Fluorescent carbon nanoparticles or carbon quantum dots (CQDs) are a new class of carbon nanomaterials that have emerged recently and have garnered much interest as potential competitors to conventional semiconductor quantum dots. In addition to their comparable optical properties, CQDs have the desired advantages of low toxicity, environmental friendliness low cost and simple synthetic routes. Moreover, surface passivation and functionalization of CQDs allow for the control of their physicochemical properties. Since their discovery, CQDs have found many applications in the fields of chemical sensing, biosensing, bioimaging, nanomedicine, photocatalysis and electrocatalysis. This article reviews the progress in the research and development of CQDs with an emphasis on their synthesis, functionalization and technical applications along with some discussion on challenges and perspectives in this exciting and promising field.",
"author_names": [
"Shi Ying Lim",
"Wei Shen",
"Zhiqiang Gao"
],
"corpus_id": 19393519,
"doc_id": "19393519",
"n_citations": 2473,
"n_key_citations": 21,
"score": 1,
"title": "Carbon quantum dots and their applications.",
"venue": "Chemical Society reviews",
"year": 2015
},
{
"abstract": "Carbon quantum dots (CQDs) are a member of carbon nanostructures family which have received increasing attention for their photoluminescence (PL) physical and chemical stability and low toxicity. The classical semiconductor quantum dots (QDs) are semiconductor particles that are able to emit fluorescence by excitation. The CQDs is mainly referred to photoluminescent carbon nanoparticles less than 10 nm, with surface modification or functionalization. Contrary to other carbon nanostructures, CQDs can be synthesized and functionalized fast and easily. The fluorescence origin of the CQDs is a controversial issue which depends on carbon source, experimental conditions, and functional groups. However, PL emissions originated from conjugated p domains and surface defects have been proposed for the PL emission mechanisms of the CQDs. These nanostructures have been used as nontoxic alternatives to the classical heavy metals containing semiconductor QDs in some applications such as in vivo and in vitro bio imaging, drug delivery, photosensors, chemiluminescence (CL) and etc. This paper will introduce CQDs, their structure, and PL characteristics. Recent advances of the application of CQDs in biotechnology, sensors, and CL is comprehensively discussed.",
"author_names": [
"Mohammad Jafar Molaei"
],
"corpus_id": 59274823,
"doc_id": "59274823",
"n_citations": 159,
"n_key_citations": 0,
"score": 0,
"title": "A review on nanostructured carbon quantum dots and their applications in biotechnology, sensors, and chemiluminescence.",
"venue": "Talanta",
"year": 2019
},
{
"abstract": "Carbon quantum dots (CQDs) are a fascinating class of carbon nanoparticles with sizes around 10 nm. The unique properties of CQDs are low toxicity, chemical inertness, excellent biocompatibility, photo induced electron transfer and highly tunable photoluminescence behaviour. Sustainable raw materials are commonly used for the fabrication of CQDs because they are cost effective, eco friendly and effective to minimise waste production. CQDs can be fabricated using laser ablation, microwave irradiation, hydrothermal reaction, electrochemical oxidation, reflux method and ultrasonication. These methods undergo several chemical reactions such as oxidation, carbonisation, pyrolysis and polymerisation processes to produce CQDs. Due to small particle sizes of CQDs, they possess strong tunable fluorescent properties and highly photo luminescent emissions. It also contains oxygen based functional groups and highly desired properties as semiconductor nanoparticles. Therefore, CQDs are promising nanomaterials for photo catalysis, ions sensing, biological imaging, heavy metal detection, adsorption treatment, supercapacitor, membrane fabrication and water pollution treatment. This review paper will discuss the physical and chemical properties of CQDs, raw materials and methods used in the fabrication of CQDs, the stability of CQDs as well as their potential applications in wastewater treatment and biomedical field.",
"author_names": [
"Umairah Abd Rani",
"Law Yong Ng",
"Ching Yin Ng",
"Ebrahim Mahmoudi"
],
"corpus_id": 212621591,
"doc_id": "212621591",
"n_citations": 36,
"n_key_citations": 0,
"score": 0,
"title": "A review of carbon quantum dots and their applications in wastewater treatment.",
"venue": "Advances in colloid and interface science",
"year": 2020
},
{
"abstract": "Abstract Background In recent years, carbon quantum dots (CQDs) has received a lot of attentions owing to their great physical and optical properties. There are different kinds of carbon sources applied in various fields, however, CQDs used in the food industry have higher requirements for their safety. Therefore, it is the best way to use natural materials for preparing CQDs without the participation of chemicals. Up to now, there are many natural food products for preparing CQDs. However, food waste is often overlooked. Actually, food waste is rich in carbon sources. And the efficient utilization of food waste plays a positive role in economic benefit and environmental pollution. Scope and approach Proper use of food waste as carbon source not only facilitates food safety detection but also increases byproduct value. This paper was intended to review the research progress of food waste utilization as carbon precursor and applications in food safety detection. The approaches of preparing CQDs from different sorts of food wastes, the characteristics and applications of CQDs were described in detail. Particularly the applications in food quality and safety detection including food additives, heavy metal ions were also elaborated. Key findings and conclusions Currently, food waste as carbon source could be divided into plant byproducts, animal food byproducts and food processing byproducts. Moreover, there are many applications of food waste as carbon precursor in CQDs technology to detect food additives and heavy metal ions. However, detection of pathogens and other harmful substances in food industry is rare. Last but not least, it was concluded that food waste had potential to prepare CQDs and be applied to food safety detection.",
"author_names": [
"Hanzhi Fan",
"Min Zhang",
"Bhesh R Bhandari",
"Chaohui Yang"
],
"corpus_id": 209603758,
"doc_id": "209603758",
"n_citations": 37,
"n_key_citations": 1,
"score": 0,
"title": "Food waste as a carbon source in carbon quantum dots technology and their applications in food safety detection",
"venue": "",
"year": 2020
},
{
"abstract": "In recent years, nano carbon quantum dots (CQDs) have received increasing attention due to their properties such as small size, fluorescence emission, chemical stability, water solubility, easy synthesis, and the possibility of functionalization. CQDs are fluorescent 0D carbon nanostructures with sizes below 10 nm. The fluorescence in CQDs originates from two sources, the fluorescence emission from bandgap transitions of conjugated p domains and fluorescence from surface defects. The CQDs can emit fluorescence in the near infrared (NIR) spectral region which makes them appropriate for biomedical applications. The fluorescence in these structures can be tuned with respect to the excitation wavelength. The CQDs have found applications in different areas such as biomedicine, photocatalysis, photosensors, solar energy conversion, light emitting diodes (LEDs) etc. The biomedical applications of CQDs include bioimaging, drug delivery, gene delivery, and cancer therapy. The fluorescent CQDs have low toxicity and other exceptional physicochemical properties in comparison to heavy metals semiconductor quantum dots (QDs) which make them superior candidates for biomedical applications. In this review, the synthesis routes and optical properties of the CQDs are clarified and recent advances in CQDs biomedical applications in bioimaging (in vivo and in vitro) drug delivery, cancer therapy, their potential to pass blood brain barrier (BBB) and gene delivery are discussed.",
"author_names": [
"Mohammad Jafar Molaei"
],
"corpus_id": 104385863,
"doc_id": "104385863",
"n_citations": 106,
"n_key_citations": 0,
"score": 0,
"title": "Carbon quantum dots and their biomedical and therapeutic applications: a review",
"venue": "",
"year": 2019
},
{
"abstract": "Abstract Carbon quantum dots (CQDs) are emerging nanostructures which consist of carbon atoms and are typically below 10 nm in size. The CQDs are almost surface passivated or are functionalized with organics or biomolecules. CQDs have superior properties such as fluorescence emission, water solubility, cheap and easy synthesis methods, low toxicity, biocompatibility, easy functionalization, and chemical inertness. The CQDs have found versatile applications in different areas such as in vivo and in vitro bioimaging, drug delivery, gene delivery, sensors, solar energy conversion, photoelectrochemical (PEC) cells, photovoltaic solar cells, photocatalysis, and light emitting diodes (LEDs) CQDs could impart in photocatalytic reactions from two aspects; CQDs can be used alongside semiconductors as electron sink and could suppress electron hole recombination and also CQDs can generate electron hole pairs, as well. The CQDs with a wide spectral absorption and high absorption coefficients can enhance the photocatalytic activity. CQDs can also be used as sensitizers in the photoanode of solar cells. Due to the low cost and low toxicity of the CQDs in comparison to semiconductor quantum dots (QDs) they could be considered as potential alternatives in solar energy conversion applications. In this review, the CQDs are introduced and their optical properties are clarified. Recent advances of the CQDs in photocatalysis, PEC, and solar cells are reviewed.",
"author_names": [
"Mohammad Jafar Molaei"
],
"corpus_id": 214437247,
"doc_id": "214437247",
"n_citations": 44,
"n_key_citations": 0,
"score": 0,
"title": "The optical properties and solar energy conversion applications of carbon quantum dots: A review",
"venue": "",
"year": 2020
},
{
"abstract": "Abstract As an efficient method to improve the intrinsic properties of carbon quantum dots (CQDs) doping CQDs with heteroatoms including nonmetallic atoms and metal ions recently receive great attention. Since the summary about the achievements of CQDs doping with nonmetallic atoms has been carried out. In this minireview, we will overview the state of the art knowledge of metal ions doped CQDs (M CQDs) The synthetic routes for M CQDs, the novel physicochemical properties originating from their unique morphology and constitute, and their applications in environmental, biological and energy related areas will be summarized and highlighted. The review aims to provide new insights into the exploration of the methods in order to improve the functionality of M CQDs, the relationship between newly novel physicochemical properties and metal ions doping, as well as their possible applications in future.",
"author_names": [
"Liping Lin",
"Yaxin Luo",
"Pei-Chang Tsai",
"Jiajing Wang",
"Xi Chen"
],
"corpus_id": 103423150,
"doc_id": "103423150",
"n_citations": 61,
"n_key_citations": 0,
"score": 0,
"title": "Metal ions doped carbon quantum dots: Synthesis, physicochemical properties, and their applications",
"venue": "",
"year": 2018
},
{
"abstract": "As an emerging class of luminescent nanomaterials, carbon quantum dots (CQDs) have recently shown enormous potential for optoelectronic applications on account of their characteristic broad emission, tunable fluorescence emission, high thermal stability, and low cytotoxicity. In this review, we will update the latest research progress achieved in CQDs, including their synthesis, optical properties, luminescence mechanism, and applications in optoelectronics. Mainly reviewed here are their room temperature phosphorescence, delayed fluorescence properties, as well as their optoelectronic applications including light emitting diodes, lasing, solar cells, and photodetectors. Finally, current problems and challenges of CQD based optoelectronics applications are discussed with an eye on future development. We hope that this review will provide critical insights to inspire new exciting discoveries in the area of CQDs from both fundamental and practical standpoints so that the realization of their potential in the optoelectronic areas can be facilitated.",
"author_names": [
"Ting Yuan",
"Ting Meng",
"Ping He",
"Yuxin Shi",
"Yunchao Li",
"Xiaohong Li",
"Louzhen Fan",
"Shihe Yang"
],
"corpus_id": 164561254,
"doc_id": "164561254",
"n_citations": 77,
"n_key_citations": 0,
"score": 0,
"title": "Carbon quantum dots: an emerging material for optoelectronic applications",
"venue": "",
"year": 2019
},
{
"abstract": "The emerging graphene quantum dots (GQDs) and carbon dots (C dots) have gained tremendous attention for their enormous potentials for biomedical applications, owing to their unique and tunable photoluminescence properties, exceptional physicochemical properties, high photostability, biocompatibility, and small size. This article aims to update the latest results in this rapidly evolving field and to provide critical insights to inspire more exciting developments. We comparatively review the properties and synthesis methods of these carbon nanodots and place emphasis on their biological (both fundamental and theranostic) applications.",
"author_names": [
"Xin Ting Zheng",
"Arundithi Ananthanarayanan",
"Kathy Qian Luo",
"Peng Chen"
],
"corpus_id": 46156313,
"doc_id": "46156313",
"n_citations": 1199,
"n_key_citations": 8,
"score": 0,
"title": "Glowing graphene quantum dots and carbon dots: properties, syntheses, and biological applications.",
"venue": "Small",
"year": 2015
},
{
"abstract": "Carbon quantum dots (CQDs) have emerged as a potential material in the diverse fields of biomedical applications due to their numerous advantageous properties including fluorescence, water solubility, biocompatibility, low toxicity, small size and ease of modification, inexpensive scale up production, and versatile conjugation with other nanoparticles. Thus, CQDs became a preferable choice in various biomedical applications such as nanocarriers for drugs, therapeutic genes, photosensitizers, and antibacterial molecules. Further, their potentials have also been verified in multifunctional diagnostic platforms, cellular and bacterial bio imaging, development of theranostics nanomedicine, etc. This review provides a concise insight into the progress and evolution in the field of CQD research with respect to methods/materials available in bio imaging, theranostics, cancer/gene therapy, diagnostics, etc. Further, our discussion is extended to explore the role of CQDs in nanomedicine which is considered to be the future of biomedicine. This study will thus help biomedical researchers in tapping the potential of CQDs to overcome various existing technological challenges.",
"author_names": [
"Pooja Devi",
"Shefali Saini",
"Ki-Hyun Kim"
],
"corpus_id": 108142582,
"doc_id": "108142582",
"n_citations": 68,
"n_key_citations": 0,
"score": 0,
"title": "The advanced role of carbon quantum dots in nanomedical applications.",
"venue": "Biosensors bioelectronics",
"year": 2019
}
] |
Display system architectures for digital micromirror device (DMD)-based projectors | [
{
"abstract": "The Digital Micromirror Device (DMDTM) developed by Texas Instruments is a highly useful Micro Opto Electro Mechanical Structures (MOEMS) device that enables high quality projection display. Acting as a semiconductor light switch, the DMD can modulate incident light to produce truly digital projection display systems. Illumination and projection optics are described for three fundamental display system architectures based on the DMD light modulator. These systems include one, two, and three DMD configurations all producing full color image projection. The single device configuration implemented with a rotating color filter system represents the least system hardware while providing the capability of full color and a high brightness monochromatic mode. A two device configuration using a rotating color filter combined with a secondary color splitting filter is of particular interest when using a light source that is spectrally imbalanced. The two device configuration is also capable of a high brightness monochromatic mode of operation. The three device configuration is the most efficient with respect to light throughput considerations providing the highest brightness full color projection with the DMD light modulators. Comparisons of system performance characteristics are described indicating the features of each configuration.",
"author_names": [
"James M Florence",
"Lars A Yoder"
],
"corpus_id": 111270639,
"doc_id": "111270639",
"n_citations": 139,
"n_key_citations": 0,
"score": 1,
"title": "Display system architectures for digital micromirror device (DMD) based projectors",
"venue": "Electronic Imaging",
"year": 1996
},
{
"abstract": "A single Digital Micromirror Device with a single illumination source projects multiple, independent patterns into corresponding directions across a nearly doubled angular extent by time multiplexing and by nanosecond illumination pulse synchronization for a binary patterned programmable blazed grating. The resulting \"Angular Spatial Light Modulator\" (ASLM) system nearly doubles the etendue of a DMD type SLM and creates a multiplication factor for the output pixel count and effective pixel density. We demonstrate an extended FOV display, a light field projector, and a multi view display which can be implemented into AR/VR systems. We present an implementation update using the DLP7000 DMD, increasing output pixel count by and effective pixel density orders of magnitude beyond traditional SLM systems while achieving an extended field of view and/or eye box size due to the increased etendue.",
"author_names": [
"Brandon Hellman",
"Ted L Lee",
"Yuzuru Takashima"
],
"corpus_id": 213938510,
"doc_id": "213938510",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Angular and spatial light modulation by single digital micromirror device for display applications",
"venue": "AR, VR, MR",
"year": 2020
},
{
"abstract": "The \"Angular Spatial Light Modulator\" (ASLM) utilizes digital micromirror device (DMD) as a binary patterned programmable blazed grating to increase number of output pixels of a DMD by merging geometric and diffractive optical capabilities of the DMD. We demonstrate series of capabilities of the ASLM for beam and pattern steering. In particular, a single chip beam steering lidar, an extended FOV display, a light field projector, and a multi view display which can be implemented into AR/VR systems. We also present our metrology results of wavefront distortion of DMD while micro mirrors are transitioning over between on and off states.",
"author_names": [
"Brandon Hellman",
"Joshua Rodriguez",
"Chuan Luo",
"Heejoo Choi",
"Yuzuru Takashima"
],
"corpus_id": 213347095,
"doc_id": "213347095",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Angular and spatial light modulation by single digital micromirror device for beam and pattern steering",
"venue": "OPTO",
"year": 2020
},
{
"abstract": "In order to solve the problem of larger projection thickness in optic system,an ultra short distance and ultra wide angle projection display system was designed by using the method of combining the free form surface mirror with the aspheric surface.The projection distance was less than 20cm.The color gamut transformation and expansion model of laser and phosphor tricolor was built theoretically,and the real time processing development hardware system for color transformation was set up using the digital micromirror device(DMD) chip as the spatial light modulator.This system can debug algorithms for varied color system transformations,verify the transformed model and provide a platform for developing specific color transform module.",
"author_names": [
"Wang Yu-long"
],
"corpus_id": 63659150,
"doc_id": "63659150",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Ultra thin front projector for laser display system",
"venue": "",
"year": 2012
},
{
"abstract": "While many advantages and the market potential of digital micromirror device (DMD) technology have been described [1 3] we intend to focus on the impact of a fully digital video display system. Redefining the architecture of an entire video system can dramatically decrease costs and increase performance from current display technologies (CRT and LCD) With recent disclosure of promising results relating to Texas Instruments DMD technology, the impact of that technology on the entire video system is presented.",
"author_names": [
"Dr Robert J Gove"
],
"corpus_id": 51373880,
"doc_id": "51373880",
"n_citations": 14,
"n_key_citations": 1,
"score": 0,
"title": "DMD Display Systems The Impact of an All Digital Display",
"venue": "",
"year": 2002
},
{
"abstract": "In traditional DLP(Digital Light Processing) projection display system,the color separation and recombination system has the disadvantage of complexity,weight,long back focus path,etc.This paper introduces a new design of color separation and recombination system which achieves the optical function by an X cube prism,two dichroic filters and two reflectors.The light processing device of DLP projector is DMD(Digital Micromirror Device).The DMD can reflect the direction of incidence light by turning the micromirror.Based on this characteristic,each optical component's position is elaborated to achieve the function of color separation and recombination.The total weight is only 36 g of optical components in this system.Simulation by software,this system has great color recoverability and the light efficiency is 60 .It has the other advantage such as simple configuration,high contrast.",
"author_names": [
"Ling Zhi-hua"
],
"corpus_id": 63077385,
"doc_id": "63077385",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "New Color Separation System of DLP Projection Display with Three Panels",
"venue": "",
"year": 2007
},
{
"abstract": "Abstract This paper presents the multi user autostereoscopic 3D display system constructed and operated by the authors using the time multiplexing approach. This prototype has three main advantages over the previous versions developed by the authors: its hardware was simplified as only one optical array is used to create viewing regions in space, a lenticular multiplexing screen is not necessary as images can be produced sequentially on a fast 120Hz LCD with full resolution, and the holographic projector was replaced with a high frame rate digital micromirror device (DMD) projector. The whole system in this prototype consists of four major parts: a 120Hz high frame rate DMD projector, a 49 element optical array, a 120Hz screen assembly, and a multi user head tracker. The display images for the left/right eyes are produced alternatively on a 120Hz direct view LCD and are synchronized with the output of the projector, which acts as a backlight of the LCD. The novel steering optics controlled by the multi user head tracker system directs the projector output to regions referred to as exit pupils, which are located in the viewers' eyes. The display can be developed in the \"hang on the wall\" form.",
"author_names": [
"Rajwinder Singh Brar",
"Phil Surman",
"Ian Sexton",
"Klaus Hopf"
],
"corpus_id": 62562168,
"doc_id": "62562168",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "A time multiplexed 3d display using steered exit pupils",
"venue": "",
"year": 2010
},
{
"abstract": "We present a maskless microscope projection lithography system (MPLS) in which photomasks have been replaced by a Digital Micromirror Device type spatial light modulator (DMD(tm) Texas Instruments) Employing video projector technology high resolution patterns, designed as bitmap images on the computer, are displayed using a micromirror array consisting of about 786 000 tiny individually addressable tilting mirrors. The DMD, which is located in the image plane of an infinity corrected microscope, is projected onto a substrate placed in the focal plane of the microscope objective. With a 5x [0.25 NA (numerical aperture) Fluar microscope objective, a fivefold reduction of the image to a total size of 9mm2 and a minimum feature size of 3.5mm is achieved. The ultrahigh pressure lamp of a video projector is a cheap, durable, and powerful alternative to the mercury arc lamps commonly used in lithography applications. The MPLS may be employed in standard photolithography. We have successfully produced patterns i.",
"author_names": [
"Thomas Naiser",
"Timo Mai",
"Wolfgang Michel",
"Albrecht Ott"
],
"corpus_id": 16182354,
"doc_id": "16182354",
"n_citations": 30,
"n_key_citations": 3,
"score": 0,
"title": "Versatile maskless microscope projection photolithography system and its application in light directed fabrication of DNA microarrays",
"venue": "",
"year": 2006
},
{
"abstract": "The polyplanar optical display (POD) is a unique display screen which can be used with any projection source. The prototype ten inch display is two inches thick and has a matte black face which allows for high contrast images. The prototype being developed is a form, fit and functional replacement display for the B 52 aircraft which uses a monochrome ten inch display. In order to achieve a long lifetime, the new display uses a 100 milliwatt green solid state laser at 532 nm as its light source. To produce real time video, the laser light is being modulated by a digital light processing (DLP) chip manufactured by Texas Instruments. In order to use the solid state laser as the light source and also fit within the constraints of the B 52 display, the digital micromirror device (DMD) circuit board is removed from the Texas Instruments DLP light engine assembly. Due to the compact architecture of the projection system within the display chassis, the DMD chip is operated remotely from the Texas Instruments circuit board. We discuss the operation of the DMD divorced from the light engine and the interfacing of the DMD board with various video formats including the format specific to the B 52 aircraft. A brief discussion of the electronics required to drive the laser is also presented.",
"author_names": [
"Leonard Desanto",
"Cyrus Biscardi"
],
"corpus_id": 110153601,
"doc_id": "110153601",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Polyplanar optical display electronics",
"venue": "Defense, Security, and Sensing",
"year": 1997
},
{
"abstract": "The Digital Micromirror Device (DMD) is a binary spatial light modulator. The DMD forms the basis of Digital Light Processing (DLP) all digital display systems. DLP systems are being developed in various forms, suitable for applications such as conference room projectors, institutional projectors, home theater, standard television, high definition displays, and motion pictures. This paper will describe the development of DLP systems, with emphasis on consumer applications, including standard and high definition displays. DMD Operation The DMD I consists of an array of movable micromirrors functionally mounted over a CMOS SRAM (Figure 1) Each mirror is independently controllable and is used to modulate reflected light, mapping a pixel of video data to a pixel on display. A DMD mirror is controlled by loading data into the memory cell located below the mirror. The data electrostatically controls the mirror's tilt angle in a binary fashion, where the mirror states are either +10 degrees (ON) or 10 degrees (OFF) Eight reflected by the ON mirrors is then passed through a projection lens and onto a screen. Gray scales are created by controlling the proportion of ON and OFF times of the mirror during a frame period, black being 0% ON time and white being 100% ON time. Various intensities required to display natural video are created by controlling the amount of ON versus OFF time using a pulse width modulation technique. The frame time is divided into individual bit times, where the time allocated to each bit is proportional to the binary weight of that Figure 1. DMD Scanning Electron Microscope Image bit. Thus, the most significant bit (MSB) is allocated half of the frame time, MSB 1 is allocated half the time of MSB, MSB 2 is allocated half the time of MSB1 and so on. A given gray scale is displayed at a pixel by moving the corresponding DMD mirror to the ON position for the bits that are '1' for that gray scale's binary representation, and turning the mirror to OFF position for the bits that are '0' for that gray scale's binary representation. The observer's visual system integrates the binary data to perceive various intensities. Motion video display is created by changing the data at video frame rates. Color display is made possible through the use of a rotating color wheel or color splitting prisms. Sequential color systems, which use a rotating color wheel, have been developed using one and two DMDs, while color frame concurrent systems, which use RGB color splitting prisms, have been The following are trademarks of Texas Instruments Inc. DLP, Digital Light Processing, DMD, Digital Micromirror Device",
"author_names": [
"Vishal Markandey",
"Gary S Wolverton",
"W Werner",
"Jack M Younse"
],
"corpus_id": 42860599,
"doc_id": "42860599",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Digital Spatial Light Modulator Systems for Standard and High Definition Displays",
"venue": "1996. Digest of Technical Papers. International Conference on Consumer Electronics",
"year": 1996
}
] |
Physical and electrical properties of metal gate electrodes on HfO2 gate dielectrics | [
{
"abstract": "As the metal oxide semiconductor field effect transistor (MOSFET) gate lengths scale down to 50 nm and below, the expected increase in gate leakage will be countered by the use of a high dielectric constant (high k) gate oxide. The series capacitance from polysilicon gate electrode depletion significantly reduces the gate capacitance as the dielectric thickness is scaled to 10 A equivalent oxide thickness (EOT) or below. Metal gates promise to solve this problem and address other gate stack scaling concerns like boron penetration and elevated gate resistance. Extensive simulations have shown that the optimal gate work functions for the sub 50 nm channel lengths should be 0.2 eV below (above) the conduction (valence) band edge of silicon for n type MOSFETs (p type MOSFETs) This study summarizes the evaluations of TiN, Ta Si N, WN, TaN, TaSi, Ir, and IrO2 as candidate metals for dual metal gate complementary metal oxide semiconductor using HfO2 as the gate dielectric. The gate work function was determined",
"author_names": [
"J K Schaeffer",
"Srikanth Samavedam",
"David C Gilmer",
"V Dhandapani",
"Philip J Tobin",
"J Mogab",
"Bich-Yen Nguyen",
"B E White",
"Srikanteswara Dakshina-Murthy",
"Raghaw S Rai",
"Z X Jiang",
"R Martin",
"Mark V Raymond",
"M Zavala",
"L B La",
"J Allyn Smith",
"R Garcia",
"D Roan",
"M L Kottke",
"Richard B Gregory"
],
"corpus_id": 98789669,
"doc_id": "98789669",
"n_citations": 93,
"n_key_citations": 0,
"score": 1,
"title": "Physical and electrical properties of metal gate electrodes on HfO2 gate dielectrics",
"venue": "",
"year": 2003
},
{
"abstract": "MIS capacitors with 16 nm high k dielectric HfO\"2 and 50 150nm TaN electrode were studied after post metal annealing (PMA) at various conditions. The effect of TaN thickness on electrical and physical properties is summarized. It has been found that the thermal stability of the TaN/HfO\"2 depends on TaN thickness. A reduction of leakage current and an increase of breakdown voltage were obtained with 50 nm TaN after PMA at 500^oC. The interface quality and the time dependent dielectric breakdown (TDDB) characteristics were also improved. On the other hand, degradation after PMA was observed in HfO\"2 capped with 100 nm and 150 nm TaN.",
"author_names": [
"Yang-Hua Chang",
"Cheng-li Lin",
"Ting-Yao Wang"
],
"corpus_id": 109526601,
"doc_id": "109526601",
"n_citations": 2,
"n_key_citations": 1,
"score": 0,
"title": "Electrical and physical properties of HfO2 as gate dielectrics using various thickness of TaN electrodes for MIS capacitors",
"venue": "",
"year": 2012
},
{
"abstract": "Future scaling of complementary metal oxide semiconductor (CMOS) technology requires high k (HK) dielectrics with metal gate (MG) electrodes to realize higher gate capacitances and low gate leakage currents [1] During the last decade the semiconductor industry has spent tremendous effort to find the right material. Hafnium based dielectrics and particularly HfO2 are considered to be the most promising candidates to replace SiON in high volume manufacturing due to their relatively high dielectric constants, large band gap and conduction band offset to Si and their thermodynamic stability with Si [2 4] However, compared to SiO2, HfO2 dielectrics suffer from threshold voltage instabilities, mobility degradation, charge trapping as well as reliability degradation [5,6] Recently HfZrO4 has been shown to be a superior gate dielectric to HfO2 [7 11] Addition of ZrO2 to HfO2 forming HfZrO4 helps to partially stabilize tetragonal phase being associated with higher kand lower CET values [7] Besides smaller and more uniform grains, more uniform film quality, tighter leakage distribution, less charge trapping, lower CV hysteresis, lower Dit, higher transconductance and drive currents, reduced SILC and longer product reliability lifetimes have been reported among other things for HfZrO4 compared with HfO2 [7 11] Simultaneously disadvantages like smaller band gap ~0,4eV) and lower conduction band offsets resulting in increased leakage have been presented as well [7] Up to now atomic layer deposition (ALD) [7 10] as well as physical vapor deposition (PVD) [11] have been explored to form the HfZrO4 layers. As metal organic chemical vapor deposition (MOCVD) stands out due to excellent manufacturability and high throughputs, we investigate HfZrO4 dielectrics deposited with MOCVD as well as ALD as high k gate dielectric for 32nm high performance logic SOI CMOS devices in this work. The physical properties of the HfZrO4 films have been analyzed in detail by atom probe tomography [12,13] Xray photoelectron spectroscopy, Rutherford backscattering spectrometry, time of flight secondary ion mass spectrometry, transmission electron microscopy, reflectometry, atomic force microscopy, variable angle spectroscopic ellipsometry as well as high temperature grazing incidence X ray diffraction. In addition electrical parameters such as gate leakage current, capacitance equivalent thickness, threshold voltage, interface trap density (charge pumping) and performance as well as reliability data have been taken into account to directly compare both deposition methods. All parameters indicate a comparable behavior for MOCVD and ALD. Therefore MOCVD is demonstrated to be a promising alternative to ALD in high volume manufacturing in this work.",
"author_names": [
"Torben Kelwing",
"S Mutas",
"Martin Trentzsch",
"Andreas Naumann",
"Bernhard Trui",
"Lutz Herrmann",
"Falk Graetsch",
"Christoph Klein",
"Lutz Wilde",
"S Ohsiek",
"M Weisheit",
"Anita Peeva",
"Inka Richter",
"Hartmut Prinz",
"Alexander Wuerfel",
"Rick Carter",
"Rolf Stephan",
"Peter Kucher",
"Walter Hansch"
],
"corpus_id": 51765373,
"doc_id": "51765373",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Physical and Electrical Properties of MOCVD and ALD Deposited HfZrO4 Gate Dielectrics for 32nm CMOS High Performance Logic SOI Technologies",
"venue": "",
"year": 2010
},
{
"abstract": "TaCy has been reported to have the appropriate work function for negative metal oxide semiconductor metal in high k metal oxide field effect transistors. As device size continues to shrink, a conformal deposition for metal gate electrodes is needed. In this work, we report on the development and characterization of a novel TaCy process by atomic layer deposition (ALD) Detailed physical properties of TaCy films are studied using ellipsometry, a four point probe, Rutherford backscattering spectrometry (RBS) x ray photoelectron spectroscopy (XPS) and x ray diffraction (XRD) RBS and XPS analysis indicate that TaCy films are near stoichiometric, nitrogen free, and have low oxygen impurities. Powder XRD spectra showed that ALD films have a cubic microstructure. XPS carbon bonding studies revealed that little or no glassy carbon is present in the bulk of the film. Excellent electrical properties are obtained using ALD TaCy as a metal gate electrode. Well behaved capacitance voltage characteristics with ALD H.",
"author_names": [
"Dina H Triyoso",
"Richard B Gregory",
"J K Schaeffer",
"Dennis B Werho",
"Dong Hua Li",
"Steven D Marcus",
"Glen David Wilk"
],
"corpus_id": 120057153,
"doc_id": "120057153",
"n_citations": 19,
"n_key_citations": 0,
"score": 0,
"title": "Atomic layer deposited TaCy metal gates: Impact on microstructure, electrical properties, and work function on HfO2 high k dielectrics",
"venue": "",
"year": 2007
},
{
"abstract": "The influence of various types of metal nitride gate electrodes, i.e. tantalum nitride, molybdenum nitride, and tungsten nitride, on electrical characteristics of metal oxide semiconductor capacitors with hafnium oxide as the gate dielectric material has been studied. The result shows that both the physical and electrical properties of the high k gate stack are influenced by the gate electrode materials and the post metal annealing temperature. Both the physical thickness and equivalent oxide thickness of the gate stack increased after the high temperature N2 annealing step. The leakage current density decreased with the increase of the annealing temperature from 600to800degC. The work functions of these metal nitride electrodes decreased with the annealing temperature due to the variance of microstructure and chemical composition, as indicated by x ray diffraction and second ion mass spectroscopy data. These metal nitride electrodes are suitable for n channel metal oxide semiconductor device applications",
"author_names": [
"Jiang Lei Lu",
"Yue Kuo",
"Somenath Chatterjee",
"Jun-Yen Tewg"
],
"corpus_id": 94276424,
"doc_id": "94276424",
"n_citations": 18,
"n_key_citations": 0,
"score": 0,
"title": "Physical and electrical properties of Ta N, Mo N, and W N electrodes on HfO2 high k gate dielectric",
"venue": "",
"year": 2006
},
{
"abstract": "Replacement of polycrystalline Si (poly Si) gate electrode used in conventional complementary metal oxide semiconductor field effect transistors (CMOSFETs) with the metal gate has been rapidly developed during last decade [1] Although the metal gates were initially employed to overcome several issues, such as poly Si gate depletion, boron penetration and high gate resistance, they are being recently investigated to scale further equivalent oxide thickness (EOT) and modulate flat band voltage (VFB) [2] Among the various metal gates for pMOSFET that are currently being studied, Ru and RuO2 films could be positively considered due to its low resistivity, high work function, and conducting oxide property. However, there have been few researches on the relationship between the Ru (or RuO2) growth condition and its structure and electrical properties (or so called dead layer effect) in MIS capacitors. The dielectric dead layer effect at the interface with metal electrode has mainly been studied in metal insulator metal (MIM) device area [3] In this work, physical and electrical characteristics of Ru and RuO2 films were compared for modulating work function and further scaling of EOT. Ru and RuO2 films were grown by a rf magnetron sputtering using Ru target ~99.99% on thermal SiO2 ~6 nm) and atomiclayer deposited HfO2 (2~6 nm) films on p type Si substrate. In RuO2 sputtering process, the O2/(Ar+O2) flow rate (O2 ratio) was varied from 3.3 to 16.7 Also, EOT of interfacial layer (IL) thicknesses and effective work functions (EWF) of various metal gates, Ru, RuO2, Pt and TiN, were extracted from the plot of EOT vs. HfO2 thickness and that of VFB vs. EOT, respectively. Samples were examined by x ray fluorescence (XRF) X ray photoelectron spectroscopy (XPS) and high resolution transmission electron microscopy (HRTEM) The growth rates of Ru with increasing the deposition time (inset) and RuO2 as a function of O2 rate were examined by XRF measurements, Fig. 1(a) The Ru sheet density from Ru films has a linear relation with the deposition time. On the other hand, that of RuO2 films has highly non linear behavior with respect to the O2 ratio probably due to the target poisoning and resputtering effects at high O2 ratio. The impact of O2 ratio on crystal structure of RuO2 was investigated by XRD measurements as shown in Fig. 1(b) The deposited film was hexagonal Ru when the O2 ratio is 0, while other films show clear peaks corresponding to tetragonal RuO2 phase when the O2 ration was 5% Furthermore, the intensity of (110) peak (28) decreased with increasing O2 ratio, becoming lower than that of (101) peak (35) at 16.7 O2, in which the growth rate of RuO2 was very low in Fig. 1(a) These results indicate that O2 ratio during the deposition of RuO2 determine the growth rate as well as growth direction that could affect electrical property. Figures 2 (a) and (b) show XPS spectra of O 1s and Ru 3d peaks, respectively, for Ru and RuO2 with 3.3 13.3 and 16.7 O2 ratio. Here, the films are 30 nm thick, so only film surface contributes to the XPS signal. All of Ru and RuO2 films show Ru O bonding peaks as RuO2 and RuOx (possibly RuO3) phases with binding energies (BE) of 529.2 eV and 530.6 eV, respectively. However, Fig 2 (b) reveals that the film with zero O2 ratio is mainly composed of Ru. The RuOx signal in O 1s is, therefore, due to the surface oxidation. With increasing O2 ratio during the growth of RuO2 film, the intensity of the RuOx peak decreased. Therefore, it can be understood that the sample with O2 ratio of zero has a contact between HfO2 dielectric and metal Ru, while other samples have contact between HfO2 dielectric and RuO2, which influence the dielectric deal layer effect and EOT as shown in Fig. 3. Figure 3 (a) shows the variation of EOT of HfO2 films as a function of film thickness with four different electrodes; Pt, TiN, Ru and RuO2, where the EOT was extracted from the C V curves shown in Fig. 3 (b) The plots show different y axis intercepts depending on the types of electrode, while the slopes are almost identical which corresponds to a dielectric constant of 17 of HfO2 (except for TiN ~15) The y axis intercept of such EOT vs. thickness plots was usually attributed to the EOT contribution from the interface layer [1] The different y axis intercept values according to the types of gate metal can be attributed to the different scavenge effect of gate metal [4] but this can hardly be the case here because Pt, Ru, and RuO2 can hardly scavenge oxygen from the interface layer owing to their very small oxidation potential. This can be confirmed from the fact the dielectric constant of HfO2 film was invariant irrespective of the gate metals; if scavenge has occurred, the dielectric constant usually decreases due to possible diffusion of Si into the film (TiN case) Therefore, the smaller EOT of RuO2 and Ru cases for the reference Pt electrode must be understood from the decreased dielectric dead layer effect from the high k dielectric/conducting oxide interface. The reason for partially seeing this effect from metal Ru (EOT from Ru case is still smaller than from Pt case) can be understood from the partial oxidation of Ru layer which is in contact with HfO2. In Fig. 3 (b) the 2nm Ru/TiN shows the same capacitance as that of RuO2, while the 6nm Ru sample shows the smaller capacitance than that (but still higher than Pt case) The thinner Ru layer could be fully oxidized, so that it may have similar property as that of bulk RuO2 (30 nm) but the thicker Ru layer only partly oxidized and have more metal like dead layer effect.",
"author_names": [
"Hyo Kyeom Kim",
"Sang Young Lee",
"Il Yu",
"Jae Ho Lee",
"Tae Joo Park",
"Cheol Seong Hwang"
],
"corpus_id": 100695693,
"doc_id": "100695693",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Comparison on Physical and Electrical Properties of Sputtered Ru and RuO2 Gate Electrodes Grown on HfO2/Si for p MOSFET",
"venue": "",
"year": 2012
},
{
"abstract": "Electrical properties of hafnium oxide (HfO\"2) gate dielectric with various metal nitride gate electrodes, i.e. tantalum nitride (TaN) molybdenum nitride (MoN) and tungsten nitride (WN) were studied over a range of HfO\"2 thicknesses, e.g. 2.5 10nm, and post metal annealing (PMA) temperatures, e.g. 600^oC to 800^oC. The work function of the nitride gate electrode was dependent on the material and the post metal annealing (PMA) temperature. The scanning transmission electron microscopy technique is used to observe the effect of PMA on the interfacial gate dielectric thickness. After high temperature annealing, the metal nitride gates were suitable for NMOS. At the same PMA temperature, the oxide trapped charges increased and the interface state densities decreased with the increase of the HfO\"2 thickness for TaN and WN gate electrodes. However, for MoN gate electrode the interface state density is almost independent of film thickness. Therefore, dielectric properties of the HfO\"2 high k film depend not only on the metal nitride gate electrode material but also the post metal annealing condition as well as the film thickness. During constant voltage stress of the MOS capacitors, an increase in the time dependent gate leakage current is also observed.",
"author_names": [
"Somenath Chatterjee",
"Yue Kuo",
"Jun Lu"
],
"corpus_id": 137024182,
"doc_id": "137024182",
"n_citations": 31,
"n_key_citations": 0,
"score": 0,
"title": "Thermal annealing effect on electrical properties of metal nitride gate electrodes with hafnium oxide gate dielectrics in nano metric MOS devices",
"venue": "",
"year": 2008
},
{
"abstract": "Abstract The dielectric breakdown property of ultrathin 2.5 and 5.0 nm hafnium oxide (HfO 2 gate dielectric layers with metal nitride (TaN) gate electrodes for metal oxide semiconductor (MOS) structure has been investigated. Reliability studies were performed with constant voltage stressing to verify the processing condition effects (film thicknesses and post metal annealing temperatures) on times to breakdown. The leakage current characteristics are improved with post metal annealing temperatures (PMA) for both 2.5 and 5.0 nm HfO 2 physical thicknesses. However, it is more prominent ~2 orders of magnitudes) for 2.5 nm HfO 2 film thickness. The values of oxide trapped charge density and interface state density are also improved for 2.5 nm HfO 2 film. The different stages of charge trapping behaviors, i.e. stress induced leakage current, soft and hard breakdown mechanisms have been detected. During constant voltage stress of the MOS capacitors, an increase in the time dependent gate current is observed, followed by the occurrence of several fluctuations. The amplitude of the fluctuations is much larger in the 5.0 nm HfO 2 gate dielectric layer compared to the 2.5 nm HfO 2 layer. After the occurrence of such fluctuations, the current voltage characteristics exhibited an increased in gate current compared to the fresh (unstressed) devices.",
"author_names": [
"Somenath Chatterjee",
"Yue Kuo",
"J Lu",
"Jun-Yen Tewg",
"P Majhi"
],
"corpus_id": 206943209,
"doc_id": "206943209",
"n_citations": 51,
"n_key_citations": 1,
"score": 0,
"title": "Electrical reliability aspects of HfO2 high k gate dielectrics with TaN metal gate electrodes under constant voltage stress",
"venue": "Microelectron. Reliab.",
"year": 2006
},
{
"abstract": "The physical and electrical properties in metal oxide Si (MOS) capacitors with the gate electrodes deposited using poly Si or amorphous Si (a Si) and with the gate oxide grown in O2 or N2O have been investigated. The differences of a gate oxide grown in N2O with a conventional furnace and an oxide film annealed in N2O by a rapid thermal process (RTP) were also studied. The analysis of physical properties included the thickness variations of oxide films, the shrink ratios of gate electrode films, the nitrogen and hydrogen concentrations in oxides, and the SiO2/Si interfacial strain. The measurement of electrical properties in MOS capacitors included the interface trap density (Dit) the charge to breakdown, and the hot electron and radiation induced ^Dit and flatband voltage shifts. To improve the electrical reliability of MOS devices with ultrathin gate oxides, an oxynitride should be introduced although some properties of it are slightly inferior to those of conventional oxides. The combination of a gate.",
"author_names": [
"Kuei-Shu Chang-Liao",
"L -C Chen"
],
"corpus_id": 98017972,
"doc_id": "98017972",
"n_citations": 11,
"n_key_citations": 0,
"score": 0,
"title": "Physical and electrical properties in metal oxide Si capacitors with various gate electrodes and gate oxides",
"venue": "",
"year": 1997
},
{
"abstract": "School of Semiconductor and Chemical Engineering, Semiconductor Physics Research Center (SPRC) Chonbuk National University, Jeonju 561 756, Korea Measurement and Analysis Division, National Nanofab Center (NNFC) Daejeon 305 343, Korea Department of Advanced Materials Science and Engineering, Dankook University, Cheongan 330 714, Korea Department of BIN Fusion Technology, Chonbuk National University, Jeonju 561 756, Korea",
"author_names": [
"S V Jagadeesh Chandra",
"Myung Il Jeong",
"Park Yun-Chang",
"Jong-Won Yoon",
"Chel-Jong Choi"
],
"corpus_id": 26206800,
"doc_id": "26206800",
"n_citations": 12,
"n_key_citations": 0,
"score": 0,
"title": "Effect of Annealing Ambient on Structural and Electrical Properties of Ge Metal Oxide Semiconductor Capacitors with Pt Gate Electrode and HfO2 Gate Dielectric",
"venue": "",
"year": 2011
}
] |
Harmonic generation in solids with direct fiber laser pumping | [
{
"abstract": "High harmonic generation in solids presents the possibility for bringing attosecond techniques to semiconductors and a simple source for frequency comb spectroscopy in the vacuum ultraviolet. We generate up to the seventh harmonic of a Tm fiber laser by focusing in silicon or zinc oxide. The harmonics are strong and stable, with no indication of material damage. Calculations show the potential for generating nineteenth harmonic photons at 12 eV photons of energy.",
"author_names": [
"Kevin F Lee",
"Xiaoyan Ding",
"T J Hammond",
"Martin E Fermann",
"Giulio Vampa",
"P B Corkum"
],
"corpus_id": 4184487,
"doc_id": "4184487",
"n_citations": 28,
"n_key_citations": 0,
"score": 1,
"title": "Harmonic generation in solids with direct fiber laser pumping.",
"venue": "Optics letters",
"year": 2017
},
{
"abstract": "The purpose of this study is to assess the possible application of multiphoton fluorescence and second harmonic generation (SHG) microscopy for imaging the structural features of keratoconus cornea and to evaluate its potential as being a clinical in vivo monitoring technique. Using the near infrared excitation source from a titanium sapphire laser pumped by a diode pumped, solid state (DPSS) laser system, we can induce and simultaneously acquire multiphoton autofluorescence and SHG signals from the cornea specimens with keratoconus. A home modified commercial microscope system with specified optical components is used for optimal signal detection. Keratoconus cornea button from patient with typical clinical presentation of keratoconus was obtained at the time of penetrating keratoplasty. The specimen was also sent for the histological examination as comparison. In all samples of keratoconus, destruction of lamellar structure with altered collagen fiber orientation was observed within whole layer of the diseased stromal area. In addition, the orientation of the altered collagen fibers within the cone area shows a trend directing toward the apex of the cone, which might implicate the biomechanical response of the keratoconus stroma to the intraocular pressure. Moreover, increased autofluorescent cells were also found in the cone area, with increased density as one approaches the apical area. In conclusion, multiphoton autofluorescence and SHG microscopy non invasively demonstrated the morphological features of keratoconus cornea, especially the structural alternations of the stromal lamellae. We believe that in the future the multiphoton microscopy can be applied in vivo as an effective, non invasive diagnostic and monitoring technique for keratoconus.",
"author_names": [
"Yen Sun",
"Wen Lo",
"Sung-Jan Lin",
"Wei-Chou Lin",
"Shiou-Hwa Jee",
"Hsin-Yuan Tan",
"Chen-Yuan Dong"
],
"corpus_id": 136779255,
"doc_id": "136779255",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Multiphoton fluorescence and second harmonic generation microscopy for imaging keratoconus",
"venue": "SPIE BiOS",
"year": 2006
},
{
"abstract": "Over the past decade, development of high energy ultrafast laser sources has led to important breakthroughs in attoscience and strong field physics study of atoms and molecules. Coherent pulse synthesis of a few cycle high energy laser pulse is a promising tool to generate isolated attosecond pulses via high harmonics generation (HHG) An effective way to extend the HHG cut off energy to the higher values is by making use of long mid infrared (MIR) driver wavelength, as the ponderomotive potential scales quadratically with the driving wavelength. If the energies of these driving pulses are properly scaled to multi mJ level and few cycle duration, such pulses can provide a direct path to intriguing attoscience experiments in gases and solids. They can even permit the realization of a bright coherent table top HHG sources in the water window and keV X ray region. However, the generation of a high intensity long wavelength MIR pulse has always been challenging, in particular starting from a high energy picosecond 2 mm laser driver that is suitable for further energy scaling of the MIR pulses to multi mJ energies by utilizing optical parametric amplifiers (OPAs) In this thesis, a front end source for such MIR OPA is presented. In particular, a novel and robust strong field few cycle 2 mm laser driver directly from picosecond Ho:YLF laser and utilizing Kagome fiber based compression is presented. We achieved: a 70 fold compression of 140 mJ, 3.3 ps pulses from a Ho:YLF amplifier to 48 fs with 11 mJ energy. The work presented in this thesis demonstrates a straightforward path towards the generation of few cycle MIR pulses, and we believe that in the future, the ultrafast community will benefit from this enabling technology. The results are summarized in mainly four parts: The first part is focused on the development of a 2 mm, high energy laser source as the front end. Comparison of available technology in general and promising gain media at the MIR wavelength are discussed. Starting from the basics of an OPA, the design criteria, constraints on the pump seed source and a proper phase matching requirement for efficient amplification are discussed. In particular, starting from the challenge of developing a Ho:YLF oscillator, pulse amplification and the problem of gain narrowing are addressed. In the second part, various nonlinear compression schemes are discussed in general, and specifically, inhibited coupling Kagome fiber based compression is discussed and implemented. The experimental results for the generation of a few cycle, mJ level 2 mm laser pulses in a two stage compression scheme are then xvi presented. In the third part, the seed pulse generation for the MIR OPA by utilizing supercontinuum (SC) is presented. The theoretical background of SC generation and the constraints on the pulse duration are discussed. Finally, in the last part, the results obtained are summarized in conclusion and the outlook in presented. The front end source developed here can be used to generate few cycle MIR pulses by employing non oxide based nonlinear crystals. Moreover, as both the pump and seed pulses are derived from the same laser source, it offers the possibility of generating a passively carrier envelope phase (CEP) stable idler.",
"author_names": [
"Krishna Murari"
],
"corpus_id": 136255108,
"doc_id": "136255108",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Few Cycle High Energy Mid Infrared Pulse From Ho:YLF Laser",
"venue": "",
"year": 2016
},
{
"abstract": "Continuous demand for compact and efficient laser sources, specifically those operating in short wavelength spectral range, have resulted in dynamic development of both semiconductor and diode pumped solid state lasers. Undoubtedly, semiconductor lasers are presently the most intensively investigated field of active materials and a number of impressive results has been achieved, including violet GaN laser diodes. Nevertheless, solid state lasers are constantly considered as irreplaceable in all applications requiring excellent optical parameters of the beam together with high power levels. The short wavelength emission and lasing in solid state lasers is typically obtained via harmonic generation or up conversion phenomena. The latter method, involving either stepwise absorption of photons or energy transfer processes, is specifically applicable to fiber geometry, where high intensity of radiation and waveguiding effect guarantee high up conversion efficiency. Trivalent thulium is the activator ion, which energy structure in certain conditions specifically favours a multi photon or multi ion pumping mechanisms resulting in emission within the UV VIS part of spectrum. In low phonon glasses and fibers, luminescence from 1G4 (480 nm) 1D2 (455 nm) as well as 1I6 (287 nm) has been reported, typically involving ESA type consecutive absorptions of 650 nm photons (3H6 3F2+3F3, 3F4 1G4, 3H4 1D2, 1G4 3PJ) [1] UV VIS emission has been also observed under multi wavelength pumping at 1112 nm, 1116 nm and 1127 nm [2] Several years ago laser action at 287 nm in Tm3+:ZBLAN fiber was obtained under consecutive ESA of 1064 nm delivered by an Nd3+:YAG laser [3] which is to date the shortest wavelength of stimulated emission generated in an optical fiber. Laser experiments with thulium activated fluoride fibers, however very promising, were all severely hindered by photodarkening effects accompanying excitation of UV violet radiation in the fibers. In this work we present our latest results on UV emission properties of bulk ZBLAN glasses doped with thulium and co doped with ytterbium ions in different concentrations. In particular, we carefully examined the absorption characteristics, as well as concentration dependant spectra of UV emission from the 1I6 and 1D2 levels obtained under direct and up conversion excitation. The fluorescence dynamics profiles, recorded for all concentrations, together with excitation spectra enabled discussion of mechanisms responsible for upper levels populating. Moreover, the multi ion processes resulting in non radiative depopulation of excited states have been carefully examined and appropriate cross relaxation rates have been determined, giving further impact to better understanding of the short wavelength optical properties of the investigated system. This work has been supported by the National Science Centre, Poland, grant number 2011/03/B/ST7/01917. [1] J. Y. Allain, M. Monerie, H. Poignant. Blue upconversion fluorozirconate fibre laser, Electronics Letters 26 (1990) 166 168. [2] S. G. Grubb, K. W. Bennett, R. S. Cannon, W. F. Humer, CW room temperature blue upconversion fibre laser, Electronics Letters 28 (1992) 1243 1244 [3] R. M. El Agmy, Upconversion CW Laser at 284 nm in a Nd:YAG Pumped Double Cladding Thulium Doped ZBLAN Fiber Laser, Laser Physics 18 (2008) 1 4.",
"author_names": [
"Anna Jusza",
"Michael E Poplawski",
"Krzysztof Anders",
"Ryszard Piramidowicz"
],
"corpus_id": 139328078,
"doc_id": "139328078",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "UV VIS upconversion emission properties of Tm3++Yb3+:ZBLAN glasses (Conference Presentation)",
"venue": "",
"year": 2018
},
{
"abstract": "Efficient sources of many partite non classical states are key for the advancement of quantum technologies and for the fundamental testing of quantum mechanics. We demonstrate the generation of time correlated photon triplets at telecom wavelengths via pulsed cascaded parametric down conversion in a monolithically integrated source. By detecting the generated states with success probabilities of (6.25 1.09)x 10 11 per pump pulse at injected powers as low as 10 mW, we benchmark the efficiency of the complete system and deduce its high potential for scalability. Our source is unprecedentedly long term stable, it overcomes interface losses intrinsically due to its monolithic architecture, and the photon triplet states dominate uncorrelated noise significantly. These results mark crucial progress towards the proliferation of robust, scalable, synchronized and miniaturized quantum technology. (c) 2018 Optical Society of America OCIS codes: (130.3120) Integrated optics devices; (130.7405) Wavelength conversion devices; (190.4410) Nonlinear optics, parametric processes; (270.4180) Multiphoton processes. References and links 1. D. M. Greenberger, M. A. Horne, A. Shimony, and A. Zeilinger, \"Bell's theorem without inequalities,\" Am. J. Phys. 58, 1131 1143 (1990) 2. A. Einstein, B. Podolsky, and N. Rosen, \"Can quantum mechanical description of physical reality be considered complete?\" Phys. Rev. 47, 777 780 (1935) 3. M. Giustina, M. A. M. Versteegh, S. Wengerowsky, J. Handsteiner, A. Hochrainer, K. Phelan, F. Steinlechner, J. Kofler, J. A. Larsson, C. Abellan, W. Amaya, V. Pruneri, M.W. Mitchell, J. Beyer, T. Gerrits, A. E. Lita, L.K. Shalm, S.W. Nam, T. Scheidl, R. Ursin, B. Wittmann, A. Zeilinger, \"A significant loophole free test of Bell's theorem with entangled photons,\" Phys. Rev. Lett. 115, 250401 (2015) 4. L. K. Shalm, E. Meyer Scott, B. G. Christensen, P. Bierhorst, M. A. Wayne, M. J. Stevens, T. Gerrits, S. Glancy, D. R. Hamel, M. S. Allman, K. J. Coakley, S. D. Dyer, C. Hodge, A. E. Lita, V. B. Verma, C. Lambrocco, E. Tortorici, A. L. Migdall, Y. Zhang, D. R. Kumor, W. H. Farr, F. Marsili, M. D. Shaw, J. A. Stern, C. Abellan, W. Amaya, V. Pruneri, T. Jennewein, M. W. Mitchell, P. G. Kwiat, J. C. Bienfang, R. P. Mirin, E. Knill, S. W. Nam, \"A strong loophole free test of local realism,\" Phys. Rev. Lett. 115, 250402 (2015) 5. A. Politi, M. Cryan, J. G. Rarity, S. Yu, and J. L. O'Brien, \"Silica on silicon waveguide quantum circuits,\" Science 320, 646 (2008) 6. A. Martin, O. Alibart, M. P. D. Micheli, D. B. Ostrowsky, and S. Tanzilli, \"A quantum relay chip based on telecommunication integrated optics technology,\" New J. Phys. 14, 025002 (2012) 7. H. Jin, F. M. Liu, P. Xu, J. L. Xia, M. L. Zhong, Y. Yuan, J. W. Zhou, Y. X. Gong, W. Wang, and S. N. Zhu, \"On chip generation and manipulation of entangled photons based on reconfigurable lithium niobate waveguide circuits,\" Phys. Rev. Lett. 113, 103601 (2014) 8. J. W. Silverstone, D. Bonneau, K. Ohira, N. Suzuki, H. Yoshida, N. Iizuka, M. Ezaki, C. M. Natarajan, M. G. Tanner, R. H. Hadfield, V. Zwiller, G. D. Marshall, J. G. Rarity, J. L. O'Brien, and M. G. Thompson, \"On chip quantum interference between silicon photon pair sources,\" Nature Photon. 8, 104 108 (2014) 9. A. Crespi, R. Osellame, R. Ramponi, D. J. Brod, E. F. Galvao, N. Spagnolo, C. Vitelli, E. Maiorino, P. Mataloni, and F. Sciarrino, \"Integrated multimode interferometers with arbitrary designs for photonic boson sampling,\" Nature Photon. 7, 545 549 (2013) 10. N. Spagnolo, C. Vitelli, M. Bentivegna, D. J. Brod, A. Crespi, F. Flamini, S. Giacomini, G. Milani, R. Ramponi, P. Mataloni, R. Osellame, E. F. Galvao, and F. Sciarrino,\"Experimental validation of photonic boson sampling,\" Nature Photon. 8, 615 620 (2014) 11. T. E. Keller, M. H. Rubin, Y. Shih, and L. A. Wu, \"Theory of the three photon entangled state,\" Phys. Rev. A 57, 2076 2079 (1998) 12. S. Barz, G. Cronenberg, A. Zeilinger, and P. Walther, \"Heralded generation of entangled photon pairs,\" Nature Photon. 4, 553 556 (2010) 13. T. Guerreiro, A. Martin, B. Sanguinetti, S. Pelc, J. C. Langrock, M. Fejer, M. N. Gisin, H. Zbinden, N. Sangouard, and T. Thew, R. \"Nonlinear interaction between single photons,\" Phys. Rev. Lett. 113, 173601 (2014) 14. H. Hubel, D. R. Hamel, A. Fedrizzi, S. Ramelow, K. J. Resch, and T. Jennewein, \"Direct generation of photon triplets using cascaded photon pair sources,\" Nature 466, 601 603 (2010) 15. L. K. Shalm, D. R. Hamel, Z. Yan, C. Simon, K. J. Resch, and T. Jennewein, \"Three photon energy time entanglement,\" Nat. Phys. 9, 19 22 (2013) 16. D. R. Hamel, L. K. Shalm, H. Hubel, A. J. Miller, F. Marsili, V. B. Verma, R. P. Mirin, S. W. Nam, K. J. Resch, and T. Jennewein, \"Direct generation of three photon polarization entanglement,\" Nature Photon. 8, 801 807 (2014) 17. X. Jia, Z. Yan, Z. Duan, X. Su, H. Wang, C. Xie, and K. Peng, \"Experimental realization of three color entanglement at optical fiber communication and atomic storage wavelengths,\" Phys. Rev. Lett. 109, 253604 (2012) 18. Z. Qin, L. Cao, H. Wang, A. M. Marino, W. Zhang, and J. Jing, \"Experimental generation of multiple quantum correlated beams from hot rubidium vapor,\" Phys. Rev. Lett. 113, 023602 (2014) 19. J. Jing, Z. Qin, L. Cao, H. Wang, A. Marino, and W. Zhang, \"Experimental generation of triple quantum correlated beams from cascaded four wave mixing processes,\" in Conference on Lasers and Electro Optics (CLEO: Science and Innovations) OSA Conference Proceedings of 2014 (Optical Society of America, 2014) p. JTu4A.41. 20. D. S. Ding, W. Zhang, S. Shi, Z. Y. Zhou, Y. Li, B. S. Shi, and G. C. Guo, \"Hybrid cascaded generation of tripartite telecom photons using an atomic ensemble and a nonlinear waveguide,\" Optica 2, 642 645 (2015) 21. M. Khoshnegar, T. Huber, A. Predojevic, D. Dalacu, M. Prilmueller, L. Lapointe, X. Wu, P. Tamarat, B. Lounis, P. Poole, G. Weihs, and H. Majedi, \"Bright solid state source of photon triplets,\" http:/arxiv.org/abs/1510.05898. 22. D. A. Antonosyan, T. V. Gevorgyan, and G. Yu. Kryuchkyan, \"Three photon states in nonlinear crystal superlattices,\" Phys. Rev. A 83, 043807 (2011) 23. R. V. Schmidt and I. P. Kaminow, \"Metal diffused optical waveguides in LiNbO3,\" Appl. Phys. Lett. 25, 458 460 (1974) 24. K. R. Parameswaran, R. K. Route, J. R. Kurz, R. V. Roussev, M. M. Fejer, and M. Fujimura, \"Highly efficient second harmonic generation in buried waveguides formed by annealed and reverse proton exchange in periodically poled lithium niobate,\" Opt. Lett. 27, 179 181 (2002) 25. R. Regener and W. Sohler, \"Loss in low finesse Ti:LiNbO3 optical waveguide resonators,\" Appl. Phys. B 36, 143 147 (1985) 26. S. Tanzilli, H. de Riedmatten, H. Tittel, H. Zbinden, P. Baldi, M. De Micheli, D. Ostrowsky, and N. Gisin, \"Highly efficient photon pair source using periodically poled lithium niobate waveguide,\" Electron. Lett. 37, 26 28 (2001) 27. S. Krapick, H. Herrmann, V. Quiring, B. Brecht, H. Suche, and C. Silberhorn, \"An efficient integrated two color source for heralded single photons,\" New J. Phys. 15, 033010 (2013) 28. S. Krapick, M. S. Stefszky, M. Jachura, B. Brecht, M. Avenhaus, and C. Silberhorn, \"Bright integrated photonpair source for practical passive decoy state quantum key distribution,\" Phys. Rev. A 89, 012329 (2014) 29. D. Bonneau, E. Engin, K. Ohira, N. Suzuki, H. Yoshida, N. Iizuka, M. Ezaki, C. M. Natarajan, M. G. Tanner, R. H. Hadfield, S. N. Dorenbos, V. Zwiller, J. L. O'Brien, and M. G. Thompson, \"Quantum interference and manipulation of entanglement in silicon wire waveguide quantum circuits,\" New J. Phys. 14, 045003 (2012) 30. H. Takesue and K. Shimizu, \"Effects of multiple pairs on visibility measurements of entangled photons generated by spontaneous parametric processes,\" Opt. Commun. 283, 276 287 (2010) 31. S. Krapick and C. Silberhorn, \"Analysis of photon triplet generation in pulsed cascaded parametric downconversion sources,\" http:/arxiv.org/abs/1506.07655. 32. T. Suhara and M. Fujimura, Waveguide Nonlinear Optic Devices (Springer, 2003) 1st ed. 33. F. Marsili, V. B. Verma, S. J. A. S. Harrington, A. E. Lita, T. Gerrits, I. Vayshenker, B. Baek, M. D. Shaw, R. P. Mirin, and S. W. Nam, \"Detecting single infrared photons with 93% system efficiency,\" Nature Photon. 7, 210 214 (2013) 34. M. A. Broome, M. P. Almeida, A. Fedrizzi, and A. G. White, \"Reducing multi photon rates in pulsed downconversion by temporal multiplexing,\" Opt. Express 19, 22698 22708 (2011) 35. V. B. Verma, B. Korzh, F. Bussieres, R. D. Horansky, S. D. Dyer, A. E. Lita, I. Vayshenker, F. Marsili, M. D. Shaw, H. Zbinden, R. P. Mirin, and S. W. Nam, \"High efficiency superconducting nanowire single photon detectors fabricated from MoSi thin films,\" Opt. Express 23, 33792 33801 (2015) 36. H. Herrmann, X. Yang, A. Thomas, A. Poppe, W. Sohler, and C. Silberhorn, \"Post selection free, integrated optical source of non degenerate, polarization entangled photon pairs,\" Opt. Express 23, 27981 27991 (2013) 37. G. S. Agarwal and K. Tara, \"Nonclassical properties of states generated by the excitations on a coherent state,\" Phys. Rev. A 43, 492 497 (1991) 38. E. Schroedinger, \"Die gegenwaertige Situation in der Quantenmechanik,\" Naturwissenschaften 23, 807 812 (1935) 39. M. Avenhaus, A. Eckstein, P. Mosley, and C. Silberhorn, \"Fiber assisted single photon spectrograph,\" Opt. Lett. 34, 2873 2875 (2009) 40. A. Valencia, M. V. Chekhova, A. Trifonov, and Y. Shih, \"Entangled two photon wave packet in a dispersive medium,\" Phys. Rev. Lett. 88, 183601 (2002) 41. G. Brida, M. V. Chekhova, M. Genovese, M. Gramegna, and L. A. Krivitsky, \"Dispersion spreading of biphotons in optical fibers and two photon interference,\" Phys. Rev. Lett. 96, 143601 (2006) 42. S. Y. Baek, O. Kwon, and Y. H. Kim, \"Nonlocal dispersion control of a single photon waveform,\" Phys. Rev. A 78, 013816 (2008)",
"author_names": [
"Stephan Krapick",
"B Brecht",
"Harald Herrmann",
"",
"Christine Silberhorn"
],
"corpus_id": 201799362,
"doc_id": "201799362",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "qu an tph 2 6 M ay 2 01 7 On chip generation of photon triplet states",
"venue": "",
"year": 2018
},
{
"abstract": "We developed a high repetition rate optical parametric chirped pulse amplification (OPCPA) laser system based on fiber laser seeded Innoslab to generate few cycle pulses around 2 mm with passively stable carrier envelope phase (CEP) by difference frequency generation (DFG) Incorporating a piezo mirror before the DFG stage permits rapid CEP control. The OPCPA system is seeded by a stable supercontinuum generated in bulk material with the picosecond Innoslab pulses. Few cycle pulses with durations of 17 fs and energies of over 100 mJ were produced in a single OPCPA stage. Three different nonlinear crystals: BBO, BiBO, and LNB were tested in the final parametric amplifier, and their average power related limitations are addressed. (c) 2018 Optical Society of America under the terms of the OSA Open Access Publishing Agreement OCIS codes: (140.3070) Infrared and far infrared lasers; (140.7090) Ultrafast lasers; (190.4970) Parametric oscillators and amplifiers; (190.7110) Ultrafast nonlinear optics; (320.7090) Ultrafast lasers; (320.7110) Ultrafast nonlinear optics. References and links 1. M. F. Kling, P. von den Hoff, I. Znakovskaya, and R. de Vivie Riedle, \"(Sub )femtosecond control of molecular reactions via tailoring the electric field of light,\" Phys. Chem. Chem. Phys. 15(24) 9448 9467 (2013) 2. F. Krausz and M. Ivanov, \"Attosecond physics,\" Rev. Mod. Phys. 81(1) 163 234 (2009) 3. B. Forg, J. Schotz, F. Sussmann, M. Forster, M. Kruger, B. Ahn, W. A. Okell, K. Wintersperger, S. Zherebtsov, A. Guggenmos, V. Pervak, A. Kessel, S. A. Trushin, A. M. Azzeer, M. I. Stockman, D. Kim, F. Krausz, P. Hommelhoff, and M. F. Kling, \"Attosecond nanoscale near field sampling,\" Nat. Commun. 7, 11717 (2016) 4. M. G. Pullen, B. Wolter, A. T. Le, M. Baudisch, M. Hemmer, A. Senftleben, C. D. Schroter, J. Ullrich, R. Moshammer, C. D. Lin, and J. Biegert, \"Imaging an aligned polyatomic molecule with laser induced electron diffraction,\" Nat. Commun. 6(1) 7262 (2015) 5. M. Kubel, R. Siemering, C. Burger, N. G. Kling, H. Li, A. S. Alnaser, B. Bergues, S. Zherebtsov, A. M. Azzeer, I. Ben Itzhak, R. Moshammer, R. de Vivie Riedle, and M. F. Kling, \"Steering proton migration in hydrocarbons using intense few cycle laser fields,\" Phys. Rev. Lett. 116(19) 193001 (2016) 6. B. Piglosiewicz, S. Schmidt, D. J. Park, J. Vogelsang, P. Gross, C. Manzoni, P. Farinello, G. Cerullo, and C. Lienau, \"Carrier envelope phase effects on the strong field photoemission of electrons from metallic nanostructures,\" Nat. Photonics 8(1) 37 42 (2014) 7. B. Wolter, M. G. Pullen, M. Baudisch, M. Sclafani, M. Hemmer, A. Senftleben, C. D. Schroter, J. Ullrich, R. Moshammer, and J. Biegert, \"Strong field physics with mid IR fields,\" Phys. Rev. X 5(2) 021034 (2015) 8. L. V. Keldysh, \"Ionization in the field of a strong electromagnetic wave,\" Sov. Phys. JETP 20, 1307 1314 (1964) 9. F. Silva, S. M. Teichmann, S. L. Cousin, M. Hemmer, and J. Biegert, \"Spatiotemporal isolation of attosecond soft X ray pulses in the water window,\" Nat. Commun. 6(1) 6611 (2015) 10. Y. Pertot, C. Schmidt, M. Matthews, A. Chauvet, M. Huppert, V. Svoboda, A. von Conta, A. Tehlar, D. Baykusheva, J. P. Wolf, and H. J. Worner, \"Time resolved x ray absorption spectroscopy with a water window high harmonic source,\" Science 355(6322) 264 267 (2017) Vol. 26, No. 13 25 Jun 2018 OPTICS EXPRESS 16074 #327941 https:/doi.org/10.1364/OE.26.016074 Journal (c) 2018 Received 9 Apr 2018; revised 16 May 2018; accepted 17 May 2018; published 11 Jun 2018 11. N. Thire, R. Maksimenka, B. Kiss, C. Ferchaud, P. Bizouard, E. Cormier, K. Osvay, and N. Forget, \"4 W, 100kHz, few cycle mid infrared source with sub 100 mrad carrier envelope phase noise,\" Opt. Express 25(2) 1505 1514 (2017) 12. U. Elu, M. Baudisch, H. Pires, F. Tani, M. H. Frosz, F. Koettig, A. Ermolov, P. S. Russell, and J. Biegert, \"High average power and single cycle pulses from a mid IR optical parametric chirped pulse amplifier,\" Optica 4, 1024 1029 (2017) 13. M. Ueffing, S. Reiger, M. Kaumanns, V. Pervak, M. Trubetskov, T. Nubbemeyer, and F. Krausz, \"Nonlinear pulse compression in a gas filled multipass cell,\" Opt. Lett. 43(9) 2070 2073 (2018) 14. H. Fattahi, H. G. Barros, M. Gorjan, T. Nubbemeyer, B. Alsaif, C. Y. Teisset, M. Schultze, S. Prinz, M. Haefner, M. Ueffing, A. Alismail, L. Vamos, A. Schwarz, O. Pronin, J. Brons, X. T. Geng, G. Arisholm, M. Ciappina, V. S. Yakovlev, D. E. Kim, A. M. Azzeer, N. Karpowicz, D. Sutter, Z. Major, T. Metzger, and F. Krausz, \"Thirdgeneration femtosecond technology,\" Optica 1(1) 45 63 (2014) 15. C. Homann, M. Bradler, M. Forster, P. Hommelhoff, and E. Riedle, \"Carrier envelope phase stable sub twocycle pulses tunable around 1.8 mm at 100 kHz,\" Opt. Lett. 37(10) 1673 1675 (2012) 16. B. E. Schmidt, A. Hage, T. Mans, F. Legare, and H. J. Worner, \"Highly stable, 54mJ Yb InnoSlab laser platform at 0.5kW average power,\" Opt. Express 25(15) 17549 17555 (2017) 17. T. Metzger, A. Schwarz, C. Y. Teisset, D. Sutter, A. Killi, R. Kienberger, and F. Krausz, \"High repetition rate picosecond pump laser based on a Yb:YAG disk amplifier for optical parametric amplification,\" Opt. Lett. 34(14) 2123 2125 (2009) 18. P. Russbueldt, T. Mans, G. Rotarius, J. Weitenberg, H. D. Hoffmann, and R. Poprawe, \"400W Yb:YAG Innoslab fs Amplifier,\" Opt. Express 17(15) 12230 12245 (2009) 19. M. Muller, M. Kienel, A. Klenke, T. Gottschall, E. Shestaev, M. Plotner, J. Limpert, and A. Tunnermann, \"1 kW 1 mJ eight channel ultrafast fiber laser,\" Opt. Lett. 41(15) 3439 3442 (2016) 20. M. Bradler, C. Homann, and E. Riedle, \"Broadband difference frequency mixing between visible and nearinfrared pulses for few cycle pulse generation with stable carrier envelope phase,\" Appl. Phys. B 113(1) 19 25 (2013) 21. M. Bradler, P. Baum, and E. Riedle, \"Femtosecond continuum generation in bulk laser host materials with submJ pump pulses,\" Appl. Phys. B 97(3) 561 574 (2009) 22. A. L. Calendron, H. Cankaya, G. Cirmi, and F. X. Kartner, \"White light generation with sub ps pulses,\" Opt. Express 23(11) 13866 13879 (2015) 23. J. Galinis, G. Tamosauskas, I. Grazuleviciute, E. Keblyte, V. Jukna, and A. Dubietis, \"Filamentation and supercontinuum generation in solid state dielectric media with picosecond laser pulses,\" Phys. Rev. A 92(3) 033857 (2015) 24. M. Bradler, L. Kasmi, P. Baum, and E. Riedle, \"Pushing the NOPA to New Frontiers: Output to Below 400 nm, MHz Operation and ps Pump Duration,\" in Ultrafast Phenomena XIX (Springer Int. Pub. 2014) pp. 757 760. 25. H. Fattahi, H. Wang, A. Alismail, G. Arisholm, V. Pervak, A. M. Azzeer, and F. Krausz, \"Near PHz bandwidth, phase stable continua generated from a Yb:YAG thin disk amplifier,\" Opt. Express 24(21) 24337 24346 (2016) 26. M. Kolesik, G. Katona, J. V. Moloney, and E. M. Wright, \"Theory and simulation of supercontinuum generation in transparent bulk media,\" Appl. Phys. B 77(2 3) 185 195 (2003) 27. J. Lee Rodgers and W. A. Nicewander, \"Thirteen ways to look at the correlation coefficient,\" Am. Stat. 42(1) 59 66 (1988) 28. P. Wnuk, H. Fuest, M. Neuhaus, L. Loetscher, S. Zherebtsov, E. Riedle, Z. Major, and M. F. Kling, \"Discrete dispersion scanning as a simple method for broadband femtosecond pulse characterization,\" Opt. Express 24(16) 18551 18558 (2016) 29. M. Kakehata, H. Takada, Y. Kobayashi, K. Torizuka, Y. Fujihira, T. Homma, and H. Takahashi, \"Single shot measurement of carrier envelope phase changes by spectral interferometry,\" Opt. Lett. 26(18) 1436 1438 (2001) 30. A. Thai, M. Hemmer, P. K. Bates, O. Chalus, and J. Biegert, \"Sub 250 mrad, passively carrier envelope phasestable mid infrared OPCPA source at high repetition rate,\" Opt. Lett. 36(19) 3918 3920 (2011) 31. C. Li, E. Moon, H. Wang, H. Mashiko, C. M. Nakamura, J. Tackett, and Z. Chang, \"Determining the phaseenergy coupling coefficient in carrier envelope phase measurements,\" Opt. Lett. 32(7) 796 798 (2007) 32. T. Stanislauskas, R. Antipenkov, V. Martinenaite, L. Karpavcius, A. Varanavicius, V. Sinkevicius, P. Miseikis, D. Grigaitis, and T. Balciunas, \"Carrier envelope phase control of Yb:KGW laser and parametric amplifiers,\" CLEO EUROPE/EQEC, 12 16 May 2013. 33. C. Burger, W. F. Frisch, T. M. Kardas, M. Trubetskov, V. Pervak, R. Moshammer, B. Bergues, M. F. Kling, and P. Wnuk, \"Compact and flexible harmonic generator and three color synthesizer for femtosecond coherent control and time resolved studies,\" Opt. Express 25(25) 31130 31139 (2017) 34. M. Ueffing, R. Lange, T. Pleyer, V. Pervak, T. Metzger, D. Sutter, Z. Major, T. Nubbemeyer, and F. Krausz, \"Direct regenerative amplification of femtosecond pulses to the multimillijoule level,\" Opt. Lett. 41(16) 3840 3843 (2016) 35. T. Nubbemeyer, M. Kaumanns, M. Ueffing, M. Gorjan, A. Alismail, H. Fattahi, J. Brons, O. Pronin, H. G. Barros, Z. Major, T. Metzger, D. Sutter, and F. Krausz, \"Powerful 100 fs scale Kerr lens mode locked thin disk oscillator,\" Opt. Lett. 42, 1381 1384 (2017) Vol. 26, No. 13 25 Jun 2018 OPTICS EXPRESS 16075",
"author_names": [
"N M",
"",
"F H",
"S M",
"S J",
"T M",
"R P",
"H H D",
"R E",
"M",
"Pandurangan V",
"K M F",
"W P"
],
"corpus_id": 201053977,
"doc_id": "201053977",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "10 W CEP stable few cycle source at 2 m m with 100 kHz repetition rate",
"venue": "",
"year": 2018
},
{
"abstract": "Vertical cavity surface emitting lasers (VCSELs) are attractive for many pumping and direct diode applications due to combined advantages in low cost, high reliability, narrow and thermally stable spectrum, high power scalability, and easy system integration, etc. We report our progress on electrically pumped, GaAs based, high power high brightness VCSELs and 2D arrays in the infrared wavelength range. At 976nm, over 5.5W peak CW output and 60% peak power conversion efficiency (PCE) were demonstrated with 225um oxide confined device. For 5x5mm arrays, peak PCE of 54% and peak power of >450W at 976nm, peak PCE of 46% and peak power of >110W at 808nm were achieved respectively under QCW conditions. External cavity configuration was used to improve the VCSEL brightness. Single mode output of 280mW and 37% PCE were realized from 80um device. For large 325um device, we obtained single mode (M2=1.1) CW output of 2.1W, corresponding to a brightness of 160MW/cm2*sr. Three major areas of applications using such VCSELs are discussed: 1. High brightness fiber output; 2. High power, high efficiency green lasers from 2nd harmonic generation. 3.34W green output with 21.2% PCE were achieved; 3. Pumping solid state lasers for high energy pulse generation. We have demonstrated Q switched pulses with 16.1mJ at 1064nm and 4.9mJ with 1W average power at 473nm.",
"author_names": [
"Delai Zhou",
"Jean-Francois P Seurin",
"Guoyang Xu",
"Pu Zhao",
"Bing Xu",
"Tong Chen",
"Robert van Leeuwen",
"Joseph Matheussen",
"Qing Wang",
"Chuni L Ghosh"
],
"corpus_id": 31684410,
"doc_id": "31684410",
"n_citations": 9,
"n_key_citations": 1,
"score": 0,
"title": "Progress on high power high brightness VCSELs and applications",
"venue": "Photonics West Optoelectronic Materials and Devices",
"year": 2015
},
{
"abstract": "Index Babzien Canova Chowdhury Chvykov Corner Ditmire Donovan Flippo Galvanauskas Gaul Karsch Krushelnick Leemans Lu Martinez Osterhoff Polyanskiy Rosenzweig Roth Schroeder Specka Toth Umstadter Zeil Name of submitting author Mr. Marcus Babzien Institution Brookhaven National Laboratory Email [email protected] Abstract Title BNL ATF Timing System Upgrades Author/Affiliation listing M. Babzien, M. Montemagno, V. Yakimenko Brookhaven National Laboratory, Upton NY 11973Title BNL ATF Timing System Upgrades Author/Affiliation listing M. Babzien, M. Montemagno, V. Yakimenko Brookhaven National Laboratory, Upton NY 11973 Abstract A key enabling technology in advanced accelerators is the synchronization of precision frequency and pulse sources at the picosecond or subpicosecond level. The synchronization system employed at the BNL Accelerator Test Facility will be presented, as well as ongoing work to extend present capabilities to multiple laser and RF sources.A key enabling technology in advanced accelerators is the synchronization of precision frequency and pulse sources at the picosecond or subpicosecond level. The synchronization system employed at the BNL Accelerator Test Facility will be presented, as well as ongoing work to extend present capabilities to multiple laser and RF sources. Summary At the Brookhaven National Laboratory Accelerator Test Facility (BNL ATF) the low level RF system provides a reference signal for locking the phase of the Nd:YAG drive laser that illuminates the photoinjector. A TW level picosecond CO2 laser serving user experiments is seeded with semiconductor and Kerr switches controlled by the photoinjector drive laser, providing optical synchronization between the electron bunches and CO2 laser pulses with sub picosecond stability. Upgrades at the facility will require additional laser sources to operate with improved synchronization. of the CO2 laser will be reached when a shorter seed pulse than the present 1ps becomes available. A Ti:sapphire laser currently being tested will provide 300fs pulses that are frequency converted to the 10micron spectral range for CO2 amplifier seeding, and will require an approximately threefold improvement in synchronization accuracy. A phase locked loop (PLL) controls the repetition rate of a modelocked fiber oscillator that seeds the ti:sapphire amplifiers. By operating the PLL at the 70th harmonic of the laser repetition rate, the ratio of phase error signal to amplitude noise is correspondingly enhanced. The frequency comb generated from the modelocked laser eliminates the need for a conventional RF multiplier and minimizes noise in the frequency domain. A second RF mixer is used outside the PLL to measure the residual phase error. Both temperature and laser amplitude variations can contribute to instability in the PLL and are minimized by component selection and characterization as well as temperature stabilization. integration of a future second Ti:sapphire laser planned for driving the electron gun will rely on RF synchronization also. A second laser seed oscillator and PLL will lock to the optical pulse train of the first laser oscillator. The 70m distance between the two laser systems will necessitate transport of the optical train through single mode optical fiber in order to achieve minimum phase drift. The same optical train will also be used provide the low level RF required for the electron photoinjector and linac. Name of submitting author Federico Canova Institution Amplitude Technologies Email fcanova@amplitude technologies.com Abstract Title High peak power laser systems for application: high repetition rate PW lasers Author/Affiliation listing P.M.Paul, F. Canova, F. Ple, F. Falcoz, G. Riboulet, L.Vigroux, P. Leroy, S. Branly Amplitude Technologies, 2 4 rue du Bois Chaland, CE2926 Lisses, Evry, FranceTitle High peak power laser systems for application: high repetition rate PW lasers Author/Affiliation listing P.M.Paul, F. Canova, F. Ple, F. Falcoz, G. Riboulet, L.Vigroux, P. Leroy, S. Branly Amplitude Technologies, 2 4 rue du Bois Chaland, CE2926 Lisses, Evry, France Abstract Amplitude has developed and is commissioning high repetition rate PW class laser systems. We will comment our approach in term of laser technology (temporal duration and contrast, high energy amplification and compression, cryogenic cooling) infrastructure management (overall control command and supervision) and modes of operation to support application.Amplitude has developed and is commissioning high repetition rate PW class laser systems. We will comment our approach in term of laser technology (temporal duration and contrast, high energy amplification and compression, cryogenic cooling) infrastructure management (overall control command and supervision) and modes of operation to support application. Summary Amplitude Technologies mission is to produce robust and reliable, ultra intense femtosecond systems with the best performance with respect to pulse duration, temporal contrast and spatial beam quality. Our performance engagement continues after delivery and our close collaboration with the customer guarantees that the system's specification is maintained for the duration of its operational life. We are currently developing 3 lasers of PW class for the Saphir project (France) for HZDR (Germany) and for CLPU (Spain) [1] A general overview of the project will give a clear and detailed presentation fo the different type and characteristics of this kind of projects. The project management in the 3 cases will be presented, with a particular attention to the objective in term of the applications and daily operation of the laser. We will comment the required competences in the company, and we will detail the different brick of our technology in term of: ultra short high energy pulses amplification, to obtain pulses of less than 20fs at high energy ultra high contrast front end, delivering a pulse with a temporal contrast of better than 14 orders of magnitude high energy extraction and parasiting lasing management; cryogenic cooling at high energy, to manage the beam profile, quality and pointing stability pump laser technology and operation mode. The applications for high peak power lasers require high repetition rate. Thanks to the cryogenic cooling technology, Amplitude Technologies goal is to operate the PW lasers up to 5Hz. The risk management issues have been integrated in the technological approach. A set of test benches and characterization procedures have been developed to quality the supplies of the PW laser systems, as an extension of the ISO 9001 quality approach. In term of infrastructure management, we will present the issues linked to the Control Command: overall operation and upscalabilility. Power supplies size Electrical supply Control and Securities for daily operation. At the end of the presentation we will discuss different scenarios of daily operation of the PW laser systems, in term of current operation, maintenance, and ownership costs. [1] CLPU project: http:/www.clpu.es/en/home.html Name of submitting author Dr. Federico Canova Institution Amplitude Technologies Email fcanova@amplitude technologies.com Abstract Title Contrast Enhancement and Measurement in PW Class laser systems Author/Affiliation listing P.M.Paul, F. Canova, F. Ple, F. Falcoz, G. Riboulet, L.Vigroux, P. Leroy,P.Monot 1) Amplitude Technologies, 2 4 rue du Bois Chaland, Evry, France 2) CEA Saclay, IRAMIS, Service des Photons, Atomes et Molecules, 91191 Gif sur Yvette, FranceTitle Contrast Enhancement and Measurement in PW Class laser systems Author/Affiliation listing P.M.Paul, F. Canova, F. Ple, F. Falcoz, G. Riboulet, L.Vigroux, P. Leroy,P.Monot 1) Amplitude Technologies, 2 4 rue du Bois Chaland, Evry, France 2) CEA Saclay, IRAMIS, Service des Photons, Atomes et Molecules, 91191 Gif sur Yvette, France Abstract We have developed a 4mJ, 60nm bandwidth and 10^14 contrast Laser system. We used the most energetic 1mJ XPW signal ever produced to seed a standard CPA Laser. We report development of a new high dynamic range third order cross correlator, which has been performed in the CEA AT lab IMPULSE.We have developed a 4mJ, 60nm bandwidth and 10^14 contrast Laser system. We used the most energetic 1mJ XPW signal ever produced to seed a standard CPA Laser. We report development of a new high dynamic range third order cross correlator, which has been performed in the CEA AT lab IMPULSE. Summary The ability to produce laser pulses with ASE background as low as possible is of a major importance in laser solid target interaction, like particles acceleration. During the last few years, Cross PolarizedWave (XPW) [1] generation has been intensively studied. Its unique features, such as contrast enhancement or bandwidth enhancement at the fundamental wave have attracted a lot of attention. In a were able to first, produce the most energetic XPW signal ever, and then seed a standard CPA Laser. The XPW signal has been obtained using techniques like regenerative pulse shaping via Acousto Optic Programmable Gain Control filter (AOPGCF or Mazzler)[2] or close loop spectral phase control. The demonstration has been performed with two compact CPA lasers systems (Fig.1) The first laser system is a high contrast 10^ 9 laser equipped with a contrast cleaning device. This technique used by Amplitude Technologies for many years use the direct amplification of the oscillator (booster) in combination with a saturable absorber. The first CPA also contains a Dazzler for active control of the laser overall spectral phase and a Mazzler [2] for regenerative pulse shaping. contrast laser systems. The demonstration has been made at the few milliJoule level but is easily scalable to several Joules, corresponding to hundreds of Terawatt or even PetaWatt lasers for particles acceleration applications. allows us to measure pulses contrast ratio of the order of 10^ 14, this i",
"author_names": [
"Cs Toth"
],
"corpus_id": 4595737,
"doc_id": "4595737",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Working Group 8 Laser Technology for Laser Plasma",
"venue": "",
"year": 2012
},
{
"abstract": "We demonstrate a technique for tunable single mode operation of high power laser diode arrays. A spatially filtered part of the far field from a gain guided GaAlAs laser diode array is directed to a diffractive grating and is coupled to a phase conjugating self pumped barium titanate crystal. The interaction among the grating, the dynamic gratings in the photorefractive crystal, and the spatial filter forces the laser diode array with poor spatial and temporal coherence to oscillate in a single spatial and single longitudinal mode. At a drive current of two times the threshold, the array operates in a single lobed far field pattern that is only 1.5 times the diffraction limit. The bandwidth of the enhanced output beam is measured to be less than 0.03 nm, and the coherence length of the output is increased 45 times, to at least 16 mm. Once single mode operation has been obtained, one can continuously tune the frequency over a range of 5 nm around a center wavelength of 811 nm by tilting the grating. More than 50% of the radiated energy of the free running laser is coupled out of the system. The significantly enhanced coherence properties of the output may lead to a number of new or improved applications in areas such as second harmonic generation, coupling into single mode fiber, and pumping of solid state lasers.",
"author_names": [
"Martin Lobel",
"Paul Michael Petersen",
"Per Michael Johansen"
],
"corpus_id": 124003066,
"doc_id": "124003066",
"n_citations": 30,
"n_key_citations": 1,
"score": 0,
"title": "Tunable single mode operation of a high power laser diode array by use of an external cavity with a grating and a photorefractive phase conjugate mirror",
"venue": "",
"year": 1998
},
{
"abstract": "The applications of mode locked lasers have expanded considerably over the last few years. Especially the possih to use mode locked lasers for frequency metrology [I] and nonlinear optics and spectroscopy with powerful few cycle laser pulses [2] has drawn a lot of attention. We are interested in both applications to generate attosecond X ray pulses and to perform precision frequency measurements to study QED and nuclear effects in atoms. Both types of experiments require stabilization of the carrier envelope phase of subsequent pulses of a modelocked laser. Especially for non linear optics such as X ray generation with 2 3 cycle femtosecond pulses and direct pulse train excitation of atoms, the phase control has he better than a fraction of an optical cycle. In a standard Ti:Sapphire laser amplifier system there are many sources of carrier envelope phase noise. We have performed a systematic study of these effects, ranging from the influence of the choice of pump laser for the modelocked oscillator laser, to the effect of different prism compressor geometries. Our laser system consists of a chirped mirror oscillator laser, a small scale Ti:Sapphire amplifier, a prism compressor, and a LCD shaper. The absolute phase is measured using nonlinear f2f interferometers with micro structured fiber operating at 480 nm. One such interferometer is used to provide feedback to the oscillator laser phase, which is controlled via the pump laser power. We have achieved a rrns phase jitter of less than 1/30 of an optical cycle for the oscillator laser. The pulse repetition rate is also controlled, using a piezo mounted mirror in the laser with a feedback signal based on the 140th harmonic of the repetition rate. Our results indicate that the type of pump laser for pumping the oscillator laser can have a significant impact on the phase stability that can be achieved. We compared a multi mode solid state pump laser (MilleniaX, running at 5 W) with a single mode solid state laser (Verdi, also running at 5W) The single mode Verdi laser allowed for an order of magnitude better phase stability, especially on a ms timescale. We have indications that this might he due spatial mode fluctuations, and not so much due to intensity variations. In the figure an example is given of the phase stability measurements we performed on a (in this case) standard double pass prism compressor, compensating is own glass dispersion of 1800 fs' A second f2f interferometer was used to detect the phase of oscillator pulses at 70 MHz after the compressor. In the left panel the phase lock beat signal of the oscillator is shown. The middle panel shows the same heat note after going through the prism compressor. The extra noise seen in this case is mostly due to air turbulence. The third panel shows the noise for the same compressor, hut now in a shielding box. The results of these measurements show the importance of good environmental shielding of the beam paths and components in a amplifier system to maintain phase stability.",
"author_names": [
"R Th Zinkstok",
"Stefan Witte",
"W Hogervoist",
"K S E Eikema"
],
"corpus_id": 120479430,
"doc_id": "120479430",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Control and measurement of the carrier envelope phase of an ultrafast Ti:sapphire laser amplifier system",
"venue": "2003 Conference on Lasers and Electro Optics Europe (CLEO/Europe 2003) (IEEE Cat. No.03TH8666)",
"year": 2003
}
] |
spectrum tailored defective 2D | [
{
"abstract": "The wide spectral range of the solar flux with undesirable diffused energy distribution remains a substantial impediment to the high efficiency utilization of the whole spectrum. Here, inspired by the spectrally selective sunlight utilization of plants, a spectrum tailored solar harnessing aerogel is conceived. It is composed of oxygen vacancy (Ov) defect rich semiconductor HNb3O8 (D HNb3O8) nanosheets and polyacrylamide (PAM) framework to perform all in one photochemical and photothermal full solar energy conversion. The aerogel selectively utilizes the whole solar spectrum, in which high energy ultraviolet (UV) photon is converted into high redox potential electron hole pairs, while low energy visible near infrared (NIR) photons are transformed into heat. The designed solar absorber polymer composite shows energy harnessing conversion capability with desired heat insulation, reactant enrichment, rapid mass diffusion and capillary pumping characteristics, thus realizing a high efficient steam generation and photochemical activity. This cooperative photochemical and photothermal solar energy conversion, at respective optimal working spectrum, holds great promise for optimizing and maximizing the solar energy utilization, as well as opening up opportunities to explore simultaneous multifunctional usage of solar energy.",
"author_names": [
"Min-Quan Yang",
"Chuan Fu Tan",
"Wanheng Lu",
"Kaiyang Zeng",
"Ghim Wei Ho"
],
"corpus_id": 225298171,
"doc_id": "225298171",
"n_citations": 31,
"n_key_citations": 0,
"score": 1,
"title": "Spectrum Tailored Defective 2D Semiconductor Nanosheets Aerogel for Full Spectrum Driven Photothermal Water Evaporation and Photochemical Degradation",
"venue": "",
"year": 2020
},
{
"abstract": "Tailoring light matter interactions in monolayer MoS2 is critical for its use in optoelectronic and nanophotonic devices. While significant effort has been devoted to enhancing the photoluminescence intensity in monolayer MoS2, tailoring of the emission spectrum including complex excitonic states remains largely unexplored. Here, we demonstrate that the peak emission wavelengths of the A and B excitons can be tuned up to 40 and 25 nm, respectively, by integrating monolayer MoS2 into a plasmonic nanocavity with tunable plasmon resonances. Contrary to the intrinsic photoluminescence spectrum of monolayer MoS2, we are also able to create a dominant B exciton peak when the nanocavity is resonant with its emission. Additionally, we observe a 1200 fold enhancement of the A exciton emission and a 6100 fold enhancement of the B exciton emission when normalized to the area under a single nanocavity and compared to a control sample on thermal oxide.",
"author_names": [
"Jiani Huang",
"Gleb M Akselrod",
"Tian Ming",
"Jing Kong",
"Maiken H Mikkelsen"
],
"corpus_id": 125175513,
"doc_id": "125175513",
"n_citations": 37,
"n_key_citations": 0,
"score": 0,
"title": "Tailored emission spectrum of 2D semiconductors using plasmonic nanocavities",
"venue": "",
"year": 2017
},
{
"abstract": "Photocatalytic water splitting is a promising way to produce hydrogen fuel from solar energy. In this regard, the search for new photocatalytic materials that can efficiently split water into hydrogen is essential. Here, using first principles simulations, we demonstrate that the dual defective SnS2 (Ni SnS2 VS) by both single atom nickel doping and sulfur monovacancies, becomes a promising two dimensional photocatalyst compared with SnS2. The Ni SnS2 VS monolayer, in particular, exhibits a suitable band alignment that perfectly overcomes the redox potentials for overall water splitting. The dual defective monolayer displays remarkable photocatalytic activity, a spatially separated carrier, a broadened optical absorption spectrum, and enhanced adsorption energy of H2O. Therefore, the dual defective SnS2 monolayer can serve as an efficient photocatalyst for overall water splitting to produce hydrogen fuel. Furthermore, a novel dual defect method can be an effective strategy to enhance the photocatalytic behavior of 2D materials; it may pave inroads in the development of solar fuel generation.",
"author_names": [
"Batjargal Sainbileg",
"Ying-Ren Lai",
"Li-Chyong Chen",
"Michitoshi Hayashi"
],
"corpus_id": 208229468,
"doc_id": "208229468",
"n_citations": 8,
"n_key_citations": 0,
"score": 0,
"title": "The dual defective SnS2 monolayers: promising 2D photocatalysts for overall water splitting.",
"venue": "Physical chemistry chemical physics PCCP",
"year": 2019
},
{
"abstract": "Bi dimensional nano materials and related heterostructures are establishing themselves as intriguing material systems for the development of a new class of electronic, photonic and plasmonic devices with ad hoc properties, that can be engineered \"from scratch\" Huge potential can be envisaged in a variety of application fields, ranging from saturable absorbers to optical modulators, from optical communication modules to spintronics, from near field components to photodetectors. Their peculiar band structure and electron transport characteristics, which can be easily manipulated via layer thickness control, suggest they could also form the basis for a new generation of highperformance devices operating in the Terahertz frequency range (1 10 THz) of the electromagnetic spectrum. This talk will review latest achievements in the developments of active and passive THz photonic and nano electronic devices exploiting 2D nano materials and combined heterostructures and will discuss future perspectives of this rapidly developing research field.",
"author_names": [
"Miriam Serena Vitiello"
],
"corpus_id": 53093669,
"doc_id": "53093669",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Tailored nano electronics and photonics with 2D materials",
"venue": "2018 43rd International Conference on Infrared, Millimeter, and Terahertz Waves (IRMMW THz)",
"year": 2018
},
{
"abstract": "In NMR analysis of complex organic molecules, low natural abundance of 13C and the low resolution of two dimensional (2D) experiments are significant difficulties. Also challenging is the analysis of a mixture spectrum without separation, which has been limited to simple molecules. Through nonuniform sampling using modified heteronuclear multiple bond correlation combined with indirect covariance, a high resolution 13C 13C correlation spectrum was obtained with 1H sensitivity. Built on the thus obtained 13C 13C connectivities, deconvolution of the mixture spectra was achieved through a new signal processing procedure, termed DECODE, tailored to the indirect covariance eigendecomposition. When applied to a complex natural product mixture of rotenone and brucine with many quaternary carbons, the method resolved very close carbon peaks and extracted clean individual spectra. Essentially providing molecule wide 13C connectivities for complex molecules from 1H detected 2D spectra, our approach should prove useful in many areas of NMR analysis.",
"author_names": [
"Jin Wook Cha",
"Sunghyouk Park"
],
"corpus_id": 218562239,
"doc_id": "218562239",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Extraction of Individual Spectra from Mixture Data Based on High Resolution 13C 13C NMR Correlation Spectrum and DECODE Procedure.",
"venue": "Analytical chemistry",
"year": 2020
},
{
"abstract": "The possibility to record a full 2D spectrum in less than a second using ultrafast 2D NMR (UF2DNMR) is beneficial in many applications. However, the spatial encoding process on which UF2DNMR is based sets specific constraints on the spectral width and resolution of the resulting spectra. To overcome these limitations, a tailored encoding method using spatial/spectral pulses (SPSP) can be employed as an alternative to the traditional linear spatial encoding of interactions. Here we analyze and further develop this alternative spatial encoding strategy. We first carry out numerical simulations to describe the features of bidimensional SPSP pulses. Sidebands are identified along the spectral dimension of the excitation profile. An interleaved excitation scheme is then developed and implemented experimentally to suppress the unwanted signals that arise from these harmonic sidebands. Two examples are shown to illustrate the potential of the proposed approach. An ultrafast selective TOCSY spectrum is recorded to access sub spectra and fully assign 1H NMR resonances of individual residues of cyclosporin A. An ultrafast HSQC spectrum of a mixture of metabolites is recorded with an optimized spectral width in the spatially encoded dimension.",
"author_names": [
"Bertrand Plainchont",
"Patrick Giraudeau",
"Jean-Nicolas Dumez"
],
"corpus_id": 195766044,
"doc_id": "195766044",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Interleaved spatial/spectral encoding in ultrafast 2D NMR spectroscopy.",
"venue": "Journal of magnetic resonance",
"year": 2019
},
{
"abstract": "Van der Waals (vdW) interfaces based on 2D materials are promising for optoelectronics, as interlayer transitions between different compounds allow tailoring of the spectral response over a broad range. However, issues such as lattice mismatch or a small misalignment of the constituent layers can drastically suppress electron photon coupling for these interlayer transitions. Here, we engineered type II interfaces by assembling atomically thin crystals that have the bottom of the conduction band and the top of the valence band at the G point, and thus avoid any momentum mismatch. We found that these van der Waals interfaces exhibit radiative optical transitions irrespective of the lattice constant, the rotational and/or translational alignment of the two layers or whether the constituent materials are direct or indirect gap semiconductors. Being robust and of general validity, our results broaden the scope of future optoelectronics device applications based on two dimensional materials. Type II van der Waals interfaces formed by different two dimensional materials enable robust interlayer optical transitions, regardless of common issues such as lattice constant mismatch, layer misalignment or whether the constituent compounds are direct or indirect band semiconductors.",
"author_names": [
"Nicolas Ubrig",
"E A Ponomarev",
"Johanna Zultak",
"Daniil Domaretskiy",
"Viktor Zolyomi",
"Daniel J Terry",
"James Howarth",
"I Gutierrez-Lezama",
"Alexander A Zhukov",
"Zakhar R Kudrynskyi",
"Zakhar D Kovalyuk",
"Amalia Patane",
"Takashi Taniguchi",
"Kenji Watanabe",
"Roman V Gorbachev",
"Vladimir I Fal'ko",
"Alberto F Morpurgo"
],
"corpus_id": 209444947,
"doc_id": "209444947",
"n_citations": 41,
"n_key_citations": 0,
"score": 0,
"title": "Design of van der Waals interfaces for broad spectrum optoelectronics",
"venue": "Nature Materials",
"year": 2020
},
{
"abstract": "Light harvesting capacity and photoexcited charge carrier separation ability are two crucial requirements for high efficiency semiconductor photocatalysis. Here, we report a plasmonic Z scheme nanohybrid by hydrothermally in situ growing two dimensional (2D) oxygen deficient molybdenum oxide (MoO3 x) nanoplates onto 2D graphitic carbon nitride (g C3N4) nanosheets. The resultant 2D/2D MoO3 x/g C3N4 nanohybrids not only construct a unique Z scheme heterojunction, which improves the photogenerated charge carrier separation efficiency, but also possess numerous oxygen vacancies on the surface of MoO3 x, which could excite its plasmon resonance for extending spectrum adsorption. Importantly, the plasmon resonance can be readily designed by tailoring the oxygen vacancy concentration via an annealing in air. Benefiting from the synergetic effect of interfacial Z scheme heterojunction and the tunable plasmon resonance of MoO3 x, the as obtained nanohybrids achieve a remarkably improved photocatalytic H2 evolution efficiency. The optimal Z scheme heterostructure presents 2.6 and 1.7 times higher of H2 evolution rate as compared to pure g C3N4 and the annealing nanohybrid under visible light irradiation. Even under light irradiation with wavelength longer than 590 nm, the hybrid photocatalyst displays a H2 generation rate as high as 22.8 umol h 1 due to the plasmonic sensitization effect. The result in our work can provide an alternative for fabricating Z scheme heterostructures that take advantages of Z scheme induced charge carrier separation, accompanied with plasmon enhanced light harvesting of semiconductor to advance the solar energy conversion efficiency in photocatalysis.",
"author_names": [
"Yanzhen Guo",
"Binbin Chang",
"Ting Wen",
"Shouren Zhang",
"Min Zeng",
"Nantao Hu",
"Yanjie Su",
"Zhi Yang",
"Bao-cheng Yang"
],
"corpus_id": 211110881,
"doc_id": "211110881",
"n_citations": 20,
"n_key_citations": 0,
"score": 0,
"title": "A Z scheme photocatalyst for enhanced photocatalytic H2 evolution, constructed by growth of 2D plasmonic MoO3 x nanoplates onto 2D g C3N4 nanosheets.",
"venue": "Journal of colloid and interface science",
"year": 2020
},
{
"abstract": "Abstract This paper developed the new route decision making method that implements the wave added resistance estimation method using forecast 2d wave spectra in a frequency and a direction domain specified through a ship's voyage route. In the accepted conventional method, a 1d idealized spectrum is applied to estimate the wave added resistance calculation in the process of performance evaluation in the route decision making algorithm. Wave added resistance estimation using an 1d idealized spectrum assumes that the statistical characteristics of the sea do not vary over the ship's route with regard to geography and season. In the real world, the characteristics of the sea continually vary according to the voyage route in which a vessel passes by. This paper provides the method that calculates the added resistance of waves using forecast 2d wave spectra, that provided tailor made stochastic data at any particular time and position along the route of the vessel.The difference of the wave added resistance estimation using conventional 1d idealized spectrum method and the proposed forecast 2d wave spectra method is investigated by the comparative study of the estimation results, model tests, and the measured power during the real voyage case of 173K LNGC in the Pacific and Atlantic ocean.",
"author_names": [
"Sung-Pyo Kim"
],
"corpus_id": 213275800,
"doc_id": "213275800",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "The development of route decision making method based on tailor made forecast 2d wave spectra due to the operation profile of the vessel",
"venue": "",
"year": 2020
},
{
"abstract": "Abstract Efficient solar driven evaporation has engendered great interests as a sustainable and environmental approach for solar energy utilization. The development of high performance photo absorbers is of paramount importance for realizing efficient solar evaporation. Among the light harvesting materials, transition metal oxide (TMO) is regarded as one of the most promising substitutes for photothermal conversion. Here, two dimensional (2D) defective tungsten oxide (WOx) nanosheets are prepared via introducing oxygen vacancies in WO3. It demonstrates that the novel 2D plasmonic WOx nanosheets can be used as high performance photo absorbers for efficient solar steam generation, displaying broadband and intense light absorption in the full solar spectrum due to the tunable localized surface plasmon resonances. Its evaporation efficiency reaches 78.6% under 1 kW/m2 (one sun) irradiation. The result reveals that adjusting the surface nanostructures and morphologies of TMO can be thought to be a potential strategy to promote the light harvesting performance for solar steam generation. This study is significant for further exploring potential applications of plasmonic semiconductors in sterilization, desalination, and photothermal power through solar steam generation.",
"author_names": [
"Xin Ming",
"Ankang Guo",
"Gang-Qiang Wang",
"Xianbao Wang"
],
"corpus_id": 103584882,
"doc_id": "103584882",
"n_citations": 32,
"n_key_citations": 0,
"score": 0,
"title": "Two dimensional defective tungsten oxide nanosheets as high performance photo absorbers for efficient solar steam generation",
"venue": "",
"year": 2018
}
] |
, Nanocrystals, and Quantum Dots | [
{
"abstract": "Current research into semiconductor clusters is focused on the properties of quantum dots fragments of semiconductor consisting of hundreds to many thousands of atoms with the bulk bonding geometry and with surface states eliminated by enclosure in a material that has a larger band gap. Quantum dots exhibit strongly size dependent optical and electrical properties. The ability to join the dots into complex assemblies creates many opportunities for scientific discovery.",
"author_names": [
"A Paul Alivisatos"
],
"corpus_id": 98248597,
"doc_id": "98248597",
"n_citations": 9082,
"n_key_citations": 63,
"score": 1,
"title": "Semiconductor Clusters, Nanocrystals, and Quantum Dots",
"venue": "Science",
"year": 1996
},
{
"abstract": "Recent advances in strategies for synthesizing nanoparticles such as semiconductor quantum dots, magnets and noble metal clusters have enabled the precise control of composition, size, shape, crystal structure, and surface chemistry. The distinct properties of the resulting nanometre scale building blocks can be harnessed in assemblies with new collective properties, which can be further engineered by controlling interparticle spacing and by material processing. Our study is motivated by the emerging concept of metamaterials materials with properties arising from the controlled interaction of the different nanocrystals in an assembly. Previous multi component nanocrystal assemblies have usually resulted in amorphous or short range ordered materials because of non directional forces or insufficient mobility during assembly. Here we report the self assembly of PbSe semiconductor quantum dots and Fe2O3 magnetic nanocrystals into precisely ordered three dimensional superlattices. The use of specific size ratios directs the assembly of the magnetic and semiconducting nanoparticles into AB13 or AB2 superlattices with potentially tunable optical and magnetic properties. This synthesis concept could ultimately enable the fine tuning of material responses to magnetic, electrical, optical and mechanical stimuli.",
"author_names": [
"Franz X Redl",
"K -S Cho",
"Christopher B Murray",
"Stephen O'Brien"
],
"corpus_id": 4379969,
"doc_id": "4379969",
"n_citations": 632,
"n_key_citations": 5,
"score": 0,
"title": "Three dimensional binary superlattices of magnetic nanocrystals and semiconductor quantum dots",
"venue": "Nature",
"year": 2003
},
{
"abstract": "Understanding the surface of semiconductor nanocrystals (NCs) prepared using colloidal methods is a long standing goal of paramount importance for all their potential optoelectronic applications, which remains unsolved largely because of the lack of site specific physical techniques. Here, we show that multidimensional 113Cd dynamic nuclear polarization (DNP) enhanced NMR spectroscopy allows the resolution of signals originating from different atomic and magnetic surroundings in the NC cores and at the surfaces. This enables the determination of the structural perfection, and differentiation between the surface and core atoms in all major forms of size and shape engineered CdSe NCs: irregularly faceted quantum dots (QDs) and atomically flat nanoplatelets, including both dominant polymorphs (zinc blende and wurtzite) and their epitaxial nanoheterostructures (CdSe/CdS core/shell quantum dots and CdSe/CdS core/crown nanoplatelets) as well as magic sized CdSe clusters. Assignments of the NMR signals to specific crystal facets of oleate terminated ZB structured CdSe NCs are proposed. Significantly, we discover far greater atomistic complexity of the surface structure and the species distribution in wurtzite as compared to zinc blende CdSe QDs, despite an apparently identical optical quality of both QD polymorphs.",
"author_names": [
"Laura Piveteau",
"Ta-Chung Ong",
"Brennan J Walder",
"Dmitry N Dirin",
"Daniele Moscheni",
"Barbara Schneider",
"Janine Baer",
"Loredana Protesescu",
"Norberto Masciocchi",
"Antonietta Guagliardi",
"Lyndon Emsley",
"Christophe Coperet",
"Maksym V Kovalenko"
],
"corpus_id": 52900697,
"doc_id": "52900697",
"n_citations": 22,
"n_key_citations": 0,
"score": 0,
"title": "Resolving the Core and the Surface of CdSe Quantum Dots and Nanoplatelets Using Dynamic Nuclear Polarization Enhanced PASS PIETA NMR Spectroscopy",
"venue": "ACS central science",
"year": 2018
},
{
"abstract": "The insertion of intentional impurities, commonly referred to as doping, into colloidal semiconductor quantum dots (QDs) is a powerful paradigm for tailoring their electronic, optical, and magnetic behaviors beyond what is obtained with size control and heterostructuring motifs. Advancements in colloidal chemistry have led to nearly atomic precision of the doping level in both lightly and heavily doped QDs. The doping strategies currently available, however, operate at the ensemble level, resulting in a Poisson distribution of impurities across the QD population. To date, the synthesis of monodisperse ensembles of QDs individually doped with an identical number of impurity atoms is still an open challenge, and its achievement would enable the realization of advanced QD devices, such as optically/electrically controlled magnetic memories and intragap state transistors and solar cells, that rely on the precise tuning of the impurity states (i.e. number of unpaired spins, energy and width of impurity levels) within the QD host. The only approach reported to date relies on QD seeding with organometallic precursors that are intrinsically unstable and strongly affected by chemical or environmental degradation, which prevents the concept from reaching its full potential and makes the method unsuitable for aqueous synthesis routes. Here, we overcome these issues by demonstrating a doping strategy that bridges two traditionally orthogonal nanostructured material systems, namely, QDs and metal quantum clusters composed of a \"magic number\" of atoms held together by stable metal to metal bonds. Specifically, we use clusters composed of four copper atoms (Cu4) capped with d penicillamine to seed the growth of CdS QDs in water at room temperature. The elemental analysis, performed by electrospray ionization mass spectrometry, X ray fluorescence, and inductively coupled plasma mass spectrometry, side by side with optical spectroscopy and transmission electron microscopy measurements, indicates that each Cu:CdS QD in the ensemble incorporates four Cu atoms originating from one Cu4 cluster, which acts as a \"quantized\" source of dopant impurities.",
"author_names": [
"Beatriz Santiago-Gonzalez",
"Angelo Monguzzi",
"Valerio Pinchetti",
"Alberto Casu",
"Mirko Prato",
"Roberto Lorenzi",
"Marcello Campione",
"Norberto Chiodini",
"Carlo Santambrogio",
"Francesco Meinardi",
"Liberato Manna",
"Sergio Brovelli"
],
"corpus_id": 206711322,
"doc_id": "206711322",
"n_citations": 11,
"n_key_citations": 0,
"score": 0,
"title": "\"Quantized\" Doping of Individual Colloidal Nanocrystals Using Size Focused Metal Quantum Clusters.",
"venue": "ACS nano",
"year": 2017
},
{
"abstract": "Magic sized clusters (MSCs) of semiconductor are typically defined as specific molecular scale arrangements of atoms that exhibit enhanced stability. They often grow in discrete jumps, creating a series of crystallites, without the appearance of intermediate sizes. However, despite their long history, the mechanism behind their special stability and growth remains poorly understood. It is particularly difficult to explain experiments that have shown discrete evolution of MSCs to larger sizes well beyond the \"cluster\" regime and into the size range of colloidal quantum dots. Here, we study the growth of MSCs, including these larger magic sized CdSe nanocrystals, to unravel the underlying growth mechanism. We first introduce a synthetic protocol that yields a series of nine magic sized nanocrystals of increasing size. By investigating these crystallites, we obtain important clues about the mechanism. We then develop a microscopic model that uses classical nucleation theory to determine kinetic barriers and simulate the growth. We show that magic sized nanocrystals are consistent with a series of zinc blende crystallites that grow layer by layer under surface reaction limited conditions. They have a tetrahedral shape, which is preserved when a monolayer is added to any of its four identical facets, leading to a series of discrete nanocrystals with special stability. Our analysis also identifies strong similarities with the growth of semiconductor nanoplatelets, which we then exploit to further increase the size range of our magic sized nanocrystals. Although we focus here on CdSe, these results reveal a fundamental growth mechanism that can provide a different approach to nearly monodisperse nanocrystals.",
"author_names": [
"Aniket S Mule",
"Sergio Mazzotti",
"Aurelio A Rossinelli",
"Marianne Aellen",
"P Tim Prins",
"Johanna C van der Bok",
"Simon F Solari",
"Yannik M Glauser",
"Priyank V Kumar",
"Andreas Riedinger",
"David J Norris"
],
"corpus_id": 231650567,
"doc_id": "231650567",
"n_citations": 6,
"n_key_citations": 0,
"score": 0,
"title": "Unraveling the Growth Mechanism of Magic Sized Semiconductor Nanocrystals.",
"venue": "Journal of the American Chemical Society",
"year": 2021
},
{
"abstract": "Understanding the pathways of hot exciton relaxation in photoexcited semiconductor nanocrystals, also called quantum dots (QDs) is of paramount importance in multiple energy, electronics and biological applications. An important nonradiative relaxation channel originates from the nonadiabatic (NA) coupling of electronic degrees of freedom to nuclear vibrations, which in QDs depend on the confinement effects and complicated surface chemistry. To elucidate the role of surface ligands in relaxation processes of nanocrystals, we study the dynamics of the NA exciton relaxation in Cd(33)Se(33) semiconductor quantum dots passivated by either trimethylphosphine oxide or methylamine ligands using explicit time dependent modeling. The large extent of hybridization between electronic states of quantum dot and ligand molecules is found to strongly facilitate exciton relaxation. Our computational results for the ligand contributions to the exciton relaxation and electronic energy loss in small clusters are further extrapolated to larger quantum dots.",
"author_names": [
"Svetlana V Kilina",
"Kirill A Velizhanin",
"Sergei Ivanov",
"Oleg V Prezhdo",
"Sergei Tretiak"
],
"corpus_id": 207638890,
"doc_id": "207638890",
"n_citations": 95,
"n_key_citations": 3,
"score": 0,
"title": "Surface ligands increase photoexcitation relaxation rates in CdSe quantum dots.",
"venue": "ACS nano",
"year": 2012
},
{
"abstract": "Surface effects significantly influence the functionality of semiconductor nanocrystals. High quality nanocrystals can be achieved with good control of surface passivation by various hydrophobic ligands. In this work, the chemistry between CdSe quantum dots and common surface capping ligands is investigated using density functional theory (DFT) We discuss the electronic structures and optical properties of small CdSe clusters controlled by their size of particle, self organization, capping ligands, and positive charges. The chosen model ligands reproduce good structural and energetic description of the interactions between the ligands and quantum dots. In order to capture the chemical nature and energetics of the interactions between the capping ligands and CdSe quantum dots, we found that PMe3 is needed to adequately model trioctylphosphine (TOP) NH3 is sufficient for amines, while OPH2Me could be used to model trioctylphosphine oxide. The relative binding interaction strength between ligands was found to decrease in order Cd O Cd N Cd P with average binding energy per ligand being 25 kcal/mol for OPH2Me, 20 kcal/mol for NH3 and 10 kcal/mol for PMe3. Charges on studied stoichiometric clusters were found to have a significant effect on their structures, binding energies, and optical properties.",
"author_names": [
"Ping Yang",
"Sergei Tretiak",
"Sergei Ivanov"
],
"corpus_id": 98044571,
"doc_id": "98044571",
"n_citations": 33,
"n_key_citations": 0,
"score": 0,
"title": "Influence of Surfactants and Charges on CdSe Quantum Dots",
"venue": "",
"year": 2011
},
{
"abstract": "The International Conference on Semiconductor Quantum Dots (QD2002) was held at Komaba Campus of University of Tokyo, Japan, from Monday, 30 September, through Thursday, 3 October 2002. The purpose of the QD2002 was to bring together scientists from different fields of physics and chemistry to discuss topics of common interest and significance in such growing areas including semiconductor quantum dots, nanocrystals, and clusters. The conference was focused on the optical and electronic properties of three dimensionally confined nanostructures grown both by epitaxial methods and chemical preparation routes. The QD2002 was the second in a series which started in Munich, Germany, in 2000. The scope of the QD2002 covered various research fields including novel fabrication techniques of nanoheterostructures, electronic structures, optical properties, electronic properties/single electron tunneling processes, molecular dots, nanocrystals, device applications such as lasers and memories, coherent processes/quantum computations, and biomedical applications.",
"author_names": [
"Yasuhiko Arakawa",
"Seigo Tarucha"
],
"corpus_id": 97157537,
"doc_id": "97157537",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Proceedings of the 2nd International Conference on Semiconductor Quantum Dots (QD2002)",
"venue": "",
"year": 2003
},
{
"abstract": "physica status solidi (c) conferences and critical reviews publishes conference proceedings, ranging from large international meetings to specialized topical workshops as well as collections of topical reviews on various areas of current solid state physics research. The International Conference on Semiconductor Quantum Dots (QD2002) was held at Komaba Campus of University of Tokyo, Japan, from Monday 30 September through Thursday 3 October 2002. The purpose of the QD2002 was to bring together scientists from different fields of physics and chemistry to discuss topics of common interest and significance in such growing areas including semiconductur quantum dots, nanocrystals, and clusters. The conference was focused on the optical and electronic properties of three dimensionally confined nanostructures grown both by epitaxial methods and chemical preparation routes. The QD2002 was the second in a series which started in Munich, Germany, in 2000. The scope of QD2002 covered various research fields including novel fabrication techniques of nanoheterostructures, electronic structures, optical properties, electronic properties/single electron tunneling processes, molecular dots, nanocrystals, device applications such as lasers and memories, coherent processes/quantum computations, and biomedical applications.",
"author_names": [
"Huang Chuan Tai Yan",
"Zun Cha Qing Wu"
],
"corpus_id": 92957793,
"doc_id": "92957793",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Proceedings of the 2nd international conference on semiconductor quantum dots, Tokyo, Japan 30 September 3 October 2002",
"venue": "",
"year": 2003
},
{
"abstract": "Abstract Sol gel transition is a second order phase transition depending on the creation of the macroscopic solute network, which confines the solvent in its pores. The phase transition from the sol state to the gel state takes place, when small clusters of molecules join together and create one huge cluster, which fills most of the volume of the sample. The aim of this paper is to study the phase transition process using as detectors the semiconductor PbS nanocrystals, called quantum dots, embedded in the solution. As a material we are using the aqueous solution of gelatin, which creates the gel network due to hydrogen bonds between monomers belonging to different chains. The lifetimes of excitons generated in quantum dots by the light pulse appear to be very sensitive to the polymer surrounding. These lifetimes have been measured by use of the transient absorption spectroscopy. In order to show the dynamics of the gelation process the measurements were performed with appropriate time lags in train of the process duration. It appears that dynamics of the exciton lifetime significantly changes during the sol gel transition, what allows the indication of the gel point in the system and the appropriate universal critical exponents.",
"author_names": [
"Piotr Piatkowski",
"B Ratajska-Gadomska",
"Wojciech Gadomski"
],
"corpus_id": 98101589,
"doc_id": "98101589",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Probing slow dynamics by ultrafast process: Sol gel transition detected by transient absorption spectroscopy of quantum dots",
"venue": "",
"year": 2012
}
] |
carbon quantum dot literture review | [
{
"abstract": "Abstract Semiconductor photocatalyst mediated advanced oxidation processes are regarded as one of the most efficient technologies to mitigate organic pollutants in water. However, poor activity under visible light and the recombination of photogenerated electron and hole pairs hinder large scale applicability of semiconductor photocatalysts for water purification. The modification of semiconductor photocatalysts with carbon quantum dots (CQDs) is of high importance due to low toxicity, aqueous stability, enhanced surface area, economic feasibility, good biocompatibility and chemical inertness of CQDs. In this review, we highlight strategies to improve the activity of conventional semiconductor photocatalysts via coupling with CQDs. The enhanced photocatalytic activity of CQD modified narrow and wide band gap photocatalysts is due mainly to up conversion photoluminescence (UPCL) and the electron reservoir properties of CQDs, while in the case of Z scheme photocatalysts CQDs act as an electron mediator. Finally, a conclusive outlook and suggested research directions are provided to address challenges such as the inadequate separation of photoinduced charge carriers.",
"author_names": [
"Sheetal Sharma",
"Vishal Dutta",
"Pardeep Singh",
"Pankaj Raizada",
"Abolfazl Rahmani-Sani",
"Ahmad Hosseini-Bandegharaei",
"Vijay Kumar Thakur"
],
"corpus_id": 159429540,
"doc_id": "159429540",
"n_citations": 134,
"n_key_citations": 0,
"score": 1,
"title": "Carbon quantum dot supported semiconductor photocatalysts for efficient degradation of organic pollutants in water: A review",
"venue": "Journal of Cleaner Production",
"year": 2019
},
{
"abstract": "Abstract A diverse range of materials (e.g. metallic nanoparticles, semiconductor oxides, composites, polymers, and MOFs) have been reported for sensing applications in water samples based on several transduction techniques (e.g. absorbance, fluorescence, Raman, colorimetry, voltammetry, and plasmon resonance) Recently, carbon quantum dots (CQDs) have gained significant interest as fluorescent and small carbonaceous nanostructures for designing sensing devices (either as produced or through modification) for heavy metals due to their excellent properties (e.g. photostability, facile surface modification, optical tunability, and environmental friendliness) This review provides a comprehensive overview on the role of CQDs as sensors for heavy metals in water. This work will help open up opportunities for the use of CQDs under the diverse field conditions.",
"author_names": [
"Pooja Devi",
"Prachi Rajput",
"Anupma Thakur",
"Ki-Hyun Kim",
"Praveen Kumar"
],
"corpus_id": 107652577,
"doc_id": "107652577",
"n_citations": 60,
"n_key_citations": 0,
"score": 0,
"title": "Recent advances in carbon quantum dot based sensing of heavy metals in water",
"venue": "TrAC Trends in Analytical Chemistry",
"year": 2019
},
{
"abstract": "CQDs are a new class of carbon material with ultrafine sizes and readily tunable optical properties, which make them extremely intriguing. CQDs have gained widespread attention due to their potential and versatility, and they can be applied in many different fields. One of their many applications is photocatalysis, which has garnered incessant research interest in recent years. State of the art technology utilizes sustainable solar energy, which is both clean and virtually inexhaustible. To date, the photocatalytic performance of CQDs in their raw form is still far from ideal. Nevertheless, they can be substantially enhanced through several modification techniques. In this review, strategies to improve the photocatalytic performance of CQDs, such as size tuning, surface passivation and functionalization, and elemental doping, are extensively discussed. The review also covers the latest advances in the use of CQDs in photocatalysis to address both environmental and energy related issues. Particular emphasis is placed on the formation of 0D/2D heterojunction nanocomposites with several 2D materials, such as graphene, graphitic carbon nitride, metal oxides and metallates, metal oxyhalides, transition metal oxides and chalcogenides. The hybridization routes to binary nanocomposites, and their photocatalytic application to carbon dioxide reduction, hydrogen production, and dye and pollutant degradation, are thoroughly reviewed in this paper.",
"author_names": [
"Sue Jiun Phang",
"Lling-Lling Tan"
],
"corpus_id": 203939086,
"doc_id": "203939086",
"n_citations": 25,
"n_key_citations": 1,
"score": 0,
"title": "Recent advances in carbon quantum dot (CQD) based two dimensional materials for photocatalytic applications",
"venue": "",
"year": 2019
},
{
"abstract": "Abstract This endeavor presents state of the art overview on polymer/carbon based quantum dot nanocomposite. Carbon based quantum dot (graphene quantum dot, carbon nanodot, and polymer dot) are ~10nm. Carbon based quantum dot own exciting features such as tunable optoelectronic and photoluminescence properties, high stability, chemical inertness, low cytotoxicity, and biocompatibility owing to quantum confinement and edge effects. Main emphasis of article was to see the combined effect of polymer and carbon based quantum dot in nanocomposite. Five major categories have been reviewed in this article including conjugated polymer/carbon based quantum dot nanocomposite, epoxy/carbon based quantum dot nanocomposite, polystyrene/carbon based quantum dot nanocomposite, poly(dimethyl siloxane)/carbon based quantum dot nanocomposite, and block copolymer/carbon based quantum dot nanocomposite. The review also refers to cutting edge application areas of polymer/carbon based quantum dot nanocomposite. Conducting polymer/carbon quantum dot nanocomposite has been integrated in energy storage devices, detectors, and electronic devices. These materials are also promising candidates for bulk heterojunction solar cells and light emitting diodes. Another important use is the identification and removal of toxic metals. Functional materials have also been used for fluorescence imaging of live cells. Modification of carbon based quantum dot and incorporation in appropriate polymer matrices can be adopted as powerful future tool enabling desired tailored applicability of nanocomposite in advance high performance technical applications.",
"author_names": [
"Ayesha Kausar"
],
"corpus_id": 104378372,
"doc_id": "104378372",
"n_citations": 8,
"n_key_citations": 0,
"score": 0,
"title": "Polymer/carbon based quantum dot nanocomposite: forthcoming materials for technical application",
"venue": "Journal of Macromolecular Science, Part A",
"year": 2019
},
{
"abstract": "Abstract Zero dimensional (0D) carbon nanomaterials such as carbon (CQDs) and graphene quantum dots (GQDs) have been attracting attention due to their outstanding properties of biocompatibility, nontoxicity, chemical inertness, tunable photoluminescence, low cost and facile surface functionalization. Their potential applications range from biomedical, drug delivery, environmental, photocatalytic to energy storage sectors. Among these, investigations have largely focused on their behavior in environmental sensing, biosensing, and optoelectronics, yet energy storage and conversion systems are progressing rapidly as new promising methods are emerging to solve some of the outstanding challenges with energy at low cost and environmental footprint. By virtue of their rapid electron transfer and high surface area, CQD/GQDs are desirable in these electrochemical applications. Further, functional groups with rich heteroatoms (oxygen, nitrogen, sulfur, phosphorus, boron) on 0D carbon nanomaterials offer desirable active sites for enhanced electrochemical properties. Our review presents recent advances in the fabrication of CQD/GQD based composites for electrochemical systems, their mechanism of action, applications in energy storage (electrochemical capacitors, lithium/sodium ion batteries) and electrocatalysis (oxygen reduction reaction, oxygen/hydrogen evolution reactions, CO2 electroreduction, biofuel cells and electrochemical biosensors) with an analysis of their potential prospects.",
"author_names": [
"Van Chinh Hoang",
"Khyati Dave",
"Vincent G Gomes"
],
"corpus_id": 203021184,
"doc_id": "203021184",
"n_citations": 49,
"n_key_citations": 0,
"score": 0,
"title": "Carbon quantum dot based composites for energy storage and electrocatalysis: Mechanism, applications and future prospects",
"venue": "",
"year": 2019
},
{
"abstract": "Small carbon nanoparticles are an emerging member of the carbonaceous nanomaterial family and have been subsequently named as \"carbon quantum dots\" or \"carbon nanodots\" Generally, carbon quantum dots are a type of spherical or sphere like nanoparticles of less than 10 nm in size. Due to their unique properties, for example, size dependent fluorescence, non toxicity, biocompatibility, and easy accessibility, carbon quantum dots possess a great many potential applications in a range of fields from chemical sensing and imaging to catalysis and drug delivery, and thus are appealing to a number of researchers in nanoscience and nanotechnology. In this review, we give a brief introduction of the synthesis and fundamental properties of carbon quantum dots, then present their applications in metal ion sensing in detail along with illustrating the related mechanisms, and finally come up with some challenges currently faced and future outlooks for this fascinating carbon material. We hope this review could be helpful for readers who are preparing to join and/or have joined the research field of carbon quantum dots.",
"author_names": [
"Xiao-hui Gao",
"Cheng Du",
"Zhihua Zhuang",
"Wei Chen"
],
"corpus_id": 138738521,
"doc_id": "138738521",
"n_citations": 255,
"n_key_citations": 0,
"score": 0,
"title": "Carbon quantum dot based nanoprobes for metal ion detection",
"venue": "",
"year": 2016
},
{
"abstract": "Graphene and carbon quantum dots have extraordinary optical and electrical features because of their quantum confinement properties. This makes them attractive materials for applications in photovoltaic devices (PV) Their versatility has led to their being used as light harvesting materials or selective contacts, either for holes or electrons, in silicon quantum dot, polymer or dye sensitized solar cells. In this review, we summarize the most common uses of both types of semiconducting materials and highlight the significant advances made in recent years due to the influence that synthetic materials have on final performance.",
"author_names": [
"Sofia Paulo",
"Emilio Jose Palomares",
"Eugenia Martinez-Ferrero"
],
"corpus_id": 10227955,
"doc_id": "10227955",
"n_citations": 85,
"n_key_citations": 1,
"score": 0,
"title": "Graphene and Carbon Quantum Dot Based Materials in Photovoltaic Devices: From Synthesis to Applications",
"venue": "Nanomaterials",
"year": 2016
},
{
"abstract": "Carbon quantum dots (CQDs) are a member of carbon nanostructures family which have received increasing attention for their photoluminescence (PL) physical and chemical stability and low toxicity. The classical semiconductor quantum dots (QDs) are semiconductor particles that are able to emit fluorescence by excitation. The CQDs is mainly referred to photoluminescent carbon nanoparticles less than 10 nm, with surface modification or functionalization. Contrary to other carbon nanostructures, CQDs can be synthesized and functionalized fast and easily. The fluorescence origin of the CQDs is a controversial issue which depends on carbon source, experimental conditions, and functional groups. However, PL emissions originated from conjugated p domains and surface defects have been proposed for the PL emission mechanisms of the CQDs. These nanostructures have been used as nontoxic alternatives to the classical heavy metals containing semiconductor QDs in some applications such as in vivo and in vitro bio imaging, drug delivery, photosensors, chemiluminescence (CL) and etc. This paper will introduce CQDs, their structure, and PL characteristics. Recent advances of the application of CQDs in biotechnology, sensors, and CL is comprehensively discussed.",
"author_names": [
"Mohammad Jafar Molaei"
],
"corpus_id": 59274823,
"doc_id": "59274823",
"n_citations": 159,
"n_key_citations": 0,
"score": 1,
"title": "A review on nanostructured carbon quantum dots and their applications in biotechnology, sensors, and chemiluminescence.",
"venue": "Talanta",
"year": 2019
},
{
"abstract": "In recent years, nano carbon quantum dots (CQDs) have received increasing attention due to their properties such as small size, fluorescence emission, chemical stability, water solubility, easy synthesis, and the possibility of functionalization. CQDs are fluorescent 0D carbon nanostructures with sizes below 10 nm. The fluorescence in CQDs originates from two sources, the fluorescence emission from bandgap transitions of conjugated p domains and fluorescence from surface defects. The CQDs can emit fluorescence in the near infrared (NIR) spectral region which makes them appropriate for biomedical applications. The fluorescence in these structures can be tuned with respect to the excitation wavelength. The CQDs have found applications in different areas such as biomedicine, photocatalysis, photosensors, solar energy conversion, light emitting diodes (LEDs) etc. The biomedical applications of CQDs include bioimaging, drug delivery, gene delivery, and cancer therapy. The fluorescent CQDs have low toxicity and other exceptional physicochemical properties in comparison to heavy metals semiconductor quantum dots (QDs) which make them superior candidates for biomedical applications. In this review, the synthesis routes and optical properties of the CQDs are clarified and recent advances in CQDs biomedical applications in bioimaging (in vivo and in vitro) drug delivery, cancer therapy, their potential to pass blood brain barrier (BBB) and gene delivery are discussed.",
"author_names": [
"Mohammad Jafar Molaei"
],
"corpus_id": 104385863,
"doc_id": "104385863",
"n_citations": 106,
"n_key_citations": 0,
"score": 1,
"title": "Carbon quantum dots and their biomedical and therapeutic applications: a review",
"venue": "",
"year": 2019
},
{
"abstract": "Carbon dots (CDs) a class of carbon based sub ten nanometer nanoparticles, have attracted great attention since their discovery fifteen years ago. Because of the outstanding photoluminescence properties, photostability, low toxicity, and low cost, CDs have potential to replace traditional semiconductor quantum dots which have serious drawbacks of toxicity and high cost. This review covers the common top down and bottom up methods for the synthesis of CDs, different categories of CD precursors (small molecules, natural polymers, and synthetic polymers) one pot and multi step methods to produce CDs/photocatalyst composites, and recent advances of CDs on photocatalysis applications mostly in pollutant degradation and energy areas. A broad range of precursors forming fluorescent CDs are discussed, including small molecule sole or dual precursors, natural polymers such as pure polysaccharides and proteins and crude bio resources from plants or animals, and various synthetic polymer precursors with positive, negative, neutral and hydrophilic, hydrophobic, or zwitterionic feature. Because of the wide light absorbance, excellent photoluminescence properties and electron transfer ability, CDs have emerged as a new type of photocatalyst. Recent work of CDs as sole photocatalyst or in combination with other materials (e.g. metal, metal sulfide, metal oxide, bismuth based semiconductor, or other traditional photocatalysts) to form composite catalyst for various photocatalytic applications are reviewed. Possible future directions are proposed at the end of the article on mechanistic studies, production of CDs with better controlled properties, expansion of polymer precursor pool, and systematic studies of CDs for photocatalysis applications.",
"author_names": [
"Kuan-Wu Chu",
"Sher Ling Lee",
"Chi-Jung Chang",
"Lingyun Liu"
],
"corpus_id": 121369129,
"doc_id": "121369129",
"n_citations": 48,
"n_key_citations": 0,
"score": 0,
"title": "Recent Progress of Carbon Dot Precursors and Photocatalysis Applications",
"venue": "Polymers",
"year": 2019
}
] |
"cobalt" and "Photocatalytic" | [
{
"abstract": "Semiconductor photocatalysis is a promising technology to tackle refractory antibiotics contamination in water. Herein, a facile in situ growth strategy is developed to implant single atom cobalt in polymeric carbon nitride (pCN) via the bidentate ligand for efficient photocatalytic degradation of oxytetracycline (OTC) The atomic characterizations indicate that single atom cobalt is successfully anchored on pCN by covalently forming the CoO bond and CoN bond, which will strengthen the interaction between single atom cobalt and pCN. This single atom cobalt can efficiently expand optical absorption, increase electron density, facilitate charge separation and transfer, and promote OTC degradation. As the optimal sample, Co(1.28%)pCN presents an outstanding apparent rate constant for OTC degradation (0.038 min 1 under visible light irradiation, which is about 3.7 times than that of the pristine pCN. The electron spin resonance (ESR) tests and reactive species trapping experiments demonstrate that the 1 O2 h+ *O2 and *OH are responsible for OTC degradation. This work develops a new way to construct single atom modified pCN and provides a green and highly efficient strategy for refractory antibiotics removal.",
"author_names": [
"Yang Yang",
"Guangming Zeng",
"Danlian Huang",
"Chen Hong Zhang",
"Donghui He",
"Chengyun Zhou",
"Wenjun Wang",
"Weiping Xiong",
"Biao Song",
"Huan Yi",
"Shujing Ye",
"Xiaoya Ren"
],
"corpus_id": 219974139,
"doc_id": "219974139",
"n_citations": 46,
"n_key_citations": 0,
"score": 0,
"title": "In Situ Grown Single Atom Cobalt on Polymeric Carbon Nitride with Bidentate Ligand for Efficient Photocatalytic Degradation of Refractory Antibiotics.",
"venue": "Small",
"year": 2020
},
{
"abstract": "Highly efficient and effective treatments of hazardous dye based color effluents are a major problem in the industrial sector. In this research, the cobalt ferrite (CoFe 2 O 4 catalyst was produced and used for the degradation of Congo red (CR) as a model dye from aqueous solution. For a said purpose, cobalt ferrite (CoFe 2 O 4 nanostructures with photocatalytic degradation potential were engineered via co precipitation method using Fe 2 (SO 4 3 CoO 2 and triethylene glycol (as a stabilizing agent) As prepared, CoFe 2 O 4 nanostructures were further surface functionalized with 3 APTES and tested for CR degradation. The prepared CoFe 2 O 4 nanostructures were characterized by X ray diffraction, Fourier transform infra red (FT IR) scanning electron microscopy (SEM) and Brunauer Emmitt Teller (BET) analysis. UV visible absorption was used to measure the optical band gap of prepared CoFe 2 O 4 nanostructures through Tauc plots. The as prepared CoFe 2 O 4 nanostructure bandgap was found to be 2.71 EV while using an acidic medium. The degradation rates of CR dye for bs CoFe 2 O 4 as CoFe 2 O 4 and fs CoFe 2 O 4 nanostructures at pH 9 were 84, 87, and 92% respectively. Furthermore, the influences of various process parameters, i.e. the effect of catalyst dose, contact time, dye dose/concentration, pH effect, and effect of different acids, were checked for the prepared three types of nanostructures, i.e. bs CoFe 2 O 4 as CoFe 2 O 4 and fs CoFe 2 O 4 The kinetics models properly explained that the reaction of degradation following pseudo first order kinetics.",
"author_names": [
"Nisar Ali",
"Amir Said",
"Farman Ali",
"Fazal Raziq",
"Zarshad Ali",
"Muhammad Bilal",
"Laurence Reinert",
"Tasleema Begum",
"Hafiz M N Iqbal"
],
"corpus_id": 212989239,
"doc_id": "212989239",
"n_citations": 24,
"n_key_citations": 0,
"score": 0,
"title": "Photocatalytic Degradation of Congo Red Dye from Aqueous Environment Using Cobalt Ferrite Nanostructures: Development, Characterization, and Photocatalytic Performance",
"venue": "Water, Air, Soil Pollution",
"year": 2020
},
{
"abstract": "",
"author_names": [
"Saeid Taghavi Fardood",
"Reza Forootan",
"Farzaneh Moradnia",
"Zolfa Afshari",
"Ali Ramazani"
],
"corpus_id": 213479321,
"doc_id": "213479321",
"n_citations": 39,
"n_key_citations": 0,
"score": 0,
"title": "Green synthesis, characterization, and photocatalytic activity of cobalt chromite spinel nanoparticles",
"venue": "",
"year": 2020
},
{
"abstract": "Significance Photocatalysts frequently require simultaneous loading of oxidative and reductive cocatalysts to achieve both efficient half reactions within a single material. Nevertheless, unregulated loading and distribution of two cocatalysts will result in direct contact between oxidation and reduction centers, leading to detrimental charge recombination. This research presents a center/edge approach to load two redox cocatalysts with controlled physical separation in atomistic scale using single atom architecture. This spatial separation is critical for enhancing surface charge separation and achieving efficient H2O2 production. We report that redox cocatalysts are spatially separated on a two dimensional (2D) photocatalyst, which opens an approach for achieving both efficient oxidation and reduction reactions on 2D photocatalysts. Redox cocatalysts play crucial roles in photosynthetic reactions, yet simultaneous loading of oxidative and reductive cocatalysts often leads to enhanced charge recombination that is detrimental to photosynthesis. This study introduces an approach to simultaneously load two redox cocatalysts, atomically dispersed cobalt for improving oxidation activity and anthraquinone for improving reduction selectivity, onto graphitic carbon nitride (C3N4) nanosheets for photocatalytic H2O2 production. Spatial separation of oxidative and reductive cocatalysts was achieved on a two dimensional (2D) photocatalyst, by coordinating cobalt single atom above the void center of C3N4 and anchoring anthraquinone at the edges of C3N4 nanosheets. Such spatial separation, experimentally confirmed and computationally simulated, was found to be critical for enhancing surface charge separation and achieving efficient H2O2 production. This center/edge strategy for spatial separation of cocatalysts may be applied on other 2D photocatalysts that are increasingly studied in photosynthetic reactions.",
"author_names": [
"Chiheng Chu",
"Qianhong Zhu",
"Zhenhua Pan",
"Srishti Gupta",
"Dahong Huang",
"Yonghua Du",
"Seunghyun Weon",
"Yueshen Wu",
"Christopher Muhich",
"Eli Stavitski",
"Kazunari Domen",
"Jae-Hong Kim"
],
"corpus_id": 212677962,
"doc_id": "212677962",
"n_citations": 39,
"n_key_citations": 0,
"score": 0,
"title": "Spatially separating redox centers on 2D carbon nitride with cobalt single atom for photocatalytic H2O2 production",
"venue": "Proceedings of the National Academy of Sciences",
"year": 2020
},
{
"abstract": "Environmental pollution and energy scarcity is a major issue of the current scenario which forbear the progress of developing world. To overcome these problems towards a sustainable future, the utilization of sunlight by means of photocatalysis can be regarded as a best and suitable pathway. To validate this purpose, design and development of efficient heterogeneous photocatalyst for harvesting solar energy should be the major research concern for scientific community. In this regard herein, we have prepared a series of stable and efficient CoTiO3/UiO 66 NH2 p n junction mediated heterogeneous photocatalyst by hydrothermal method. The functionalised linker of UiO 66 NH2 provided an intimate interfacial contact with CoTiO3 by Co/TiON ionic interaction, as proved by HRTEM and XPS analysis. Moreover the inverted V shaped Mott Schottky plot confirmed the junction formation in the optimised CoTiO3/UiO 66 NH2 material. In addition, EIS and PL analysis also provides sufficient evidence about the hindrance of active species recombination in composite as a result of p n hetero junction. LC MS characterization technique traces the assorted intermediate species produced in the course of photodegradation of Norfloxacin and confirms its complete degradation to corresponding CO2, H2O and NH4+ by the optimised CoTiO3/UiO 66 NH2. The highest photo catalytic activity obtained towards Norfloxacin degradation is 90.13% and H2 production is 530.87 umol in 1 h. The enhanced photo catalytic reaction follows Type II p n hetero junction charge transfer mechanism and thus, paves a new way to design MOF based heterojunction photocatalyst for diverse photo catalytic performance.",
"author_names": [
"Satyabrata Subudhi",
"Lekha Paramanik",
"Sabiha Sultana",
"Sriram Mansingh",
"Priyabrat Mohapatra",
"Kulamani Parida"
],
"corpus_id": 211262938,
"doc_id": "211262938",
"n_citations": 29,
"n_key_citations": 0,
"score": 0,
"title": "A type II interband alignment heterojunction architecture of cobalt titanate integrated UiO 66 NH2: A visible light mediated photocatalytic approach directed towards Norfloxacin degradation and green energy (Hydrogen) evolution.",
"venue": "Journal of colloid and interface science",
"year": 2020
},
{
"abstract": "Abstract Generally, pristine semiconductor materials are difficult to own excellent photocatalytic performance, and doping is considered an effective strategy to improve their performance. Herein, Co doped BiOBr (0 1 0) was successfully synthesized. The improved performance could be attributed to the enhanced charge separation efficiency and the expanded light absorption region. Moreover, Co doped BiOBr (0 1 0) owned relatively rough crystal surface with rich atomic defects and large specific surface area, which leaded to its excellent adsorption performance that contributed to the hole dominated degradation process. Through density functional theoretical (DFT) calculation, the effect of Co doping on electronic structure of BiOBr was investigated, indicating that an additional energy level inserted into the band gap of Co doped BiOBr, the band structure of Co doped BiOBr was more intensive than that of BiOBr, and the CBM and VBM of the Co doped BiOBr shifted towards lower energy regions. Tetracycline hydrochloride was chosen to further evaluate the photocatalytic performance of as prepared Co doped BiOBr (0 1 0) and 83% of tetracycline hydrochloride was degrade within 30 min. Finally, the visible light driven catalytic mechanism of Co doped BiOBr (0 1 0) was elucidated. Thus, a feasible strategy was proposed for the fabrication of excellent visible light driven photocatalytic materials.",
"author_names": [
"Luhua Shao",
"Yutang Liu",
"Longlu Wang",
"Xinnian Xia",
"Xiang-Zhong Shen"
],
"corpus_id": 208690585,
"doc_id": "208690585",
"n_citations": 16,
"n_key_citations": 0,
"score": 1,
"title": "Electronic structure tailoring of BiOBr (0 1 0) nanosheets by cobalt doping for enhanced visible light photocatalytic activity",
"venue": "",
"year": 2020
},
{
"abstract": "Abstract The present work deals with the synthesis, characterization, and testing towards the photochemical degradation efficiency of cobalt oxide (Co3O4) nanoparticles (NPs) Following the synthesis of Co3O4 NPs by the hydrothermal method, the NPs are confirmed to be formed in their cubic lattice structure with the spherical shape morphology. The testing studies revealed that the Co3O4 NPs degraded the Methylene violet (MV) and Crystal violet (CV) dyes very efficiently under the UV light irradiation. A Langmuir Hinshelwood (L H) model has been effectively used to display the adsorption of CV and MV dye molecules taking place using surface monolayer exposure to the UV light. The kinetics and reaction mechanism behind the elimination of CV and MV dyes shows for a pseudo second order kinetics model. With these Co3O4 NPs as photocatalysts, the maximum photodegradation rate was observed to be 92 and 64 for the MV and CV (respectively) within the same period of 45 min and this enhanced photocatalytic performance is due to the effective reduction of electron hole recombination process by the Co3O4 NPs.",
"author_names": [
"R Sukhin Saravan",
"M Muthukumaran",
"S M Mubashera",
"Manickavasagan Abinaya",
"P Varun Prasath",
"R Parthiban",
"Faruq Mohammad",
"Won-Chun Oh",
"Suresh Sagadevan"
],
"corpus_id": 214395049,
"doc_id": "214395049",
"n_citations": 21,
"n_key_citations": 0,
"score": 0,
"title": "Evaluation of the photocatalytic efficiency of cobalt oxide nanoparticles towards the degradation of crystal violet and methylene violet dyes",
"venue": "",
"year": 2020
},
{
"abstract": "Based on the designed tripodal linker 1,3,5 tris(2 methylimidazol 1 yl)benzene (timb) four cobalt(II) coordination polymers, [Co4(timb)2(Br IPA)4]*5H2O}n (1) [Co(timb)0.5(NH2 IPA)*4H2O}n (2) [Co5(timb)4(OH IPA)4]*2NO3*2DMA*2H2O}n (3) and [Co3(timb)2(SO3 IPA)2(H2O)2]*8H2O}n (4) have been obtained by adjusting the meta functional groups of isophthalic acid (H2IPA) CP 1 displays an unprecedented 3D 3 nodal (3,4,8) connected net with the point (Schlafli) symbol {42*64}{42*6}2{46*614*74*83*9} based on trinuclear {Co3(COO)6} SBUs. CP 2 shows an interesting 2D (3,4) connected {63}{66} bilayer with ABAB packing. CP 3 is a 2D 6 nodal (3,3,3,4,4,4) connected sheet with the point (Schlafli) symbol {4*52}{4*53*72}2{42*52*6*7}3{43}{53}2. CP 4 exhibits a 2D 2D 3D interpenetrating net based on the 2D (3,4) connected {63}{66} bilayer structure. CPs 1 4 possess excellent luminescence sensing properties for Fe3+ and Cr2O72 ions in aqueous solution with high sensitivity and selectivity. Besides, the magnetic properties as well as the photocatalytic activities for the degradation of methylene blue (MB) of CPs 1 4 under visible light have been investigated.",
"author_names": [
"Junjie Wang",
"N N Chen",
"Chen Yi Zhang",
"Li Jia",
"Liming Fan"
],
"corpus_id": 213749360,
"doc_id": "213749360",
"n_citations": 16,
"n_key_citations": 0,
"score": 0,
"title": "Functional group induced structural diversities and photocatalytic, magnetic and luminescence sensing properties of four cobalt(ii) coordination polymers based on 1,3,5 tris(2 methylimidazol 1 yl)benzene",
"venue": "",
"year": 2020
},
{
"abstract": "In this work, we have successfully constructed the cobalt oxo (Co III 4 O 4 cubane complex on polymeric carbon nitride (PCN) through pyridine linkage. The covalently grafted Co III 4 O 4 cubane units were uniformly distributed on the PCN surface. The product exhibited greatly enhanced photocatalytic activities for water oxidation under visible light irradiation. Further characterizations and spectroscopic analyses revealed that the grafted Co III 4 O 4 cubane units could effectively capture the photogenerated holes from excited PCN, lower the overpotential of oxygen evolution reaction (OER) and serve as efficient catalysts to promote the multi electron water oxidation process. This work provides new insight into the future development of efficient photocatalysts by grafting molecular catalysts for artificial photosynthesis.",
"author_names": [
"Wen-long Zhen",
"Xu Yuan",
"Xiangyan Shi",
"Can Xue"
],
"corpus_id": 219902845,
"doc_id": "219902845",
"n_citations": 13,
"n_key_citations": 0,
"score": 0,
"title": "Grafting Molecular Cobalt oxo Cubane Catalyst on Polymeric Carbon Nitride for Efficient Photocatalytic Water Oxidation.",
"venue": "Chemistry, an Asian journal",
"year": 2020
},
{
"abstract": "Abstract Cobalt doped ZnO nanoparticles (Zn1 xCoxO(0.00<=x<=0.1) was synthesized by a two step coprecipitation method using oxalic acid and ammonium hydroxide. The effect of Co doping on the crystallite structure, optical, magnetic and photocatalytic properties of ZnO was investigated. The X ray powder diffraction (XRD) patterns confirmed that all Zn1 xCoxO samples exhibited hexagonal wurtzite structure. Further, the lattice parameters revealed an increasing trend in doped samples which indicated the incorporation of Co ions into the ZnO lattice. The X ray photoelectron spectroscopic (XPS) survey suggested that Co ion incorporated into the ZnO lattice with a Co2+ oxidization state. The scanning electron micrograph (SEM) showed that the average size decreased with increasing doping concentration. Photoluminescence (PL) showed blue emission peak at 475 nm, green emission peak at 545 nm and yellow emission peak at 580 nm, respectively. UV vis spectral illustrated the substitution of Co2+ in ZnO leaded to band gap narrowing. Vibrating sample magnetometer (VSM) demonstrated doped samples exhibited ferromagnetism behavior at room temperature. The Zn0.96Co0.04O sample showed enhancement in the photocatalytic degradation efficiency of methylene blue as compared to the pure ZnO. However, increasing doping concentrations of Co leaded to a decrease of photocatalytic efficiency.",
"author_names": [
"Hui Pan",
"Yuchuan Zhang",
"Yi Hu",
"Hongxue Xie"
],
"corpus_id": 216297093,
"doc_id": "216297093",
"n_citations": 10,
"n_key_citations": 0,
"score": 0,
"title": "Effect of cobalt doping on optical, magnetic and photocatalytic properties of ZnO nanoparticles",
"venue": "",
"year": 2020
}
] |
Metal sulphide semiconductors for photocatalytic hydrogen production | [
{
"abstract": "Photocatalytic hydrogen production using semiconductor materials is one of the ideal processes for direct solar energy conversion. Sulphide semiconductor photocatalysts have attracted much attention due to their excellent solar spectrum responses and high photocatalytic activities. This article presents recent research progress in the development of visible light driven sulphide photocatalysts, focusing on the expansion of solar spectrum response and enhancement of charge separation efficiency. As is known, the ultimate goal of photocatalytic hydrogen production is to meet the practical energy demand of human beings. Thus, design of highly efficient and low cost sulphide photocatalysts with excellent sunlight response is highly desired. So we also highlight the crucial issues in the development of highly efficient sulphide photocatalysts without noble metal cocatalysts. The present paper is expected to provide important scientific reference for future works. Finally, the challenges and perspectives in this area are also discussed.",
"author_names": [
"Kai Zhang",
"Liejin Guo"
],
"corpus_id": 97322272,
"doc_id": "97322272",
"n_citations": 353,
"n_key_citations": 1,
"score": 1,
"title": "Metal sulphide semiconductors for photocatalytic hydrogen production",
"venue": "",
"year": 2013
},
{
"abstract": "Abstract Establishing efficient co catalytic loaded semiconductors for efficient charge separation is a hopeful way for enhance photocatalytic water splitting hydrogen evolution. Herein, we successfully constructed the Cd0.5Zn0.5S/Ni2P (CZS/Ni2P) nanocomposites via two step hydrothermal method. The CZS/Ni2P composites show much improved activity than the origin CZS for photocatalytic H2 generation. When the content of Ni2P loaded on the Cd0.5Zn0.5S (CZS) is 0.3 mol% the photocatalyst achieves the highest photocatalytic hydrogen generation rate of 41.26 mmol g 1 h 1 under visible light. The Ni S bonds on the close contact interface between CZS and Ni2P can be act as electron bridge to provide a channel for electron transfer. During the photocatalysis processing, Ni2P can be used as electron traps to attract electrons from CZS, resulting in the improvement of the photocatalytic performance.",
"author_names": [
"Tianpeng Yu",
"Yingying Si",
"Zunhang Lv",
"Kaihang Wang",
"Qiang Zhang",
"Xin Liu",
"Guixue Wang",
"Guangwen Xie",
"Luhua Jiang"
],
"corpus_id": 209713113,
"doc_id": "209713113",
"n_citations": 18,
"n_key_citations": 0,
"score": 0,
"title": "Cd0.5Zn0.5S/Ni2P noble metal free photocatalyst for high efficient photocatalytic hydrogen production: Ni2P boosting separation of photocarriers",
"venue": "",
"year": 2019
},
{
"abstract": "Abstract Searching a sustainable way to efficiently produce hydrogen (H2) is critical to realizing the \"hydrogen economy\" which may resolve the global energy and environmental issues nowadays. The conversion of solar energy to hydrogen energy based on photocatalytic water splitting is an ideal technology for environmental friendly and economically producing H2. Exploring high performance and earth abundant cocatalysts that can replace noble metal based cocatalysts is essential to achieving highly efficient and cost effective photocatalytic H2 production. In recent years, transition metal phosphides (TMPs) have been regarded as promising candidates to replace noble metal based cocatalysts for photocatalytic H2 production. This review presents a panorama of the latest progress in the developments of TMPs for photocatalytic H2 production. Concretely, this review starts with the functions of TMPs in photocatalytic H2 production, followed by the synthetic strategies of TMPs and the loading methods of TMPs on semiconductors. Then the application and mechanism of the common TMPs in photocatalytic H2 production are discussed in detail, including iron phosphides, cobalt phosphides and nickel phosphides. Lastly, we provide a comprehensive conclusion and outlook on the major challenges and opportunities for better developments in the future research. It is reasonable to believe that the TMPs is a rising star in photocatalytic H2 production.",
"author_names": [
"Yang Yang",
"Chengyun Zhou",
"Wenjun Wang",
"Weiping Xiong",
"Guangming Zeng",
"Danlian Huang",
"Chen Zhang",
"Biao Song",
"Wen-jing Xue",
"Xiaopei Li",
"Ziwei Wang",
"Donghui He",
"Hanzhuo Luo",
"Ze-lin Ouyang"
],
"corpus_id": 224933042,
"doc_id": "224933042",
"n_citations": 12,
"n_key_citations": 0,
"score": 0,
"title": "Recent advances in application of transition metal phosphides for photocatalytic hydrogen production",
"venue": "",
"year": 2021
},
{
"abstract": "The construction of both highly efficient and stable hybrid artificial photosynthetic systems comprising semiconductors as photosensitizers and abundant metal based molecular complexes as cocatalysts for photocatalytic H2 generation remains challenging. Herein, we report an effective and stable CdS/cobaloxime hybrid system prepared by inserting an amorphous TiO2 (a TiO2) interlayer with adjustable thickness and by covalently surface attaching molecular cobaloxime catalysts. This hybrid system displayed outstanding photocatalytic H2 production and reached a maximum rate of ~25 mmol g 1 h 1, which was ~20.8 times that of pure CdS and 1.7 times that of the CdS/cobaloxime system without an a TiO2 interlayer (CdS/Co) More importantly, 6 nm a TiO2 uniformly coated CdS nanorods (CdS NRs) exhibited exceptional 200 h long term catalytic behaviour under =420 nm visible light irradiation. However, the H2 production performance of the CdS/Co hybrid system decreased significantly over 10 h. Density functional theory (DFT) calculations indicated that the a TiO2 surface can provide abundant bonding sites for the effective immobilization of molecular catalysts. Moreover, Mott Schottky electrochemical measurements and femtosecond transient absorption spectroscopy revealed that the a TiO2 interlayer had favourable band levels that could fasten the photoexcited electron transfer from CdS to molecular cobaloxime and could extract holes with intraband electronic states generated by defects, thus prohibiting CdS photocorrosion and improving the stability of the hybrid system. This study proposes a strategy for designing multifunctional interlayers for the effective immobilization of molecular catalysts, beneficial regulation of photoinduced charge carriers, and improvement of the stability as well as facilitation of the construction of artificial photosynthetic hybrid systems with high efficiency and durability.",
"author_names": [
"Hongkai Zhao",
"Xiaoxia Li",
"Mingyue Zheng",
"Xian Zhao",
"Qun Zhang",
"Yi Luo",
"Weiliu Fan"
],
"corpus_id": 218657790,
"doc_id": "218657790",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "Amorphous TiO2 as a multifunctional interlayer for boosting the efficiency and stability of the CdS/cobaloxime hybrid system for photocatalytic hydrogen production.",
"venue": "Nanoscale",
"year": 2020
},
{
"abstract": "Transition metal nitrides (TMNs) are emerging as a feasible alternative to noble metal co catalysts in photocatalytic hydrogen production. Considering the recent prospects created by multicomponent systems, it is reasonable to investigate multi component TMNs for photocatalytic hydrogen production. Herein, in an effort in that direction, ternary chromium titanium nitride (Cr0.5Ti0.5N) nanoparticles have been synthesized by a solid solid phase separation method, resulting in highly efficient co catalysts for promoting photocatalytic hydrogen production of semiconductors under visible light irradiation. Both experimental results and density functional theory (DFT) calculations demonstrate that ternary Cr0.5Ti0.5N offers a comprehensive advantage by boosting the photo induced charge carrier separation and migration, improving the reaction kinetics as compared to those of TiN and CrN. Therefore, the optimal Cr0.5Ti0.5N based sample exhibits the highest photocatalytic hydrogen evolution rate of 2.44 mmol g 1 h 1, and has ~120 times better kinetics than the reference pure CdS sample. In fact, this result even surpasses that of Pt based nanocomposites (2.06 mmol g 1 h 1)",
"author_names": [
"Xiangjian Meng",
"Weiliang Qi",
"Wandi Kuang",
"Samira Adimi",
"Haichuan Guo",
"Tiju Thomas",
"Siqi Liu",
"Zhenping Wang",
"Minghui Yang"
],
"corpus_id": 214530226,
"doc_id": "214530226",
"n_citations": 8,
"n_key_citations": 0,
"score": 0,
"title": "Chromium titanium nitride as an efficient co catalyst for photocatalytic hydrogen production",
"venue": "",
"year": 2020
},
{
"abstract": "The utilizing of solar energy to produce hydrogen from water is showing an increasing importance and desirability in the field of artificial photosynthesis to produce clean and sustainable fuels. In a typical three components dye sensitized semiconductor system for photocatalysis, the dye sensitizer plays an essential role of energy antenna for harvesting visible light and promoting the reduction reaction to generate hydrogen. In the recent decades, a lot of attention has focused on metal free organic sensitizers, which have the advantages of low price, high molar extinction coefficient, good modifiability and, most importantly, avoiding the use of noble metal ions. This review enumerates the design strategies, specific properties and photocatalytic performances of metal free sensitizers in the past 30 years and concludes their evolution process. The advantages of different type of metal free sensitizers are highlighted and the instructively enlightening experiences are systematic summarized.",
"author_names": [
"Jianfeng Huang",
"Yang Lei",
"Teng Luo",
"Jun-Min Liu"
],
"corpus_id": 221541364,
"doc_id": "221541364",
"n_citations": 12,
"n_key_citations": 0,
"score": 0,
"title": "Photocatalytic H2 production from water by metal free dye sensitized TiO2 semiconductors: The role and development process of organic sensitizers.",
"venue": "ChemSusChem",
"year": 2020
},
{
"abstract": "Abstract TiO2 is widely accepted as a promising photocatalyst for hydrogen generation due to its low cost, environmental friendliness and good photocatalytic property and stability. However, its spread application is largely hindered by the limited light adsorption efficiency and rapid recombination of photo generated electrons and holes. In order to solve these issues, hybridization of TiO2 with other narrow bandgap semiconductors and introduction of conductive metal species are considered as two effective approaches. In this work, we synthesized a Au Cu nanoalloy/TiO2/MoS2 ternary hybrid to achieve much enhanced photocatalytic hydrogen generation activity than the individual counterparts of TiO2 and TiO2/MoS2 by bridging Au Cu nanoalloy with TiO2/MoS2 nanosheets. A variety of material characterization and photocatalytic analysis reveal that the enhanced photocatalytic hydrogen generation activity of the TiO2/MoS2/Au Cu hybrid is mainly attributed to the synergistic effect between Au Cu nanoalloy and TiO2/MoS2 nanosheets. The rational combination of the ternary hybrid improves the sunlight utilization efficiency and facilitates the separation of photo generated electron hole pairs. This work provides a good example for the design and development of advanced TiO2 based photocatalysts.",
"author_names": [
"Yuying Liu",
"Chenxi Xu",
"Yu Xie",
"Liming Yang",
"Yun Ling",
"Liang Chen"
],
"corpus_id": 213377683,
"doc_id": "213377683",
"n_citations": 15,
"n_key_citations": 0,
"score": 0,
"title": "Au Cu nanoalloy/TiO2/MoS2 ternary hybrid with enhanced photocatalytic hydrogen production",
"venue": "",
"year": 2020
},
{
"abstract": "The generation of photocatalytic hydrogen via water splitting under light irradiation is attracting much attention as an alternative to solve such problems as global warming and to increase interest in clean energy. However, due to the low efficiency and selectivity of photocatalytic hydrogen production under solar energy, a major challenge persists to improve the performance of photocatalytic hydrogen production through water splitting. In recent years, graphitic carbon nitride (g C3N4) a non metal photocatalyst, has emerged as an attractive material for photocatalytic hydrogen production. However, the fast recombination of photoexcited electron hole pairs limits the rate of hydrogen evolution and various methods such as modification, heterojunctions with semiconductors, and metal and non metal doping have been applied to solve this problem. In this review, we cover the rational design of g C3N4 based photocatalysts achieved using methods such as modification, metal and non metal doping, and heterojunctions, and we summarize recent achievements in their application as hydrogen production photocatalysts. In addition, future research and prospects of hydrogen producing photocatalysts are also reviewed.",
"author_names": [
"Seong Jun Mun",
"Soo-Jin Park"
],
"corpus_id": 204099624,
"doc_id": "204099624",
"n_citations": 15,
"n_key_citations": 0,
"score": 0,
"title": "Graphitic Carbon Nitride Materials for Photocatalytic Hydrogen Production via Water Splitting: A Short Review",
"venue": "Catalysts",
"year": 2019
},
{
"abstract": "Abstract CdS, as semiconductor catalyst, has attracted much attention in photocatalytic production of hydrogen for the wide range utilization of visible light. Usually, noble metal deposited as a co catalyst on CdS surface is required to achieve high photocatalytic activity. Thus, replacing noble metal via cheap and easy synthesis co catalyst would be of great value for developing cost effective photocatalyst. In this work, noble metal free defective Mo15S19 combined with CdS diethylenetriamine (DETA) hybrid makes efficient separation of photoinduced carriers, and more importantly, reduced overpotential for hydrogen evolution reaction, thereby improved catalytic hydrogen evolution performance of designed composite. In particular, 3%Mo15S19/CdS DETA systems exhibited a high rate of hydrogen production, reaching 3.61 mmol g 1 h 1, which is similar with 3%Pt/CdS DETA and 9.5 and 2.19 times as high as that of CdS nanoparticles (NPs) and CdS DETA, respectively. At the same time, photocorrosion resistance of 3%Mo15S19/CdS DETA systems was dramatically improved. According to DFT theoretical calculations, it was found that the enhanced photocatalytic performance and anticorrosion are mainly due to efficient transfer of photoexcited electrons from CdS DETA to Mo15S19.",
"author_names": [
"Zhen Li",
"Yang Yang",
"Kai Dai",
"Jinfeng Zhang",
"Luhua Lu"
],
"corpus_id": 105835770,
"doc_id": "105835770",
"n_citations": 31,
"n_key_citations": 0,
"score": 0,
"title": "Construction of defective Mo15S19/CdS diethylenetriamine heterosctructure photocatalyst for highly active and stable noble metal free photocatalytic hydrogen production",
"venue": "Applied Surface Science",
"year": 2019
},
{
"abstract": "The production of carbon nanotubes (CNTs) is usually carried out either via catalytic decomposition of methane or by recrystallization of bio char species obtained by pyrolysis of solid wastes. Both techniques are generally executed at high temperatures and consume long time. Thus, these two methodologies are not considered to be economically feasible. This research work reports a facile and cost effective new route for the generation of CNTs via photocatalytic conversion of water to hydrogen. Specifically, water splitting photochemical reaction using a metal organic framework (Cr MIL 101) and TiO2 nanoparticles was demonstrated. The coupling of the aforementioned semiconductors, as novel matrices, to improve the photocatalytic reactivity toward hydrogen production in the presence of methanol, as a hole scavenger, under visible light was performed. The results revealed the high yield evolution of H2 showing a maximum purity of 99.3% with the in situ formation of carbon nanotubes over the surface of the Cr MIL 101/TiO2 binary system that was obtained by sonication. The use of this binary photocatalyst, however, as a physical mix could produce nano carbon species while simultaneously producing hydrogen rich syngas. The production of H2 gas that was simultaneously stored was noted when Cr MIL 101 was used individually as a photocatalyst. These data provide new advances in the field of water splitting by introducing promising candidate materials for the production of pure hydrogen as a clean fuel.",
"author_names": [
"Rasha S Mohamed",
"Amal A Al Kahlawy",
"Ahmed M A El Naggar",
"Heba M Gobara"
],
"corpus_id": 213560127,
"doc_id": "213560127",
"n_citations": 9,
"n_key_citations": 0,
"score": 0,
"title": "Innovative approach for the production of carbon nanotubes (CNTs) and carbon nanosheets through highly efficient photocatalytic water splitting into hydrogen using metal organic framework (MOF) nano TiO2 matrices as novel catalysts",
"venue": "",
"year": 2020
}
] |
Maximizing tandem solar cell power extraction using a three-terminal design | [
{
"abstract": "Tandem or multijunction solar cells can greatly increase the efficiency of solar energy conversion by absorbing different energies of the incident solar illumination in semiconductors with different band gaps, which can operate more efficiently than a single absorber. Many different designs of tandem cells based on high efficiency top cells and Si bottom cells have been proposed, and there is ongoing debate as to whether the sub cells should be wired in series (to create a tandem device with two terminals) or operated independently (four terminals) An alternative cell configuration that combines some of the strengths of both is a three terminal device consisting of a top cell optically in series with a modified interdigitated back contact (IBC) Si cell featuring a conductive top contact. Such a configuration can enable improved energy yield while only requiring external wiring on the front and back of the solar cell stack. In this paper, we investigate the operation of three terminal tandems in detail using technology computer aided design (TCAD) device physics simulations. Using III V top cells as an example case, we show how the addition of a third terminal can deliver comparable power output to a four terminal device, and substantially more power than a two terminal device, while also enabling power injection and extraction between the two sub circuits under a variety of spectral conditions.",
"author_names": [
"Emily L Warren",
"Michael G Deceglie",
"Michael Rienacker",
"Robby Peibst",
"Adele C Tamboli",
"Paul Stradins"
],
"corpus_id": 116625741,
"doc_id": "116625741",
"n_citations": 41,
"n_key_citations": 1,
"score": 1,
"title": "Maximizing tandem solar cell power extraction using a three terminal design",
"venue": "",
"year": 2018
},
{
"abstract": "We demonstrate an inverted metamorphic multijunction (IMM) photovoltaic cell comprising lattice mismatched 1.2 eV AlGaInAs and 1.0 eV GaInAs junctions optimized for high temperature thermophotovoltaic (TPV) applications. This device differs from traditional IMM solar cells because the mismatched junctions are grown at a single lattice constant. This architecture enables removal of the compositionally graded buffer that otherwise filters light from the junctions below and absorbs sub bandgap light via free carrier absorption. Sub bandgap absorption dramatically reduces the efficiency of TPV systems using high reflectivity cells to enable band edge spectrum filtering. Three components required development to enable this device: (1) a lattice mismatched 1.2 eV AlGaInAs junction, (2) a metamorphic contact layer grown after the graded buffer, and (3) a transparent tunnel junction that sits in front of the 1.0 eV GaInAs junction. Growth conditions that minimize oxygen defect incorporation maximize AlGaInAs cell quality, enabling a 0.41 V bandgap open circuit voltage offset at 22 mA/cm2 under AM1.5D. A mismatched GaInAs:Se layer is developed as a low resistance contact. Lastly, we develop a GaAsSb:C/GaInP:Se tunnel junction suitable for high power densities with more transparency than the GaAsSb:C/GaInAs:Se structure used in past IMM cells. We characterize the tandem device under a high intensity spectrum that approximates the emission from a 2150 degC blackbody radiator and deduce a projected ideal TPV efficiency of 39.9% at ~30% of the blackbody irradiance and 36% ideal TPV efficiency under the full 118 W/cm2 irradiance. Improvements to the back surface reflectivity and series resistance are expected to increase the ideal TPV efficiency well above 40%",
"author_names": [
"Kevin L Schulte",
"Ryan M France",
"Daniel J Friedman",
"Alina LaPotin",
"Asegun Henry",
"Myles A Steiner"
],
"corpus_id": 225123267,
"doc_id": "225123267",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Inverted metamorphic AlGaInAs/GaInAs tandem thermophotovoltaic cell designed for thermal energy grid storage application",
"venue": "",
"year": 2020
},
{
"abstract": "We report on a wide band gap polymer donor composed of benzo[1,2 b:4,5 b']dithiophene (BDT) and 3,4 difluorothiophene [2F]T) units (Eopt ~2.1 eV) and show that the fluorinated analog PBDT[2F]T performs significantly better than its non fluorinated counterpart PBDT[2H]T in BHJ solar cells with PC71BM. While control P3HTand PBDT[2H]T based devices yield PCEs of ca. 4% and 3% (Max. respectively, PBDT[2F]T based devices reach PCEs of ca. 7% combining a large VOC of ca. 0.9 V and short circuit current values (ca. 10.7 mA/cm) comparable to those of the best P3HT based control devices. In bulk heterojunction (BHJ) solar cells of p conjugated polymer donors and fullerene acceptors, such as phenyl C61butyric acid methyl ester or its C71 analog (PCBM) some important design rules govern the efficiency of the polymer donor. While conventional (single cell) BHJ devices composed of poly(3 hexylthiophene) (P3HT) and PC71BM or the indene C60 bisadduct ICBA can yield power conversion efficiencies (PCE) of ca. 4% and 6.5% respectively, lower bandgap systems that absorb visible light at longer wavelengths (550 800 nm) such as PBDTTPD, PTB7, and several other analogs, have been shown to reach PCEs 8% Among those, several low band gap systems substituted with fluorine F) atoms have been described as especially promising compared to their non fluorinated counterparts. In particular, F substituted benzothiadiazole, thieno[3,4 b]thiophene, benzo[1,2 b:4,5 b']dithiophene, quinoxaline, benzotriazole, isoindigo, and more recently thiophene motifs, have frequently been included in the backbone of low band gap polymer donors. It is worth noting, however, that the underlying reasons that may justify the importance of F substituted motifs in polymer donors remain a matter of some debate. A wide range of possible determining factors have been suggested, spanning i) improved polymer backbone planarity, resulting in higher carrier mobilities, 29 ii) more favorable orientation of the polymer aggregates relative to the device substrate, iii) improved molecular arrangement and orbital overlap at the donor/acceptor interface, iv) dipole driven charge separation, and v) lower lying HOMO levels that contribute to larger open circuit voltages (VOC) in BHJ devices. 18 While low band gap polymer donors are especially promising in singlecell BHJ devices with PCBM, and are commonly used in efficient tandem and triple junction solar cells, wide band gap analogs that can outperform P3HT in the high band gap cell of multi junction devices are required in order to continue improving upon currently reported PCEs (ca. 11.6% However, we note that only a few polymer systems combine a band gap wider than that of P3HT (Eopt ~1.9 eV) a low lying HOMO amenable to larger open circuit voltages (VOC) and comparably high PCEs in BHJ devices. In this contribution, we report on a wide band gap polymer donor composed of benzo[1,2 b:4,5 b']dithiophene (BDT) and 3,4 difluorothiophene [2F]T) motifs (Eopt ~2.1 eV) and show that the fluorinated analog poly(4,8 bis((2ethylhexyl)oxy)benzo[1,2 b:4,5 b']dithiophene 3,4 difluorothiophene) namely PBDT[2F]T (Chart 1) outperforms both P3HT (Eopt ~1.9 eV) and its non fluorinated counterpart PBDT[2H]T (described in earlier work) in BHJ solar cells with PC71BM. Chart 1. Structures of P3HT, and the Wide Band Gap PBDT[2X]T Polymers (with X H or F) Importantly, we point to the relevance of the [2F]T motifs unit practically unexplored to date in the design of efficient polymer donors used as alternatives to P3HT, and emphasize the stark differences in device characteristics between PBDT[2F]T and PBDT[2H]T in the BHJs with PC71BM. Our device analyses suggest notably improved charge separation and extraction in PBDT[2F]T based BHJ solar cells. The PBDT[2X]T polymers (with X H or F) were synthesized via a microwave assisted approach (150 degC in chlorobenzene (CB) for 1 h, ca. 190 W) in order to control polymer growth and molecular weight (MW) while minimizing reaction times. Both analogs were prepared following the same Pd mediated cross coupling polymerization conditions (cf. details in Supporting Information (SI) being found soluble, the polymers were purified using established methods, yielding batches of comparable MW (cf. SI, Table S1) Page 1 of 6 ACS Paragon Plus Environment Chemistry of Materials 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 2 Figure 1. (a) Potential energy surfaces resulting from the rotation of the [2X]T motifs (X H or F) with respect to BDT; relative energies determined by DFT modeling at the B3LYP/6 31G(d,p) level. (b) Representations of the BDT[2F]T tetramer HOMO and LUMO as obtained at the B3LYP/631G(d,p) level of theory (see BDT[2H]T tetramer in SI; Fig. S1) Both frontier orbitals are well delocalized along the pconjugated backbone. It is worth noting that the 2 ethylhexyl (2EH) substituted BDT motifs provided sufficient solubility in both PBDT[2X]T analogs, and that PBDT[2H]T serves as a model polymer in this study (along with P3HT) Prior to examining the effect of swapping H for F in [2X]T motifs on the ionization, electronic, and optical properties of the PBDT[2X]T polymers, it is important to understand how F substitutions influence backbone geometry. Figure 1a shows the potential energy surfaces (PES) for twisting the [2X]T unit relative to the BDT motif; density functional theory (DFT) calculations at the B3LYP/6 31G(d,p) level (cf. details in SI) The PES plot pertaining to PBDT[2F]T reaches two minima, corresponding to the fully planar anti/0deg and syn/180deg conformations. The anti conformation is predicted to be only slightly more stable by 0.31 kcal mol (ca. 0.5 kT) at room temperature, suggesting that backbone planarization in PBDT[2F]T is achieved via statistical syn and anti conformations. On the other hand, the PES plot of PBDT[2H]T shows a shallow minimum at ca. 10deg and a higher energy local minimum at 150deg, with an energy difference of 0.92 kcal mol (ca. 1.5 kT) between the two conformations, indicating that ca. 25 [2H]T motifs out of 100 adopt the syn conformation at thermodynamic equilibrium (cf. SI) From these results, it is worth noting that the PBDT[2F]T backbone is expected to be slightly more planar than that of PBDT[2H]T result consistent with the higher binding energies induced by nontraditional intramolecular hydrogen bonding interactions. Overall, the significant energetic barrier of 2.5 3.5 kcal mol on going from anti to syn conformations parallels the idea that the backbones of both PBDT[2X]T polymers are expected to be rather coplanar (i.e. disfavoring \"out of plane\" conformations) The thin film UV Vis optical absorption spectra of P3HT and the PBDT[2X]T polymers (with X H or F) are Figure 2. (a) Superimposed, normalized UV Vis optical absorption spectra of P3HT and the wide band gap PBDT[2X]T systems (with X H or F) (neat films) (b) PESA estimated ionization potentials (IP, triangles) optical band gaps (Eopt, squares) estimated from the onset of the UV Vis absorption spectra (films) DFT calculated HOMO energy levels |HOMO| absolute value, stars) and HOMO LUMO gaps (HLgap, pentagons) for the polymers. superimposed in Figure 2a (normalized spectra) Figure S2 accounts for the relative thin film absorbance (ca. 40 nm) and solution absorption coefficients of the PBDT[2X]T polymers. Figure 2b provides the ionization potentials (IP) of the polymers measured by photoelectron spectroscopy in air (PESA) As seen from Figure 2a, the range of absorption of both PBDT[2H]T and PBDT[2F]T falls within that of P3HT (400 650 nm) with a slight apparent hypsochromic shift of the absorption onset (by ca. 50 nm compared to P3HT) The two derivatives have near identical optical gaps (Eopt) of 2.1 eV, estimated from the onset of their thin film absorption (Eopt(P3HT) 1.9 eV) However, as shown in Fig. 2b, the IP of PBDT[2F]T (5.29 eV) is significantly larger than that of its non fluorinated counterpart PBDT[2H]T (5.03 eV) and also markedly larger than that of P3HT (4.65 eV) Considering that the two PBDT[2X]T analogs have the same Eopt values, it can be inferred that the [2F]T motifs suppresses both the HOMO and LUMO of PBDT[2F]T comparably. In parallel, comparing the solution and thin film absorption data of PBDT[2H]T and PBDT[2F]T (see Fig. S2) the slight intensity variations of the higher wavelength absorption peak point to the presence of p aggregates in both solutions and films. The temperature dependent UV Vis spectroscopy data, shown in Figure S3, confirms the propensity of the two polymers to form p aggregates albeit aggregation in PBDT[2H]T can be more efficiently mitigated at elevated temperatures. Overall, the tendency of the PBDT[2X]T analogs to form paggregates, and the weak bathochromic shifts observed on moving from solution to film, are in agreement with the high degree of backbone coplanarity predicted by DFT (Fig. 1a) and suggest pronounced polymer ordering in solution. The DFT computed frontier orbitals of the BDT[2X]T tetramers shown in Figures 1b and S1 are well delocalized along the pconjugated backbones, correlating with predicted backbone planarity. Note that tetramers have been shown to reproduce the electronic and optical properties of analogous polymers appropriately. The DFT estimated HOMO energy for the tetramer of PBDT[2F]T 5.05 eV) is predicted to be 0.17 eV deeper than that of PBDT[2H]T 4.88 eV) relative values in line with the significant IP offset of 0.26 eV inferred earlier from the PESA measurements (Fig. 2b) In parallel, the comparable LUMO offset of 0.2 eV calculated for the tetramers makes the predicted HOMO LUMO gap consistent with the near identical Eopt values of 2.1 eV estimated from the thinfilm absorption of PBDT[2H]T and PBDT[2F]T (see Fig. 2b) a) b) a) b) Page 2 of 6 ACS Par",
"author_names": [
"Jannic Wolf",
"Federico Cruciani",
"Abdulrahman El Labban",
"Pierre M Beaujuge"
],
"corpus_id": 93249650,
"doc_id": "93249650",
"n_citations": 88,
"n_key_citations": 2,
"score": 0,
"title": "Wide Band Gap 3,4 Difluorothiophene Based Polymer with 7% Solar Cell Efficiency: An Alternative to P3HT",
"venue": "",
"year": 2015
},
{
"abstract": "This paper presents the proposed power distribution system designed for the power management of the Puerto Rico CubeSat. Power is provided to the CubeSat using Gallium Arsenide (GaAs) solar panels mounted on each side of the satellite. It is vital to maximize the available electrical energy obtained from the minimal solar cell area available. SEPIC topology performs the Maximum Power Point Tracking (MPPT) to extract the utmost available power from the solar panels. The Buck converter is used to provide voltage regulation for the CubeSat payloads. The power distribution for the CubeSat is designed for higher efficiency, utilizing high performance GaAs solar panels and DC/DC converters in combination with a MPPT technique to improve the power extraction and further increase efficiency.",
"author_names": [
"Rachid Darbali-Zamora",
"Nicols Cobo-Yepes",
"John E Salazar-Duque",
"Eduardo I Ortiz-Rivera",
"Amilcar A Rincon-Charris"
],
"corpus_id": 117617183,
"doc_id": "117617183",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Buck Converter and SEPIC Based Electronic Power Supply Design with MPPT and Voltage Regulation for Small Satellite Applications",
"venue": "",
"year": 2017
},
{
"abstract": "Exploitation of green energy sources is essential to diminish the deterioration of our environment. The energy harvesting, represents an alternative to achieve greater range in electric and hybrid vehicles. An energy management strategy (EMS) must be optimized to obtain the best benefits in such vehicles, which is not a trivial task. If harvesting or energy recovery devices are added, the EMS becomes a dual purpose algorithm: minimizing fuel consumption and maximizing energy harvest through maximum power point tracking (MPPT) controllers. Known studies consider separate EMS, one for traction and another for regenerative braking, without considering harvest devices such as solar panels, regenerative suspension, thermal generators, among others. Furthermore, the electronic power converters used, are not designed to handle such unequal power levels. In this article, an electronic platform to include multiple energy harvesting devices in a fuel cell hybrid electric vehicle, was presented together with a multiple MPPT EMS. The EMS is easily implementable, and considers quasiconstant cell energy extraction and filtering of current transients to the battery bank ensuring the longevity of the devices. A new mathematical model of the platform, a closed loop stability analysis, and numerical and Hardware in the Loop (HIL) validations were presented. Some experimental validation results were also provided.",
"author_names": [
"Juan-Gerardo Parada-Salado",
"Luis-Fernando Gaona-Cardenas",
"Martin A Rodriguez-Licea",
"Francisco Javier Perez-Pinal"
],
"corpus_id": 225003338,
"doc_id": "225003338",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Harvesting in electric vehicles: combining multiple power tracking and fuel cells",
"venue": "",
"year": 2020
},
{
"abstract": "This paper presents the second Electric Power Supply (EPS) prototype designed for supplying power to the Space Plasma Ionic Charge Analyzer (SPICA) CubeSat. In order to power the CubeSat with higher efficiency, Gallium Arsenide (GaAs) solar panels are mounted on each side of the cube, converting solar power into electrical energy. Solar cell area limitations require maximizing the available electrical energy generated. To extract the utmost available power from the solar panels, a Maximum Power Point Tracking (MPPT) method that uses the optimal duty ratio of a DC/DC converter is implemented. The DC/DC converter in combination with high performance GaAs solar panels and a MPPT technique will not only improve the power extraction but will also further increase efficiency.",
"author_names": [
"Rachid Darbali-Zamora",
"Daniel A Merced-Cirino",
"Jose R Rivera-Alamo",
"Eduardo I Ortiz-Rivera",
"Amilcar A Rincon-Charris"
],
"corpus_id": 29452286,
"doc_id": "29452286",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "Design and thermal testing of a Power Supply prototype for the Space Plasma Ionic Charge Analyzer (SPICA) CubeSat",
"venue": "2015 IEEE 42nd Photovoltaic Specialist Conference (PVSC)",
"year": 2015
},
{
"abstract": "Polymer solar cells may be applied in portable electronic devices, where light intensity and spectral distribution of the illuminating source can be very different compared to outdoor applications. As the power output of solar cells depends on temperature, light intensity and spectrum, the design of the module must be optimized for the specific illumination conditions in the different applications. The interconnection area between cells in a module must be as narrow as possible to maximize the active area, also called geometrical fill factor, of the module. Laser scribing has the potential to realize this. The optimal width of the interconnection zone depends both on technological limitations, e.g. laser scribe width and the minimal distance between scribes, and electrical limitations like resistive losses. The latter depends on the generated current in the cell and thus also on illumination intensity. Besides that, also the type of junction, i.e. a single or tandem junction, will influence the optimal geometry. In this paper a calculation model is presented that can be used for electrical modeling of polymer cells and modules in order to optimize the performance for the specific illumination conditions.",
"author_names": [
"A R Burgers",
"Jan M Kroon",
"Lenneke H Slooff",
"Sjoerd Veenstra",
"Evert Eugene Bende"
],
"corpus_id": 138753124,
"doc_id": "138753124",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Parameter study for polymer solar modules based on various cell lengths and light intensities",
"venue": "",
"year": 2013
},
{
"abstract": "The ongoing interest in organic and perovskite solar cells comes from the forecasted excellent potential for lowcost solar electricity generation that these technologies offer. Organic and perovskite solar cells can be fabricated from abundant materials with high throughput compatible processes on flexible substrates and promise near future large scale electricity production as well as novel and alternative applications in buildings, portable electronics or in tandem thin film devices. Research activities on perovskite solar cells have been exploding over the last years and a simple title search including 'perovskite solar cell' in the web of science delivers well over 1500 hits for the time January October 2018 alone! Likewise, organic solar cells are still in the spotlight and recent advances with ternary blends and non fullerene acceptors allow now for power conversion efficiencies exceeding 10% almost as a matter of routine. In this Focus Issue of Science and Technology of Advanced Materials, we present a collection of excellent research and review articles on recent milestones in materials development, device architectures and advanced characterization techniques that highlight the great progress that is being made in both photovoltaic technologies. The efficiency of ternary organic solar cells depends on the developing nanostructured network of donor and acceptor phases during film formation. In a typical ternary system for photovoltaic applications, a third sensitizing component is added to an underlying high performing binary system. However, finding the ideal three component composition by trial and error is a cumbersome process. The research paper of Makha and Heier et al. [1] demonstrates a general approach to understanding the behaviour of organic ternary blend solar cells from simple thermodynamic principles. The authors show that phase diagrams are a fundamental tool to better understand and control the morphology of ternary blend, thereby optimizing the solar cell performance in a rational way. Newman and Tsoi et al. [2] carried out a detailed study on the burn in period of a high performing solution processed organic small molecule solar cell under illumination. Device performance was followed as a function of prior solvent vapour annealing time, controlling the crystallization of the donor phase. Results from grazing incident X ray diffraction, UVvis absorbance, Raman and photoluminescence experiments indicate a correlation between the increase in cell stability and the degree of crystallinity of the donor; however, the degradation originates not directly from the crystallinity changes but correlates with changes in molecular conformation. Two articles are dealing with 'photon management' in organic and perovskite solar cells. Zhang and Toudert [3] review recent works reporting on optical management approaches for maximizing the light absorption and reducing transmission and reflection losses in perovskite solar cells. These include the design of optical cavities, the incorporation of plasmonic or dielectric nanostructures, the use of antireflection coatings and the structuration of the internal layers. This review points out that the performance of a solar cell is not only determined by the proper materials selection and fabrication method, but that optical optimization can substantially contribute to efficiency enhancement. The article of Pascual San Jose and Campoy Quiles et al. [4] considers that organic materials and perovskites are interesting for building integrated applications, where the colour and aesthetic appeal of a solar panel plays an important role. The authors implemented a theoretical methodology to assess colour tuning in polymer based solar cells. They compare quantitatively interference effects, binaries with different donors and acceptors, and ternary systems where the third component is either active or a simple dye. The concept can be applied to perovskite solar cells as well but the colour tuning capability of perovskites is smaller than for organic materials. The methodology allows also addressing the inverse problem, in which the materials and geometry that produce a targeted colour are obtained by a fitting routine.",
"author_names": [
"Roland Hany",
"Hong Lin",
"Fernando A Castro"
],
"corpus_id": 59602578,
"doc_id": "59602578",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Focus issue on organic and hybrid photovoltaics",
"venue": "Science and technology of advanced materials",
"year": 2019
},
{
"abstract": "Hole transport material optimization is an important step toward maximizing the efficiency of perovskite solar cells. Here, we investigate the role of one hole transport material property, the ionization potential, on the performance of perovskite solar cells. We employ a device architecture that allows us to systematically tune the ionization potential while avoiding any impact to other device parameters, and we find that for a wide range of ionization potentials the photovoltaic performance is minimally affected. This finding relaxes the requirement for the development of hole transport materials with particular ionization potentials, allowing for the optimization of hole transport materials that can improve performance in differing ways such as through increased stability or decreased parasitic absorption. In a brief moment in the history of solar cells, perovskite solar cells have risen from a humble improvement of dyesensitized solar cells to highly studied and specialized devices that have more in common with thin film solar cell technologies than with their dye predecessors. Through advances in materials processing, chemistry, and device engineering, power conversion efficiencies for devices made with hybrid perovskites have quickly climbed above 20% However, despite all of these advances, some components of the perovskite solar cell have remained relatively unimproved. Notably, six years after their original implementation, most perovskite solar cells still use spiro OMeTAD as the default hole transport material (HTM) which is surprising given the tremendous interest in developing novel HTMs for improved perovskite solar cell efficiency and stability. This encourages an investigation into the specific design parameters that make some HTMs better than others for high efficiency perovskite solar cells, with the objective of identifying a betterperforming HTM than spiro OMeTAD. One significant HTM design parameter is the ionization potential (IP) There are many groups that attribute improvements in the photovoltage of perovskite solar cells to increasing the IP of the HTM. Several researchers, including Polander et al. and Kulbak et al. have varied HTMs and see improvements in VOC for iodideand bromide containing perovskites, respectively, that correlate with increasing IP. These studies have strongly encouraged the design and implementation of new HTMs with IPs that more closely match the MAPbI3 valence band near 5.4 eV. However, as of yet, none of these new HTMs have been incorporated into devices with higher VOC than champion devices made with spiro OMeTAD (which has a lower IP, between 5.0 and 5.2 eV depending upon doping) Additionally, a recent report from Abate et al. showed similar efficiency for two devices made with HTMs of significantly different IPs. These results call into question whether tuning the IP is indeed necessary for improved performance. To better understand the role of the HTM and how to optimize it, our work undertakes a systematic investigation of the effects of varying HTM IP on perovskite solar cell performance. A complication in determining the role of the HTM IP on device performance is that changing the HTM often affects multiple facets of the solar cell, all of which can have a significant impact on photovoltaic performance. When the perovskite is deposited on top of the HTM in an \"inverted\" architecture, the HTM serves as a substrate and significantly affects the perovskite morphology and grain size in addition to acting as a selective contact. For HTMs deposited on top of the perovskite, solution processing can result in additional complications such as variations in the HTM film uniformity that may affect the shunting of the devices. Solventannealing or surface passivation of the perovskite from HTM additives can obscure the effects of changing the IP of the HTM. Additionally, HTMs may have varying selectivity (as determined by the semiconductor band gap) which should affect performance. In this study, we construct a device architecture that allows us to tune the IP of the HTM while minimally impacting any other device parameter to elucidate the true impact of the IP on the performance of CH3NH3PbI3 perovskite solar cells. We evaporate a series of HTMs on top of Received: July 12, 2016 Accepted: August 15, 2016 Published: August 15, 2016 Leter http:/pubs.acs.org/journal/aelccp (c) 2016 American Chemical Society 556 DOI: 10.1021/acsenergylett.6b00270 ACS Energy Lett. 2016, 1, 556 560 the perovskite, and in doing so, we find similar photovoltages for devices made with HTMs of widely varying IPs. These results suggest that the IP should not be the principal design constraint when developing novel HTMs, but instead, properties such as minimized parasitic absorption, beneficialprocessing, and effects on perovskite morphology should be optimized to maximize perovskite device efficiency. The device architecture for this study, depicted in Figure 1, was optimized for reproducibility as well as minimization of undesirable effects of the HTM deposition. A 15 nm layer of phenyl C61 butyric acid methyl ester (PCBM) was deposited on top of TiO2 to act as a reproducible and low hysteresis electron transport layer (ETL) A planar layer of CH3NH3PbI3 (MAPI3) was deposited from a 3:1 solution of methylammonium iodide and lead acetate as described elsewhere, resulting in a uniform and continuous perovskite layer as confirmed by SEM (Figure 1a) In addition to spiroOMeTAD, five organic small molecules were chosen with a range of IPs for use as HTMs for these devices (Supporting Information (SI) Figure 1) These molecules were chosen for their range in IP, their wide band gap to ensure selectivity (SI Figure 4) their demonstrated processing by thermal evaporation, and their previous use as contact layers in perovskiteor organic based devices. Measured IPs of the selected small molecules range from 5.0 to 5.5 eV, meaning that the highest occupied molecular orbital (HOMO) of these various molecules will either encourage or be a barrier to charge extraction depending upon the molecule. All HTMs except for spiro OMeTAD were deposited as a 15 nm thick conformal layer via thermal evaporation, removing the complexities of solution processing. To increase the conductivity of these films, 5 nm of MoO3 was evaporated onto the HTMs, leveraging the remote doping effect recently demonstrated by Sargent et al. Completed devices were tested to determine the effect of the HTM IP on the photovoltaic performance (Figure 2 and Table 1) The majority of HTMs employed in this study (meoTPD, spiroTTB, spiroTAD, a NPD, and spiro OMeTAD) showed comparable efficiency, with champion power conversion efficiencies of ~14% (SI Table 1) and minimal current voltage hysteresis for these evaporated HTMs (SI Figure 2) While these device efficiencies are significantly lower than those of record perovskite devices employing titania scaffolds and more complicated perovskite chemistries, they are comparable to the best device efficiencies for solar cells using the leadacetate deposition method that was chosen by this study for its reproducibility. Of all of the devices, only those made with TPD performed significantly differently. The uniqueness of TPD can be explained by the formation of an extraction barrier at the perovskite/HTM interface, as clearly indicated by the sharp skink JV characteristic and the restoration of the photocurrent at large reverse bias. This behavior is unsurprising given that the IP of TPD was the largest of the HTMs (as determined by photoemission spectroscopy in air) at approximately 5.4 eV (SI Figure 3) For devices with IPs within the band gap of MAPbI3, the variation in performance is minimal. All devices have similar photocurrents, suggesting that a substantial energetic offset between the valence band of the perovskite and HTM is unnecessary for current collection. The open circuit voltage, which is most often predicted to increase with deeper IP, only exhibits improvement on the order of tens of mV. When replacing MeO TPD with spiro TTB, the HTM IP is shifted by 150 mV, but the VOC is only improved by 40 mV. As the HTM Figure 1. Cross sectional SEM of the perovskite solar cell used in this study (a) and the associated device schematic (b) Architecture was employed for all evaporated HTMs with varying IPs as determined by photoemission spectroscopy in air (PESA) (c) Solid lines under HTMs show HOMO values measured by PESA, while boxes represent the range of HOMO values previously reported for these materials. Figure 2. Current voltage characteristics of champion perovskite devices made with alternative HTMs taken at 0.025 V/s from forward to reverse bias. ACS Energy Letters Letter DOI: 10.1021/acsenergylett.6b00270 ACS Energy Lett. 2016, 1, 556 560 557 IP is further deepened, no additional increases in VOC are observed. Ultimately, the VOC begins to decrease as the HOMO approaches the perovskite band edge, with a NPD devices having comparable VOC to those made with meoTPD, despite a 250 meV change in IP. Analyzing the variation in VOC with IP, it is clear that the overall response of the photovoltage of perovskite solar cells is flat; for a wide range of IPs, the VOC of the perovskite is practically unaffected. Beyond the IP, the similarity in doping density for the evaporated HTMs used in this study suggests that the VOC of these perovskite devices is independent of the HTM work function as well (as estimated by conductivity data in SI Table 2) According to the Fermi level approximation for nondegenerate semiconductors, by assuming a similar density of states, we can relate a constant carrier density to a fixed energetic offset between the HTM valence band and HTM work function; therefore, the work function of our evaporated HTMs should shift in step with the IP. The constant VOC reported here stands in sharp contrast to trends previously observed for dye sensitized solar cells, wh",
"author_names": [
"Rebecca A Belisle",
"Pratham Jain",
"Rohit Prasanna",
"T Leijtens",
"Michael D McGehee"
],
"corpus_id": 189921269,
"doc_id": "189921269",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Minimal E ff ect of the Hole Transport Material Ionization Potential on the Open Circuit Voltage of Perovskite Solar Cells",
"venue": "",
"year": 2016
},
{
"abstract": "Photon Enhanced Thermionic Emission (PETE) is a newly proposed form of solar energy harvesting which relies upon a combination of quantum and thermal processes to generate electricity. Unlike standard solar cells which rapidly lose efficiency at elevated temperatures, PETE is designed to operate at temperatures commensurate with thermal solar devices. In concentrated solar power designs the PETE module will receive the direct incident light, convert a fraction to electricity and transfer its waste heat to a solar thermal cycle. Theoretical efficiencies of combined PETE/solar thermal cycles reach above 50% and may provide a route to affordable renewable energy on the utility scale. The key challenges to this technology are developing higher efficiency cathodes that are stable at elevated temperatures, requiring both theoretical and experimental analysis of new materials. Over the last year we have demonstrated experimental results which match extremely well to the predicted physics for the PETE process, validating our initial concept. These experiments allow us to follow the behavior of a photoexcited electron, and prove that the electrons emitted from the PETE process come from a thermalized population, and also demonstrate a thermally boosted output voltage of up to 0.5 eV. These proof of concept devices based on GaN provide the first example of a combined thermionic and photo excited device. The device performance highlights two key challenges for improving the PETE device efficiency: carrier recombination and hightemperature material stability. In addition, we are constructing a new surface preparation and characterization chamber designed specially for PETE that can provide detailed measurements of material performance under anticipated operating conditions. Introduction Solar harvesting technology usually takes one of two forms; the 'quantum' approach using the large per photon energy as in photovoltaic (PV) cells, or the 'thermal' approach using solar radiation as the heat source for a classical heat engine. Quantum processes boast high theoretical efficiencies but suffer in practice from a limited spectral energy collection window, whereas thermal processes have inherently lower efficiency limits but take advantage of energy throughout the entire solar spectrum. Simple combinations of the two fail because PV cells rapidly lose efficiency at elevated temperatures, while heat engines rapidly lose efficiency at low temperatures. As a result, these two approaches remain disjointed. Photon Enhanced Thermionic Emission (PETE) is a method of solar harvesting that uses the quantum nature of solar photons along with thermal energy to generate electricity at temperatures compatible with solar thermal engines. The method relies on a semiconductor cathode separated by vacuum gap from an anode (Figure 1a) Incident photons excite electrons into the cathode's conduction band. If these carriers reach the surface before recombination, they can emit into vacuum and be collected by the anode, generating a voltage. Physical separation of the anode and cathode allows a large temperature differential, reducing the thermally generated reverse current which limits PV cells at high temperatures.[1] Thus, unlike other proposed \"hot electron\" devices, [25] the PETE process is still efficient for fully thermalized electrons, providing a more realistic path to hot electron harvesting. Figure 1: The PETE process. a, Energy diagram of the PETE process. Photoexcitation increases the conduction band population, leading to larger thermionic currents and allowing the device to harvest both photon and heat energy. b, One possible implementation of a parallel plate PETE converter. Photons impinge on a nanostructured cathode and excite electrons, which then emit into vacuum and are collected by an anode. Unused heat from the PETE cycle is used to drive a thermal engine. The possibility for efficient operation at elevated temperatures makes PETE an ideal candidate for high concentration solar applications, including use as a \"topping cycle\" for a solar thermal generator, wherein the PETE device would harvest a fraction of incident solar energy and deliver the rest as heat to a backing solar thermal engine (Figure 1b, 2) Even a PETE module with modest 20% efficiency in tandem with a 30% efficient Stirling solar thermal engine could boast a remarkable total system efficiency of 44% Background In a photovoltaic cell, solar photons with energies above the semiconductor's band gap excite electrons into the conduction band, which diffuse to electrodes and generate current. In high performance solar cells, charge separation and collection are very efficient. However, the quantum approach of PV cells places intrinsic limitations on single junction conversion efficiency. Photon energy in excess of the band gap is lost as heat, known as thermalization loss, and sub bandgap photons are not absorbed at all, known as absorption loss. In silicon solar cells, thermalization and absorption losses account for approximately 50% of the incident solar energy the majority of the total energy loss. In principle, these losses could be reclaimed by using this waste heat from the PV cell to power a secondary thermal cycle. Combinations of PV and thermal engines are predicted to have efficiencies >60% yet fail in practice because PV cells rapidly lose efficiency at elevated temperatures, while heat engines rapidly lose efficiency at low temperatures. Thermionic energy converters (TEC) are less well known heat engines which directly convert heat into electricity. A simple thermionic converter consists of a hot cathode and cooler anode separated by a vacuum gap. In the TEC cathode, a fraction of the electrons have sufficient thermal energy to overcome the material's work function and escape into vacuum, generating current between the two electrodes. The thermionic current density is dictated by the cathode work function and temperature according to the Richardson Dushman (RD) equation: C C kT C C e T A J 2 where C is the cathode work function, TC the temperature, and C A is the material specific Richardson constant. Thermionic converters were first proposed and fabricated in the 1950s, with experimental conversion efficiencies eventually reaching 10 15% Both NASA and the Soviet space program funded the development of TECs for deep space missions and other applications requiring high power autonomous generators, but the technology was never commercialized. Thermionic conversion's main challenges relate to the very high temperatures and substantial current densities required for efficient operation. Photon Enhanced Thermionic Emission (PETE) combines photovoltaic and thermionic effects into a single physical process to take advantage of both the high perquanta energy of photons, and the available thermal energy due to thermalization and absorption losses. A PETE device has the same vacuum gap parallel plate architecture as Figure 2: Energy flow for a tandem PETE/solar thermal cycle. Waste heat from the PETE device is used to power a conventional thermal engine, boosting the overall efficiency. a TEC, except with a p type semiconductor as the cathode (Fig. 1) PETE occurs in a simple three step process: First, electrons in the PETE cathode are excited by solar radiation into the conduction band. Secondly, they rapidly thermalize within the conduction band to the equilibrium thermal distribution according to the material's temperature and diffuse throughout the cathode. Finally, electrons that encounter the surface with energies greater than the electron affinity can emit directly into vacuum and are collected at the anode, generating current (Fig. 1a) Each emitted electron thus harvests photon energy to overcome the material bandgap, and also thermal energy to overcome the material's electron affinity. The total voltage produced can therefore be higher than for a photovoltaic of the same bandgap due to this 'thermal boost, thus more completely utilizing the solar spectrum. Figure 3: Theoretical PETE efficiency. a, PETE efficiency for the AM1.5 direct+circumsolar spectrum as a function of band gap. Cathode temperature and electron affinity are chosen to maximize overall efficiency. Anode temperature is 227degC to minimize reverse current. In the inset, the power output at 1000x is shown to be due to roughly equal contributions from thermal (kh) and photon (Eg) energy. b, Power conversion efficiency increases with due to larger voltage but requires higher temperatures. Power output decreases almost linearly with temperature after current saturation in the PETE regime due to the increasing cathode Fermi level. Concentration is 1000x. c, J V curves for PETE devices with the same electron affinities in (b) showing the flat band condition for =0.4eV The temperatures are those at which the efficiencies in (b) are maximized.",
"author_names": [
"Nicholas A Melosh",
"Stanford Simes",
"Zhi-Xun Shen",
"Jared W Schwede",
"Daniel P Riley",
"Samuel Rosenthal",
"Vijay K Narasimhan",
"Xiaofei Ye",
"Kunal Sahasrabddhe",
"Nazinin Devani"
],
"corpus_id": 8007357,
"doc_id": "8007357",
"n_citations": 3,
"n_key_citations": 1,
"score": 0,
"title": "Photon Enhanced Thermionic Emission for Solar Energy Harvesting Final Report to the Global Climate and Energy Project",
"venue": "",
"year": 2013
}
] |
Three-dimensional optical metamaterial with a negative refractive index | [
{
"abstract": "Metamaterials are artificially engineered structures that have properties, such as a negative refractive index, not attainable with naturally occurring materials. Negative index metamaterials (NIMs) were first demonstrated for microwave frequencies, but it has been challenging to design NIMs for optical frequencies and they have so far been limited to optically thin samples because of significant fabrication challenges and strong energy dissipation in metals. Such thin structures are analogous to a monolayer of atoms, making it difficult to assign bulk properties such as the index of refraction. Negative refraction of surface plasmons was recently demonstrated but was confined to a two dimensional waveguide. Three dimensional (3D) optical metamaterials have come into focus recently, including the realization of negative refraction by using layered semiconductor metamaterials and a 3D magnetic metamaterial in the infrared frequencies; however, neither of these had a negative index of refraction. Here we report a 3D optical metamaterial having negative refractive index with a very high figure of merit of 3.5 (that is, low loss) This metamaterial is made of cascaded 'fishnet' structures, with a negative index existing over a broad spectral range. Moreover, it can readily be probed from free space, making it functional for optical devices. We construct a prism made of this optical NIM to demonstrate negative refractive index at optical frequencies, resulting unambiguously from the negative phase evolution of the wave propagating inside the metamaterial. Bulk optical metamaterials open up prospects for studies of 3D optical effects and applications associated with NIMs and zero index materials such as reversed Doppler effect, superlenses, optical tunnelling devices, compact resonators and highly directional sources.",
"author_names": [
"Jason G Valentine",
"Shuang Zhang",
"Thomas Zentgraf",
"Erick Ulin-Avila",
"Dentcho A Genov",
"Guy Bartal",
"Xunli Zhang"
],
"corpus_id": 4314138,
"doc_id": "4314138",
"n_citations": 1725,
"n_key_citations": 24,
"score": 1,
"title": "Three dimensional optical metamaterial with a negative refractive index",
"venue": "Nature",
"year": 2008
},
{
"abstract": "Figure S1. The refractive index of the fishnet metamaterials for different numbers of layers and material loss. (a) The refractive index for different number of functional layers along the propagation direction. One important feature of metamaterials is the consistent refractive index profiles for different thicknesses. The effective refractive index was found by calculating the complex transmittance and reflectance and solving for the Fresnel equation:",
"author_names": [
"Jason G Valentine",
"Shuang Zhang",
"Thomas Zentgraf",
"Erick Ulin-Avila",
"Dentcho A Genov",
"Guy Bartal",
"Xiang Zhang"
],
"corpus_id": 8361450,
"doc_id": "8361450",
"n_citations": 49,
"n_key_citations": 0,
"score": 0,
"title": "Three Dimensional Optical Metamaterial Exhibiting Negative Refractive Index",
"venue": "",
"year": 2008
},
{
"abstract": "We report experimental realization of the first three dimensional (3 D) optical negative index metamaterial. We measure the bulk refractive index using a 3 D prism and Snell's Law, unambiguously demonstrating negative phase propagation in the material.",
"author_names": [
"Jason G Valentine",
"Shuang Zhang",
"Thomas Zentgraf",
"Erick Ulin-Avila",
"Dentcho A Genov",
"Guy Bartal",
"Xiang Zhang"
],
"corpus_id": 124383761,
"doc_id": "124383761",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Demonstration of Negative Refractive Index in a Three Dimensional Optical Metamaterial",
"venue": "",
"year": 2008
},
{
"abstract": "As a consequent work of the two dimensional (2D) dendritic metamaterial which has been systematically studied in our previous work, a model of three dimensional (3D) sphere rod shaped structure is presented. Based on Drude model of the dielectric function of silver in the visible region, the parametric curves of electromagnetic response to the incident fields have been retrieved from detailed simulations. It is shown that the simultaneously negative values of permittivity and permeability in the optical range lead to a negative refractive index (NIM) through adjusting structural parameters, only the dimensions of the unit cells satisfy the effective medium theory. We therefore conclude that the proposed model offers a feasible route to fabricating 3D optical NIMs by 'bottom up' approach.",
"author_names": [
"Boyi Gong",
"Xiaopeng Zhao"
],
"corpus_id": 9496827,
"doc_id": "9496827",
"n_citations": 18,
"n_key_citations": 1,
"score": 0,
"title": "Numerical demonstration of a three dimensional negative index metamaterial at optical frequencies.",
"venue": "Optics express",
"year": 2011
},
{
"abstract": "Materials showing electromagnetic properties that are not attainable in naturally occurring media, so called metamaterials, have been lately, and still are, among the most active topics in optical and materials physics and engineering. Among these properties, one of the most attractive ones is the subdiffraction resolving capability predicted for media having an index of refraction of 1. Here, we propose a fully three dimensional, isotropic metamaterial with strong electric and magnetic responses in the optical regime, based on spherical metallo dielectric core shell nanospheres. The magnetic response stems from the lowest, magnetic dipole resonance of the dielectric shell with a high refractive index, and can be tuned to coincide with the plasmon resonance of the metal core, responsible for the electric response. Since the response does not originate from coupling between structures, no particular periodic arrangement needs to be imposed. Moreover, due to the geometry of the constituents, the metamaterial is intrinsically isotropic and polarization independent. It could be realized with current fabrication techniques with materials such as silver (core) and silicon or germanium (shell) For these particular realistic designs, the metamaterials present a negative index in the range of 1.2 1.55mm. 3 Author to whom any correspondence should be addressed. New Journal of Physics 13 (2011) 123017 1367 2630/11/123017+15$33.00 (c) IOP Publishing Ltd and Deutsche Physikalische Gesellschaft",
"author_names": [
"Javier Gil",
"Ricardo Marques Sillero",
"F Lopez Tejeira",
"Ramon Paniagua Dominguez"
],
"corpus_id": 139274444,
"doc_id": "139274444",
"n_citations": 55,
"n_key_citations": 1,
"score": 0,
"title": "Metallo dielectric core shell nanospheres as building blocks for optical three dimensional isotropic negative index metamaterials",
"venue": "",
"year": 2011
},
{
"abstract": "We study the propagation of light in a three dimensional double periodic Ag/TiO2 multilayer metamaterial composed of coupled plasmonic waveguides operating in the visible and UV spectral range. For these frequencies, light propagation in the plane of the waveguides is described by a negative phase velocity, while for the orthogonal direction light propagation is described by a Bloch wave composed of a large number of harmonics. As a result, the material cannot generally be described by a single phase index: decomposing the Bloch wave into different harmonics we show that for the wavelength range of interest the positive index m=1 harmonic dominates the propagation of light in the orthogonal direction. These results are corroborated by numerical simulations and optical refraction experiments on a double periodic Ag/TiO2 multilayer metamaterial prism in the 380 600 nm spectral range, which show that positive refraction associated with right handed harmonics dominates. Studying the isofrequency contours we find that despite the occurrence of multiple harmonics the double periodic structure can act as a flat lens: for a slab consisting of an integer number of unit cells all harmonics are degenerate and constructively interfere at the image plane. This work identifies important considerations relevant to the design of many three dimensional periodic metamaterials.",
"author_names": [
"Ruben Maas",
"Ewold Verhagen",
"James Parsons",
"Albert Polman"
],
"corpus_id": 119253937,
"doc_id": "119253937",
"n_citations": 16,
"n_key_citations": 0,
"score": 0,
"title": "Negative refractive index and higher order harmonics in layered metallodielectric optical metamaterials",
"venue": "",
"year": 2014
},
{
"abstract": "A Luneburg lens is a fascinating gradient refractive index (GRIN) lens that can focus parallel light on a perfect point without aberration in geometrical optics. Constructing a three dimensional (3D) Luneburg lens at optical frequencies is a challenging task due to the difficulty of fabricating the desired GRIN materials. Here, we present the practical implementation of a 3D Luneburg lens at optical frequencies. Such a 3D Luneburg lens is designed with GRIN 3D simple cubic metamaterial structures, and fabricated with dielectric metamaterials by femtosecond laser direct writing in the commercial negative photoresist IP L. Simulated and experimental results exhibit an interesting 3D ideal focus for the infrared light. The protocol for developing the 3D Luneburg lens with ideal focus would prompt the potential applications in integrated light coupled devices and lab on chip integrated biological sensors based on infrared light.",
"author_names": [
"Yuan Y Zhao",
"Yong-Liang Zhang",
"Mei-Ling Zheng",
"Xian-Zi Dong",
"Xuanming Duan",
"Zhen-sheng Zhao"
],
"corpus_id": 124835658,
"doc_id": "124835658",
"n_citations": 52,
"n_key_citations": 0,
"score": 0,
"title": "Three dimensional Luneburg lens at optical frequencies",
"venue": "",
"year": 2016
},
{
"abstract": "Abstract Metal dielectric metal films perforated with triangular nanoholes arranged in a hexagonal array are theoretically analyzed as metamaterial slabs. Effective constitutive parameters are calculated using three dimensional (3D) finite difference time domain (FDTD) simulations and S parameter retrieval method. Nanoholes side length and dielectric spacer thickness determine the magnitude and frequency of the hybridized plasmon modes resonances leading to negative effective permeability and plasmon modes inversion. Fundamental and second order asymmetric modes resonances lead to a double negative refractive index in the near infrared and a single negative refractive index in the visible range of the spectrum.",
"author_names": [
"Mircea Giloan",
"Simion Astilean"
],
"corpus_id": 122343362,
"doc_id": "122343362",
"n_citations": 6,
"n_key_citations": 0,
"score": 0,
"title": "Dual band optical negative index metamaterial based on hexagonal arrays of triangular nanoholes in metal dielectric films",
"venue": "",
"year": 2013
},
{
"abstract": "Photonic metamaterials are man made structures composed of tailored micro or nanostructured metallodielectric subwavelength building blocks. This deceptively simple yet powerful concept allows the realization of many new and unusual optical properties, such as magnetism at optical frequencies, negative refractive index, large positive refractive index, zero reflection through impedance matching, perfect absorption, giant circular dichroism and enhanced nonlinear optical properties. Possible applications of metamaterials include ultrahigh resolution imaging systems, compact polarization optics and cloaking devices. This Review describes recent progress in the fabrication of three dimensional metamaterial structures and discusses some of the remaining challenges.",
"author_names": [
"Costas M Soukoulis",
"Martin Wegener"
],
"corpus_id": 53549180,
"doc_id": "53549180",
"n_citations": 1196,
"n_key_citations": 11,
"score": 0,
"title": "Past achievements and future challenges in the development of three dimensional photonic metamaterials",
"venue": "",
"year": 2011
},
{
"abstract": "Recently it has been predicted that materials with exceptionally strong optical activity may also possess a negative refractive index, allowing the realization of superlenses for super resolution imaging and data storage applications. Here we demonstrate experimentally and numerically that a chirality induced negative index of refraction is possible. A negative index of refraction due to three dimensional chirality is demonstrated for a bilayered metamaterial based on pairs of mutually twisted planar metal patterns in parallel planes, which also shows negative electric and magnetic responses and exceptionally strong optical activity and circular dichroism. Multilayered forms of the metamaterial are found to be suitable for use as ultrathin polarization rotators and circular polarizers for practical applications.",
"author_names": [
"Eric Plum",
"Jiangfeng Zhou",
"Jian-feng Dong",
"Vassili A Fedotov",
"Thomas Koschny",
"Costas M Soukoulis",
"Nikolay I Zheludev"
],
"corpus_id": 52252111,
"doc_id": "52252111",
"n_citations": 590,
"n_key_citations": 11,
"score": 0,
"title": "Metamaterial with negative index due to chirality",
"venue": "",
"year": 2009
}
] |
Carbon quantum dots | [
{
"abstract": "Fluorescent carbon nanoparticles or carbon quantum dots (CQDs) are a new class of carbon nanomaterials that have emerged recently and have garnered much interest as potential competitors to conventional semiconductor quantum dots. In addition to their comparable optical properties, CQDs have the desired advantages of low toxicity, environmental friendliness low cost and simple synthetic routes. Moreover, surface passivation and functionalization of CQDs allow for the control of their physicochemical properties. Since their discovery, CQDs have found many applications in the fields of chemical sensing, biosensing, bioimaging, nanomedicine, photocatalysis and electrocatalysis. This article reviews the progress in the research and development of CQDs with an emphasis on their synthesis, functionalization and technical applications along with some discussion on challenges and perspectives in this exciting and promising field.",
"author_names": [
"Shi Ying Lim",
"Wei Shen",
"Zhiqiang Gao"
],
"corpus_id": 19393519,
"doc_id": "19393519",
"n_citations": 2473,
"n_key_citations": 21,
"score": 1,
"title": "Carbon quantum dots and their applications.",
"venue": "Chemical Society reviews",
"year": 2015
},
{
"abstract": "Strategies for selectively imaging and delivering drugs to tumours typically leverage differentially upregulated surface molecules on cancer cells. Here, we show that intravenously injected carbon quantum dots, functionalized with multiple paired a carboxyl and amino groups that bind to the large neutral amino acid transporter 1 (which is expressed in most tumours) selectively accumulate in human tumour xenografts in mice and in an orthotopic mouse model of human glioma. The functionalized quantum dots, which structurally mimic large amino acids and can be loaded with aromatic drugs through p p stacking interactions, enabled in the absence of detectable toxicity near infrared fluorescence and photoacoustic imaging of the tumours and a reduction in tumour burden after the targeted delivery of chemotherapeutics to the tumours. The versatility of functionalization and high tumour selectivity of the quantum dots make them broadly suitable for tumour specific imaging and drug delivery. Intravenously injected functionalized carbon quantum dots that bind to the large neutral amino acid transporter 1 and that structurally mimic large amino acids selectively accumulate in human tumours in mice, facilitating targeted theranostics.",
"author_names": [
"Shuhua Li",
"Wen Su",
"Hao Wu",
"Ting Yuan",
"Chang Yuan",
"Jun Liu",
"Gang Deng",
"Xingchun Gao",
"Zeming Chen",
"Youmei Bao",
"Fanglong Yuan",
"Shixin Zhou",
"Hongwei Tan",
"Yunchao Li",
"Xiaohong Li",
"Louzhen Fan",
"Jia Zhu",
"Ann Tai Chen",
"Fuyao Liu",
"Yu Zhou",
"Miao Li",
"Xingchen Zhai",
"Jiangbing Zhou"
],
"corpus_id": 214704171,
"doc_id": "214704171",
"n_citations": 45,
"n_key_citations": 1,
"score": 0,
"title": "Targeted tumour theranostics in mice via carbon quantum dots structurally mimicking large amino acids",
"venue": "Nature Biomedical Engineering",
"year": 2020
},
{
"abstract": "Carbon quantum dots (CQDs) as an emerging class of quantum dots (QDs) with advantages such as good photoluminescence (PL) properties, easy synthesis routes, economical synthesis, cheap starting materials, water solubility, low levels of toxicity, chemical stability, and easy functionalization have received great attention during recent years. CQDs have been used in versatile sensor applications. CQD sensors could be ultimately sensitive, and the limit of detection (LOD) for these sensors can reach the nanomolar, picomolar or even femtomolar ranges. CQD based sensors and biosensors work with different mechanisms including fluorescence quenching, static quenching, dynamic quenching, energy transfer, inner filter effect (IFE) photo induced electron transfer (PET) and fluorescence resonance energy transfer (FRET) CQD based sensors and biosensors have been applied for the detection of different species such as metal ions, acids, proteins, biothiols, polypeptides, DNA and miRNA, water pollutants, hematin, drugs, vitamins, and other chemicals. It seems that CQD based sensors and biosensors are promising candidates for high performance and yet accurate sensors in different areas. In this review, CQDs are introduced, and the synthesis methods and optical properties of CQDs are discussed. Different types of CQD based sensors and biosensors and their working mechanisms are clarified.",
"author_names": [
"Mohammad Jafar Molaei"
],
"corpus_id": 213782522,
"doc_id": "213782522",
"n_citations": 46,
"n_key_citations": 0,
"score": 1,
"title": "Principles, mechanisms, and application of carbon quantum dots in sensors: a review",
"venue": "",
"year": 2020
},
{
"abstract": "Efficient full color fluorescent carbon quantum dots are synthesized by acid reagent engineering for white light emitting devices. Quantum dots have innate advantages as the key component of optoelectronic devices. For white light emitting diodes (WLEDs) the modulation of the spectrum and color of the device often involves various quantum dots of different emission wavelengths. Here, we fabricate a series of carbon quantum dots (CQDs) through a scalable acid reagent engineering strategy. The growing electron withdrawing groups on the surface of CQDs that originated from acid reagents boost their photoluminescence wavelength red shift and raise their particle sizes, elucidating the quantum size effect. These CQDs emit bright and remarkably stable full color fluorescence ranging from blue to red light and even white light. Full color emissive polymer films and all types of high color rendering index WLEDs are synthesized by mixing multiple kinds of CQDs in appropriate ratios. The universal electron donating/withdrawing group engineering approach for synthesizing tunable emissive CQDs will facilitate the progress of carbon based luminescent materials for manufacturing forward looking films and devices.",
"author_names": [
"Liang Wang",
"Weitao Li",
"Luqiao Yin",
"Yijiang Liu",
"Huazhang Guo",
"Jiawei Lai",
"Yu Han",
"Gao Li",
"Ming Li",
"Jianhua Zhang",
"Robert Vajtai",
"Pulickel M Ajayan",
"Minghong Wu"
],
"corpus_id": 222110938,
"doc_id": "222110938",
"n_citations": 46,
"n_key_citations": 0,
"score": 0,
"title": "Full color fluorescent carbon quantum dots",
"venue": "Science Advances",
"year": 2020
},
{
"abstract": "Abstract Currently, the disposal of municipal wastes (paper, plastic, and coffee residue) is a problem due to increasing the amount of municipal wastes, global environmental problems, and high disposal cost. Here, we report the synthesis of carbon quantum dots from waste paper by different solvents by a conventional hydro /solvothermal method for solving the environmental and disposal problems. The carbon quantum dots synthesized with waste papers have several advantages such as low toxicity, low cost, and eco friendly. The prepared carbon quantum dots have main emission peak from 440 to 540 nm under 340 480 nm excitation. The prepared carbon quantum dots can be applied to easily prepare anti counterfeiting ink and fluorescent flexible film because of their unique optical property and excellent chemical and photostability.",
"author_names": [
"Sung Jun Park",
"Jin Young Park",
"Jong Won Chung",
"Hyun Kyoung Yang",
"Byung Kee Moon",
"Soung Soo Yi"
],
"corpus_id": 208735373,
"doc_id": "208735373",
"n_citations": 26,
"n_key_citations": 0,
"score": 0,
"title": "Color tunable carbon quantum dots from wasted paper by different solvents for anti counterfeiting and fluorescent flexible film",
"venue": "",
"year": 2020
},
{
"abstract": "Large doses of anticancer drugs entering cancer cell nuclei are found to be effective at killing cancer cells and increasing chemotherapeutic effectiveness. Here we report red emissive carbon quantum dots, which can enter into the nuclei of not only cancer cells but also cancer stem cells. After doxorubicin was loaded at the concentration of 30 mg/mL on the surfaces of carbon quantum dots, the average cell viability of HeLa cells was decreased to only 21% while it was decreased to 50% for free doxorubicin. The doxorubicin loaded carbon quantum dots also exhibited a good therapeutic effect by eliminating cancer stem cells. This work provides a potential strategy for developing carbon quantum dot based anticancer drug carriers for effective eradication of cancers.",
"author_names": [
"Wen Su",
"Ruihua Guo",
"Fanglong Yuan",
"Yunchao Li",
"Xiaohong Li",
"Yang Zhang",
"Shixin Zhou",
"Louzhen Fan"
],
"corpus_id": 211035565,
"doc_id": "211035565",
"n_citations": 25,
"n_key_citations": 0,
"score": 0,
"title": "Red Emissive Carbon Quantum Dots for Nuclear Drug Delivery in Cancer Stem Cells.",
"venue": "The journal of physical chemistry letters",
"year": 2020
},
{
"abstract": "Carbon and graphene quantum dots are prepared using top down and bottom up methods. Sustainable synthesis of quantum dots has several advantages such as the use of low cost and non toxic raw materials, simple operations, expeditious reactions, renewable resources and straightforward post processing steps. These nanomaterials are promising for clinical and biomedical sciences, especially in bioimaging, diagnosis, bioanalytical assays and biosensors. Here we review green methods for the fabrication of quantum dots, and biomedical and biotechnological applications.",
"author_names": [
"Siavash Iravani",
"Rajender S Varma"
],
"corpus_id": 212643318,
"doc_id": "212643318",
"n_citations": 71,
"n_key_citations": 1,
"score": 0,
"title": "Green synthesis, biomedical and biotechnological applications of carbon and graphene quantum dots. A review",
"venue": "Environmental Chemistry Letters",
"year": 2020
},
{
"abstract": "Nitrogen doped carbon quantum dots (N CQDs) were successfully synthesized using rice residue and glycine as carbon and nitrogen sources by one step hydrothermal method. High quantum yield (23.48% originated from the effective combination of nitrogen with various functional groups (CO, NH, CN, COOH and COC) The N CQDs showed a fluorescence with the wavelength varied from 420 to 500 nm and the maximum emission wavelength being at 440 nm. N CQDs have been importantly applied as probe to detect Fe3+ and tetracycline (TCs) antibiotics with remarkable performance. Using the linear relationship between fluorescence intensity and Fe3+ concentration, the N CQDs could be employed as a simple, efficient sensor for ultrasensitive Fe3+ detection ranging from 3.32 to 32.26 uM, with a limit of detection (LOD) of 0.7462 uM. The N CQDs showed the applicability to detect TCs. The detection limits of tetracycline, terramycin and chlortetracycline were 0.2367, 0.3739 and 0.2791 uM, respectively. The results of TC by fluorescence method in real water samples were in good agreement with standard Ultraviolet visible (UV vis) method. The N CQDs have various potential applications including sensitive and selective detection of Fe3+ and TCs, and cellular imaging with low cytotoxicity, good biocompatibility and high permeability.",
"author_names": [
"Houjuan Qi",
"Min Teng",
"Miao Liu",
"Shouxin Liu",
"Jian Li",
"Haipeng Yu",
"Chunbo Teng",
"Zhanhua Huang",
"Hu Liu",
"Qian Shao",
"Ahmad Umar",
"Tao Ding",
"Qiang Gao",
"Zhanhu Guo"
],
"corpus_id": 58602387,
"doc_id": "58602387",
"n_citations": 187,
"n_key_citations": 1,
"score": 0,
"title": "Biomass derived nitrogen doped carbon quantum dots: highly selective fluorescent probe for detecting Fe3+ ions and tetracyclines.",
"venue": "Journal of colloid and interface science",
"year": 2019
},
{
"abstract": "Abstract Microbial contamination and antibiotic pollutions diffusely exist in wastewater system, and contaminated water poses a threat to public health. Therefore, there is a need to effectively remove biohazard and antibiotic contamination from wastewater systems. In this paper, sulfur doped carbon quantum dots (S CQDs)/hollow tubular g C3N4 photocatalyst (HTCN C) prepared via ultrasonic assisted synthesis strategy, was regarded as an efficient catalyst for the degradation of antibiotic (tetracycline) and destruction of a typical Gram negative bacterium (Escherichia coli) in imitated wastewater system. The unique structures of hollow tubular g C3N4 and loading of modified carbon quantum dots enhanced electron transfer and charge separation, leading to a significant improvement in photocatalytic efficiency. Benefiting from these merits, the optimized catalysts (HTCN C(2) exhibited superior performance with a reaction rate of 0.0293 min 1 for tetracycline (TC) degradation and 99.99% destruction of Escherichia coli under visible light irradiation. Moreover, the characterization of UV Vis diffuse reflectance spectra, photoluminescence technique, transient photocurrent responses and electrochemical impedance spectroscopy also verified the good optical and electrochemical properties of resultant samples. Our current work indicates that HTCN C has great potential in degradation of antibiotic and destruction of bacterium for practical wastewater treatment.",
"author_names": [
"Wenjun Wang",
"Zhuotong Zeng",
"Guangming Zeng",
"Chen Zhang",
"Rong Xiao",
"Chengyun Zhou",
"Weiping Xiong",
"Yongxiang Yang",
"Lei Lei",
"Yang Liu",
"Danlian Huang",
"Min Cheng",
"Ya-Ya Yang",
"Yukui Fu",
"Hanzhuo Luo",
"Yin Zhou"
],
"corpus_id": 198367887,
"doc_id": "198367887",
"n_citations": 169,
"n_key_citations": 0,
"score": 0,
"title": "Sulfur doped carbon quantum dots loaded hollow tubular g C3N4 as novel photocatalyst for destruction of Escherichia coli and tetracycline degradation under visible light",
"venue": "",
"year": 2019
},
{
"abstract": "Therapeutic options for the highly pathogenic human coronavirus (HCoV) infections are urgently needed. Anticoronavirus therapy is however challenging, as coronaviruses are biologically diverse and rapidly mutating. In this work, the antiviral activity of seven different carbon quantum dots (CQDs) for the treatment of human coronavirus HCoV 229E infections was investigated. The first generation of antiviral CQDs was derived from hydrothermal carbonization of ethylenediamine/citric acid as carbon precursors and postmodified with boronic acid ligands. These nanostructures showed a concentration dependent virus inactivation with an estimated EC50 of 52 8 mg mL 1. CQDs derived from 4 aminophenylboronic acid without any further modification resulted in the second generation of anti HCoV nanomaterials with an EC50 lowered to 5.2 0.7 mg mL 1. The underlying mechanism of action of these CQDs was revealed to be inhibition of HCoV 229E entry that could be due to interaction of the functional groups of the CQDs with HCoV 229E entry receptors; surprisingly, an equally large inhibition activity was observed at the viral replication step.",
"author_names": [
"Aleksandra Loczechin",
"Karin Seron",
"Alexandre Barras",
"Emerson Giovanelli",
"Sandrine Belouzard",
"Yen-Ting Chen",
"Nils Metzler-Nolte",
"Rabah Boukherroub",
"Jean Dubuisson",
"Sabine Szunerits"
],
"corpus_id": 204814784,
"doc_id": "204814784",
"n_citations": 104,
"n_key_citations": 2,
"score": 0,
"title": "Functional Carbon Quantum Dots as Medical Countermeasures to Human Coronavirus",
"venue": "ACS applied materials interfaces",
"year": 2019
}
] |
Design of a wireless power transfer system for assisted living applications | [
{
"abstract": "Advances in material science and semiconductor technology have enabled a variety of inventions to be implemented in electronic systems and devices used in the medical, telecommunications, and consumer electronics sectors. In this paper, a wireless charging system is described as a wearable body heater that uses a chair as a transmitter (Tx) This system incorporates the widely accepted Qi wireless charging standard. Alignment conditions of a linear three element coil arrangement and a 3 x 3 coil matrix array are investigated using voltage induced in a coil as a performance indicator. The efficiency obtained is demonstrated to be up to 80% for a voltage of over 6.5 Volts and a power transfer of over 5 Watts. Our results and proposed approach can be useful for many applications. This is because the wireless charging system described herein can help design seating areas for the elderly and disabled, commercial systems, consumer electronics, medical devices, electronic textiles (e textiles) and other electronic systems and devices.",
"author_names": [
"Qassim Abdullahi",
"Rahil Joshi",
"Symon K Podilchak",
"Sadeque Reza Khan",
"Meixuan Chen",
"Jean Rooney",
"Danmei Sun",
"Marc P Y Desmulliez",
"Apostolos T Georgiadis",
"Dimitris E Anagnostou"
],
"corpus_id": 86735935,
"doc_id": "86735935",
"n_citations": 5,
"n_key_citations": 0,
"score": 1,
"title": "Design of a wireless power transfer system for assisted living applications",
"venue": "Wireless Power Transfer",
"year": 2019
},
{
"abstract": "The design of wearable sensors and development of novel wireless radio protocols drive advances in the assisted living technology which is a promising approach in terms of future domestic healthcare. In this paper we propose the system architecture for vital signs monitoring in home conditions. The prototype device is based on the microcontroller supporting Bluetooth Low Energy which may be used for medical data transfer in assisted living applications. We developed a respiration rate sensor and thermometer pickup to provide basic measurements of physiological signals. We tested a range of proposed wireless system in urban conditions. The temperature and respiration rate was then recorded to verify the system operation. We measured the power consumption to evaluate the low energy performance of the device which is vital aspect of the telemedical wearable system.",
"author_names": [
"Marcin Kajor",
"Dominik Grochala",
"Michal Grochala",
"Marek Iwaniec",
"Justyna Agnieszka Lemejda"
],
"corpus_id": 219548016,
"doc_id": "219548016",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Assisted Living System Architecture Based on Bluetooth low Energy and Wearable Sensors",
"venue": "2020 IEEE XVIth International Conference on the Perspective Technologies and Methods in MEMS Design (MEMSTECH)",
"year": 2020
},
{
"abstract": "Abstract. Wireless Power Transfer (WPT) with simultaneous data transmission through coupled magnetic resonators is investigated in this paper. The development of this system is dedicated to serve as a basis for applications in the field of Ambient Assisted Living (AAL) for example tracking vital parameters remotely, charge and control sensors and so on. Due to these different scenarios we consider, it is important to have a system which is reliable under the circumstance of changing positioning of the receiving device. State of the art radio systems would be able to handle this. Nevertheless, energy harvesting from far field sources is not sufficient to power the devices additionally on mid range distances. For this reason, coupled magnetic resonant circuits are proposed as a promising alternative, although suffering from more complex positioning dependency. Based on measurements on a simple prototype system, an equivalent circuit description is used to model the transmission system dependent on different transmission distances and impedance matching conditions. Additionally, the simulation model is used to extract system parameters such as coupling coefficients, coil resistance and self capacitance, which cannot be calculated in a simple and reliable way. Furthermore, a mathematical channel model based on the schematic model has been built in MATLAB(c) It is used to point out the problems occurring in a transmission system with variable transmission distance, especially the change of the passband's centre frequency and its bandwidth. Existing solutions dealing with this distance dependent behaviour, namely the change of the transmission frequency dependent on distance and the addition of losses to the resonators to increase the bandwidth, are considered as not inventive. First, changing the transmission frequency increases the complexity in the data transmission system and would use a disproportional total bandwidth compared to the actually available bandwidth. Additionally, adding losses causes a decrease in the energy transmission efficiency. Based on these facts, we consider a system that changes the channel itself by tuning the resonant coils in a way that the passband is always at a fixed frequency. This would overcome the previously described issues, and additionally could allow for the possibility to run several independent transmission systems in parallel without disturbing each other.",
"author_names": [
"Christian Schmidt",
"E Lloret Fuentes",
"Martin Buchholz"
],
"corpus_id": 54799394,
"doc_id": "54799394",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Investigations and system design for simultaneous energy and data transmission through inductively coupled resonances",
"venue": "",
"year": 2015
},
{
"abstract": "In high power applications of wireless power transfer systems as Maglev, both a high transferred power and a high e ciency are essential. However, these two requirements usually show dissimilar proflles over a range of operating conditions. Magnetic and electric models for a capacitor compensated system are used to analyze the problem. Using the analysis outcome, a compromise is made to come to an acceptable design achieving both requirements. In particular, appropriate design parameters and resonance frequency are obtained. The analytical results are conflrmed by 3D FEM analysis.",
"author_names": [
"Saeed Hasanzadeh",
"Sadegh Vaez-Zadeh"
],
"corpus_id": 11453028,
"doc_id": "11453028",
"n_citations": 23,
"n_key_citations": 1,
"score": 0,
"title": "DESIGN OF A WIRELESS POWER TRANSFER SYSTEM FOR HIGH POWER MOVING APPLICATIONS",
"venue": "",
"year": 2013
},
{
"abstract": "Abstract In this study, a 15 kW wireless power transfer system with high frequency and large air gap for electrical vehicle battery charge systems is designed and co simulations with ANSYS Maxwell and Simplorer software are performed. The air gap between the primary and the secondary windings are determined as 20 cm for the 15 kW wireless power transfer system. Operation of the designed system for different operation conditions such as completely aligned windings (ideal condition) and windings with alignment errors, which can occur because of user error or another reason, are analyzed and obtained results are reported. The resonant frequency of the designed system which has a 60 x 60 cm secondary winding and a 60 x 100 cm primary winding is 17.702 kHz, and the maximum efficiency of the system is obtained as 75.38% for completely aligned windings. The distribution and density of the electromagnetic flux, and variation of efficiency versus load level of the system and responses of the system in case of different alignment errors are also investigated and reported for both ideal operation conditions and in case of alignment errors.",
"author_names": [
"Sakir Kuzey",
"Selami Balci",
"Necmi Altin"
],
"corpus_id": 99839095,
"doc_id": "99839095",
"n_citations": 17,
"n_key_citations": 1,
"score": 0,
"title": "Design and analysis of a wireless power transfer system with alignment errors for electrical vehicle applications",
"venue": "",
"year": 2017
},
{
"abstract": "In this paper, we develop an analytical framework for the statistical analysis of the battery recharging time (BRT) in reconfigurable intelligent surfaces (RISs) aided wireless power transfer (WPT) systems. Specifically, we derive novel closed form expressions for the probability density function (PDF) cumulative distribution function, and moments of the BRT of the radio frequency energy harvesting wireless nodes. Moreover, closed form expressions of the the PDF of the BRT is obtained for two special cases: i) when the RIS is equipped with one reflecting element (RE) ii) when the RIS consists of a large number of REs. Capitalizing on the derived expressions, we offer a comprehensive treatment for the statistical characterization of the BRT and study the impact of the system and battery parameters on its performance. Our results reveal that the proposed statistical models are analytically tractable, accurate, and efficient in assessing the sustainability of RIS assisted WPT networks and in providing key design insights for large scale future wireless applications. For example, we demonstrate that a 4 fold reduction in the mean time of the BRT can be achieved by doubling the number of RIS elements. Monte Carlo simulation results corroborate the accuracy of the proposed theoretical framework.",
"author_names": [
"Lina S Mohjazi",
"Sami Hakam Muhaidat",
"Qammer Hussain Abbasi",
"Muhammad Ali Imran",
"Octavia A Dobre",
"Marco di Renzo"
],
"corpus_id": 220487030,
"doc_id": "220487030",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Battery Recharging Time Models for Reconfigurable Intelligent Surface Assisted Wireless Power Transfer Systems",
"venue": "ArXiv",
"year": 2020
},
{
"abstract": "This paper analyses the factors influencing wireless power transfer system efficiency for electric vehicle application. Due to complexity in the wireless power transfer transformers, a Finite Element Analysis (FEA) is the key to facilitate the calculation of the mutual inductance and the coupling coefficient. A circular coil transformer model is modelled and simulated in Ansys Maxwell software under different conditions. Simulation results are performed and analyzed. An introduction to WPT transformer model is also presented.",
"author_names": [
"Tasnime Bouanou",
"Hassan El Fadil",
"Abdellah Lassioui"
],
"corpus_id": 219591164,
"doc_id": "219591164",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Analysis and Design of Circular Coil Transformer in a Wireless Power Transfer System for Electric Vehicle Charging Application",
"venue": "2020 International Conference on Electrical and Information Technologies (ICEIT)",
"year": 2020
},
{
"abstract": "The analysis and design of wireless power transmission coils for satellite on board application are presented. Firstly, application background and design constrain are presented. Different factors affecting the coupling coefficient are analysed, and transmission cores fitting satellite application are designed and optimised. To reduce magnetic flux leakage and to improve transmission efficiency, ways to reduce magnetic flux leakage are analysed. According to the requirement of the system, suitable leakage reduction design is chosen, and different materials are simulated. Combining optimised transmission core design and magnetic flux leakage reduction design, a model fitting in required application is presented and simulated. Result shows the fitness of application requirement.",
"author_names": [
"Feng Wang",
"Xueqin Chen"
],
"corpus_id": 199089137,
"doc_id": "199089137",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Design and optimisation of a wireless power transfer system for satellite application",
"venue": "IET Power Electronics",
"year": 2019
},
{
"abstract": "In order to solve the problem of low efficiency and short transmitting distance of WPT in implantable medical applications, this paper presents a WPT system with switchable match network. The main coupling mechanism is analyzed, which is a novel 2 coil system with 90mm outer diameter of primary spider web coil and 65mm outer diameter of secondary spider web coil. Meanwhile, the range of load impedance is calculated to ensure the Pi match network. Related simulation and experiments are carried out and the results show that at 6.78MHz frequency, the proposed system could achieve up to 180mm transmitting distance, a constant output 16.8V voltage with transmitting efficiency up to 78% through the switch able Pi match network.",
"author_names": [
"Ying Liu",
"Yang Li",
"Jiantao Zhang",
"Shuai Dong",
"Chao Cui",
"Chunbo Zhu"
],
"corpus_id": 52147729,
"doc_id": "52147729",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Design a Wireless Power Transfer System with Variable Gap Applied to Left Ventricular Assist Devices",
"venue": "2018 IEEE PELS Workshop on Emerging Technologies: Wireless Power Transfer (Wow)",
"year": 2018
},
{
"abstract": "Wireless power transfer (WPT) has been a very popular topic of research recently. In most research the coil design is based on the classical theory and the parameters of the coils are obtained by calculations or direct measurements. However, the method has its limitation when the shape and structure of the coil is complex or the coil hasn't been constructed. The purpose of this paper is to present the whole process of designing coils and building a wireless power transfer system with the finite element analysis (FEA) and with MATLAB simulation in detail. Finally the experimental results will be presented to verify the validity and reasonability of the design method.",
"author_names": [
"Ran Chang",
"Li Quan",
"Xiaoyong Zhu",
"Zaiyun Zong",
"Huawei Zhou"
],
"corpus_id": 25679873,
"doc_id": "25679873",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "Design of a wireless power transfer system for EV application based on finite element analysis and MATLAB simulation",
"venue": "2014 IEEE Conference and Expo Transportation Electrification Asia Pacific (ITEC Asia Pacific)",
"year": 2014
}
] |
Perovskite solar cell | [
{
"abstract": "Abstract The rapid increase in the efficiency of perovskite solar cells (PSCs) in last few decades have made them very attractive to the photovoltaic (PV) community. However, the serious challenge is related to the stability under various conditions and toxicity issues. A huge number of articles have been published in PSCs in the recent years focusing these issues by employing different strategies in the synthesis of electron transport layer (ETL) active perovskite layer, hole transport layer (HTL) and back contact counter electrodes. This article tends to focus on the role and classification of different materials used as HTL in influencing long term stability, in improving the photovoltaic parameters and thereby enhancing the device efficiency. Hole Transport Materials (HTMs) are categorized by dividing into three primary types, namely; organic, inorganic and carbonaceous HTMs. To analyze the role of HTM in detail, we further divide these primary type of HTMs into different subgroups. The organic based HTMs are subdivided into three categories, namely; long polymer HTMs, small molecule HTMs and cross linked polymers and the inorganic HTMs have been classified into nickel (Ni) derivatives and copper (Cu) derivatives based HTMs, p type semiconductor based HTMs and transition metal based HTMs. We further analyze the dual role of carbonaceous materials as HTM and counter electrode in the perovskite devices. In addition, in this review, an overview of the preparation methods, and the influence of the thickness of the HTM layers on the performance and stability of the perovskite devices are also provided. We have carried out a detailed comparison about the various classification of HTMs based on their cost effectiveness and considering their role on effective device performance. This review further discusses the critical challenges involved in the synthesis and device engineering of HTMs. This will provide the reader a better insight into the state of the art of perovskite solar devices.",
"author_names": [
"Selvakumar Pitchaiya",
"Muthukumarasamy Natarajan",
"Agilan Santhanam",
"Vijayshankar Asokan",
"Akila Yuvapragasam",
"Venkatraman Madurai Ramakrishnan",
"Subramaniam E Palanisamy",
"Senthilarasu Sundaram",
"Dhayalan Velauthapillai"
],
"corpus_id": 103678112,
"doc_id": "103678112",
"n_citations": 61,
"n_key_citations": 1,
"score": 1,
"title": "A review on the classification of organic/inorganic/carbonaceous hole transporting materials for perovskite solar cell application",
"venue": "",
"year": 2020
},
{
"abstract": "Engineering two /three dimensional (2D/3D) perovskite solar cells is nowadays a popular strategy for efficient and stable devices. However, the exact function of the 2D/3D interface in controlling the long term device behavior is still obscure. Here, we reveal a dynamical structural mutation of the 2D/3D interface: the small cations in the 3D cage move towards the 2D layer, which acts as an ion scavenger. If structurally stable, the 2D layer physically blocks the ion movement at the interface boosting the device stability. Otherwise, the 2D layer embeds them, dynamically self transforming into a quasi 2D structure. The judicious choice of the 2D constituent is decisive in controlling the 2D/3D kinetics and improving the device lifetime, opening a new avenue for perovskite interface design.",
"author_names": [
"Albertus Adrian Sutanto",
"Nikita A Drigo",
"Valentin Ianis Emmanuel Queloz",
"Ines Garcia-Benito",
"Ahmad R Kirmani",
"Lee J Richter",
"Pascal Alexander Schouwink",
"Kyung Taek Cho",
"Sanghyun Paek",
"Mohammad Khaja Nazeeruddin",
"Giulia Grancini"
],
"corpus_id": 213922336,
"doc_id": "213922336",
"n_citations": 23,
"n_key_citations": 0,
"score": 0,
"title": "Dynamical evolution of the 2D/3D interface: a hidden driver behind perovskite solar cell instability",
"venue": "",
"year": 2020
},
{
"abstract": "Abstract Perovskite Solar Cells (PSCs) have grabbed the attention of the researchers worldwide owing to their outstanding Photovoltaic (PV) performance. PSCs are the future of the PV technology as they are capable of generating power with performance being comparable with the leading Silicon solar cells, with the cost being lower than Silicon solar cells. The enormous potential of PSCs is evident from the fact that the efficiency of these cells has risen from 3.8% to 25.2% within a decade, and it is continuously rising to date. We discuss the features making PSCs superior to contemporary PV technologies. The description of the evolution of efficiency and various architectures used to date has been presented. The perovskite film fabrication techniques with some large scale perovskite solar cell manufacturing techniques are discussed. Despite positive traits, the PSCs have faced some issues, such as degradation in the presence of moisture, oxygen, and UV, toxicity, etc. The impact of these factors with various remedies adopted by researchers has been discussed. However, the instability issue raised by toxicity is not of much concern is supported in this paper. These issues creating obstacles in the path of commercialization of PSCs along with the commercialization road map are discussed thoroughly.",
"author_names": [
"Priyanka Roy",
"Numeshwar Kumar Sinha",
"Sanjay Tiwari",
"Ayush Khare"
],
"corpus_id": 213367547,
"doc_id": "213367547",
"n_citations": 78,
"n_key_citations": 3,
"score": 0,
"title": "A review on perovskite solar cells: Evolution of architecture, fabrication techniques, commercialization issues and status",
"venue": "",
"year": 2020
},
{
"abstract": "Two dimensional Ruddlesden Popper phase (2DRP) perovskites are known to exhibit improved photostability and environmental stability compared with their three dimensional (3D) counterparts. However, fundamental questions remain over the interaction between the bulky alkylammoniums and the 2DRP perovskite framework. Here, we unambiguously demonstrate that a sulfur sulfur interaction is present for a new bulky alkylammonium, 2 (methylthio)ethylamine hydrochloride (MTEACl) In addition to a weaker van der Waals interaction, the interaction between sulfur atoms in two MTEA molecules enables a (MTEA) 2 (MA) 4 Pb 5 I 16 n 5) perovskite framework with enhanced charge transport and stabilization. The result is 2DRP perovskite solar cells with significantly improved efficiency and stability. Cells with a power conversion efficiency as high as 18.06% (17.8% certified) are achieved, along with moisture tolerance for up to 1,512 h (under 70% humidity conditions) thermal stability for 375 h (at 85 degC) and stability under continuous light stress (85% of the initial efficiency retained over 1,000 h of operation at the maximum power point) Two dimensional perovskite solar cells have been engineered to be robust against moisture, high temperatures and light stress.",
"author_names": [
"Hui-Hui Ren",
"Shidong Yu",
"Lingfeng Chao",
"Yingdong Xia",
"Yuanhui Sun",
"Shouwei Zuo",
"Fan Li",
"Tingting Niu",
"Yingguo Yang",
"Huan-xin Ju",
"Bixin Li",
"Hai Yan Du",
"Xingyu Gao",
"Jie-yu Zhang",
"Jianpu Wang",
"Lijun Zhang",
"Yonghua Chen",
"Wentao Huang"
],
"corpus_id": 210166401,
"doc_id": "210166401",
"n_citations": 134,
"n_key_citations": 0,
"score": 0,
"title": "Efficient and stable Ruddlesden Popper perovskite solar cell with tailored interlayer molecular interaction",
"venue": "",
"year": 2020
},
{
"abstract": "Abstract Tin based perovskite is a famous competitor to toxic lead based perovskite solar cells. Although lead free perovskite (CH3NH3SnI3) material attracts the attention because of its wider absorption, it suffers from temperature instability. Formamidinium tin iodide (HC(NH2)2SnI3 FASnI3) absorber has more temperature stability than CH3NH3SnI3 with wider band gap (1.41 eV) In this work, a device simulation of FASnI3 based solar cells is performed by using SCAPS. Absorber parameters such as thickness, doping concentration and defect density are varied to inspect their impact on device performance. The effect of changing conduction band offset (CBO) and valence band offset (VBO) doping concentration and thickness of electron transport layer (ETL) and hole transport layer (HTL) are also studied. Further, various HTL and ETL candidates are investigated such as CuI, Cu2O, NiO, ZnO and ZnSe. To enhance the cell power efficiency, optimization of the device design key parameters is performed. The initial structure is based on an experimental work having a record of 1.75% efficiency. The final performance parameters of the intended solar cell after enhancing them by the presented parametric study are found to be: a short circuit current density (Jsc) of 22.65 mA/cm2, open circuit voltage (Voc) of 0.92 V, fill factor (FF) of 67.74% and power conversion efficiency (PCE) of 14.03%",
"author_names": [
"Sally S Abdelaziz",
"Abdelhalem Zekry",
"Ahmed Shaker",
"Mohamed Anwar Abouelatta"
],
"corpus_id": 213265193,
"doc_id": "213265193",
"n_citations": 39,
"n_key_citations": 0,
"score": 0,
"title": "Investigating the performance of formamidinium tin based perovskite solar cell by SCAPS device simulation",
"venue": "",
"year": 2020
},
{
"abstract": "Perovskite solar cells (PSCs) are highly promising next generation photovoltaic devices because of the cheap raw materials, ideal band gap of 1.5 eV, broad absorption range, and high absorption coefficient. Although lead based inorganic organic PSC has achieved the highest power conversion efficiency (PCE) of 25.2% the toxic nature of lead and poor stability strongly limits the commercialization. Lead free inorganic PSCs are potential alternatives to toxic and unstable organic inorganic PSCs. Particularly, double perovskite CsAgBiBr based PSC has received interests for its all inorganic and lead free features. However, the PCE is limited by the inherent and extrinsic defects of CsAgBiBr films. Herein, an effective and facile strategy is reported for improving the PCE and stability by introducing an N719 dye interlayer, which plays multifunctional roles such as broadening the absorption spectrum, suppressing the charge carrier recombination, accelerating the hole extraction, and constructing an appropriate energy level alignment. Consequently, the optimizing cell delivers an outstanding PCE of 2.84% much improved as compared with other CsAgBiBr based PSCs reported so far in the literature. Moreover, the N719 interlayer greatly enhances the stability of PSCs under ambient conditions. This work highlights a useful strategy to boost the PCE and stability of lead free CsAgBiBr based PSCs simultaneously, accelerating the commercialization of PSC technology.",
"author_names": [
"Xiaoqin Yang",
"Yonghui Chen",
"Pengyun Liu",
"Huimin Xiang",
"Weitie Wang",
"Ran Ran",
"Wei Zhou",
"Zongping Shao"
],
"corpus_id": 218791583,
"doc_id": "218791583",
"n_citations": 49,
"n_key_citations": 1,
"score": 0,
"title": "Simultaneous Power Conversion Efficiency and Stability Enhancement of Cs 2 AgBiBr 6 Lead Free Inorganic Perovskite Solar Cell through Adopting a Multifunctional Dye Interlayer",
"venue": "",
"year": 2020
},
{
"abstract": "Abstract Lead halide perovskite solar cells (PVSCs) have potential toward commercialization because of their high efficiency and low cost. The hole transport layer (HTL) of p i n perovskite solar cell is usually made of NiOX. However, the NiOX needs to be processed at 300 degC for 15 min for good hole transport property. This long heating time prohibits the development of continuous commercial process. Thus, a rapid heating process for the NiOX film deposition is critical to realize the commercialization of PVSCs in the future. In this study, we develop a facile method to obtain high quality NiOX films annealed by NIR in a short time of 50 s. A short wave NIR lamp at 2500 K was used to systematically investigate the effect of NIR intensity on the film quality of sol gel NiOX. The PVSCs fabricated from NIR annealed NiOX (NIR NiOX) film show a comparable power conversion efficiency (PCE) to those fabricated from traditional hot plate annealed NiOX (HP NiOX) In addition, the NIR annealed cobalt doped NiOX (NIR Co:NiOX) was synthesized to replace pristine NIR NiOX. The PCE of PVSCs fabricated from this new NiOX film can be increased from 15.99% to 17.77% which is due to the efficient hole extraction, less charge accumulation, and reducing Voc loss resulting from the improved hole mobility, reduced interface resistance and well matched work function. Our study paves a way to fulfill the requirements of low cost and low energy consumption of large scale production of high efficiency PVSCs.",
"author_names": [
"Pei-Huan Lee",
"Bo-Ting Li",
"Chia-Feng Lee",
"Zhihao Huang",
"Yu-Ching Huang",
"Wei-Fang Su"
],
"corpus_id": 213452932,
"doc_id": "213452932",
"n_citations": 19,
"n_key_citations": 0,
"score": 0,
"title": "High efficiency perovskite solar cell using cobalt doped nickel oxide hole transport layer fabricated by NIR process",
"venue": "",
"year": 2020
},
{
"abstract": "",
"author_names": [
"Sean P Dunfield",
"Lyle Bliss",
"Fei Zhang",
"Joseph M Luther",
"Kai Zhu",
"Maikel F A M van Hest",
"Matthew O Reese",
"Joseph J Berry"
],
"corpus_id": 216231696,
"doc_id": "216231696",
"n_citations": 60,
"n_key_citations": 0,
"score": 0,
"title": "From Defects to Degradation: A Mechanistic Understanding of Degradation in Perovskite Solar Cell Devices and Modules",
"venue": "",
"year": 2020
},
{
"abstract": "Abstract Organic inorganic perovskite solar cells emerge as one of the most promising photovoltaic technology due to its high performances. Particularly, inverted perovskite device architecture, due to low temperature processing, have a great potential in commercialization. High crystalline quality perovskite film and interfacial passivation are essential to yield high performance devices. In this work, we employ a simple strategy of using molybdenum disulfide (MoS2) as both the interfacial layer and the additive to prepare efficient PSCs. MoS2 as an additive in perovskite can form the CH3NH3PbI3:MoS2 heterostructure, resulting in the homogeneous perovskite film with larger crystal grains. In addition, MoS2 as the buffer layer (BL) between poly (3,4 ethylene dioxythiophene) poly (styrene sulfonate) (PEDOT:PSS) and perovskite can prevent the decomposition of perovskite film by avoiding the direct contact with the hydrophilic PEDOT:PSS films. On tedious optimization, the champion device based on active layer of CH3NH3PbI3:MoS2 (10 v% as well as employing MoS2 buffer layer shows a remarkable improvement in the power conversion efficiency (PCE) (from 15.29% to 18.31% and a better stability, with 87% of the initial efficiency sustained after 20 days. Our finding herein provides a promising way to fabricate high efficiency and stable photovoltaic devices.",
"author_names": [
"Zhiyong Liu",
"Kaikai Liu",
"Feipeng Zhang",
"Sagar Motilal Jain",
"Tingwei He",
"Yuanzhi Jiang",
"Jien Yang",
"Hairui Liu",
"Mingjian Yuan"
],
"corpus_id": 213302113,
"doc_id": "213302113",
"n_citations": 15,
"n_key_citations": 0,
"score": 0,
"title": "CH3NH3PbI3:MoS2 heterostructure for stable and efficient inverted perovskite solar cell",
"venue": "",
"year": 2020
},
{
"abstract": "Abstract Efficient electron transport layer free perovskite solar cells (ETL free PSCs) with cost effective and simplified design can greatly promote the large area flexible application of PSCs. However, the absence of ETL usually leads to the mismatched indium tin oxide (ITO)/perovskite interface energy levels, which limits charge transfer and collection, and results in severe energy loss and poor device performance. To address this, a polar nonconjugated small molecule modifier is introduced to lower the work function of ITO and optimize interface energy level alignment by virtue of an inherent dipole, as verified by photoemission spectroscopy and Kelvin probe force microscopy measurements. The resultant barrier free ITO/perovskite contact favors efficient charge transfer and suppresses nonradiative recombination, endowing the device with enhanced open circuit voltage, short circuit current density, and fill factor, simultaneously. Accordingly, power conversion efficiency increases greatly from 12.81% to a record breaking 20.55% comparable to state of the art PSCs with a sophisticated ETL. Also, the stability is enhanced with decreased hysteresis effect due to interface defect passivation and inhibited interface charge accumulation. This work facilitates the further development of highly efficient, flexible, and recyclable ETL free PSCs with simplified design and low cost by interface electronic structure engineering through facile electrode modification.",
"author_names": [
"Like Huang",
"Danli Zhang",
"Shixiao Bu",
"Ruixiang Peng",
"Qiang Wei",
"Ziyi Ge"
],
"corpus_id": 213193298,
"doc_id": "213193298",
"n_citations": 22,
"n_key_citations": 0,
"score": 0,
"title": "Synergistic Interface Energy Band Alignment Optimization and Defect Passivation toward Efficient and Simple Structured Perovskite Solar Cell",
"venue": "Advanced science",
"year": 2020
}
] |
large-area integration of two | [
{
"abstract": "Integrating two dimensional (2D) materials into semiconductor manufacturing lines is essential to exploit their material properties in a wide range of application areas. However, current approaches are not compatible with high volume manufacturing on wafer level. Here, we report a generic methodology for large area integration of 2D materials by adhesive wafer bonding. Our approach avoids manual handling and uses equipment, processes, and materials that are readily available in large scale semiconductor manufacturing lines. We demonstrate the transfer of CVD graphene from copper foils (100 mm diameter) and molybdenum disulfide (MoS2) from SiO2/Si chips (centimeter sized) to silicon wafers (100 mm diameter) Furthermore, we stack graphene with CVD hexagonal boron nitride and MoS2 layers to heterostructures, and fabricate encapsulated field effect graphene devices, with high carrier mobilities of up to \\documentclass[12pt]{minimal} \\usepackage{amsmath} \\usepackage{wasysym} \\usepackage{amsfonts} \\usepackage{amssymb} \\usepackage{amsbsy} \\usepackage{mathrsfs} \\usepackage{upgreek} \\setlength{\\oddsidemargin} 69pt} \\begin{document}$4520\\\\mathrm{cm}^2{\\mathrm{V} 1}\\mathrm{s} 1}\\end{document}4520cm2V 1s 1. Thus, our approach is suited for backend of the line integration of 2D materials on top of integrated circuits, with potential to accelerate progress in electronics, photonics, and sensing.",
"author_names": [
"Arne Quellmalz",
"Xiaojing Wang",
"Simon Sawallich",
"Burkay Uzlu",
"Martin Otto",
"Stefan Wagner",
"Zhenxing Wang",
"Maximilian Prechtl",
"Oliver Hartwig",
"Siwei Luo",
"Georg S Duesberg",
"Max Christian Lemme",
"Kristinn B Gylfason",
"Niclas Roxhed",
"Goran Stemme",
"Frank Niklaus"
],
"corpus_id": 230385449,
"doc_id": "230385449",
"n_citations": 7,
"n_key_citations": 0,
"score": 1,
"title": "Large area integration of two dimensional materials and their heterostructures by wafer bonding",
"venue": "Nature communications",
"year": 2021
},
{
"abstract": "We have fabricated large area integrated top gate <inline formula> <tex math notation=\"LaTeX\"{n} /tex math>/inline formula>MISFETs with sputter deposited MoS<sub>2</sub> film having n type operation. A sputtering method enables us to form a large area MoS<sub>2</sub> thin film followed by H<sub>2</sub>S annealing to compensate sulfur vacancies. Two passivation films of ALD Al<sub>2</sub>O<sub>3</sub> enhance the process endurance of MoS<sub>2</sub> channel. Therefore, we demonstrate TiN top gate <inline formula> <tex math notation=\"LaTeX\"{n} /tex math>/inline formula>MISFET, which is a substantial first step to realize industrial chip level LSIs with MoS<sub>2</sub> channel FETs.",
"author_names": [
"Kentaro Matsuura",
"Jun'ichi Shimizu",
"Mayato Toyama",
"Takumi Ohashi",
"Iriya Muneta",
"Seiya Ishihara",
"Kuniyuki Kakushima",
"Kazuo Tsutsui",
"Atsushi Ogura",
"Hitoshi Wakabayashi"
],
"corpus_id": 58821724,
"doc_id": "58821724",
"n_citations": 6,
"n_key_citations": 0,
"score": 0,
"title": "Sputter Deposited MoS2 {n} MISFETs With Top Gate and Al2O3 Passivation Under Low Thermal Budget for Large Area Integration",
"venue": "IEEE Journal of the Electron Devices Society",
"year": 2018
},
{
"abstract": "Two dimensional transition metal chalcogenides (2D TMDCs) show photoluminescence (PL) as a result of direct band gap transitions at visible wavelengths. Although 2D TMDCs have been considered for use in next generation optoelectronics, practical applications are restricted by their low absorption and emission efficiency. To overcome these limitations using plasmonic local field enhancement, we propose the integration of gold nanoparticles with 2D TMDCs over a centimeter scale area. Using self assembled gold nanoshell monolayers, we produce a 10 fold increase in the PL of 2D TMDCs. We expect our method to provide a means for the large area, low cost fabrication of plasmon enhanced 2D TMDCs for optoelectronic applications.",
"author_names": [
"Min-Gon Lee",
"SeokJae Yoo",
"Taehyung Kim",
"Q -Han Park"
],
"corpus_id": 206105149,
"doc_id": "206105149",
"n_citations": 13,
"n_key_citations": 0,
"score": 0,
"title": "Large area plasmon enhanced two dimensional MoS2.",
"venue": "Nanoscale",
"year": 2017
},
{
"abstract": "Here we present a very fast, selective mechanical approach for transferring graphene with low levels of copper contamination from seed wafers on which it was grown to target wafers for very large scale integration (VLSI) electronics. We found that graphene/copper or copper/silicon oxide delamination paths could be selected by slow and faster separation rates, respectively. Thus graphene can be transferred to a target wafer, either exposed or protected by the seed copper layer, which can later be removed by etching. Delamination paths were identified by SEM and Raman spectroscopy. The sheet resistance of the graphene produced by the two approaches was slightly higher than graphene transferred by a PMMA wet transfer process, indicating reduced impurity doping, and the variation in the sheet resistance values was much lower. Copper contamination levels, quantitatively established by TOF SIMS, were several orders of magnitude lower than the values for PMMA assisted transfer. In addition, we demonstrated that top gated transistor devices from our mechanical, delamination transferred graphene exhibited superior transistor behavior to PMMA assisted wet transfer graphene. The adhesion energy, strength and range of the interactions were quantitatively determined by nonlinear fracture analyses, and suggest that the roughness of the interface between graphene and copper plays an important role with implications for improvements in manufacturing processes.",
"author_names": [
"Seung Ryul Na",
"Somayyeh Rahimi",
"L Tao",
"Harry Chou",
"Shideh K Ameri",
"Deji Akinwande",
"Kenneth M Liechti"
],
"corpus_id": 205983790,
"doc_id": "205983790",
"n_citations": 27,
"n_key_citations": 1,
"score": 0,
"title": "Clean graphene interfaces by selective dry transfer for large area silicon integration.",
"venue": "Nanoscale",
"year": 2016
},
{
"abstract": "MXenes, an emerging class of two dimensional (2D) transition metal carbides and nitrides, have potential for application as high performance, low cost electrodes in organic field effect transistors (OFETs) because of their water dispersibility, high conductivity, and work function tunability. In this study, we successfully fabricated a large scale, uniform Ti3C2Tx MXene electrode array on a flexible plastic substrate for application in high performance OFETs. The work function of the Ti3C2Tx MXene electrodes was also effectively modulated via chemical doping with NH3. The fabricated OFETs with Ti3C2Tx MXene electrodes exhibited excellent device performance, such as a maximum carrier mobility of ~1 cm2*V 1*s 1 and an on off current ratio of ~107 for both p type and n type OFETs, even though all the electrode and dielectric layers were fabricated on the plastic substrate by solution processing. Furthermore, MXene electrode based complementary logic circuits, such as NOT, NAND, and NOR, were fabricated via integration of p type and n type OFETs. The proposed approach is expected to expand the application range of MXenes to other OFET based electronic devices, such as organic light emitting displays and electronic skins.",
"author_names": [
"Benzheng Lyu",
"Minjee Kim",
"Hongyue Jing",
"Joohoon Kang",
"Chuan Qian",
"Sungjoo Lee",
"Jeong Ho Cho"
],
"corpus_id": 203441470,
"doc_id": "203441470",
"n_citations": 67,
"n_key_citations": 0,
"score": 0,
"title": "Large Area MXene Electrode Array for Flexible Electronics.",
"venue": "ACS nano",
"year": 2019
},
{
"abstract": "Monolayer Transition Metal Dichalcogenides (TMDs) have recently attracted great interest in the field of photonics because of their distinctive optical and spin properties. In contrast to bulk TMD materials, which are indirect bandgap semiconductors, monolayer TMDs are highly optically active due to a direct bandgap ranging between 1 and 2 eV [1] The coupling of TMD excitons to optical fields can be dramatically enhanced by integration with nanophotonic resonators. For instance, enhanced light extraction, signatures of Purcell enhanced emission and even lasing in coupled systems of TMD monolayers transferred onto two dimensional GaP based photonic crystal cavities (PCCs) [2,3] as well as microdisk cavities [4] was reported.",
"author_names": [
"Sebastian Hammer",
"H Moritz Mangold",
"Dominic Martinez-Ta",
"Ariana E Nguyen",
"Ludwig Bartels",
"Hubert J Krenner"
],
"corpus_id": 34325255,
"doc_id": "34325255",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Large area grown MoS2 and its integration in geometrically tunable photonic crystal cavities",
"venue": "2017 Conference on Lasers and Electro Optics Europe European Quantum Electronics Conference (CLEO/Europe EQEC)",
"year": 2017
},
{
"abstract": "Building on a unique two step, simple MBE growth technique, we have investigated possible dislocation locking mechanisms by dopant impurities, coupled with artificially introduced oxygen. In the case of n type Ge grown on Si, our materials characterization indicates that the dislocation density (DD) can reach the 10 5 cm 2 level, compared to p type and undoped Ge on Si (GoS) We note that our Ge film covers the entire underlying Si substrate at the wafer scale without mesas or limited area growth. In this presentation, we will focus on the use of n type impurity (phosphorus) diffusing from the Si substrate and the introduction of O at the Ge Si interface. The O is introduced by growing a thin chemical SiO2 layer on top of the Si substrate before Ge epitaxy begins. Z contrast cross sectional TEM images suggest the presence of oxygen precipitates in ntype Ge, whereas these precipitates appear absent in p type Ge. These oxygen precipitates are known to lock the dislocations. Supporting the argument of precipitate formation, the TEM shows fringes due to various phase boundaries that exist at the precipitate/Ge crystal interface. We speculate that the formation of phosphorus (P) segregation resulting from slow diffusion of P through precipitates at the precipitate/Gecrystal interface facilitates dislocation locking. Impurity segregations in turn suppress O",
"author_names": [
"Swapnadip Ghosh"
],
"corpus_id": 109628327,
"doc_id": "109628327",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Large area, wafer scale epitaxial growth of germanium on silicon and integration of high performance transistors",
"venue": "",
"year": 2015
},
{
"abstract": "Heterostructures involving two dimensional (2D) transition metal dichalcogenides and other materials such as graphene have a strong potential to be the fundamental building block of many electronic and optoelectronic applications. The integration and scalable fabrication of such heterostructures are of the essence in unleashing the potential of these materials in new technologies. For the first time, we demonstrate the growth of few layer MoS2 films on graphene via nonaqueous electrodeposition. Through methods such as scanning and transmission electron microscopy, atomic force microscopy, Raman spectroscopy, energy and wavelength dispersive X ray spectroscopies, and X ray photoelectron spectroscopy, we show that this deposition method can produce large area MoS2 films with high quality and uniformity over graphene. We reveal the potential of these heterostructures by measuring the photoinduced current through the film. These results pave the way toward developing the electrodeposition method for the large scale growth of heterostructures consisting of varying 2D materials for many applications.",
"author_names": [
"Yasir J Noori",
"Shibin Thomas",
"Sami Ramadan",
"Danielle E Smith",
"Victoria K Greenacre",
"Nema M Abdelazim",
"Yisong Han",
"Richard Beanland",
"Andrew L Hector",
"Norbert Klein",
"Gillian Reid",
"Philip N Bartlett",
"C H (Kees) de Groot"
],
"corpus_id": 224828493,
"doc_id": "224828493",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "Large Area Electrodeposition of Few Layer MoS2 on Graphene for 2D Material Heterostructures.",
"venue": "ACS applied materials interfaces",
"year": 2020
},
{
"abstract": "Heterostructures involving transition metal dichalcogenides and other two dimensional materials such as graphene have a strong potential to be the fundamental building block of many electronic and opto electronic applications. The integration and scalable fabrication of such heterostructures is of essence in unleashing the potential of such technologies. For the first time, we demonstrate the growth of films as thin as a bilayer MoS2 on monolayer graphene via non aqueous electrodeposition. Through methods such as scanning electron microscopy, atomic force microscopy, Raman spectroscopy, energy and wavelength dispersive X ray spectroscopies and X ray photoelectron spectroscopy, we show that this deposition method can produce large area MoS2 films with high quality and uniformity over graphene. We reveal the potential of these heterostructures through demonstrating the operation of the structure as a prototype photodetector. These results pave the way towards developing the electrodeposition method for the large scale growth of heterostructures consisting of varying 2D materials for many applications.",
"author_names": [
"Yasir J Noori",
"Shibin Thomas",
"Sami Ramadan",
"Danielle E Smith",
"Victoria K Greenacre",
"Nema M Abdelazim",
"Andrew L Hector",
"Norbert Klein",
"Gillian Reid",
"Phillip N Bartlett",
"C H De Groot"
],
"corpus_id": 218674493,
"doc_id": "218674493",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Large Area Electrodeposition of Ultra Thin MoS2 on Graphene for 2D Material Heterostructure Photodetectors",
"venue": "",
"year": 2020
},
{
"abstract": "The societal impact of the electronics industry is enormous not to mention how this industry impinges on the global economy. The foreseen limits of the current technology technical, economic, and sustainability issues open the door to the search for successor technologies. In this context, molecular electronics has emerged as a promising candidate that, at least in the short term, will not likely replace our silicon based electronics, but improve its performance through a nascent hybrid technology. Such technology will take advantage of both the small dimensions of the molecules and new functionalities resulting from the quantum effects that govern the properties at the molecular scale. An optimization of interface engineering and integration of molecules to form densely integrated individually addressable arrays of molecules are two crucial aspects in the molecular electronics field. These challenges should be met to establish the bridge between organic functional materials and hard electronics required for the incorporation of such hybrid technology in the market. In this review, the most advanced methods for fabricating large area molecular electronic devices are presented, highlighting their advantages and limitations. Special emphasis is focused on bottom up methodologies for the fabrication of well ordered and tightly packed monolayers onto the bottom electrode, followed by a description of the top contact deposition methods so far used.",
"author_names": [
"L Herrer",
"Santiago Martin",
"Pilar Cea"
],
"corpus_id": 225290514,
"doc_id": "225290514",
"n_citations": 5,
"n_key_citations": 0,
"score": 0,
"title": "Nanofabrication Techniques in Large Area Molecular Electronic Devices",
"venue": "",
"year": 2020
}
] |
chemical water pollution treatment | [
{
"abstract": "Enhancing visible light response and inhibiting the recombination of photogenerated charge carriers are vital for Bi4Ti3O12 nanosheets to achieve high activity in the fields of hydrogen generation and water pollutant treatment. Hence, in this work, Bi4Ti3O12/I BiOCl 2D/2D heterojunction systems have been constructed successfully for the first time, via a modified in situ ion exchange approach at room temperature. The intimate 2D/2D interface can provide sufficient contact surfaces to enhance the charge transfer rate and the doping of I ions can dramatically improve the visible light absorption of the composites, ensuring the quantity of photogenerated electron hole pairs. Moreover, the well matched band structure can lead to the effective separation of photogenerated charges. Optical and electrochemical measurements are used to prove the above mentioned points. Bi4Ti3O12/I BiOCl exhibited highly enhanced visible light catalytic activity towards hydrogen production and organic pollutant degradation, due to the reduced recombination rate of photogenerated carriers and the dramatically enhanced visible light absorption. The possible photocatalytic mechanism was proposed based on the results of active species trapping experiment and ESR analysis. This work may open up new prospects for constructing other 2D/2D bismuth based semiconductor photocatalysts with distinguished visible light response and efficient charge carrier separation rate.",
"author_names": [
"Kun Qian",
"Xia Li",
"Zhifeng Jiang",
"Wei Wei",
"Linlin Chen",
"Jimin Xie"
],
"corpus_id": 102983196,
"doc_id": "102983196",
"n_citations": 36,
"n_key_citations": 0,
"score": 1,
"title": "In situ chemical transformation synthesis of Bi4Ti3O12/I BiOCl 2D/2D heterojunction systems for water pollution treatment and hydrogen production",
"venue": "",
"year": 2017
},
{
"abstract": "Abstract The paper proposes discussions of some concrete datasets resulted for three solutions of wastewaters management systems applied in case of a Romanian petroleum chemical company. These management options, differing especially in type (centralized or decentralized system) and wastewaters treatment system, were emphasized, mainly by real datasets on treatment performance, polluting species removals, risk of some residuals in treated effluents and natural watercourse nearby, but also environmental impact assessment, using the alternative methodology of global pollution index (I*GP) Interpreting datasets based on in time analyzed physical chemical quality indicators and river characteristics, it was estimated the standard quality classification of receiving watercourse as 'medium' (water quality indices, WQI 55.58 67.47) affected more or less by treated effluents discharged in it. The environmental risks of some selected residuals in treated effluents and natural aquatic receptor were assessed by the risk quotient (RQ) which appreciated the risk of residuals toward aquatic life as no to low medium level, with few exceptions. The impact assessment results conclude an admissible pollution status of natural water receptor due to company wastewaters mechanical chemical biological treatment plant activities, in decentralized (I*GP 1.73) and centralized (I*GP 1.83) system, but generating discomfort effects to aquatic life due to only mechanical chemical treatment plant operating in decentralized system (I*GP 2.205) Direct discharge of un treated industrial wastewaters had been interdicted. These real results underline that decentralized systems are reliable, qualitatively efficient and costly effective, offering long term solution, and that all wastewaters management strategies of petroleum chemical company had been adapted to specific on site functioning situations.",
"author_names": [
"Carmen Zaharia"
],
"corpus_id": 113629929,
"doc_id": "113629929",
"n_citations": 23,
"n_key_citations": 0,
"score": 0,
"title": "Decentralized wastewater treatment systems: Efficiency and its estimated impact against onsite natural water pollution status. A Romanian case study",
"venue": "",
"year": 2017
},
{
"abstract": "Water covers about 70% of the Earth's surface whereas 0.002% of the water is available for human consumption. Contaminated water is the main source of infectious diseases (e.g. Amoebiasis and Malaria, Cholera, Dysentery, Paratyphoid Fever, Typhoid, Jaundice) The WHO reports that one sixth of the world's population (1.1 billion people) does not have access to safe water. Water pollutions that come from industry, agriculture or households, returns negatively back to the environment. Chemical wastes (e.g. Arsenic, Fluorides, Lead, Nitrates, Pesticides, Petro chemicals) in the water have negative effect on living organism in water and subsequently on our health. The effects of water pollution are varied and depend on chemicals kinds that dumped and their locations (urban areas are highly polluted) Pollutants such as lead and cadmium are consumed by tiny animals. Later, the food chain continues to be disrupted at all higher levels. Several countries sought to regulate the discharges of pollutants in the water to minimize pollution and contamination through various treatments. In this review, we are going to explain the main source of water pollution to promote sustainable use of water. Moreover, ensuring the highest protection of water from all hazardous chemicals.",
"author_names": [
"Sulaiman A Alrumman",
"Attalla Farag El-kott",
"Sherif Mas Keshk"
],
"corpus_id": 183753355,
"doc_id": "183753355",
"n_citations": 34,
"n_key_citations": 1,
"score": 0,
"title": "Water Pollution: Source Treatment",
"venue": "",
"year": 2016
},
{
"abstract": "This study evaluated the use of vinasse as a substrate for microbial biomass production and its disposal impact on the environment. After grown in vinasse, the microbial biomass (SCP) of two Saccharomyces cerevisiae strains, CCMA 0137 and CCMA 0188, showed high levels of essential amino acids (3.78% varying levels of chemical elements, and low nucleic acid content (2.38% i. e, good characteristics to food supplemements. Following biological treatment, spent vinasse biochemical oxygen demand (BOD) and chemical oxygen demand (COD) decreased to 51.56 and 29.29% respectively. Cultivation with S. cerevisiae significantly reduced short term phytotoxicity and toxicity on soil microbiota of spent vinasse.",
"author_names": [
"Josiane Ferreira Pires",
"Gustavo Magno dos Reis Ferreira",
"Kelly Cristina dos Reis",
"Rosane Freitas Schwan",
"Cristina Ferreira Silva"
],
"corpus_id": 8913759,
"doc_id": "8913759",
"n_citations": 23,
"n_key_citations": 2,
"score": 0,
"title": "Mixed yeasts inocula for simultaneous production of SCP and treatment of vinasse to reduce soil and fresh water pollution.",
"venue": "Journal of environmental management",
"year": 2016
},
{
"abstract": "The impact and occurrence of wastewater (treated and untreated) derived pharmaceutically active compounds (PhACs) have been investigated in small, rural and effluent dominated tributaries of the lower Ebro River located in the North Eastern Spain (Catalonia) We have observed the predominant effect of stream flow and consequently dilution factor on the concentration levels of detected PhACs that combined with the absence of wastewater treatment plants (WWTP) resulted in 12 times higher concentrations in streams with direct discharge of untreated wastewater. Non steroidal anti inflammatory drugs (NSAIDs) were the most ubiquitous compounds, in terms of both individual concentration and frequency of detection. In the sites impacted by raw wastewater, acetaminophen and ibuprofen showed the highest concentrations among all analyzed PhACs, reaching concentrations up to 7.78mgL 1 and 2.66mgL 1, respectively. However, PhACs detected in the sites impacted by treated wastewater showed generally lower concentration levels and frequencies of detection. Also, effluent dominated streams showed higher concentration levels of PhACs due to a generally lower stream flows and small dilution factors. However, concentration levels of detected PhACs were dependent on the hydraulic travel time and distance from the discharge point and related with the in stream attenuation. As a result, this study highlights the combined impact of hydrological and chemical stressors on the water quality of the rural Mediterranean aquatic ecosystems.",
"author_names": [
"Ladislav Mandaric",
"Jordi-Rene Mor",
"Sergi Sabater",
"Mira Petrovic"
],
"corpus_id": 4012645,
"doc_id": "4012645",
"n_citations": 25,
"n_key_citations": 1,
"score": 0,
"title": "Impact of urban chemical pollution on water quality in small, rural and effluent dominated Mediterranean streams and rivers.",
"venue": "The Science of the total environment",
"year": 2018
},
{
"abstract": "Quality of the essential commodity, water, is being compromised by contaminants originating from anthropogenic sources, industrial activities, agriculture, etc. Water scarcity and severe droughts in many regions of the world also represent a significant challenge to availability of this resource. Domestic rainwater harvesting, which involves collection and storage of water from rooftops and diverse surfaces, is successfully implemented worldwide as a sustainable water supplement. This review focuses on chemical and microbial qualities of domestic rainwater harvesting, with a particular focus on sources of chemical pollution and major pathogens associated with the water source. Incidences of disease linked to consumption and utilization of harvested rainwater are also discussed. In addition, various procedures and methods used for disinfection and treatment of harvested rainwater, such as implementation of filter systems (activated carbon, slow sand filtration, etc. heat treatment, and chlorination, among others, are also presented.",
"author_names": [
"Michele de Kwaadsteniet",
"Penelope Heather Dobrowsky",
"A van Deventer",
"Wesaal Khan",
"Thomas Eugene Cloete"
],
"corpus_id": 98781357,
"doc_id": "98781357",
"n_citations": 63,
"n_key_citations": 4,
"score": 0,
"title": "Domestic Rainwater Harvesting: Microbial and Chemical Water Quality and Point of Use Treatment Systems",
"venue": "Water, Air, Soil Pollution",
"year": 2013
},
{
"abstract": "The BAT reference document (BREF) entitled 'Common Waste Water and Waste Gas Treatment/Management Systems in the Chemical Sector' forms part of a series presenting the results of an exchange of information between EU Member States, the industries concerned, non governmental organisations promoting environmental protection, and the Commission, to draw up, review and, where necessary, update BAT reference documents as required by Article 13(1) of the Directive 2010/75/EU on industrial emissions. This document is published by the European Commission pursuant to Article 13(6) of the Directive. This BREF for Common Waste Water and Waste Gas Treatment/Management Systems in the Chemical Sector concerns the activities specified in Sections 4 and 6.11 of Annex I to Directive 2010/75/EU, namely: Section 4: Chemical industry; Section 6.11: Independently operated treatment of waste water not covered by Council Directive 91/271/EEC and discharged by an installation undertaking activities covered under Section 4 of Annex I to Directive 2010/75/EU. This document also covers the combined treatment of waste water from different origins if the main pollutant load originates from the activities covered under Section 4 of Annex I to Directive 2010/75/EU. In particular, this document covers the following issues: environmental management systems; water saving; waste water management, collection, and treatment; waste management; treatment of waste water sludge with the exception of incineration; waste gas management, collection, and treatment; flaring; diffuse emissions of volatile organic compounds (VOCs) to air; odour emissions; noise emissions. Important issues for the implementation of Directive 2010/75/EU in the chemical industry are emissions to air and water. This BREF contains six chapters. Chapter 1 provides general information on waste water and waste gas. Chapter 2 provides data and information concerning the environmental performance of waste water treatment plants (WWTPs) at chemical sites. Chapter 3 describes in more detail the techniques to prevent or, where this is not practicable, to reduce the environmental impact of operating installations in this sector that were considered in determining the BAT. This information includes, where relevant, the environmental performance levels (e.g. emission and consumption levels) which can be achieved by using the techniques, the associated monitoring and the costs and the cross media issues associated with the techniques. Chapter 4 presents the BAT conclusions as defined in Article 3(12) of the Directive. Chapter 5 presents information on 'emerging techniques' as defined in Article 3(14) of the Directive. Chapter 6 is dedicated to concluding remarks and recommendations for future work. Legal Notice Under the Commission Decision of 12 December 2011 on the Re use of Commission Documents (2011/833/EU) the present BREF document is subject to free re use, except for parts covered by any third party rights which may be present in the document (such as images, tables, data, written material, or similar, the rights to which need to be acquired separately from their respective rights holders for further use) The European Commission is not liable for any consequence stemming from the re use of this publication. Any re use is subject to the acknowledgement of the source and non distortion of the original meaning or message.",
"author_names": [
"Brinkmann Thomas",
"Giner Santonja German",
"Yukseler Hande",
"Roudier Serge",
"Delgado Sancho Luis"
],
"corpus_id": 115045770,
"doc_id": "115045770",
"n_citations": 30,
"n_key_citations": 4,
"score": 0,
"title": "Best Available Techniques (BAT) Reference Document for Common Waste Water and Waste Gas Treatment/Management Systems in the Chemical Sector. Industrial Emissions Directive 2010/75/EU (Integrated Pollution Prevention and Control)",
"venue": "",
"year": 2016
},
{
"abstract": "Abstract It is becoming increasingly important to control the discharge of industrial wastewater from industrial parks in order to reduce water pollution. In this study, a comprehensive investigation of water treatment at industrial parks in two large basins in China was carried out, involving comparative and correlation analysis of wastewater quality, pollutant removal, sludge production and cost. In both basins, the average influent chemical oxygen demand, ammonia, and total nitrogen at the centralized wastewater treatment plants in chemical parks were higher than those in comprehensive parks. Anaerobic anoxic oxic and anoxic oxic were the most widely used treatment processes at both comprehensive and chemical parks in the two basins similar to municipal wastewater treatment plants in China. The operating costs of centralized wastewater treatment plants in the comprehensive and chemical parks comprised the following components: electricity labor chemical costs. Reductions in chemical oxygen demand, ammonia and total nitrogen and total phosphorus in the centralized wastewater treatment plants in the parks led to an average reduction of 28% in total pollutants in municipal and industrial wastewater in the two basins. These results could have supports for the design and optimization of wastewater treatment processes, as well as for evaluation of how centralized wastewater treatment plants can help to control water pollution.",
"author_names": [
"Sha Long",
"Lin Zhao",
"Tongtong Shi",
"Jingcheng Li",
"Jiangye Yang",
"Hongbo Liu",
"Guozhu Mao",
"Zhi Qiao",
"Yong-kui Yang"
],
"corpus_id": 158477771,
"doc_id": "158477771",
"n_citations": 28,
"n_key_citations": 0,
"score": 0,
"title": "Pollution control and cost analysis of wastewater treatment at industrial parks in Taihu and Haihe water basins, China",
"venue": "",
"year": 2018
},
{
"abstract": "Chemical treatment of non point derived pollution often suffers from undesirable oscillations in purification efficiency due to variations in runoff water quality. This study examined the response of the chemical purification process to variations in water quality using a 2(k) factorial design for runoff water rich in humic substances. The four k factors evaluated and the levels applied were: organic matter as dissolved organic carbon (DOC) (20 70 mg/L) suspended solids (SS) (10 60 mg/L) initial water pH (4.5 7) and applied coagulant dosage (ferric sulphate) (35 100 mg/L) Indicators of purification efficiency were residual concentration of DOC, SS and total phosphorus (tot P) Analysis of variance and factor effect calculations showed that the initial DOC concentration in raw water samples and its interactions with the coagulant dosage applied exerted the most significant influence on the chemical purification process, substantially affecting the residual concentration of DOC, SS and tot P. The variations applied to the factors SS and pH only slightly affected purification efficiency. The results can be used in the design of purification systems with high organic matter load variation, e.g. peat extraction runoff.",
"author_names": [
"Elisangela Heiderscheidt",
"Tiina Leiviska",
"Bjorn Klove"
],
"corpus_id": 4705258,
"doc_id": "4705258",
"n_citations": 12,
"n_key_citations": 1,
"score": 0,
"title": "Chemical treatment response to variations in non point pollution water quality: results of a factorial design experiment.",
"venue": "Journal of environmental management",
"year": 2015
},
{
"abstract": "Human activities are responsible for the release of innumerous substances into the aquatic environment. Some of these substances can be used as pollution tracers to identify contamination sources and to prioritize monitoring and remediation actions. Thus, their identification and quantification are of high priority. However, due to their presence in complex matrices and at significantly low concentrations, a pre treatment/concentration step is always required. As an alternative to the currently used pre treatment methods, mainly based on solid phase extractions, aqueous biphasic systems (ABS) composed of ionic liquids (ILs) and K3C6H5O7 are here proposed for the simultaneous extraction and concentration of mixtures of two important pollution tracers, caffeine (CAF) and carbamazepine (CBZ) An initial screening of the IL chemical structure was carried out, with extraction efficiencies of both tracers to the IL rich phase ranging between 95 and 100% obtained in a single step. These systems were then optimized in order to simultaneously concentrate CAF and CBZ from water samples followed by HPLC UV analysis, for which no interferences of the ABS phase forming components and other interferents present in a wastewater effluent sample have been found. Based on the saturation solubility data of both pollution tracers in the IL rich phase, the maximum estimated concentration factors of CAF and CBZ are 28595 and 8259 fold. IL based ABS can be thus envisioned as effective pre treatment techniques of environmentally related aqueous samples for a more accurate monitoring of mixtures of pollution tracers.",
"author_names": [
"Teresa B V Dinis",
"Helena Passos",
"Diana L D Lima",
"Ana Catarina Sousa",
"Joao A P Coutinho",
"Valdemar I Esteves",
"Mara G Freire"
],
"corpus_id": 38810995,
"doc_id": "38810995",
"n_citations": 19,
"n_key_citations": 1,
"score": 0,
"title": "Simultaneous extraction and concentration of water pollution tracers using ionic liquid based systems.",
"venue": "Journal of chromatography. A",
"year": 2018
}
] |
Current-induced torques in magnetic materials | [
{
"abstract": "The magnetization of a magnetic material can be reversed by using electric currents that transport spin angular momentum. In the reciprocal process a changing magnetization orientation produces currents that transport spin angular momentum. Understanding how these processes occur reveals the intricate connection between magnetization and spin transport, and can transform technologies that generate, store or process information via the magnetization direction. Here we explain how currents can generate torques that affect the magnetic orientation and the reciprocal effect in a wide variety of magnetic materials and structures. We also discuss recent state of the art demonstrations of current induced torque devices that show great promise for enhancing the functionality of semiconductor devices.",
"author_names": [
"Arne Brataas",
"Andrew D Kent",
"Hideo Ohno"
],
"corpus_id": 17476258,
"doc_id": "17476258",
"n_citations": 734,
"n_key_citations": 17,
"score": 1,
"title": "Current induced torques in magnetic materials.",
"venue": "Nature materials",
"year": 2012
},
{
"abstract": "",
"author_names": [
"Arne Brataas",
"Andrew D Kent",
"Hideo Ohno"
],
"corpus_id": 197045958,
"doc_id": "197045958",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Current Induced Torques in Magnetic Materials",
"venue": "",
"year": 2012
},
{
"abstract": "Spin orbit coupling in inversion asymmetric magnetic crystals and structures has emerged as a powerful tool to generate complex magnetic textures, interconvert charge and spin under applied current, and control magnetization dynamics. Current induced spin orbit torques mediate the transfer of angular momentum from the lattice to the spin system, leading to sustained magnetic oscillations or switching of ferromagnetic as well as antiferromagnetic structures. The manipulation of magnetic order, domain walls and skyrmions by spin orbit torques provides evidence of the microscopic interactions between charge and spin in a variety of materials and opens novel strategies to design spintronic devices with potentially high impact in data storage, nonvolatile logic, and magnonic applications. This paper reviews recent progress in the field of spin orbitronics, focusing on theoretical models, material properties, and experimental results obtained on bulk noncentrosymmetric conductors and multilayer heterostructures, including metals, semiconductors, and topological insulator systems. Relevant aspects for improving the understanding and optimizing the efficiency of nonequilibrium spin orbit phenomena in future nanoscale devices are also discussed.",
"author_names": [
"Aurelien Manchon",
"Ioan Mihai Miron",
"Tomas Jungwirth",
"Jairo Sinova",
"J Zelezn'y",
"Andr'e Thiaville",
"Kevin Garello",
"Pietro Gambardella"
],
"corpus_id": 118996860,
"doc_id": "118996860",
"n_citations": 363,
"n_key_citations": 11,
"score": 0,
"title": "Current induced spin orbit torques in ferromagnetic and antiferromagnetic systems",
"venue": "Reviews of Modern Physics",
"year": 2019
},
{
"abstract": "Spintronics exploit spin orbit coupling (SOC) to generate spin currents, spin torques, and, in the absence of inversion symmetry, Rashba and Dzyaloshinskii Moriya interactions. The widely used magnetic materials, based on 3d metals such as Fe and Co, possess a small SOC. To circumvent this shortcoming, the common practice has been to utilize the large SOC of nonmagnetic layers of 5d heavy metals (HMs) such as Pt, to generate spin currents and, in turn, exert spin torques on the magnetic layers. Here, a new class of material architectures is introduced, excluding nonmagnetic 5d HMs, for high performance spintronics operations. Very strong current induced torques exerted on single ferrimagnetic GdFeCo layers, due to the combination of large SOC of the Gd 5d states and inversion symmetry breaking mainly engineered by interfaces, are demonstrated. These \"self torques\" are enhanced around the magnetization compensation temperature and can be tuned by adjusting the spin absorption outside the GdFeCo layer. In other measurements, the very large emission of spin current from GdFeCo, 80% (20% of spin anomalous Hall effect (spin Hall effect) symmetry is determined. This material platform opens new perspectives to exert \"self torques\" on single magnetic layers as well as to generate spin currents from a magnetic layer.",
"author_names": [
"David C'espedes-Berrocal",
"Heloise Damas",
"Sebastien Petit-Watelot",
"Davide Maccariello",
"Ping Tang",
"Aldo Arriola-C'ordova",
"Pierre Vallobra",
"Yong Xu",
"Jean-Lois Bello",
"Elodie Martin",
"Sylvie Migot",
"Jaafar Ghanbaja",
"Shufeng Zhang",
"Michel Hehn",
"Stephane Mangin",
"Christos Panagopoulos",
"Vincent Cros",
"Albert Fert",
"Juan-Carlos Rojas-Sanchez"
],
"corpus_id": 224713606,
"doc_id": "224713606",
"n_citations": 6,
"n_key_citations": 0,
"score": 0,
"title": "Current Induced Spin Torques on Single GdFeCo Magnetic Layers.",
"venue": "Advanced materials",
"year": 2021
},
{
"abstract": "In this review article, an insight of the physics that explains the phenomenon of torques induced by currents in systems comprising ferromagnetic (FM) non magnetic (NM) materials has been provided with particular emphasis on experiments that concern the observation of such torques. An important requirement of systems that enables observation of such relativistic torques is that the material needs to possess large spin orbit coupling (SOC) In addition, the FM/NM interface should be of high quality so that spin angular momentum can be transferred across the interface. Under such conditions, the magnetization of a magnetic material experiences a torque, and can be reversed, thanks to the phenomenon of the spin Hall effect in the NM layer with large SOC. A reciprocal process also occurs, in which a changing magnetization orientation can produce spin current, i.e. current that supports spin angular momentum. It is important to know how these processes occur which often tells us about the close connection between magnetization and spin transport. This paves the way to transform technologies that process information via magnetization direction, namely in magnetic recording industry. This field of physics being relatively young much remains to be understood and explored. Through this review we have attempted to provide a glimpse of existing understanding of current induced torques in ferromagnetic thin film heterostructures along with some future challenges and opportunities of this evolving area of spintronics. Specifically, we have discussed the state of the art demonstrations of current induced torque devices that show great promise for enhancing the functionality of magnetic memory devices.",
"author_names": [
"Bhaskar Kaviraj",
"Jaivardhan Sinha"
],
"corpus_id": 105530723,
"doc_id": "105530723",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Relativistic torques induced by currents in magnetic materials: physics and experiments",
"venue": "",
"year": 2018
},
{
"abstract": "There exists a significant challenge in developing efficient magnetic tunnel junctions with low write currents for non volatile memory devices. With the aim of analysing potential materials for efficient current operated magnetic junctions we have developed a multi scale methodology combining the ab initio calculations of spin transfer torque with large scale time dependent simulations using atomistic spin dynamics. In this work we introduce our multi scale approach including a discussion on a number of possible mapping schemes the ab initio spin torques into the spin dynamics. We demonstrate this methodology on a prototype Co/MgO/Co/Cu tunnel junction showing that the spin torques are primarily acting at the interface between the Co free layer and MgO. Using spin dynamics we then calculate the reversal switching times for the free layer and the critical voltages and currents required for such switching. Our work provides an efficient, accurate and versatile framework for designing novel current operated magnetic devices, where all the materials details are take into account.",
"author_names": [
"Matthew O A Ellis",
"Maria Stamenova",
"Stefano Sanvito"
],
"corpus_id": 59437896,
"doc_id": "59437896",
"n_citations": 23,
"n_key_citations": 4,
"score": 0,
"title": "Multiscale modeling of current induced switching in magnetic tunnel junctions using ab initio spin transfer torques",
"venue": "",
"year": 2017
},
{
"abstract": "Control of magnetization in magnetic nanostructures is essential for development of spintronic devices because it governs fundamental device characteristics such as energy consumption, areal density, and operation speed. In this respect, spin orbit torque (SOT) which originates from the spin orbit interaction, has been widely investigated due to its efficient manipulation of the magnetization using in plane current. SOT spearheads novel spintronic applications including high speed magnetic memories, reconfigurable logics, and neuromorphic computing. Herein, recent advances in SOT research, highlighting the considerable benefits and challenges of SOT based spintronic devices, are reviewed. First, the materials and structural engineering that enhances SOT efficiency are discussed. Then major experimental results for field free SOT switching of perpendicular magnetization are summarized, which includes the introduction of an internal effective magnetic field and the generation of a distinct spin current with out of plane spin polarization. Finally, advanced SOT functionalities are presented, focusing on the demonstration of reconfigurable and complementary operation in spin logic devices.",
"author_names": [
"Jeongchun Ryu",
"Soogil Lee",
"Kyung-Jin Lee",
"Byong-Guk Park"
],
"corpus_id": 212568560,
"doc_id": "212568560",
"n_citations": 22,
"n_key_citations": 0,
"score": 0,
"title": "Current Induced Spin Orbit Torques for Spintronic Applications.",
"venue": "Advanced materials",
"year": 2020
},
{
"abstract": "We report on the switching of the in plane magnetization of thin yttrium iron garnet (YIG)/Pt bilayers induced by an electrical current. The switching is either field induced and assisted by a dc current, or current induced and assisted by a static magnetic field. The reversal of magnetization occurs at a current density as low as 105 A/cm2 and magnetic fields of ~40 mT, two orders of magnitude smaller than in ferromagnetic metals, and consistent with the weak uniaxial anisotropy of the YIG layers. We use the transverse component of the spin Hall magnetoresistance to sense the magnetic orientation of YIG while sweeping the current. Our measurements and simulations reveal that the current induced effective field responsible for switching is due to the Oersted field generated by the current flowing in the Pt layer rather than by spin orbit torques, and that the switching efficiency is influenced by pinning of the magnetic domains.",
"author_names": [
"Johannes Mendil",
"Morgan Trassin",
"Quingquing Bu",
"Manfred Fiebig",
"Pietro Gambardella",
"Department of Materials",
"Eth Zurich",
"8093 Zurich",
""
],
"corpus_id": 129945648,
"doc_id": "129945648",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "Current induced switching of YIG/Pt bilayers with in plane magnetization due to Oersted fields",
"venue": "Applied Physics Letters",
"year": 2019
},
{
"abstract": "We have studied the effects of electrical current pulses on skyrmion nucleation in a series of Pt/Co/Ni based multilayers. Transmission X ray microscopy reveals that by applying electrical current pulses of duration and current density on the order of \\tau$=50 \\mu$s and j=10$^9$ ^1$^0$ A/m$^2$ respectively, in an applied magnetic field of \\mu$$_0$Hz=50 mT, stripe to skyrmion transformations are attained. The skyrmions remain stable across a wide range of magnetic fields, including zero field. We primarily attribute the transition to current induced Joule heating, with the minor contribution of spin orbit torques. Reducing the magnetic moment and perpendicular anisotropy using rare earth spacers lowers the current and magnetic field needed to accomplish the transition. These findings support the idea that energetic inputs allow for the formation and stabilization of skyrmions in a broader class of materials than previously thought possible, and that material properties can be tuned to yield a more energy efficient stabilization of the skyrmion phase.",
"author_names": [
"J A Brock",
"S A Montoya",
"Mi-Young Im",
"Eric E Fullerton"
],
"corpus_id": 225531534,
"doc_id": "225531534",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Energy efficient current induced skyrmion phases in Co/Ni/Pt based multilayers",
"venue": "",
"year": 2020
},
{
"abstract": "In magnets without inversion symmetry weak spin orbit coupling leads to the formation of smooth twisted magnetic structures like helices with a long period. In 2009, a new chiral magnetic phase was observed in the helimagnet manganese silicide (MnSi) within a certain temperature and magnetic field range. It turned out that this phase is a lattice of skyrmions which are topologically stable whirl lines. In the first Part of this Thesis, we review the discovery of the skyrmion lattice in MnSi, its manifestation in other chiral magnets and in thin films. Furthermore, we review the Ginzburg Landau theory for chiral magnetic structures describing their equilibrium properties, followed by a discussion of additional terms that orient and distort the skyrmion lattice. Finally, we analyze the crystalline nature of the skyrmion lattice. In the second Part of this Thesis, we investigate the interplay of electric currents and magnetic structures in bulk materials, in particular the skyrmion phase. Electrons traversing a spatially or temporally inhomogeneous magnetization configuration pick up a Berry phase which, rewritten as an Aharonov Bohm phase arising from emergent magnetic and electric fields, leads to an effective Lorentz force acting on the electrons. For the skyrmion lattice these emergent fields are particularly interesting as the emergent magnetic field is quantized due to the topology of the skyrmions. On the other hand, the electric current induces forces on the magnetic texture via spin tranfer torques, describing the transfer of angular momentum from the conduction electrons to the local magnetic structure. We show that skyrmions act very sensitively to electric currents, and we study their current induced dynamics, i.e. the translational motion as well as rotations of the topologically stable knots. This research was, is and will be done in cooperation with recent experiments performed by the group of Prof. Dr. Christian Pfleiderer from the Technical University of Munich. The very efficient coupling of skyrmions to electric currents was experimentally confirmed by an ultra low threshold current density of about 10^6 A/m^2 above which spin transfer torque effects were observed. It is about five order of magnitude smaller compared to that of other present day spin torque effects like domain wall motion. Hence, skyrmions are expected to be excellent systems to study the interplay of magnetism and electric currents, thereby advancing the general understanding of spin transfer torque effects. We further think that the gained knowledge from such studies might be useful for future spintronic devices.",
"author_names": [
"Karin Everschor"
],
"corpus_id": 117262432,
"doc_id": "117262432",
"n_citations": 15,
"n_key_citations": 0,
"score": 0,
"title": "Current Induced Dynamics of Chiral Magnetic Structures Skyrmions, Emergent Electrodynamics and Spin Transfer Torques",
"venue": "",
"year": 2012
}
] |
Properties of split ring resonators metamaterials terahertz sensor on flexible substrates | [
{
"abstract": "Enhance sensitivity of the terahertz (THz) wave sensor by the THz wave control characteristic based on artificial electromagnetic meta materials, is a hot spot in current research. The performance of split ring resonators (SRRs) THz sensor on Mylar substrate is numerical simulated with COMSOL Multiphysics software in this paper. The two key characteristic parameters of the THz sensor are analyzed with COMSOL Multiphysics software, which are the thickness of the Mylar substrate and the gap width of the gold SRRs. By comparing the relationship between different parameters and the corresponding resonance frequency curve, the optimal parameters of the THz sensor are obtained which are 10 microns for the Mylar substrate thickness, 2 microns for the gap width of gold SRRs. Then the optimized THz sensor model is used to test the different thickness and relative dielectric constant material with the COMSOL Multiphysics software. The results show that the resonant frequency (f0) move to lower frequency with the increase of the relative dielectric constant of tested materials, and has a good linear relationship between the f0 and the relative dielectric constant of tested materials. While the f0 also move to lower frequency as the thickness of tested materials are increase, but when the thickness are more than 5 microns, the resonance peak stay at near 1.997 THz. They are show that the SRRs THz sensor on flexible substrate has high measurement precision, sensitivity, and reliability when the tested materials are common metal, semiconductor and medium layer which layer thickness is less than 5 microns, and the relative dielectric constant for the range of 1 4 by the linear relationship between the resonance frequency and the different parameters of tested materials, as well as it can provide theory references for actual testing of such terahertz sensors.",
"author_names": [
"Tianhua Meng",
"Guozhong Zhao",
"Wenyu Li",
"Ran Sun",
"Yu-He Lu",
"Ru Huang"
],
"corpus_id": 225138023,
"doc_id": "225138023",
"n_citations": 0,
"n_key_citations": 0,
"score": 1,
"title": "Properties of split ring resonators metamaterials terahertz sensor on flexible substrates",
"venue": "SPIE/COS Photonics Asia",
"year": 2020
},
{
"abstract": "We demonstrate a significant enhancement in the sensitivity of split ring resonator terahertz metamaterial dielectric sensors by the introduction of etched trenches into their inductive capacitive gap area, both through finite element simulations and in experiments performed using terahertz time domain spectroscopy. The enhanced sensitivity is demonstrated by observation of an increased frequency shift in response to overlaid dielectric material of thicknesses up to 18 um deposited on to the sensor surface. We show that sensitivity to the dielectric is enhanced by a factor of up to ~2.7 times by the incorporation of locally etched trenches with a depth of ~3.4 um, for example, and discuss the effect of the etching on the electrical properties of the sensors. Our experimental findings are in good agreement with simulations of the sensors obtained using finite element methods.",
"author_names": [
"Kun Meng",
"S J Park",
"Andrew D Burnett",
"T B Gill",
"Christopher David Wood",
"Mark C Rosamond",
"L Li",
"Li-ping Chen",
"David R Bacon",
"J R Freeman",
"Paul Dean",
"Yeong Hwan Ahn",
"Edmund H Linfield",
"A G Davies",
"John E Cunningham"
],
"corpus_id": 198385499,
"doc_id": "198385499",
"n_citations": 17,
"n_key_citations": 2,
"score": 0,
"title": "Increasing the sensitivity of terahertz split ring resonator metamaterials for dielectric sensing by localized substrate etching.",
"venue": "Optics express",
"year": 2019
},
{
"abstract": "Volatile sensing in the terahertz (THz) spectrum is attractive since many volatile molecules exhibit unique spectral signatures with higher absorption strength. In this paper, a practical approach for volatile molecular sensing at atmospheric pressure and room temperature in the THz spectrum is investigated. A metamaterial based periodic split ring resonator is designed on a porous flexible substrate and is placed in the optical beam path of a THz signal enclosed inside a sealed chamber. Different volumes of volatiles are introduced into the chamber and the resonance frequency is monitored over time. The substrate porosity naturally allows condensing of volatile molecules into the pores through capillary condensation and surface adsorption. This changes the effective substrate dielectric constant leading to a shift in resonance frequency. The frequency shift dynamics can be monitored for identifying different volatiles and enables profiling. The proposed sensing technique is non destructive in nature and can be easily adapted for monitoring volatiles emitted inside packaged food along a supply chain. The developed sensor tag is flexible and can be easily integrated with existing microsystems packaging technology.",
"author_names": [
"Saranraj Karuppuswami",
"Jennifer A Byford",
"Premjeet Chahal"
],
"corpus_id": 51981529,
"doc_id": "51981529",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "A Volatile Molecular Sensor Using Terahertz Resonators on Porous Substrates",
"venue": "2018 IEEE 68th Electronic Components and Technology Conference (ECTC)",
"year": 2018
},
{
"abstract": "Microscopic split ring resonator (SRR) arrays are fabricated on 100 mm thick polyethylene naphthalate (PEN) films by femtosecond laser micro lens array (MLA) lithography. The transmission properties of these metamaterials are characterized by THz Time Domain Spectroscopy (THz TDS) Tunable resonance responses can be achieved by changing SRR structural design parameters. By stacking 2D PEN metamaterial films with different frequency responses together, a broadband THz filter with full width at half maximum (FWHM) of 0.38 THz is constructed. The bandwidth of the resonance response increases up to 4.2 times as compared to the bandwidths of single layer metamaterials. Numerical simulation reveals that SRR layers inside the multi layer metamaterials are selectively excited towards specific frequencies within the broadband response. Meanwhile, more than one SRR layers respond to the chosen frequencies, resulting in the enhancement of the resonance properties. The multi layer metamaterials provide a promising way to extend SRR based metamaterial operating region from narrowband to broadband with a tunable feature.",
"author_names": [
"Ningren Han",
"Z C Chen",
"Chu Sing Lim",
"Binghao Ng",
"M H Hong"
],
"corpus_id": 20413539,
"doc_id": "20413539",
"n_citations": 159,
"n_key_citations": 1,
"score": 0,
"title": "Broadband multi layer terahertz metamaterials fabrication and characterization on flexible substrates.",
"venue": "Optics express",
"year": 2011
},
{
"abstract": "We demonstrate micromachined reconfigurable metamaterials working at multiple frequencies simultaneously in the terahertz range. The proposed metamaterial structures can be structurally reconfigured by employing flexible microelectromechanical system based cantilevers in the resonators, which are designed to deform out of plane under an external stimulus. The proposed metamaterial structures provide not only multiband resonance frequency operation but also polarization dependent tunability. Three kinds of metamaterials are investigated as electric split ring resonator (eSRR) arrays with different positions of the split. By moving the position of the split away from the resonator's center, the eSRR exhibits anisotropy, with the dipole resonance splitting into two resonances. The dipole dipole coupling strength can be continuously adjusted, which enables the electromagnetic response to be tailored by adjusting the direct current (DC) voltage between the released cantilevers and the silicon substrate. The observed tunability of the eSRRs is found to be dependent on the polarization of the incident terahertz wave. This polarization dependent tunability is demonstrated by both experimental measurements and electromagnetic simulations. Researchers in Singapore have developed metamaterials whose shape and optical properties can be easily reconfigured. Metamaterials are artificial structures much smaller than the wavelength of light that can achieve functionalities not possible with conventional optics. Chengkuo Lee and colleagues at the National University of Singapore achieved reconfigurable control by fabricating metamaterials on micrometer scale cantilevers. Applying an electrical voltage bends the cantilevers vertically and thus changes the shape of each component in the metamaterial. As the active elements of the device move away from each other with increasing cantilever height, the optical resonance of the device shifts. The researchers performed their investigation in the terahertz regime, although in principle the concept can be applied to the entire electromagnetic spectrum. This approach could lead to novel applications in which optical functionalities can be freely tuned by an electrical voltage.",
"author_names": [
"Fusheng Ma",
"Yu-Sheng Lin",
"Xinhai Zhang",
"Chengkuo Lee"
],
"corpus_id": 123043553,
"doc_id": "123043553",
"n_citations": 118,
"n_key_citations": 3,
"score": 0,
"title": "Tunable multiband terahertz metamaterials using a reconfigurable electric split ring resonator array",
"venue": "",
"year": 2014
},
{
"abstract": "Sub wavelength metamaterial structures are of great fundamental and practical interest because of their ability to manipulate the propagation of electromagnetic waves. We review here our recent work on the design, simulation, implementation and equivalent circuit modeling of metamaterial devices operating at Terahertz frequencies. THz metamaterials exhibiting plasmon induced transparency are realized through the hybridization of double split ring resonators on either silicon or flexible polymer substrates and exhibiting slow light properties. THz metamaterials perfect absorbers and stereometamaterials are realized with multifunctional specifications such as broadband absorbing, switching, and incident light polarization selectivity.",
"author_names": [
"Mohammad P Hokmabadi",
"Soner Balci",
"Ju-Hyung Kim",
"Elizabath Philip",
"Elmer Rivera",
"Muliang Zhu",
"Patrick Kung",
"Seongsin Margaret Kim"
],
"corpus_id": 112415984,
"doc_id": "112415984",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Terahertz metamaterials: design, implementation, modeling and applications",
"venue": "SPIE Commercial Scientific Sensing and Imaging",
"year": 2016
},
{
"abstract": "Metamaterials are artificial composites that acquire their electromagnetic properties from embeded subwavelength metalic structure. With proper design of metamaterials, numerrous intriguing phenomena that not exhibited naturally can be realized, such as invisible cloaking, perfect absorption, negative refractive index and so on. In recent years, With the development of THz technology, the extensive research onTHz metamaterials devices areattracting more and more attentions. Since silicon (Si) has a higher transmittance for THz wave, it is usually selected as substrate in metamaterials structure. However, Si has the shortcomings of hardness, not easy to bend, and fragile, which limit the application of THz metamaterials. In this work, we use polyimide as the substrate to overcome the shortcomings of the Si substrate. Polyimide is flexible, smooth, suitable for conventional lithography process and the THz transmittance can compete with that of the Si. Frist, we test the THz optical properties of polymide, and get the refractive index of 1.9, and the transmittance of 80% Second, we design a double splits ring resonators (DSRRs) and study the properties of transmission by changing the THz incidence angle and curvature of the sample. We find the resonant amplitude and resonant frequencies are unchanged. Fabricating metamaterials structures on a thin plastic substrate is a possible way to extend plane surface filtering to curved surface filtering. Third, we try to make a broadband filter by stacking two samples, and the 181GHz bandwidth at 50% has been achieved. By stacking several plane plastic metamaterial layers with different resonance responses into a multi layer structure, a broadband THz filter can be built. The broadband filter has the advantages of simple manufacture, obvious filtering effect, which provides a new idea for the production of terahertz band filter.",
"author_names": [
"Jun He",
"Tiejun Zhang",
"Wei Xiong",
"Bo Zhang",
"Ting He",
"Jing-ling Shen"
],
"corpus_id": 40508551,
"doc_id": "40508551",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "[A Double Split Ring Terahertz Filter on Ploymide Substrate]",
"venue": "Guang pu xue yu guang pu fen xi Guang pu",
"year": 2015
},
{
"abstract": "We demonstrated the fabrication of terahertz metamaterial sensor for the accurate and on site detection of yeast using electrohydrodynamic jet printing, which is inexpensive, simple, and environmentally friendly. The very small sized pattern up to 5 ?m width of electrical split ring resonator unit structures could be printed on a large area on both a rigid substrate and flexible substrate, i.e. silicon wafer and polyimide film using the drop on demand technique to eject liquid ink containing silver nanoparticles. Experimental characterization and simulation were performed to study their performances in detecting yeast of different weights. It was shown that the metamaterial sensor fabricated on a flexible polyimide film had higher sensitivity by more than six times than the metamaterial sensor fabricated on a silicon wafer, due to the low refractive index of the PI substrate and due to the extremely thin substrate thickness which lowers the effective index further. The resonance frequency shift saturated when the yeast weights were 145 ?g and 215 ?g for metamaterial structures with gap size 6.5 ?m fabricated on the silicon substrate and on the polyimide substrate, respectively.",
"author_names": [
"Ayodya Pradhipta Tenggara",
"S J Park",
"Hadi Teguh Yudistira",
"Yeong Hwan Ahn",
"Doyoung Byun"
],
"corpus_id": 115100278,
"doc_id": "115100278",
"n_citations": 21,
"n_key_citations": 0,
"score": 0,
"title": "Fabrication of terahertz metamaterials using electrohydrodynamic jet printing for sensitive detection of yeast",
"venue": "",
"year": 2017
},
{
"abstract": "Given the ability to bend, stretch and roll like stretchable electronics, the newly developed metamaterial and plasmonic devices would open new chapters in functional optics. They can manipulate the electromagnetic waves in unprecedented forms and realize powerful applications like invisibility cloaking, sub wavelength imaging, transformation optics and functional sensors etc. This review focused on the recent development in soft, flexible metamaterials and plasmonics that resonate from microwave to visible frequencies, various applications have been realized in filters, sensors and surface enhanced Raman spectroscopy etc. Optical structure designs are ranged from split ring resonators, multilayer fishnet metamaterials and nanoparticle arrays. Common soft substrates include polyimide, polydimethysiloxane, polyethylene terephthalate and polyethylene naphthalene. Their mechanical and optical properties were discussed. Compatible fabrication techniques such as conventional photolithography, electron beam lithography and a few newly developed nanofabrication techniques were introduced. Among which, nanoimprint and nanotransfer techniques that result in large area, low cost, high quality soft metamaterials and plasmonics are promising in wearable and tunable applications.",
"author_names": [
"Li Gao",
"Bingwei Lu",
"Hangxun Xu"
],
"corpus_id": 137972225,
"doc_id": "137972225",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Materials and applications of flexible metamaterials and plasmonics",
"venue": "",
"year": 2016
},
{
"abstract": "We fabricate quasi three dimensional terahertz electric metamaterials by stacking multiple single layer planar metamaterials fabricated on thin, flexible polyimide substrates. Terahertz time domain spectroscopy is used to characterize their transmission properties, with which we obtain the frequency dependent complex effective dielectric functions. Increasing the number of layers reduces the resonant transmission minimum, while the extracted effective dielectric functions are independent on the number of layers. The results reveal that the real portions of the dielectric functions only show positive values, however, decreasing the thickness of the polyimide substrates, and thereby the spacing between the adjacent split ring resonator layers, enables negative electric response.",
"author_names": [
"Abul Kalam Azad",
"Hou-Tong Chen",
"Xinchao Lu",
"Jianqiang Gu",
"Elshan A Akhadov",
"Antoinette J Taylor",
"Weili Zhang"
],
"corpus_id": 110160436,
"doc_id": "110160436",
"n_citations": 12,
"n_key_citations": 1,
"score": 0,
"title": "Flexible Quasi Three Dimensional Terahertz Electric Metamaterials",
"venue": "",
"year": 2009
}
] |
supply planning Semiconductor | [
{
"abstract": "Abstract One of the objectives of supply planning is to find when and how many productions have to be started to minimize total cost. We aim to find the optimum. Base data like the length of transit time are important parameters to plan for the optimum start of production. In this research, we considered two kinds of transit options: normal transit and emergency transit, and we distinguished between planned and executed transit. The decision regarding which transit option to choose for the execution is trivial because emergency is only used when it is needed since normal transit is more cost efficient. However, for planning purpose, it is more difficult to decide which transit option should be used since the uncertainty in demand and supply between plan and execution can allow to plan an emergency transit but to execute the delivery with normal transit, which is a huge benefit in the competitive capital intensive semiconductor industry. If we planned an emergency, we can save inventory and production cost as we can delay the start of production. In contrast, we need pay additional transit cost in case that emergency transit is actually executed. Many characteristics of the semiconductor industry, which might be the front runner for many other industries, are considered in this model such as demand uncertainty, supply uncertainty and economic volatility. In our numerical experiments, we could gain the optimum, depending on each economic situation. Furthermore, we conducted sensitivity analysis of the effect of demand and supply uncertainties on total cost.",
"author_names": [
"Daisuke Yagi",
"Keisuke Nagasawa",
"Takashi Irohara",
"Hans Ehm",
"Geraldine Yachi"
],
"corpus_id": 205175100,
"doc_id": "205175100",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Semiconductor supply planning by considering transit options to take advantage of pre productions and order cancellations",
"venue": "Simul. Model. Pract. Theory",
"year": 2014
},
{
"abstract": "Running engineering lots is crucial to stay competitive in the semiconductor market. But production and engineering lots compete for the same expensive equipment. Therefore, considering them in an integrated way is desirable. In this paper, we propose two production planning formulations based on linear programming (LP) for a simplified semiconductor supply chain. The first planning model is based on reduced capacity for production due to engineering lots, while the second model directly incorporates engineering activities. Additional capacity is considered in the latter model due to learning effects that represent process improvements. Both planning models are based on exogenous lead times that are an integer multiple of the planning period length. We show by means of a simulation study for a simplified semiconductor supply chain that the integrated formulation outperforms the conventional one in a rolling horizon setting with respect to profit.",
"author_names": [
"Timm Ziarnetzky",
"Lars Monch",
"Thomas Ponsignon",
"Hans Ehm"
],
"corpus_id": 211243111,
"doc_id": "211243111",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Integrated Planning of Production and Engineering Activities in Semiconductor Supply Chains: A Simulation Study",
"venue": "2019 Winter Simulation Conference (WSC)",
"year": 2019
},
{
"abstract": "Abstract The progress of digitalization enables new potentials to supply chain management by available data as well as by analysis methods like machine learning. This paper focuses on the master production planning matching demand and supply for a midterm time horizon, in a volatile, diverse and capacity constrained environment. Therefore, a framework for measuring instability is outlined, a machine learning approach to predict instability is developed and applied using the CRISP DM methodology on real data of a semiconductor manufacturer. The evaluation and results foster the concept and the field of application, but request the next step of prescriptive instability minimization.",
"author_names": [
"Tim Lauer",
"Sarah Legner",
"Michael Henke"
],
"corpus_id": 213671070,
"doc_id": "213671070",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Application of machine learning on plan instability in master production planning of a semiconductor supply chain",
"venue": "",
"year": 2019
},
{
"abstract": "Running engineering lots is crucial to stay competitive in the semiconductor market. But production and engineering lots compete for the same expensive equipment. Therefore, considering them in an integrated way is desirable. In this paper, we propose two production planning formulations based on linear programming (LP) for a simplified semiconductor supply chain. The first planning model is based on reduced capacity for production due to engineering lots, while the second model directly incorporates engineering activities. Additional capacity is considered in the latter model due to learning effects that represent process improvements. Both planning models are based on exogenous lead times that are an integer multiple of the planning period length. We show by means of a simulation study for a simplified semiconductor supply chain that the integrated formulation outperforms the conventional one in a rolling horizon setting with respect to profit.",
"author_names": [
"K -H G Bae",
"Sanja Lazarova-Molnar",
"Markus Rabe",
"C Szabo",
"Pol-Andre Haas",
"Y-J Son"
],
"corpus_id": 211526991,
"doc_id": "211526991",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "INTEGRATED PLANNING OF PRODUCTION AND ENGINEERING ACTIVITIES IN SEMICONDUCTOR SUPPLY CHAINS: A SIMULATION STUDY",
"venue": "",
"year": 2019
},
{
"abstract": "Part I of this three part series described semiconductor supply chains from the decision making and functional perspectives, using this as a framework to review the industrial engineering (IE) and operations research (OR) literature on the problems arising in these supply chains. Part I then reviewed the literature on Strategic Network Design, Supply Chain Coordination, Sustainability and Semiconductor Supply Chain Simulation, while Part II reviewed Demand Planning, Inventory Management, and Capacity Planning. This paper concludes the series, discussing Master Planning, Production Planning, Demand Fulfilment, and Available to Promise (ATP) in semiconductor supply chains.",
"author_names": [
"Lars Monch",
"Reha Uzsoy",
"John W Fowler"
],
"corpus_id": 117537470,
"doc_id": "117537470",
"n_citations": 29,
"n_key_citations": 2,
"score": 0,
"title": "A survey of semiconductor supply chain models part III: master planning, production planning, and demand fulfilment",
"venue": "Int. J. Prod. Res.",
"year": 2018
},
{
"abstract": "Part I of this three part series described semiconductor supply chains from the decision making and functional perspectives, using this as a framework to review the industrial engineering and operations research literature on the problems arising in these supply chains. Part I then reviewed the literature on Strategic Network Design, supply chain coordination, sustainability and simulation based decision support. This paper, Part II, reviews the areas of Demand Planning, Inventory Management and Capacity Planning in semiconductor supply chains. Part III concludes the series by discussing models to support Master Planning, Production Planning and Demand Fulfilment in this industry.",
"author_names": [
"Reha Uzsoy",
"John W Fowler",
"Lars Monch"
],
"corpus_id": 116451723,
"doc_id": "116451723",
"n_citations": 26,
"n_key_citations": 0,
"score": 0,
"title": "A survey of semiconductor supply chain models Part II: demand planning, inventory management, and capacity planning",
"venue": "Int. J. Prod. Res.",
"year": 2018
},
{
"abstract": "Advancements in the semiconductor industry have resulted in the need for extracting vital information from vast amounts of data. In the operational processes of demand planning and order management, it is important to understand customer demand data due to its potential to provide insights for managing supply chains. For this purpose, customer ordering behaviors are visualized in the form of two dimensional heat maps. The goal is to classify the customers into predefined ordering patterns on the example of a semiconductor manufacturing, namely Infineon Technologies. Therefore, a convolutional neural network is used. By classifying the customers into preselected ordering patterns, a better understanding on how the customer demand develops over time is achieved. The results show that customers have a certain ordering pattern, but their behavior can be meaningfully classified only to a certain extend due to unidentified behaviors in the data. Further research could identify additional ordering patterns.",
"author_names": [
"B Feng",
"Sin-Bom Kim",
"Sanja Lazarova-Molnar",
"Z Zheng",
"T Roeder",
"Renee M Thiesing"
],
"corpus_id": 230125818,
"doc_id": "230125818",
"n_citations": 0,
"n_key_citations": 0,
"score": 2,
"title": "CHARACTERIZING CUSTOMER ORDERING BEHAVIORS IN SEMICONDUCTOR SUPPLY CHAINS WITH CONVOLUTIONAL NEURAL NETWORKS",
"venue": "",
"year": 2020
},
{
"abstract": "Advancements in semiconductor industry have resulted in the need for extracting vital information from vast amount of data. In the operational process of supply chain, understanding customer demand data provides important insights for demand planning. Clustering analysis offers potential to identify latent information from multitudinous customer demand data and supports enhanced decision making. In this paper, two clustering algorithms to identify customer demand patterns are presented, namely K means and DBSCAN. The implementation of both algorithms on the prepared data sets is discussed and their performance is compared. The paper outlines the importance of deciphering valuable insights from customer demand data in the betterment of a distributed cognitive process of demand planning.",
"author_names": [
"Pramod Govindaraju",
"Sebastian Achter",
"Thomas Ponsignon",
"Hans Ehm",
"Matthias Meyer"
],
"corpus_id": 54451466,
"doc_id": "54451466",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Comparison of two clustering approaches to find demand patterns in semiconductor supply chain planning",
"venue": "2018 IEEE 14th International Conference on Automation Science and Engineering (CASE)",
"year": 2018
},
{
"abstract": "Abstract Model Based System Engineering (MBSE) is an increasingly important methodology to support system engineering and has attained a high level of attentiveness in business simulation practices as a conceptual modelling approach. In this paper, we present our results related to the application of MBSE approaches in complex semiconductor manufacturing supply chain planning systems. We investigate System Modeling Language (SysML) Web Ontology Language (OWL) and Business Process Modeling Notation (BPMN) as different approaches and languages for MBSE. These approaches are surveyed and used to develop conceptual models for the simulation of the order management process inside the supply chain management. This study aims to survey and offer a number of implications for MBSE practice and seeks to stimulate and guide further research in this area.",
"author_names": [
"Behrouz Alizadeh Mousavi",
"Radhia Azzouz",
"Cathal Heavey",
"Hans Ehm"
],
"corpus_id": 212904726,
"doc_id": "212904726",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "A Survey of Model Based System Engineering Methods to Analyse Complex Supply Chains: A Case Study in Semiconductor Supply Chain",
"venue": "",
"year": 2019
},
{
"abstract": "Under the trend of globalization, rapid advancement of Information Technology, shorter electronic product life cycles, and the rise of consumer consciousness, there is fiercer market competition in most industries, especially in the semiconductor industry. Effective supply chain management is a critical successful factor for enterprises to survive in such a competitive market since it can help enterprises in reducing cost and improving operational efficiency. For an integrated device manufacturer (IDM) in the semiconductor industry, due to its complex network, strategic alliance among partners as well as supply chain integration are efficient approaches to enhance competitiveness and make more profit. In this paper, we develop an integrated model of supply chain planning for IDMs. The integrated model is developed to help decision makers determine the production quantities and delivery quantities at various locations, the decoupling point of a push pull supply chain, the inventory buffer at the boundary and the vendor managed inventory scenario. The semiconductor manufacturing characteristics including binning, substitution, and yield are taken into consideration in the formulated model. In addition, the model is developed for a semiconductor supply chain characterized by multiple stages, plants, products, and product grades.",
"author_names": [
"Mu-Chen Chen",
"Yu-Hsiang Hsiao",
"Hsi-Yuan Huang"
],
"corpus_id": 35595721,
"doc_id": "35595721",
"n_citations": 8,
"n_key_citations": 0,
"score": 0,
"title": "Semiconductor Supply Chain Planning With Decisions of Decoupling Point and VMI Scenario",
"venue": "IEEE Transactions on Systems, Man, and Cybernetics: Systems",
"year": 2017
}
] |
A graphene-based broadband optical modulator] | [
{
"abstract": "Integrated optical modulators with high modulation speed, small footprint and large optical bandwidth are poised to be the enabling devices for on chip optical interconnects. Semiconductor modulators have therefore been heavily researched over the past few years. However, the device footprint of silicon based modulators is of the order of millimetres, owing to its weak electro optical properties. Germanium and compound semiconductors, on the other hand, face the major challenge of integration with existing silicon electronics and photonics platforms. Integrating silicon modulators with high quality factor optical resonators increases the modulation strength, but these devices suffer from intrinsic narrow bandwidth and require sophisticated optical design; they also have stringent fabrication requirements and limited temperature tolerances. Finding a complementary metal oxide semiconductor (CMOS) compatible material with adequate modulation speed and strength has therefore become a task of not only scientific interest, but also industrial importance. Here we experimentally demonstrate a broadband, high speed, waveguide integrated electroabsorption modulator based on monolayer graphene. By electrically tuning the Fermi level of the graphene sheet, we demonstrate modulation of the guided light at frequencies over 1 GHz, together with a broad operation spectrum that ranges from 1.35 to 1.6 um under ambient conditions. The high modulation efficiency of graphene results in an active device area of merely 25 um2, which is among the smallest to date. This graphene based optical modulation mechanism, with combined advantages of compact footprint, low operation voltage and ultrafast modulation speed across a broad range of wavelengths, can enable novel architectures for on chip optical communications.",
"author_names": [
"Ming Liu",
"Xiaobo Yin",
"Erick Ulin-Avila",
"Baisong Geng",
"Thomas Zentgraf",
"Long Ju",
"Feng Wang",
"Xiang Zhang"
],
"corpus_id": 2260490,
"doc_id": "2260490",
"n_citations": 2450,
"n_key_citations": 49,
"score": 1,
"title": "A graphene based broadband optical modulator",
"venue": "Nature",
"year": 2011
},
{
"abstract": "Abstract By exploiting the electroabsorption effect of graphene, we present a graphene based polarization insensitive optical modulator. The waveguide structure consists of a silica substrate, high index silicon strip waveguide, Si3N4 dielectric spacer, two graphene layers, and two metal electrodes. The modulator performance is comprehensively studied in terms of attenuation, insertion loss, modulation depth, and bandwidth. We achieve broadband >16 dB attenuation graphene based optical modulator over a 35 nm wavelength range (covering C band) with an imbalance of no >1 dB and insertion loss of <2 dB for transverse magnetic and transverse electric polarized modes. Moreover, the electrical properties such as energy per bit consumption (Ebit) are also studied.",
"author_names": [
"Xiao Hu",
"Jian Wang"
],
"corpus_id": 125820642,
"doc_id": "125820642",
"n_citations": 23,
"n_key_citations": 0,
"score": 0,
"title": "Design of graphene based polarization insensitive optical modulator",
"venue": "",
"year": 2018
},
{
"abstract": "A graphene based plasmonic valley slot waveguide modulator has been presented, which consists of a layer of graphene Al2O3 graphene and two trapezoidal metal strips separated by valley slot region. Designed modulator has advantage of enhancement of mode confinement and provides an electro optic modulation with 'proper' (graphene's in plane) electric field of surface plasmons. The influences of geometric parameters and chemical potential of graphene on modulator performance have been investigated. By optimizing the geometric parameters, the designed modulator could achieve a 3 dB modulation depth only with 290 nm long waveguide and low energy consumption of 1 fj/bit. Also, this modulator can work over a broad wavelength range from 1400 to 1600 nm. These results indicate that proposed modulator could be applied as a high performance broadband optical modulator in photonic integrated circuits.",
"author_names": [
"Mehdi Shirdel",
"Mohammad Ali Mansouri-Birjandi"
],
"corpus_id": 213921430,
"doc_id": "213921430",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "A broadband graphene modulator based on plasmonic valley slot waveguide",
"venue": "",
"year": 2019
},
{
"abstract": "Graphene is an excellent electronic and photonic material for developing electronic photonic integrated circuits in Si based semiconductor devices with ultra wide operational bandwidth. As an extended application, here we propose a broadband silicon optical modulator using a graphene integrated hybrid plasmonic waveguide, and investigate the optical characteristics numerically at a wavelength of 1.55 mm. The optical device is based on the surface plasmon polariton absorption of graphene. By electrically tuning the graphene's refractive index as low as that of a noble metal, the hybrid plasmonic waveguide supports a strongly confined highly lossy hybrid long range surface plasmon polariton strip mode, and hence light coupled from an input waveguide experiences significant power attenuation as it propagates along the waveguide. Over the entire C band from 1.530 to 1.565 mm wavelengths, the on/off extinction ratio is larger than 13.7 dB. This modulator has the potential to play a key role in realizing graphene Si waveguide based integrated photonic devices.",
"author_names": [
"Jin-Soo Shin",
"Jin Tae Kim"
],
"corpus_id": 22460630,
"doc_id": "22460630",
"n_citations": 36,
"n_key_citations": 1,
"score": 0,
"title": "Broadband silicon optical modulator using a graphene integrated hybrid plasmonic waveguide.",
"venue": "Nanotechnology",
"year": 2015
},
{
"abstract": "We investigate a high efficiency broadband terahertz wave modulator with structures made from the conjugated polymer MEH PPV, graphene, and Si, irradiated with an external excitation laser. We demonstrate a strategy that can alleviate the tradeoffbetween the requirements of modulation depth and modulation speed in polymer/silicon terahertz wave modulators. Using terahertz time domain and continuous wave systems, we measured both the terahertz transmission modulation properties and the time responses of the modulator structures. The conjugated polymer/graphene/silicon structure achieved a high modulation factor of93% for transmission as well as improved the modulation speed of the devices based on polymer/silicon. The high modulation efficiency of the polymer/graphene/silicon structure was induced by the enhancement in carrier density and the extremely high carrier mobility of graphene, respectively.",
"author_names": [
"Bo Zhang",
"Guocui Wang",
"Hongyu Ji",
"Bin Li",
"Jing-ling Shen"
],
"corpus_id": 53106027,
"doc_id": "53106027",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Graphene based Organic Optical Terahertz Modulator",
"venue": "2018 43rd International Conference on Infrared, Millimeter, and Terahertz Waves (IRMMW THz)",
"year": 2018
},
{
"abstract": "We investigate a high efficiency broadband terahertz wave modulator with structures made from the conjugated polymer [2 methoxy 5 (2' ethylhexyloxy) 1, 4 phenylennevinylene] graphene, and Si, irradiated with an external excitation laser. We demonstrate a strategy that can alleviate the tradeoff between the requirements of modulation depth and modulation speed in polymer/silicon terahertz wave modulators. Using terahertz time domain and continuous wave systems, we measured both the terahertz transmission modulation properties and the time responses of the modulator structures. The conjugated polymer/graphene/silicon structure achieved a high modulation factor of 93% for transmission as well as improved the modulation speed of the devices based on polymer/silicon. The high modulation efficiency of the polymer/graphene/silicon structure was induced by the enhancement in carrier density and the extremely high carrier mobility of graphene, respectively.",
"author_names": [
"Guocui Wang",
"Bo Zhang",
"Hongyu Ji",
"Xin Liu",
"Ting He",
"Longfeng Lv",
"Yanbing Hou",
"Jing-ling Shen"
],
"corpus_id": 126245736,
"doc_id": "126245736",
"n_citations": 27,
"n_key_citations": 0,
"score": 0,
"title": "Monolayer graphene based organic optical terahertz modulator",
"venue": "",
"year": 2017
},
{
"abstract": "We demonstrate a graphene based electro optic free space modulator yielding a reflectance contrast of 20% over a strikingly large 250nm wavelength range, centered in the near infrared telecom band. Our device is based on the original association of a planar Bragg reflector, topped with an electrically contacted double layer graphene capacitor structure employing a high work function oxide shown to confer a static doping to the graphene in the absence of an external bias, thereby reducing the switching voltage range to 1V. The device design, fabrication and opto electric characterization is presented, and its behavior modeled using a coupled optical electronic framework.",
"author_names": [
"Thomas Wood",
"Jeremy Lhuillier",
"Malik Kemiche",
"Pierre Demongodin",
"Bertrand Vilquin",
"Pedro Rojo Romeo",
"Ali Belarouci",
"Lotfi Berguiga",
"Segolene Callard",
"Xavier Letartre",
"Christelle Monat"
],
"corpus_id": 222166315,
"doc_id": "222166315",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Low voltage, broadband graphene coated Bragg mirror electro optic modulator at telecom wavelengths.",
"venue": "Optics express",
"year": 2020
},
{
"abstract": "Abstract In this article, a few layered graphene dielectric multilayer (metamaterial) electro optic modulator has been proposed in the mid and far infrared range that works on electro absorption mechanism. Graphene, both mono layer and few layer, is an actively tunable optical material that allows control of inter band and intra band transition by tuning its chemical potential. Utilizing this unique feature of graphene, we propose a multilayer graphene dielectric stack where few layer graphene is preferred over mono layer graphene. Although the total thickness of the stack still remains in the nanometer range, this device can exhibit superior performances in terms of (i) high modulation depth, (ii) ultra broadband performance, (iii) ultra low insertion loss due to inherent metamaterial properties, (iv)nano scale footprint, (v) polarization independence and (vi) capability of being integrated to a silicon waveguide. Interestingly, these superior performances, achievable by using few layer graphene with carefully designed metamaterial, may not be possible with mono layer graphene. Our proposals have been validated by both the effective medium theory and general transfer matrix method.",
"author_names": [
"Ayed Al Sayem",
"Mahdy Rahman Chowdhury Mahdy",
"Ifat Jahangir",
"Md Saifur Rahman"
],
"corpus_id": 119295395,
"doc_id": "119295395",
"n_citations": 26,
"n_key_citations": 0,
"score": 0,
"title": "Ultrathin ultra broadband electro absorption modulator based on few layer graphene based anisotropic metamaterial",
"venue": "",
"year": 2015
},
{
"abstract": "Graphene based optical modulators have recently attracted much attention because of their characteristic ultrafast and broadband response. Their modulation depth (MD) and overall transmittance (OT) however, are often limited by optical loss arising from interband transitions. We report here an all optical, all fiber optical modulator with a Mach Zehnder interferometer structure that has significantly higher MD and OT than graphene based loss modulators. It is based on the idea of converting optically induced phase modulation in the graphene cladded arm of the interferometer to intensity modulation at the output of the interferometer. The device has the potential to be integrable into a photonic system in real applications.",
"author_names": [
"Shaoliang Yu",
"Xiaoqin Wu",
"Keren Chen",
"Bigeng Chen",
"Xin Guo",
"Daoxin Dai",
"Limin Tong",
"Wei-Tao Liu",
"Y R Shen"
],
"corpus_id": 138838089,
"doc_id": "138838089",
"n_citations": 108,
"n_key_citations": 0,
"score": 0,
"title": "All optical graphene modulator based on optical Kerr phase shift",
"venue": "",
"year": 2016
},
{
"abstract": "Optical modulators are commonly used in communication and information technology to control intensity, phase, or polarization of light. Electro optic, electroabsorption, and acousto optic modulators based on semiconductors and compound semiconductors have been used to control the intensity of light. Because of gate tunable optical properties, graphene introduces new potentials for optical modulators. The operation wavelength of graphene based modulators, however, is limited to infrared wavelengths due to inefficient gating schemes. Here, we report a broadband optical modulator based on graphene supercapacitors formed by graphene electrodes and electrolyte medium. The transparent supercapacitor structure allows us to modulate optical transmission over a broad range of wavelengths from 450 nm to 2 mm under ambient conditions. We also provide various device geometries including multilayer graphene electrodes and reflection type device geometries that provide modulation of 35% The graphene supercapacitor structure together with the high modulation efficiency can enable various active devices ranging from plasmonics to optoelectronics.",
"author_names": [
"Emre O Polat",
"Coskun Kocabas"
],
"corpus_id": 14960475,
"doc_id": "14960475",
"n_citations": 148,
"n_key_citations": 0,
"score": 0,
"title": "Broadband optical modulators based on graphene supercapacitors.",
"venue": "Nano letters",
"year": 2013
}
] |
Tungsten Slurry CMP | [
{
"abstract": "Chemical mechanical polishing (CMP) is one of the important steps that involves during fabrication of semiconductor devices. This research highlights the importance of tungsten (W) polishing slurries consisting of a novel nonionic, heat activated FeSi nanocatalyst on the performance of W chemical mechanical polishing. The results obtained from the polishing data showed a higher W removal rate of 5910 A/min with a slurry consisting of FeSi nanocatalyst at a polishing temperature of 80 degC. The increase in W polishing rate using FeSi slurry was explained on the basis of formation of a thicker oxide layer (WO3) due to the interaction between the W surface and hydroxyl radicals *OH) generated via the reaction between FeSi and hydrogen peroxide at 80 degC. Higher *OH generation and increase in oxygen depth profile of W film were confirmed by UV Vis spectrometer and AES analysis, respectively. Compared to Fe(NO3)3 catalyst, the slurry with FeSi showed a higher static etch rate at 80 degC. Potentiodynamic polarization results obtained using FeSi slurry showed thicker WO3 passivation layer as compared to the slurry with Fe(NO3)3. The increase in the polishing rate of W CMP using slurry with FeSi nanocatalyst can be essentially attributed to the generation of much stronger oxidant *OH due to its increased catalytic effect at a high polishing temperature of 80 degC.",
"author_names": [
"Maneesh Kumar Poddar",
"Heon-Yul Ryu",
"Nagendra Prasad Yerriboina",
"Yeon-Ah Jeong",
"Jung-Hwan Lee",
"Tae-Gon Kim",
"Jae-Hyun Kim",
"Jongdeok Park",
"Min-Gun Lee",
"Chang-Yong Park",
"Seong-jun Han",
"Jae-Gon Choi",
"Jin-Goo Park"
],
"corpus_id": 208538913,
"doc_id": "208538913",
"n_citations": 7,
"n_key_citations": 0,
"score": 0,
"title": "Nanocatalyst induced hydroxyl radical *OH) slurry for tungsten CMP for next generation semiconductor processing",
"venue": "Journal of Materials Science",
"year": 2019
},
{
"abstract": "Tungsten contact chemical mechanical polishing is a critical process in advanced node semiconductor device manufacturing that enables metal interconnection. It is essential for device performance, and any defects caused by the tungsten CMP process have a direct effect on product yield. Among these defects, the highest yield killer is microscratches. Microscratches are a well studied CMP produced defect; however, this paper provides a new look at controlling microscratches in a manufacturing environment. Specifically, the bulk tungsten slurry is the cause for nearly all scratches at tungsten contact CMP. This paper studies the effects of abrasive size on defect performance and suggests causes for variation in size of slurry particles outside of manufacturing variability. While tungsten removal rate is not affected by abrasive size, the defectivity performance relies heavily on the ability to remove large particles from suspension. Current filtration solutions are not completely effective in preventing large particles from contacting the wafer, so the burden falls on preventing their formation. Factors such as age of material, storage temperature and agitation induced agglomeration will be studied to characterize the effects on particle size. Volume manufacturing data will be used to support the claims made in this paper.",
"author_names": [
"Bryan Egan",
"Hong Jin Kim"
],
"corpus_id": 195403223,
"doc_id": "195403223",
"n_citations": 2,
"n_key_citations": 0,
"score": 1,
"title": "Effect of Controlling Abrasive Size in Slurry for Tungsten Contact CMP Process",
"venue": "",
"year": 2019
},
{
"abstract": "Abstract In this study, a response surface methodology (RSM) coupled with a face center cube design (FCD) was used to optimize the three principal components (i.e. Fe(NO3)3, H2O2, and SiO2 abrasives) in polishing slurries for a W barrier chemical mechanical planarization (CMP) process. The experimental ranges of the three components were 10 50 ppm of Fe(NO3)3, 0.3 0.9 wt% of H2O2, and 1 5 wt% of SiO2 abrasives. Based on the experimental data from the FCD, the second order models for the material removal rate (MRR) of the W and Oxide films were fitted; these were determined to be statistically valid and reliable. We have achieved the optimal conditions for the three components where the MRR is maximized and the selectivity between the W and Oxide MRRs is 1. The predicted MRR and selectivity at the optimal conditions were well correlated with the results of a confirmation run, which was conducted by using the W barrier CMP process with W patterned wafers. In addition, we employed a particular RSM called dual response optimization in order to investigate the tradeoff between the MRR and selectivity. Based on the tradeoff information, process engineers can conduct the optimization of the three components more flexibly.",
"author_names": [
"Jihoon Seo",
"Joo Hyun Kim",
"Myoung-Jae Lee",
"Keungtae You",
"Jinok Moon",
"Dong-Hee Lee",
"Ungyu Paik"
],
"corpus_id": 136192204,
"doc_id": "136192204",
"n_citations": 18,
"n_key_citations": 0,
"score": 0,
"title": "Multi objective optimization of tungsten CMP slurry for advanced semiconductor manufacturing using a response surface methodology",
"venue": "",
"year": 2017
},
{
"abstract": "",
"author_names": [],
"corpus_id": 204873192,
"doc_id": "204873192",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "SLURRY CONTAINING MULT OXDZER AND MIXED NANO ABRASIVES FOR TUNGSTEN CMP",
"venue": "",
"year": 2017
},
{
"abstract": "Abstract Membrane separation based on ultrafiltration for the recovery of spent tungsten slurry from chemical mechanical polishing (CMP) process has been investigated. Five polymeric membranes with different molecular weight cut off (MWCO) i.e. 10 kDa PES, 30 kDa PES, 100 kDa PES, 50 kDa PS and 50 kDa PVDF were successfully applied. Flux analysis was conducted to study the fouling phenomena and the fouling effects on membrane surface were elucidated by means of SEM and AFM analyses. Almost steady fluxes were reached after about 60 min of filtration process. Meanwhile, the membrane fouling was mainly due to the formation of cake layer on the membrane surface leading to blockage of membrane pores. On top of that, 50 kDa PS membrane showed the highest potential in filtrating and concentrating the CMP spent tungsten slurry with 92% retention of silica particles and 42% retention of tungsten. Furthermore, it also achieved the lowest mean size particle of 126 nm in the retentate which were significantly different from that of the original spent tungsten slurry.",
"author_names": [
"Nurhasikin Sanusi",
"Mohd Zulkhairi Mohd Yusoff",
"Ooi Boon Seng",
"Mohd Sabirin Marzuki",
"Ahmad Zuhairi Abdullah"
],
"corpus_id": 104144361,
"doc_id": "104144361",
"n_citations": 5,
"n_key_citations": 0,
"score": 0,
"title": "Ultrafiltration based on various polymeric membranes for recovery of spent tungsten slurry for reuse in chemical mechanical polishing process",
"venue": "",
"year": 2018
},
{
"abstract": "Abstract This research investigates abrasive particles agglomeration via interaction between O2 bubbles and slurry abrasives during the tungsten chemical mechanical polishing (W CMP) process. The abrasive particles in slurry were highly agglomerated due to higher volumes of O2 bubbles produced in the reaction between the catalyst Fe(NO3)3 and the oxidizer H2O2. Results obtained from a gas pressure sensor confirmed the generation of higher O2 volume via the decomposition of H2O2 at a high catalyst concentration and an increase in reaction temperature. The decomposed O2 volume rate at 80 degC was reported at the maximum value of 2.0 x 10 2 L/s at 120 ppm as compared to the moderate and minimum rates of 3.5 x 10 3 and 3.2 x 10 4 L/s for catalyst concentrations of 60 and 30 ppm, respectively. Images of O2 bubbles, captured using a high speed camera, exhibited subsequent enhancement in average O2 bubble diameters of 91, 427, and 503 mm at 25, 60, and 80 degC, respectively. Analysis of surface scans confirmed large abrasive particles contamination on the TEOS wafer with an increase in the O2 bubble flow rate and bubbling time. Also, large abrasive particles agglomeration was observed in the presence of O2 bubbles as compared to no bubbles, as measured by dynamic light scattering DLS. It is believed that higher hydrophilicity of abrasive particles with O2 bubbles increased the adhesive force between the abrasive particles and the in situ generated O2 bubbles. The high drag force generated during the collapse of O2 bubbles is essentially attributed a strong attractive force between the abrasive particles and the TEOS wafer which strongly binds with the abrasive particles and intensifies the defect level as particle agglomeration.",
"author_names": [
"Maneesh Kumar Poddar",
"Nagendra Prasad Yerriboina",
"Myeong-Jun Kim"
],
"corpus_id": 203464199,
"doc_id": "203464199",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Investigation of particle agglomeration with in situ generation of oxygen bubble during the tungsten chemical mechanical polishing (CMP) process",
"venue": "Microelectronic Engineering",
"year": 2019
},
{
"abstract": "Serious tungsten recess after tungsten chemical mechanical planarization (W CMP) is found to correlate to the high via resistance. Electrochemical alteration means oxidation and corrosion of tungsten surface, and recess is strongly dependent on via hold size. In this paper, the low corrosion W polishing slurry, alkali/acidic buffing slurry effect on tungsten plug are analyzed. The result shows that the low corrosion W slurry and acidic chemical can realize tungsten plug recess free.",
"author_names": [
"Lei Zhang",
"Yefang Zhu",
"Jingxun Fang"
],
"corpus_id": 195831772,
"doc_id": "195831772",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Study and Improvement on Tungsten Recess in CMP Process",
"venue": "2019 China Semiconductor Technology International Conference (CSTIC)",
"year": 2019
},
{
"abstract": "With the developing of integrated circuit(IC) technique, improving of integration level, reducing of feature size and increasing the wafer size, the stringent requirements for global planarization during IC fabrication are raised. During chemical mechanical polishing(CMP) of multilevel interconnect for IC, there are obvious influence of the polishing quality on performances of the device.CMP slurry is one of the important factors of influencing the polishing quality. In this work, the stability of tungsten plug CMP slurry for IC multilevel interconnect was studied. Through experiment, interaction between the components in the CMP slurry was analyzed, and stable slurry with optimized polishing parameters to achieve higher removal rate were defined.",
"author_names": [
"Ying Qian Jia",
"Xinhuan Niu",
"Li Li",
"Ning Li"
],
"corpus_id": 137275870,
"doc_id": "137275870",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "The Study of Stability of Tungsten Plug CMP Slurry for IC Multilevel Interconnect",
"venue": "",
"year": 2013
},
{
"abstract": "The present invention relates to a tungsten CMP polishing slurry composition comprises an abrasive and a polishing accelerator, said abrasive comprises colloidal silica dispersed in ultrapure water, the polishing accelerator comprises an aqueous solution of hydrogen peroxide, ammonium persulfate and ferric nitrate the slurry composition slurry discoloration problem does not occur, the etching selectivity is excellent, can be applied to a CMP process.",
"author_names": [
""
],
"corpus_id": 141152319,
"doc_id": "141152319",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Cmp tungsten polishing slurry composition",
"venue": "",
"year": 2012
},
{
"abstract": "The present invention relates to a tungsten polishing CMP slurry composition comprising a polishing agent and a polishing accelerator, wherein the abrasive agent comprises a colloidal silica dispersed in ultrapure water, the polishing accelerator, hydrogen peroxide water, wherein the ammonium persulfate and ferric nitrate, wherein the slurry composition, does not occur a problem of the slurry discoloration, excellent etching selectivity can be applied to the CMP step. .FIELD 1",
"author_names": [
"paku ziegun",
"paku zinhiyon",
"imu ziehiyon",
"chiyo ziyonyon",
"ho chiyoe",
"hisopu huan"
],
"corpus_id": 139207848,
"doc_id": "139207848",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Tungsten polishing cmp slurry composition",
"venue": "",
"year": 2012
}
] |
charge transport in low-temperature processed thin-film | [
{
"abstract": "The influence of the composition within multilayered heterostructure oxide semiconductors has a critical impact on the performance of thin film transistor (TFT) devices. The heterostructures, comprising alternating polycrystalline indium oxide and zinc oxide layers, are fabricated by a facile atomic layer deposition (ALD) process, enabling the tuning of its electrical properties by precisely controlling the thickness of the individual layers. This subsequently results in enhanced TFT performance for the optimized stacked architecture after mild thermal annealing at temperatures as low as 200 degC. Superior transistor characteristics, resulting in an average field effect mobility (msat. of 9.3 cm2 V 1 s 1 W/ L 500) an on/off ratio Ion/ Ioff) of 5.3 x 109, and a subthreshold swing of 162 mV dec 1, combined with excellent long term and bias stress stability are thus demonstrated. Moreover, the inherent semiconducting mechanism in such multilayered heterostructures can be conveniently tuned by controlling the thickness of the individual layers. Herein, devices comprising a higher In2O3/ZnO ratio, based on individual layer thicknesses, are predominantly governed by percolation conduction with temperature independent charge carrier mobility. Careful adjustment of the individual oxide layer thicknesses in devices composed of stacked layers plays a vital role in the reduction of trap states, both interfacial and bulk, which consequently deteriorates the overall device performance. The findings enable an improved understanding of the correlation between TFT performance and the respective thin film composition in ALD based heterostructure oxides.",
"author_names": [
"Jan Krausmann",
"Shawn Sanctis",
"Jorg Engstler",
"Martina Luysberg",
"Michael Bruns",
"Jorg J Schneider"
],
"corpus_id": 47012764,
"doc_id": "47012764",
"n_citations": 17,
"n_key_citations": 0,
"score": 1,
"title": "Charge Transport in Low Temperature Processed Thin Film Transistors Based on Indium Oxide/Zinc Oxide Heterostructures.",
"venue": "ACS applied materials interfaces",
"year": 2018
},
{
"abstract": "Process structure transport relationships in low temperature processed, blade coated In2O3 transistors using sol gel and combustion chemistries are explored with X ray scattering techniques. Electron mobility of 4.5 cm2 V 1 s 1 is achieved at 220 degC.",
"author_names": [
"Ahmad R Kirmani",
"Emily F Roe",
"Christopher M Stafford",
"Lee J Richter"
],
"corpus_id": 216221726,
"doc_id": "216221726",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Role of the electronically active amorphous state in low temperature processed In2O3 thin film transistors",
"venue": "Materials Advances",
"year": 2020
},
{
"abstract": "Abstract Perovskite solar cells (PSCs) have achieved remarkable power conversion efficiencies (PCEs) owing to their extraordinary optoelectronic properties. Electron transporting layer (ETL) has been proved to have a significant influence on the photovoltaic performance and stability of cell devices. Herein, for the first time, we prepare a low temperature processed compact In2O3 film derived from a highly stable modified indium precursor solution as a promising ETL for stable and efficient air processed PSCs. The addition of acetylacetone as a chelation ligand in the solution effectively inhibits the hydrolysis reactions by chelating In3+ thus contributing to the formation of compact In2O3 film at a low temperature of 200 degC. Dense CH3NH3PbI3 perovskite films with many microns scale grains are fabricated using a scalable doctor blade method under a harsh ambient condition (relative humidity of 40 50% Using the proposed compact In2O3 film as ETL, the electron extraction and charge transport at the ETL/perovskite interface are significantly improved. As a result, the air processed PSC based on compact In2O3 film delivers a champion PCE of 13.97% greatly outperforming the device with a pristine In2O3 film (9.81% In addition to high efficiency, the PSC incorporating proposed compact In2O3 film exhibits an excellent long term stability, maintaining 94% of its initial PCE after stored in air for 31 days. This study demonstrates the feasibility of fabricating stable and efficient air processed PSCs using low temperature processed In2O3 ETL, which is expected to have a positive impact in the manufacturing community of solution processed In2O3 film as well as air processed PSCs.",
"author_names": [
"Xiaoqing Zhang",
"Jingling Li",
"Zhuoneng Bi",
"Kun He",
"Xueqing Xu",
"Xiudi Xiao",
"Yanqing Zhu",
"Zhang Yongjun",
"Zhong Liuwen",
"Gang Xu",
"Huangzhong Yu"
],
"corpus_id": 218941530,
"doc_id": "218941530",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Stable and efficient air processed perovskite solar cells employing low temperature processed compact In2O3 thin films as electron transport materials",
"venue": "",
"year": 2020
},
{
"abstract": "A low temperature solution processed thin film transistor (TFT) using zinc oxide (ZnO) film as an exposed sensing semiconductor channel was fabricated to detect and identify various solution solvents. The TFT devices would offer applications for low cost, rapid and highly compatible water soluble detection and could replace conventional silicon field effect transistors (FETs) as bio sensors. In this work, we demonstrate the utility of the TFT ZnO channel to sense various liquids, such as polar solvents (ethanol) non polar solvents (toluene) and deionized (DI) water, which were dropped and adsorbed onto the channel. It is discussed how different dielectric constants of polar/non polar solvents and DI water were associated with various charge transport properties, demonstrating the main detection mechanisms of the thin film transistor.",
"author_names": [
"Hsin-Chiang You",
"Cheng-Jyun Wang"
],
"corpus_id": 7688602,
"doc_id": "7688602",
"n_citations": 7,
"n_key_citations": 0,
"score": 0,
"title": "Low Temperature, Solution Processed, Transparent Zinc Oxide Based Thin Film Transistors for Sensing Various Solvents",
"venue": "Materials",
"year": 2017
},
{
"abstract": "Abstract In this experiment, the molybdenum oxide (MoOX) layer were fabricated by spin coated ammonium heptamolybdate (NH4)6Mo7O24 4H2O) solution and thermal annealing treatment. The MoOX layer is shown the deeper energy level, which is more match the highest occupied molecular orbital (HOMO) energy level of the donor. The effect of the thermal annealing of the MoOX layer on hole mobility and photovoltaic performance was investigated. It was found that the 16 nm thick MoOX layer has the best hole mobility and photovoltaic performance as a HEL device. From the space charge limited current (SCLC) and time of flight (TOF) curve, the MoOX layer has shown the better hole extract and transport ability compared with polyethylenedioxy thiophene:polystyrenesulfonate (PEDOT:PSS, Clevios Al4083) layer. The photocurrent density versus effective voltage (Jph Veff) curve, short circuit current (JSC) and open circuit voltage (VOC) value dependent on incident light intensity is confirmed that the weaken charge carrier recombination of MoOX based PSCs at the open circuit condition and short circuit condition. After prolonged light illumination (during ten days) the MoOX based PSCs is shown the better photo stability compared with PEDOT:PSS based PSCs and the similar decrease ratio compared with inverted PSCs.",
"author_names": [
"Shengli Niu",
"Simin Xing",
"Xiangning Zhan",
"Zhiyong Liu",
"Ning Wang",
"Weiliang Zheng"
],
"corpus_id": 125785319,
"doc_id": "125785319",
"n_citations": 5,
"n_key_citations": 0,
"score": 0,
"title": "Low temperature solution processed molybdenum oxide thin film as ITO modified layer for polymer solar cells",
"venue": "",
"year": 2018
},
{
"abstract": "The recent evolution of solution processed hybrid organic inorganic perovskite based photovoltaic devices opens up the commercial avenue for high throughput roll to roll manufacturing technology. To circumvent the thermal limitations that hinder the use of metal oxide charge transport layers on plastic flexible substrates in such technologies, we employed a relatively low power nitrogen plasma treatment to achieve compact SnO2 thin film electrodes at near room temperature. The perovskite photovoltaic devices thus fabricated using N2 plasma treated SnO2 performed on par with thermally annealed SnO2 electrodes and resulted in a power conversion efficiency (PCE) of ca. 20.3% with stabilized power output (SPO) of ca. 19.1% on rigid substrates. Furthermore, the process is extended to realize flexible perovskite solar cells on indium tin oxide (ITO) coated polyethylene terephthalate (PET) substrates with champion PCE of 18.1% (SPO ca. 17.1% which retained ca. 90% of its initial performance after 1000 bending",
"author_names": [
"Anand S Subbiah",
"Nripan Mathews",
"Subodh Gautam Mhaisalkar",
"Shaibal K Sarkar"
],
"corpus_id": 139847348,
"doc_id": "139847348",
"n_citations": 37,
"n_key_citations": 0,
"score": 0,
"title": "Novel Plasma Assisted Low Temperature Processed SnO2 Thin Films for Efficient Flexible Perovskite Photovoltaics",
"venue": "",
"year": 2018
},
{
"abstract": "Abstract Na incorporation is widely considered to play an important role in low temperature processed Cu(In,Ga)Se 2 (CIGS) solar cells. In this work, we used post deposition treatment (PDT) method for Na incorporation in CIGS films, which involved the deposition of a thin NaF layer accompanied by thermal annealing. It was found that thermal annealing drove the diffusion of Na into CIGS absorbers without causing loss of elements and change of crystallinity. The dependence of the photovoltaic performance and recombination of CIGS solar cells on the Na PDT temperature was investigated. Impedance spectroscopy and admittance spectroscopy were used to characterize the recombination and defects of CIGS solar cells. The results indicated that the CIGS solar cells with Na PDT exhibited significant improvement of photovoltaic performance compared to those with Na free. The enhanced transport performances of Na treated cells were attributed to the decreased space charge region (SCR) recombination. Both Na free and Na treated at 300 degC CIGS devices showed a donor defect with activation energy of about 295 meV, while the Na treated devices demonstrated lower density of states, which resulted in an improved V oc A maximum conversion efficiency of 11.9% was achieved for CIGS solar cells with Na PDT temperature at 300 degC.",
"author_names": [
"He Xulin",
"Jiang Liu",
"Ye Qinyan",
"Kun Luo",
"Yidong Jiang",
"Cheng Liao",
"Liangqi Ouyang",
"Da-ming Zhuang",
"Jun Mei",
"Woon-Ming Lau"
],
"corpus_id": 138864747,
"doc_id": "138864747",
"n_citations": 18,
"n_key_citations": 0,
"score": 0,
"title": "The role of Na incorporation in the low temperature processed CIGS thin film solar cells using post deposition treatment",
"venue": "",
"year": 2016
},
{
"abstract": "Low temperature, solution processed metal oxide thin film transistors (MEOTFTs) have been widely investigated for application in low cost, transparent, and flexible electronics. To enlarge the application area, solution processed gate insulators (GI) have been investigated in recent years. We investigated the effects of the organic/inorganic bi layer GI to ZnO thin film transistors (TFTs) PVP, YO(x) nanoparticle composite, and polysilazane bi layer showed low leakage current 10( 8) A/cm2 in 2 MV) which are applicable in low temperature processed MEOTFTs. Polysilazane was used as an interlayer between ZnO and PVP, YO(x) nanoparticle composite as a good charge transport interface with ZnO. By applying the PVP, YO(x) nanoparticle composite/polysilazane bi layer structure to ZnO TFTs, we successfully suppressed the off current (I(off) to 10( 11) and fabricated good MEOTFTs in 180 degrees C.",
"author_names": [
"Hyeonwoo Shin",
"Chan-mo Kang",
"H Chae",
"Hyun-Gwan Kim",
"K H Baek",
"Hyoung Jin Choi",
"Mansoo Park",
"Lee Mi Do",
"Changhee Lee"
],
"corpus_id": 27333230,
"doc_id": "27333230",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "A Low Temperature, Solution Processed Poly(4 vinylphenol) YO(x) Nanoparticle Composite/Polysilazane Bi Layer Gate Insulator for ZnO Thin Film Transistor.",
"venue": "Journal of nanoscience and nanotechnology",
"year": 2016
},
{
"abstract": "Inspired by the silicide technology in manufacturing silicon devices and the ongoing lack of knowledge on post metallization annealing in realizing oxide devices, we investigated post contact annealing for solution processed InGaZnO transistors. Low temperature annealing in air is found to significantly improve device uniformity, reproducibility, and subthreshold charge transport. However, this method is highly dependent on the employed contact metal. Detailed examination of devices using Al, Au, and Cu reveals that the physics of a metal/semiconductor interface is vital to its post anneal response, which results in distinct device characteristics. Our results provide clues to better understand oxide transistors and to optimize their performance.",
"author_names": [
"Yong Xu",
"Chuan Liu",
"Paul S K Amegadze",
"Won-Tae Park",
"Dang Xuan Long",
"Takeo Minari",
"Francis Balestra",
"Gerard Ghibaudo",
"Yong-Young Noh"
],
"corpus_id": 123593912,
"doc_id": "123593912",
"n_citations": 18,
"n_key_citations": 0,
"score": 0,
"title": "Significant roles of low temperature post metallization annealing in solution processed oxide thin film transistors",
"venue": "",
"year": 2014
},
{
"abstract": "We have investigated the reversal of conductivity of solution processed ZnSnO (ZTO) films and thin film transistors (TFTs) under high pressure annealing (HPA) in terms of the field effect mobility. When the ZTO TFTs had Zn Sn ratios of 1 1 and 2 1 under HPA, the value of the field effect mobility showed a reversal phenomenon compared to TFTs without HPA. This result was attributed to the increase in conductivity due to the Fermi level being shifted up near the conduction band minimum by Sn activation under HPA. Furthermore, strong hybridization of the Sn 5s and the oxygen 2p orbitals was induced by HPA. As a result, HPA could contribute to the orbital splitting related to electron transport in the conduction band. In addition, the reliability of the HPA ZTO TFT was more stable than without HPA because of the reduction in interface charge traps.",
"author_names": [
"You Seung Rim",
"Byung Du Ahn",
"J W Park",
"Hongmin Kim"
],
"corpus_id": 95188622,
"doc_id": "95188622",
"n_citations": 13,
"n_key_citations": 0,
"score": 0,
"title": "Manifestation of reversal conductivity on high pressurizing of solution processed ZnSnO thin film transistors at low temperature",
"venue": "",
"year": 2014
}
] |
Metal‐Assisted Chemical Etching of Silicon: A Review | [
{
"abstract": "This article presents an overview of the essential aspects in the fabrication of silicon and some silicon/germanium nanostructures by metal assisted chemical etching. First, the basic process and mechanism of metal assisted chemical etching is introduced. Then, the various influences of the noble metal, the etchant, temperature, illumination, and intrinsic properties of the silicon substrate (e.g. orientation, doping type, doping level) are presented. The anisotropic and the isotropic etching behaviors of silicon under various conditions are presented. Template based metal assisted chemical etching methods are introduced, including templates based on nanosphere lithography, anodic aluminum oxide masks, interference lithography, and block copolymer masks. The metal assisted chemical etching of other semiconductors is also introduced. A brief introduction to the application of Si nanostructures obtained by metal assisted chemical etching is given, demonstrating the promising potential applications of metal assisted chemical etching. Finally, some open questions in the understanding of metal assisted chemical etching are compiled.",
"author_names": [
"Zhipeng Huang",
"Nadine Geyer",
"P Werner",
"Johannes de Boor",
"U Gosele"
],
"corpus_id": 205237664,
"doc_id": "205237664",
"n_citations": 1379,
"n_key_citations": 26,
"score": 1,
"title": "Metal assisted chemical etching of silicon: a review.",
"venue": "Advanced materials",
"year": 2011
},
{
"abstract": "Silicon is the undisputed leader for microelectronics among all the industrial materials and Si nanostructures flourish as natural candidates for tomorrow's technologies due to the rising of novel physical properties at the nanoscale. In particular, silicon nanowires (Si NWs) are emerging as a promising resource in different fields such as electronics, photovoltaic, photonics, and sensing. Despite the plethora of techniques available for the synthesis of Si NWs, metal assisted chemical etching (MACE) is today a cutting edge technology for cost effective Si nanomaterial fabrication already adopted in several research labs. During these years, MACE demonstrates interesting results for Si NW fabrication outstanding other methods. A critical study of all the main MACE routes for Si NWs is here presented, providing the comparison among all the advantages and drawbacks for different MACE approaches. All these fabrication techniques are investigated in terms of equipment, cost, complexity of the process, repeatability, also analyzing the possibility of a commercial transfer of these technologies for microelectronics, and which one may be preferred as industrial approach.",
"author_names": [
"Antonio Alessio Leonardi",
"Maria Jose Lo Faro",
"Alessia Irrera"
],
"corpus_id": 231869758,
"doc_id": "231869758",
"n_citations": 4,
"n_key_citations": 1,
"score": 0,
"title": "Silicon Nanowires Synthesis by Metal Assisted Chemical Etching: A Review",
"venue": "Nanomaterials",
"year": 2021
},
{
"abstract": "In this work we provided a review of the study of MACE (metal assisted chemical etching) of Si with Ag, Pt, Ni and Au films and clusters. Type and shape of the metal mask play an important role in determination of morphology of the nanostructured layer. It is possible to form both wide range of porous layer and nanowires array. The basic features of the MACE with various types and shape of the metal were revealed.",
"author_names": [
"O V Pyatilova",
"Sergey Gavrilov",
"Artem V Sysa",
"Andrey Savitskiy",
"Alexei S Shuliatyev",
"Alexander A Dudin",
"Alexander A Pavlov"
],
"corpus_id": 99083148,
"doc_id": "99083148",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Metal assisted chemical etching of silicon with different metal films and clusters: a review",
"venue": "International Conference on Micro and Nano Electronics",
"year": 2016
},
{
"abstract": "High aspect ratio silicon micro and nanostructures are technologically relevant in several applications, such as microelectronics, microelectromechanical systems, sensors, thermoelectric materials, battery anodes, solar cells, photonic devices, and X ray optics. Microfabrication is usually achieved by dry etch with reactive ions and KOH based wet etch, metal assisted chemical etching (MacEtch) is emerging as a new etching technique that allows huge aspect ratio for feature size in the nanoscale. To date, a specialized review of MacEtch that considers both the fundamentals and X ray optics applications is missing in the literature. This review aims to provide a comprehensive summary including: (i) fundamental mechanism; (ii) basics and roles to perform uniform etching in direction perpendicular to the <100> Si substrate; (iii) several examples of X ray optics fabricated by MacEtch such as line gratings, circular gratings array, Fresnel zone plates, and other X ray lenses; (iv) materials and methods for a full fabrication of absorbing gratings and the application in X ray grating based interferometry; and (v) future perspectives of X ray optics fabrication. The review provides researchers and engineers with an extensive and updated understanding of the principles and applications of MacEtch as a new technology for X ray optics fabrication.",
"author_names": [
"Lucia Romano",
"Marco Stampanoni"
],
"corpus_id": 219726646,
"doc_id": "219726646",
"n_citations": 7,
"n_key_citations": 0,
"score": 0,
"title": "Microfabrication of X ray Optics by Metal Assisted Chemical Etching: A Review",
"venue": "Micromachines",
"year": 2020
},
{
"abstract": "Abstract Silicon nanostructures exhibit promising application potentials in many fields in comparison with their bulk counterpart or other semiconductor nanostructures. Therefore, the exploiting of controllable fabrication methods of silicon nanostructures, and the exploring of further applications of silicon nanostructures gain extensive attentions. In this review, recent advances in metal assisted chemical etching of silicon, a low cost and versatile method enabling fine control over morphology feature of silicon nanostructures, are summarized. The overview concerning the applications of silicon nanostructures in the field of energy conversion and storage, and sensors are also presented.",
"author_names": [
"Hee Yoon Han",
"Zhipeng Huang",
"Woo-Jin Lee"
],
"corpus_id": 136581013,
"doc_id": "136581013",
"n_citations": 269,
"n_key_citations": 6,
"score": 0,
"title": "Metal assisted chemical etching of silicon and nanotechnology applications",
"venue": "",
"year": 2014
},
{
"abstract": "This review outlines the developments and recent progress in metal assisted chemical etching of silicon, summarizing a variety of fundamental and innovative processes and etching methods that form a wide range of nanoscale silicon structures. The use of silicon as an anode for Li ion batteries is also reviewed, where factors such as film thickness, doping, alloying, and their response to reversible lithiation processes are summarized and discussed with respect to battery cell performance. Recent advances in improving the performance of silicon based anodes in Li ion batteries are also discussed. The use of a variety of nanostructured silicon structures formed by many different methods as Li ion battery anodes is outlined, focusing in particular on the influence of mass loading, core shell structure, conductive additives, and other parameters. The influence of porosity, dopant type, and doping level on the electrochemical response and cell performance of the silicon anodes are detailed based on recent findings. Perspectives on the future of silicon and related materials, and their compositional and structural modifications for energy storage via several electrochemical mechanisms, are also provided.",
"author_names": [
"William McSweeney",
"Hugh Geaney",
"Colm O'Dwyer"
],
"corpus_id": 51792589,
"doc_id": "51792589",
"n_citations": 78,
"n_key_citations": 2,
"score": 0,
"title": "Metal assisted chemical etching of silicon and the behavior of nanoscale silicon materials as Li ion battery anodes",
"venue": "Nano Research",
"year": 2015
},
{
"abstract": "Metal assisted chemical etching (MacEtch) is a recently developed anisotropic wet etching method that is capable of producing high aspect ratio semiconductor nanostructures from patterned metal film. In this review article, we highlight the characteristics of MacEtch of silicon (Si) including controllability of the produced sidewall roughness, the inherent high aspect ratio, the weak crystal orientation dependence, impurity doping and solution concentration dependent porosity, as well as the applicability of MacEtch to non Si based semiconductor materials including III V compound semiconductors. Also reviewed are applications of MacEtch produced high aspect ratio Si nanostructures in photovoltaics, where the p n junction can be in the planar Si tray, core shell, or axial geometry, with nanowire, micropillar, or hole arrays serving as light trapping or carrier collection structures. The prospect of using MacEtch to improve the cost and efficiency of photovoltaic cells is discussed.",
"author_names": [
"Xiuling Li"
],
"corpus_id": 15169785,
"doc_id": "15169785",
"n_citations": 316,
"n_key_citations": 6,
"score": 0,
"title": "Metal assisted chemical etching for high aspect ratio nanostructures: A review of characteristics and applications in photovoltaics",
"venue": "",
"year": 2012
},
{
"abstract": "Abstract Metal assisted chemical etching (MACE) is a simple, low cost and versatile method of fabricating various silicon nanostructures. Due to the etching anisotropy of monocrystalline silicon, i.e. its different crystal orientation has different number of silicon back bonds needed to be broken in the etching process, the obtained silicon nanostructures are morphology variable. It has been demonstrated that, by choosing the species or morphologies of catalyst, adjusting the etchant composition or concentration, changing the doping species and level of the silicon substrate, or introducing extra physical fields, MACE method can be used to prepare various desired silicon nanostructures. This review summarizes the most recent contributions in the fabrication of designable monocrystalline silicon nanostructure by MACE. In order to provide a relatively complete comprehension of the MACE, the fundamental principle and basic manipulation process of a conventional MACE, as well as the main influence factors on the etching effects are given; and the common applications of MACE in silicon etching are briefly reviewed. This article also presents some new developed improved MACE technologies and their potential applications in the extended field.",
"author_names": [
"Meicheng Li",
"Yingfeng Li",
"Wenjian Liu",
"Luo Yue",
"Ruike Li",
"Younan Luo",
"Mwenya Trevor",
"Bing Jiang",
"Fan Bai",
"Pengfei Fu",
"Yan Zhao",
"Chao Shen",
"Joseph Michel Mbengue"
],
"corpus_id": 137947765,
"doc_id": "137947765",
"n_citations": 42,
"n_key_citations": 1,
"score": 0,
"title": "Metal assisted chemical etching for designable monocrystalline silicon nanostructure",
"venue": "",
"year": 2016
},
{
"abstract": "Abstract In this article, the fabrication methods of black silicon (b Si) application and performance of b Si in photovoltaics, and the theoretical modelling efforts in b Si based photovoltaic cells are reviewed. To date, the most popular fabrication methods are reactive ion etching and metal assisted chemical etching, due to their flexibility and low cost. Other methods include laser irradiation, stain etching, electrochemical etching, and Fray Farthing Chen Cambridge process. Black silicon's largest application is in photovoltaics due to its absorption properties. Currently, the highest efficiency of b Si based solar cell reported in the literature is 22.1% with an interdigitated back contact configuration. Currently, the issue of high recombination rates due to the nanostructures is being actively researched on but has yet been fully resolved. There are only a few theoretical models of b Si photovoltaics, with most focus on the optical properties. The optical performance is usually assessed based on Maxwell's equations, while the electrical properties are simulated based on semiconductor equations, including Poisson's, continuity and drift diffusion current equations. Most studies neglect the effect of temperature. Modelling of the thermal properties of silicon nanostructures is performed with the Monte Carlo method to solve the phonon radiative transport equation for the temperature distribution.",
"author_names": [
"Jasman Y H Chai",
"Basil T Wong",
"Saulius Juodkazis"
],
"corpus_id": 224913415,
"doc_id": "224913415",
"n_citations": 5,
"n_key_citations": 0,
"score": 0,
"title": "Black silicon assisted photovoltaic cells for better conversion efficiencies: a review on recent research and development efforts",
"venue": "",
"year": 2020
},
{
"abstract": "Nowadays hybrid (inorganic organic) solar cells have become centre for attractive research for their comparatively low cost and fundamental research for prominent organic inorganic hetero junction. This review mainly aims to focus on the basic concepts of silicon nanowires (Si NWs) hybrid solar cells including different fabrication and characterization procedures and the fundamental aspects on which solar cell works. Various techniques have been reported for the synthesis of Si NWs such as CVD, Oxide assisted growth, Lithography and electro less metal assisted chemical etching. A further discussion is made on the various characterization procedures such as SEM, TEM, XRD, XPS, UV Vis, PL, FTIR, Raman and IV for both Si NWs and the hybrid solar cell. Furthermore a comparison has also been made between various solar cells with the help of a table showing different Si NWs hybrid solar cell and their efficiencies.",
"author_names": [
"Rabina Bhujel",
"Bibhu Prasad Swain"
],
"corpus_id": 139885908,
"doc_id": "139885908",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Fabrication and characterization of silicon nanowires hybrid Solar cells: A Review",
"venue": "",
"year": 2018
}
] |
Tunable insertion loss attenuator{ | [
{
"abstract": "We report the development of a compact WR 4 (170260 GHz) optically tunable waveguide attenuator based on the interaction between electromagnetic waves and photo induced free carriers in semiconductors. This approach is promising for achieving superior performance, including a ~50 dB tuning range, low insertion loss, lowreturn loss (VSWR) and high tuning speed. Based on full wave simulation results, an average attenuation level of ~50 dB can be achieved using a 1 mm long Ge absorber at a light intensity of 1 W/cm. By employing an E plane taper design and energy absorption mechanism, return loss lower than 13 dB have been achieved. A prototype attenuator with Si absorber has been implemented using an E plane split waveguide design. Initial measurement results show that ~14 dB attenuation can be achieved using a single illumination spot. The attenuation level can be improved by increasing the illumination region length employing multiple fibers.",
"author_names": [
"Zhenguo Jiang",
"Md Itrat Bin Shams",
"Syed M Rahman",
"Patrick J Fay",
"Cheuk-yuE Tong",
"Lei Liu"
],
"corpus_id": 214620935,
"doc_id": "214620935",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "A WR 4 Optically Tunable Waveguide Attenuator with 50 dB Tuning Range and Low Insertion Loss",
"venue": "",
"year": 2016
},
{
"abstract": "In this paper, a novel type of dynamically tunable attenuator based on graphene is proposed. This type of attenuator is composed of one or two graphene sandwich structures (GSSs) and a microstrip line. The GSSs are spread on the substrate of microstrip line near the signal strip along the direction of propagation to dissipate electromagnetic field. By the application of biased voltage, the surface impedance of graphene can be tuned, and consequently, the insertion loss of microstrip line can also be adjusted while the return loss maintains relatively low level. A transverse equivalent network and a closed form of attenuation of each attenuator are also proposed, which can be utilized to analyze the performance of attenuator with different critical parameters of graphene in detail. According to the analysis, there is a maximum value of the attenuation as the surface impedance of graphene rises from 0 to $3000~\\Omega \\Box As an example, a prototype of each tunable microstrip line attenuator operating at gigahertz frequencies is fabricated and measured. The fabricated attenuator present favorable attenuation ranges from 3 to 15 dB, at the operating frequency from 9 to 40 GHz, and with a stable wideband attenuation corresponding to bias voltage changing from 0 to 4.0 V.",
"author_names": [
"An-Qi Zhang",
"Zhen-Guo Liu",
"Wei-Bing Lu",
"Hao Chen"
],
"corpus_id": 59619458,
"doc_id": "59619458",
"n_citations": 22,
"n_key_citations": 1,
"score": 1,
"title": "Dynamically Tunable Attenuator on a Graphene Based Microstrip Line",
"venue": "IEEE Transactions on Microwave Theory and Techniques",
"year": 2019
},
{
"abstract": "In this paper, a novel tunable attenuator based on graphene is proposed. The attenuator is composed of a graphene sandwich structure and a coplanar waveguide (CPW) By tuning the surface impedance of graphene, the insertion loss of transmission line can consequently be tuned. This attenuator present favorable attenuation ranges from 3dB to 15dB at a relatively wide frequency band from 10 GHz to 26 GHz.",
"author_names": [
"An-Qi Zhang",
"Zhen-Guo Liu",
"Yang Yi",
"Wei-Bing Lu"
],
"corpus_id": 53019898,
"doc_id": "53019898",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Graphene Based Tunable Attenuator on Coplanar Waveguide",
"venue": "2018 IEEE International Conference on Computational Electromagnetics (ICCEM)",
"year": 2018
},
{
"abstract": "This paper presents a novel tunable microstrip attenuator, based on few layer graphene flakes. The attenuator consists of a microstrip line with two pairs of graphene pads located between the microstrip line and metal vias, positioned at the two sides of the microstrip line. In the proposed device, the insertion loss can be changed electronically by varying the applied control voltage. The structure is designed in such a way, that the attenuation is mainly due to dissipation, while minimizing the reflection contribution. A prototype of the proposed structure has been fabricated and experimentally verified. It operates in a frequency band of DC to 10 GHz, with 25 dB tunability and minimum insertion loss of 1.5 dB.",
"author_names": [
"Muhammad Yasir",
"Maurizio Bozzi",
"Luca Perregrini",
"Silvia Bistarelli",
"Antonino Cataldo",
"Stefano Bellucci"
],
"corpus_id": 20872601,
"doc_id": "20872601",
"n_citations": 12,
"n_key_citations": 1,
"score": 0,
"title": "Tunable and input matched attenuator based on few layer graphene",
"venue": "2017 47th European Microwave Conference (EuMC)",
"year": 2017
},
{
"abstract": "In this paper, a novel graphene based tunable attenuator with substrate integrated waveguide (SIW) structure is first presented. Two graphene sandwich structures insert into SIW and are utilized to constitute E plane surface impedance. By use of biased voltage, the surface impedance and the insertion loss of monolayer graphene inside SIW can be tuned. The performance of attenuator with different critical parameters of graphene sheets are analyzed in detail.",
"author_names": [
"An-Qi Zhang",
"Wei-Bing Lu",
"Zhen-Guo Liu",
"Yang Yi",
"Hao Chen",
"Bao-Hu Huang"
],
"corpus_id": 51720280,
"doc_id": "51720280",
"n_citations": 9,
"n_key_citations": 1,
"score": 0,
"title": "A tunable attenuator on graphene based substrate integrated waveguide",
"venue": "2017 Sixth Asia Pacific Conference on Antennas and Propagation (APCAP)",
"year": 2017
},
{
"abstract": "In this work, a novel tunable attenuator is presented which is based on few layer graphene flakes. The attenuator is formed of a microstrip line with metallic grounded vias on either side separated from the microstrip line by few layer graphene flakes. The insertion loss of the attenuator can be tuned by changing the bias voltage applied to the graphene flakes. It is shown that the reflection from the attenuator is minimized and its bandwidth is doubled with an increase in the tunable range. A prototype is fabricated and the simulated results are verified through experiments. The attenuator works from DC to 10 GHz with a tunable range of almost 25 dB, the value of reflection attained at maximum attenuation is around 3 dB.",
"author_names": [
"Muhammad Yasir",
"Maurizio Bozzi",
"Luca Perregrini",
"Silvia Bistarelli",
"Antonino Cataldo",
"Stefano Bellucci"
],
"corpus_id": 19440781,
"doc_id": "19440781",
"n_citations": 9,
"n_key_citations": 0,
"score": 0,
"title": "Highly tunable and large bandwidth attenuator based on few layer graphene",
"venue": "2017 IEEE MTT S International Microwave Workshop Series on Advanced Materials and Processes for RF and THz Applications (IMWS AMP)",
"year": 2017
},
{
"abstract": "This paper presents the design of a graphene based electronically tunable microstrip attenuator operating at the frequency of 5 GHz. The use of graphene as a variable resistor is discussed, and the modeling of its electromagnetic properties at microwave frequencies is fully addressed. The design of the graphene based attenuator is described. The structure integrates a patch of graphene, whose characteristics can range from fairly good conductor to highly lossy material, depending on the applied voltage. By applying the proper voltage through two high impedance bias lines, the surface resistivity of graphene can be modified, thus changing the insertion loss of the microstrip attenuator.",
"author_names": [
"Luca Pierantoni",
"Davide Mencarelli",
"Maurizio Bozzi",
"Riccardo Moro",
"Stefano Bellucci"
],
"corpus_id": 21300626,
"doc_id": "21300626",
"n_citations": 19,
"n_key_citations": 0,
"score": 0,
"title": "Graphene based electronically tunable microstrip attenuator",
"venue": "2014 IEEE MTT S International Microwave Symposium (IMS2014)",
"year": 2014
},
{
"abstract": "Abstract A tunable all fiber optical attenuator (AOA) based on microfiber with adjustable coupling angle is reported and demonstrated. The AOA is fabricated of a microfiber probe coupled to a tapered fiber on the waist region through van der Waals and electrostatic forces. The attenuation can be tuned by adjusting the coupling angle of the two microfibers. When the coupling is fabricated of angles adjust from 8 0 o to 1 0 o the optical attenuation can be tuned in a large range from 5.7 dB to 40.1 dB with low insertion loss. The simulation and experimental show that the coupling length can be changed by increasing the coupling angle, which results in decreasing the attenuation of AOA. The attenuation of AOA is decreased linearly by increasing the coupling angle. It provides a feasible approach to achieve attenuators with miniature volume, low cost, and multiple functions in optical communication and fiber lasers.",
"author_names": [
"Yan-cheng Ji",
"Yupei Chen",
"Dan Sun",
"Guoan Zhang",
"Chinhua Wang",
"Xiaojun Zhu"
],
"corpus_id": 234156759,
"doc_id": "234156759",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "An all fiber optical attenuator based on adjustable coupling angle of microfiber",
"venue": "",
"year": 2021
},
{
"abstract": "We report our recent progress toward the development of optically controlled tunable/reconfigurable THz circuits/components in waveguide configurations for advanced sensing and adaptive wireless communications. The development and demonstration of a modified WR 4.3 variable waveguide attenuator based on photo excited Si with 60 dB range and 0.7 dB insertion loss will first be reviewed. Then the investigation of a WR 5.1 reconfigurable band stop filter (BSF) prototype based on photo induced electromagnetic band gap (PI EBG) structures using semiconductor mesa arrays will be presented. The center frequency of the BSF can be reconfigured from 166 200 GHz with adjustable stop band rejection and bandwidth. Finally, the development of high performance THz integrated switches using the same optical control methodology enabling the implementation of more advanced tunable/reconfigurable THz waveguide circuits will be envisioned, investigated and discussed. Preliminary results reveal that the optically controlled RF switches show a potentially record high figure of merit (evaluated by RonCoff constant) of 153 THz, allowing them to outperform both conventional solid state device based (e.g. HEMTs) and emerging phase changing material based (e.g. VO2) counterparts, and therefore promising to compete with MEMS switches in the mmW THz region for a novel class of tunable/reconfigurable circuits/components.",
"author_names": [
"Jun Ren",
"Yu Shi",
"Yijing Deng",
"Jeffrey L Hesler",
"Patrick J Fay",
"Longfei Liu"
],
"corpus_id": 215739063,
"doc_id": "215739063",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Development of Optically Controlled Tunable/Reconfigurable Terahertz Waveguide Circuits/Components For Advanced Sensing and Adaptive Wireless Communications",
"venue": "2019 IEEE National Aerospace and Electronics Conference (NAECON)",
"year": 2019
},
{
"abstract": "In this work, two different types of tunable attenuators based on graphene are presented and a comparative analysis of their design and functionality is performed. A preliminary solution of the tunable attenuator has been recently proposed, based on a graphene patch deposited in the gap of a microstrip line: it results in wide band functionality from DC to 20 GHz, with a tunability of 7 dB and minimum insertion loss of 5 dB. A novel enhanced design is proposed, with two graphene patches located between the main microstrip line and two metal vias, located at the two sides of the microstrip line. This solution operates in a frequency band of DC to 5 GHz, with 14 dB tunability and minimum insertion loss of 0.3 dB.",
"author_names": [
"Muhammad Yasir",
"Maurizio Bozzi",
"Luca Perregrini",
"Silvia Bistarelli",
"Antonino Cataldo",
"Stefano Bellucci"
],
"corpus_id": 42108184,
"doc_id": "42108184",
"n_citations": 9,
"n_key_citations": 1,
"score": 0,
"title": "Innovative tunable microstrip attenuators based on few layer graphene flakes",
"venue": "2016 16th Mediterranean Microwave Symposium (MMS)",
"year": 2016
}
] |
Bose–Einstein condensation of exciton polaritons | [
{
"abstract": "Phase transitions to quantum condensed phases such as Bose Einstein condensation (BEC) superfluidity, and superconductivity have long fascinated scientists, as they bring pure quantum effects to a macroscopic scale. BEC has, for example, famously been demonstrated in dilute atom gas of rubidium atoms at temperatures below 200 nanokelvin. Much effort has been devoted to finding a solid state system in which BEC can take place. Promising candidate systems are semiconductor microcavities, in which photons are confined and strongly coupled to electronic excitations, leading to the creation of exciton polaritons. These bosonic quasi particles are 109 times lighter than rubidium atoms, thus theoretically permitting BEC to occur at standard cryogenic temperatures. Here we detail a comprehensive set of experiments giving compelling evidence for BEC of polaritons. Above a critical density, we observe massive occupation of the ground state developing from a polariton gas at thermal equilibrium at 19 K, an increase of temporal coherence, and the build up of long range spatial coherence and linear polarization, all of which indicate the spontaneous onset of a macroscopic quantum phase.",
"author_names": [
"Jacek Kasprzak",
"Maxime Richard",
"Stefan Kundermann",
"Augustin Baas",
"P Jeambrun",
"John J Keeling",
"Francesca M Marchetti",
"Marzena H Szymanska",
"Regis Andre",
"J L Staehli",
"Vincenzo Savona",
"Peter B Littlewood",
"Benoit Deveaud",
"Le Si Dang"
],
"corpus_id": 854066,
"doc_id": "854066",
"n_citations": 1749,
"n_key_citations": 34,
"score": 1,
"title": "Bose Einstein condensation of exciton polaritons",
"venue": "Nature",
"year": 2006
},
{
"abstract": "Bose Einstein condensation describes the macroscopic occupation of a single particle mode: the condensate. This state can in principle be realized for any particles obeying Bose Einstein statistics; this includes hybrid light matter excitations known as polaritons. Some of the unique optoelectronic properties of organic molecules make them especially well suited for the realization of polariton condensates. Exciton polaritons form in optical cavities when electronic excitations couple collectively to the optical mode supported by the cavity. Organic polaritons obey bosonic statistics at moderate densities, are stable at room temperature, and have been observed to form a condensed or lasing state. Understanding the optimal conditions for polariton condensation requires careful modeling of the complex photophysics of organic molecules. In this article, we introduce the basic physics of exciton polaritons and condensation and review experiments demonstrating polariton condensation in molecular materials. Expected final online publication date for the Annual Review of Physical Chemistry, Volume 71 is April 20, 2020. Please see http:/www.annualreviews.org/page/journal/pubdates for revised estimates.",
"author_names": [
"Jonathan Keeling",
"Stephane Kena-Cohen"
],
"corpus_id": 212402401,
"doc_id": "212402401",
"n_citations": 19,
"n_key_citations": 0,
"score": 0,
"title": "Bose Einstein Condensation of Exciton Polaritons in Organic Microcavities.",
"venue": "Annual review of physical chemistry",
"year": 2020
},
{
"abstract": "We describe photonic crystal microcavities with very strong light matter interaction to realize room temperature, equilibrium, exciton polariton Bose Einstein condensation (BEC) This is achieved through a careful balance between strong light trapping in a photonic band gap (PBG) and large exciton density enabled by a multiple quantum well (QW) structure with moderate dielectric constant. This enables the formation of long lived, dense 10~\\mu$m 1~cm scale cloud of exciton polaritons with vacuum Rabi splitting (VRS) that is roughly 7\\ of the bare exciton recombination energy. We introduce a woodpile photonic crystal made of Cd$_{0.6}$Mg$_{0.4}$Te with a 3D PBG of 9.2\\ (gap to central frequency ratio) that strongly focuses a planar guided optical field on CdTe QWs in the cavity. For 3~nm QWs with 5~nm barrier width the exciton photon coupling can be as large as \\hbar\\Ome=$55~meV (i.e. vacuum Rabi splitting $2\\hbar\\Ome=$110~meV) The exciton recombination energy of 1.65~eV corresponds to an optical wavelength of 750~nm. For $N=$106 QWs embedded in the cavity the collective exciton photon coupling per QW, \\hbar\\Ome/\\sqrt{N}=5.4$~meV, is much larger than state of the art value of 3.3~meV, for CdTe Fabry P\\'erot microcavity. The maximum BEC temperature is limited by the depth of the dispersion minimum for the lower polariton branch, over which the polariton has a small effective mass \\sim 10^ 5}m_0$ where $m_0$ is the electron mass in vacuum. By detuning the bare exciton recombination energy above the planar guided optical mode, a larger dispersion depth is achieved, enabling room temperature BEC.",
"author_names": [
"Jian-hua Jiang",
"Sajeev John"
],
"corpus_id": 4102615,
"doc_id": "4102615",
"n_citations": 18,
"n_key_citations": 2,
"score": 0,
"title": "Photonic Crystal Architecture for Room Temperature Equilibrium Bose Einstein Condensation of Exciton Polaritons",
"venue": "",
"year": 2014
},
{
"abstract": "It is shown theoretically that Bose condensation of spin degenerated exciton polaritons results in spontaneous buildup of the linear polarization in emission spectra of semiconductor microcavities and therefore that linear polarization is a good order parameter for the polariton Bose condensation under unpolarized pumping. If spin degeneracy is lifted, an elliptically polarized light is emitted by the polariton condensate. The main axis of the ellipse rotates in time due to self induced Larmor precession of the polariton condensate pseudospin. The polarization decay time is governed by the dephasing induced by the polariton polariton interaction and is strongly dependent on the statistics of the condensed state. If the elliptical polarization preexists in the system as a result of pumping, the lifetime of the linear part of the polarization is also extremely sensitive to the degree of circular polarization induced in the system by pumping. This decay time can be used to measure the coherence degree of the condensate as a function of the polarization of the emitted light, as opposed to more conventional but harder particle counting experiments of the Hanbury Brown Twiss type.",
"author_names": [
"F Laussy",
"Ivan Andreevich Shelykh",
"Guillaume Malpuech",
"Alexey Vitalievich Kavokin"
],
"corpus_id": 120752243,
"doc_id": "120752243",
"n_citations": 62,
"n_key_citations": 2,
"score": 0,
"title": "Effects of Bose Einstein condensation of exciton polaritons in microcavities on the polarization of emitted light",
"venue": "",
"year": 2006
},
{
"abstract": "A Bose Einstein condensate (BEC) is a state of matter in which extensive collective coherence leads to intriguing macroscopic quantum phenomena. In crystalline semiconductor microcavities, bosonic quasiparticles, known as exciton polaritons, can be created through strong coupling between bound electron hole pairs and the photon field. Recently, a non equilibrium BEC (ref. and superfluidity have been demonstrated in such structures. With organic crystals grown inside dielectric microcavities, signatures of polariton lasing have been observed. However, owing to the deleterious effects of disorder and material imperfection on the condensed phase, only crystalline materials of the highest quality have been used until now. Here we demonstrate non equilibrium BEC of exciton polaritons in a polymer filled microcavity at room temperature. We observe thermalization of polaritons and, above a critical excitation density, clear evidence of condensation at zero in plane momentum, namely nonlinear behaviour, blueshifted emission and long range coherence. The key signatures distinguishing the behaviour from conventional photon lasing are presented. As no crystal growth is involved, our approach radically reduces the complexity of experiments to investigate BEC physics and paves the way for a new generation of opto electronic devices, taking advantage of the processability and flexibility of polymers.",
"author_names": [
"Johannes D Plumhof",
"Thilo Stoferle",
"Lijian Mai",
"Ullrich Scherf",
"Rainer F Mahrt"
],
"corpus_id": 26308084,
"doc_id": "26308084",
"n_citations": 404,
"n_key_citations": 4,
"score": 0,
"title": "Room temperature Bose Einstein condensation of cavity exciton polaritons in a polymer.",
"venue": "Nature materials",
"year": 2014
},
{
"abstract": "Condensation of exciton polaritons in planar microcavities with GaAs/AlAs quantum wells in the active area has been studied. It has been found that an increase in the lifetime of polaritons up to ~10 15 ps when the Q factor of a microcavity exceeds 7000 makes it possible to detect Bose Einstein condensation of polaritons with a dominant >90% photon component. Condensation occurs under thermodynamically nonequilibrium conditions in lateral traps with diameters ~10 mm formed due to long range fluctuations of the polariton potential. The violet shift of the polariton emission line at the condensation threshold significantly exceeds the energy of the repulsive interaction between polaritons in the condensate. It has been shown that the shift is mainly due to a decrease in the oscillator strength of bright excitons in lateral traps, caused by the localization of photoexcited long living dark excitons.",
"author_names": [
"Vladimir D Kulakovskii",
"A V Larionov",
"S I Novikov",
"Sven Hofling",
"Christian Schneider",
"Alfred Forchel"
],
"corpus_id": 121095568,
"doc_id": "121095568",
"n_citations": 11,
"n_key_citations": 0,
"score": 0,
"title": "Bose einstein condensation of exciton polaritons in high Q planar microcavities with GaAs quantum wells",
"venue": "",
"year": 2010
},
{
"abstract": "",
"author_names": [
"Yoshihisa Yamamoto",
"Hui Deng",
"Hartmut Haug"
],
"corpus_id": 125451363,
"doc_id": "125451363",
"n_citations": 27,
"n_key_citations": 0,
"score": 0,
"title": "Bose einstein condensation of exciton polaritons",
"venue": "",
"year": 2009
},
{
"abstract": "Physics Department and Center for Theoretical Physics,The University of Michigan, Ann Arbor, M1 48109 1040, USA(Dated: August 7, 2009)We examine the Bose Einstein condensation of exciton polaritons in a semiconductor microcavityvia an electrical current. We propose that by embedding a quantum dot p i n junction inside thecavity, the tunneling current through the device can reveal features of condensation due to a one to one correspondence of the photons to the condensate polaritons. Such a device can also be usedto observe the phase interference of the order parameters from two condensates.",
"author_names": [
"Yueh-Nan Chen",
"Neill Lambert",
"Franco Nori"
],
"corpus_id": 13345708,
"doc_id": "13345708",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Detecting Bose Einstein condensation of exciton polaritons via electron transport",
"venue": "",
"year": 2009
},
{
"abstract": "",
"author_names": [
"Helena Franke"
],
"corpus_id": 126056732,
"doc_id": "126056732",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "PLD grown ZnO based Microcavities for Bose Einstein Condensation of Exciton Polaritons",
"venue": "",
"year": 2012
},
{
"abstract": "Coherent control has been at the heart of the study of physical chemistry. Great advancement has been achieved in the past few decades in coherent control of classical systems by using spatially and temporally shaped electromagnetic waves. In this dissertation, we extend the concept of coherent control to a purely quantum mechanical collective system, namely, microcavity exciton polariton Bose Einstein condensates. Microcavity exciton polaritons, hereafter simply polaritons, are bosonic quasiparticles formed in a resonant semiconductor microcavity by coupling the excitonic polarizabilities in quantum wells to the transverse mode of the confined optical field in the cavity. The light matter dual nature allows direct control of polaritons through either their excitonic or photonic components. By utilizing the fact that polariton exciton and polariton polariton interactions are repulsive, all optical control of polaritons was realized. By shaping the intensity fronts of the optical beam incident on a microcavity, the potential landscape felt by polaritons can be easily tailored. This is the key ingredient of this dissertation work. The light matter dual nature endows polaritons a very small effective mass that is one hundred million times less than that of a hydrogen atom, leading to the observation of quantum phenomena such as condensation, superfluidity and quantized vortices at temperatures ranging from tens of Kelvin up to room temperatures. However, debates persist over whether the observed phenomena can be related to BoseEinstein condensation because polaritons are not in thermal equilibrium. By applying all optical trapping to a high quality microcavity structure, polaritons at both spatial and thermal equilibrium were achieved across a broad range of densities and bath temperatures, as evidenced by the observed equilibrium Bose Einstein distributions. A phase diagram for Bose Einstein condensation of polaritons was produced for the first time, which agrees with the predictions of basic quantum gas theory. The thermalization behavior depends crucially on the interactions among polaritons. By changing the underlying excitonic/photonic fractions in polaritons, the interaction strength of polaritons can be varied, leading to control between nonequilibrium and equilibrium behavior of the polariton gas. The interactions also play 5 a crucial role in polaritonic device operations. However, an accurate measurement of the polariton polariton interaction strength has been not possible because of the difficulty in separating polaritons and excitons that are created by the same optical excitation. After propagating to the center of a sufficiently large optically induced annular trap, polaritons were separated from the incoherent populations of free carriers and hot excitons. The polariton interaction strength was then extracted from energies measured as a function of the polariton density. The measured interaction strength was about two orders of magnitude larger than previous theoretical estimates, putting polaritons squarely into the strongly interacting regime. Optical control can also be utilized to directly manipulate polariton condensates. By tailoring the size and pumping intensity of the optical trap, polariton condensates can be switched among different high order modes and the homogeneous condensate mode. The redistribution of spatial densities is accompanied by a superlinear increase in the emission intensity as a function of excitation power, implying that polariton condensates in this geometry could be exploited as a multistate switch. The parameters for reproducible switching between the high order states in the optical trap have been measured experimentally, giving us a phase diagram for the mode switching. It will serve well to calibrate the implementation of an exciton polaritonic multistate switch. Thesis Supervisor: Keith A. Nelson Title: Haslam and Dewey Professor of Chemistry",
"author_names": [
"Yongbao Sun"
],
"corpus_id": 103252558,
"doc_id": "103252558",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Exciton polaritons in thermal equilibrium from Bose Einstein condensation to exciton polaritonics",
"venue": "",
"year": 2017
}
] |
Tunnel field-effect transistor without gate-drain overlap | [
{
"abstract": "Tunnel field effect transistors are promising successors of metal oxide semiconductor field effect transistors because of the absence of short channel effects and of a subthreshold slope limit. However, the tunnel devices are ambipolar and, depending on device material properties, they may have low on currents resulting in low switching speed. The authors have generalized the tunnel field effect transistor configuration by allowing a shorter gate structure. The proposed device is especially attractive for vertical nanowire based transistors. As illustrated with device simulations, the authors' more flexible configuration allows of the reduction of ambipolar behavior, the increase of switching speed, and the decrease of processing complexity.",
"author_names": [
"Anne S Verhulst",
"William G Vandenberghe",
"Karen Maex",
"Guido Groeseneken"
],
"corpus_id": 120161521,
"doc_id": "120161521",
"n_citations": 350,
"n_key_citations": 11,
"score": 1,
"title": "Tunnel field effect transistor without gate drain overlap",
"venue": "",
"year": 2007
},
{
"abstract": "This paper investigates a method to suppress the ambipolar current I a m b effectively, enhance the device performance with higher on current I o n lower off current I o f f lower inverse subthreshold slope SS and simultaneously improve the RF performance. Starting with a conventional double gate TFET structure, the device optimization reported in this work has led to the gradual improvement in device performance in terms of higher I o n lower I o f f higher I o n I o f f ratio and lower SS The RF parameters of the optimized GOTFET, such as the mutual transconductance g m gate to drain C G D and gate to source C G S capacitances and unity gain cut off frequency f T are analyzed. We have optimized the tunnel FET device using the industry standard synopsys R \\text {synopsys}\\circledR TCAD tools by studying the impact of various device parameters and dimensions on performance. We demonstrated that at high negative voltages, the proposed nGOTFET would completely suppress the ambipolar behavior of the device without deteriorating the device performance. We have compared the ambipolar current I a m b I o f f I o n SS with 45 nm technology MOSFET and the TFETs reported earlier in literature. For the first time, we have proposed a GOTFET which completely suppresses the ambipolar current at high negative biases, without compromising the high I o n (1.04 mA/ m m) and low I o f f (0.27 pA/ m m) and low SS (32 mV/dec) at room temperature.",
"author_names": [
"Ramakant Yadav",
"Surya Shankar Dan",
"Sanjay Vidhyadharan",
"Simhadri Hariprasad"
],
"corpus_id": 220490448,
"doc_id": "220490448",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Suppression of Ambipolar Behavior and Simultaneous Improvement in RF Performance of Gate Overlap Tunnel Field Effect Transistor (GOTFET) Devices",
"venue": "Silicon",
"year": 2020
},
{
"abstract": "To improve the ambipolar behavior, Dielectric Pocket (DP) is incorporated in the Double Gate Tunnel Field Effect Transistor (DGTFET) The DP plays a key role in the ambipolar conduction of TFET. The proposed device Dielectric Pocket DGTFET (DP DGTFET) has been demonstrated using a 2D TCAD simulator. The DP length and thickness are optimized to increase the minimum tunneling width near the channel drain junction, which indeed reduces the ambipolar conduction of the device without affecting the ON state current, subthreshold swing, and the output characteristics. Moreover, using a high k material instead of low k as a dielectric pocket, enhance the device performance in terms of ambipolar behavior. Additionally, the analog performance of the proposed device was also investigated. It is observed that the presence of DP enhances the device performance by reducing the gate to drain capacitance (Cgd) whereas, the presence of gate to drain overlap has a negative impact (increases Cgd) on the proposed device. It is demonstrated that the gate on drain overlap along with the DP in DGTFET enhances the DC performance of the device by reducing the ambipolar current.",
"author_names": [
"Radhe Gobinda Debnath",
"Srimanta Baishya"
],
"corpus_id": 219312772,
"doc_id": "219312772",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Impact of Dielectric Pocket on the Performance of Double Gate TFET",
"venue": "2020 IEEE Calcutta Conference (CALCON)",
"year": 2020
},
{
"abstract": "Abstract In this work, a hetero junction fully depleted (FD) Silicon on Insulator (SOI) Tunnel Field Effect Transistor (TFET) nanostructure with oxide overlap on the Germanium source region is proposed. Investigations using Synopsys Technology Computer Aided Design (TCAD) simulation tools reveal that the simple oxide overlap on the Germanium source region increases the tunneling area as well as the tunneling current without degrading the band to band tunneling (BTBT) and improves the device performance. More importantly, the improvement is independent of gate overlap. Simulation study shows improvement in ON current, subthreshold swing (SS) OFF current, I ON I OFF ration, threshold voltage and transconductance. The proposed device with hafnium oxide (HfO 2 /Aluminium Nitride (AlN) stack dielectric material offers an average subthreshold swing of 22 mV/decade and high I ON I OFF ratio ~10 10 at V DS 0.4 V. Compared to conventional TFET, the Miller capacitance of the device shows the enhanced performance. The impact of the drain voltage variation on different parameters such as threshold voltage, subthreshold swing, transconductance, and I ON I OFF ration are also found to be satisfactory. From fabrication point of view also it is easy to utilize the existing CMOS process flows to fabricate the proposed device.",
"author_names": [
"Sweta Chander",
"Brinda Bhowmick",
"Srimanta Baishya"
],
"corpus_id": 118529668,
"doc_id": "118529668",
"n_citations": 31,
"n_key_citations": 1,
"score": 0,
"title": "Heterojunction fully depleted SOI TFET with oxide/source overlap",
"venue": "",
"year": 2015
},
{
"abstract": "In this paper, we propose and simulate a novel double gate tunnel field effect transistor (DG TFET) employing a metallic drain and a gate drain underlap. The use of a metallic drain and an underlap results in complete ambipolar suppression in the proposed TFET. A 2D calibrated simulation studies have revealed that ambipolar current suppression of 5 orders, without affecting the on state current of the device, when the gate voltage is varied between 1 V and 1 V, is achieved in the proposed device in comparison to the conventional DG TFET. The complete ambipolar reduction is attributed to the reduced band to band tunneling (BTBT) rate because of the Schottky barrier formation at the channel/drain interface. Further, the use of gate/drain underlap suppresses the ambipolarity completely in the proposed device in comparison to the conventional one. An ON current (I ON and OFF current (I OFF ratio (I ON /I OFF of 10 12 with a subthreshold slope (SS) of 63 mV/decade is achieved in the proposed device. Further, the effect of change of various parameters on the performance of the proposed device has been thoroughly studied. It has been observed that the performance can be optimized further by using the optimum value of these parameters. A process flow for the fabrication of the proposed device has also been given",
"author_names": [
"Anam Khan",
"Sajad A Loan"
],
"corpus_id": 219397872,
"doc_id": "219397872",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Metal Drain Double Gate Tunnel Field Effect Transistor with Underlap: Design and Simulation",
"venue": "Silicon",
"year": 2020
},
{
"abstract": "In this research, we investigate the ambipolar current in germanene nanoribbon tunneling field effect transistor (GeNRTFET) using combination of density functional theory (DFT) and non equilibrium Green's function method (NEGF) We propose two different methods to reduce the ambipolar current in the GeNR TFET: using overlapped gate metal to cover part of the drain side and the other idea is to decrease the doping density in the drain side. The results show that by extension of the metal gate on the drain region, the hole current from the drain to channel reduces and it is possible to reduce this current more by using longer overlapping length. Also, results prove that by decreasing the doping density in the drain side compared with the source region, the ambipolar current declines. We obtain that by mixing two proposed ways, the ambipolar current can significantly be reduced. Suppression of this ambipolar current is an important challenge in digital circuit design.",
"author_names": [
"Amir Hossein Bayani",
"Daryoosh Dideban",
"Negin Moezi"
],
"corpus_id": 214707623,
"doc_id": "214707623",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Reducing Ambipolar Current in Germanene Nanoribbon Tunneling Field Effect Transistor (GeNR TFET) using Gate Drain Overlap and Decreasing Doping Density in the Drain Side",
"venue": "",
"year": 2020
},
{
"abstract": "Effects of the spacer drain overlap on the performance parameters of the double gate tunnel field effect transistor is proposed and investigated in this paper. By proper fabrication of the spacer drain overlap, we can obtain a lower sub threshold swing, smaller short channel effect (SCEs) i.e. drain induced barrier lowering (DIBL) higher ON state current (ION) and considerably less OFF state current (IOFF) Here we also measure effects of the channel length variation of the device. In this paper, we compare the proposed device with single gate tunnel FET with spacer drain overlap using vertical tunneling concept. So we can observed, that the proposed device gives better performance parameters.",
"author_names": [
"Sapna Singh",
"Sudakar Singh Chauhan"
],
"corpus_id": 27882496,
"doc_id": "27882496",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "TCAD simulations of double gate tunnel field effect transistor with spacer drain overlap base on vertical Tunneling",
"venue": "2017 International conference of Electronics, Communication and Aerospace Technology (ICECA)",
"year": 2017
},
{
"abstract": "We present a two dimensional (2 D) analytical modeling of the surface potential of a double gate vertical t shaped tunnel field effect transistor (TFET) considering the inherit dual modulation effect in such devices. This effect explains the control of the surface potential by both bias voltages, which are used to calculate the tunneling depletion width at the source and drain junctions. A model of the tunneling current in the device is derived based on the surface potential model. The parabolic approximation is used to solve the 2 D Poisson equation with appropriate boundary conditions. The dependence of the surface potential profile on different parameters is analyzed by varying the gate source potential, drain source potential, gate oxide dielectric constant, gate metal work function, and different materials used. Finally, expressions for the surface potential of the channel along with the tunneling current are obtained, accurately capturing their variation with the gate and drain biases. The proposed method is verified by the agreement between its analytical results and technology computer aided design (TCAD) simulation results.",
"author_names": [
"Shailendra Singh",
"Balwinder Raj"
],
"corpus_id": 219091036,
"doc_id": "219091036",
"n_citations": 8,
"n_key_citations": 0,
"score": 0,
"title": "Two dimensional analytical modeling of the surface potential and drain current of a double gate vertical t shaped tunnel field effect transistor",
"venue": "",
"year": 2020
},
{
"abstract": "Purpose: A TFET (Tunnel Field Effect Transistor) is a potential candidate to replace CMOS in deep submicron region due to its lower SS (subthreshold swing, <60 mV/decade) at room temperature. However, the conventional TFET suffers from low tunneling current and high ambipolar current. To overcome these two drawbacks a new structure, known as Hetero dielectric gate TFET (HDG TFET) has been proposed in the literature. Method: To analyze the electrical characteristics of this structure, a closed form of analytical expression of current is required. This paper presents the closed form of compact analytical current model for HDG TFET structure without using any iterative method. Result: The developed compact analytical models show a good agreement with 2 D TCAD simulator results. The model is used to study in depth about the electrical behavior of the device under various physical variation as well as bias variation. Conclusion: The proposed model can be incorporated into SPICE to describe the behavior of HDG TFET faster.",
"author_names": [
"Tan Chun Fui",
"Lim Way Soong"
],
"corpus_id": 236307531,
"doc_id": "236307531",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Drain Current Model for a Single Gate Tunnel Field Effect Transistor with Hetero Dielectric Gate (HDG)",
"venue": "",
"year": 2021
},
{
"abstract": "This paper proposes a tunnel field effect transistor (TFET) with an additional field plate over the drain region in the device. Using 2 D device simulations, it is shown that, by introducing a field plate with an appropriate work function, placed on the top of the drain region, the ambipolar current of the device can be effectively suppressed. Furthermore, it is demonstrated that when a field plate is placed at an appropriate height on the drain region, the gate to drain capacitance of the device does not increase significantly. Using simulations, it is shown that, compared to the gate on drain overlap technique, the proposed technique results in a substantial decrease in the gate to drain capacitance. Consequently, the fan out of four (FO4) inverter delay is 22% smaller in the circuit realized using the proposed device compared to the circuit realized using TFETs having gate on drain overlap.",
"author_names": [
"Subhadip Poria",
"Shelly Garg",
"Sneh Saurabh"
],
"corpus_id": 221715633,
"doc_id": "221715633",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Suppression of Ambipolar current in Tunnel Field Effect Transistor using Field Plate",
"venue": "2020 24th International Symposium on VLSI Design and Test (VDAT)",
"year": 2020
}
] |
Resonantly enhanced SHG | [
{
"abstract": "Nonlinear optical phenomena in nanostructured materials have been challenging our perceptions of nonlinear optical processes that have been explored since the invention of lasers. For example, the ability to control optical field confinement, enhancement, and scattering almost independently allows nonlinear frequency conversion efficiencies to be enhanced by many orders of magnitude compared to bulk materials. Also, the subwavelength length scale renders phase matching issues irrelevant. Compared with plasmonic nanostructures, dielectric resonator metamaterials show great promise for enhanced nonlinear optical processes due to their larger mode volumes. Here, we present, for the first time, resonantly enhanced second harmonic generation (SHG) using gallium arsenide (GaAs) based dielectric metasurfaces. Using arrays of cylindrical resonators we observe SHG enhancement factors as large as 10(4) relative to unpatterned GaAs. At the magnetic dipole resonance, we measure an absolute nonlinear conversion efficiency of ~2 x 10( 5) with ~3.4 GW/cm(2) pump intensity. The polarization properties of the SHG reveal that both bulk and surface nonlinearities play important roles in the observed nonlinear process.",
"author_names": [
"Sheng Liu",
"Michael B Sinclair",
"Sina Saravi",
"Gordon Arthur Keeler",
"Yuanmu Yang",
"John Louis Reno",
"Gregory M Peake",
"Frank Setzpfandt",
"Isabelle Staude",
"Thomas Pertsch",
"Igal Brener"
],
"corpus_id": 33643802,
"doc_id": "33643802",
"n_citations": 263,
"n_key_citations": 4,
"score": 1,
"title": "Resonantly Enhanced Second Harmonic Generation Using III V Semiconductor All Dielectric Metasurfaces.",
"venue": "Nano letters",
"year": 2016
},
{
"abstract": "The immobilization of oligonucleotide sequences onto glass supports is central to the field of biodiagnostics and molecular biology with the widespread use of DNA microarrays. However, the influence of confinement on the behavior of DNA immobilized on silica is not well understood owing to the difficulties associated with monitoring this buried interface. Second harmonic generation (SHG) is an inherently surface specific technique making it well suited to observe processes at insulator interfaces like silica. Using a universal 3 nitropyrolle nucleotide as an SHG active label, we monitored the hybridization rate and thermal dissociation of a 15 mer of DNA immobilized at the silica/aqueous interface. The immobilized DNA exhibits hybridization rates on the minute time scale, which is much slower than hybridization kinetics in solution but on par with hybridization behavior observed at electrochemical interfaces. In contrast, the thermal dissociation temperature of the DNA immobilized on silica is on average 12 degC lower than the analogous duplex in solution, which is more significant than that observed on other surfaces like gold. We attribute the destabilizing affect of silica to its negatively charged surface at neutral pH that repels the hybridizing complementary DNA.",
"author_names": [
"Md Shafiul Azam",
"Julianne M Gibbs-Davis"
],
"corpus_id": 39073080,
"doc_id": "39073080",
"n_citations": 20,
"n_key_citations": 0,
"score": 0,
"title": "Monitoring DNA hybridization and thermal dissociation at the silica/water interface using resonantly enhanced second harmonic generation spectroscopy.",
"venue": "Analytical chemistry",
"year": 2013
},
{
"abstract": "Due to their strong modal confinement, optical microfibres (OMs) provide an excellent opportunity for studying nonlinear effects and in particular intermodally phase matched second (SHG) and third (THG) harmonic generation with predicted efficiencies up to 10 1 over several cm in an ideal uniform silica OM for both cases. Experimentally however, fabricating the required phase matching diameter uniformly over such lengths remains challenging. A simple solution is to reconfigure the OM as a resonator, such that pump recirculation near resonance increases conversion without the need for higher power sources or OM lengths greater than a few mm. Here, we theoretically study surface SHG and THG in OM loop resonators by numerically solving the underlying coupled mode equations to determine the dependence of the enhancement on the resonance properties.",
"author_names": [
"T Lee",
"Neil Broderick",
"Rand Ismaeel",
"Marcelo A Gouveia",
"Gilberto Brambilla"
],
"corpus_id": 38383041,
"doc_id": "38383041",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Resonantly enhanced second and third harmonic generation in microfibre loop resonators",
"venue": "2013 Conference on Lasers Electro Optics Europe International Quantum Electronics Conference CLEO EUROPE/IQEC",
"year": 2013
},
{
"abstract": "Abstract Based on the transient electric field migration directly probed by the time resolved microscopic optical second harmonic generation (TRM SHG) technique, we successfully detected the transient hole transport in the perovskite for the first time. From the spectroscopic point of view, SHG signal resonantly enhanced at the fundamental wavelength of around 1560 nm through the band transition under the voltage application. Square dependence of the SH intensity on the applied voltage at the wavelength of 1560 nm clearly indicated the electric field induced process of the SHG. We could visualize the carrier motion in the channel of perovskite field effect transistor (FET) with this wavelength. Carrier mobility was estimated as 0.02 cm2/V by analyzing the transient carrier motion. TRM SHG technique was also employed to investigate the effect of traps on the transient carrier motion. Based on the peak of the transient electric field distribution, trap density, and dynamic carrier mobility were separately estimated.",
"author_names": [
"Lei Lei Yin Win",
"Dai Taguchi",
"Takaaki Manaka"
],
"corpus_id": 202034926,
"doc_id": "202034926",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Transient carrier visualization of organic inorganic hybrid perovskite thin films by using time resolved microscopic second harmonic generation (TRM SHG)",
"venue": "",
"year": 2019
},
{
"abstract": "We report the first use of resonantly enhanced second harmonic generation (SHG) to study uranyl adsorption at a buried mineral oxide/water interface. Uranyl adsorption is studied in real time, under flow conditions, and in the presence of environmentally relevant screening electrolyte concentrations. The in situ SHG spectrum of surface bound uranyl reveals a well defined resonance at 306 nm. By monitoring the SHG response at 306 nm, adsorption isotherms were collected for uranyl species at the fused silica/water interface at pH 7, and in the presence of aqueous carbonate. The measured adsorption free energies determined by the Langmuir isotherm are consistent with physisorption via hydrogen bonding. The speciation of the surface active uranyl species at pH 7 was elucidated via a free energy versus interfacial potential analysis, which reveals that the uranyl adsorbates are either neutral or univalent cationic species. Complementary surface charge density data, obtained using the Eisenthal chi(3) technique, reveal that the charge on the ionic uranyl species adsorbing under the experimental conditions are positive. It is proposed that a mixture of neutral and univalent, cationic uranyl species is surface active at pH 7 and in the presence of carbonate ions. Insofar as the experimental conditions model those found in natural groundwater systems, the results of this work are valuable to the prediction and assessment of uranium pollution transport in groundwater and soils. Our thermodynamic results can also serve as important benchmarks for computer simulations of U(VI) transport in heterogeneous geochemical environments.",
"author_names": [
"Jessica N Malin",
"Franz M Geiger"
],
"corpus_id": 207576723,
"doc_id": "207576723",
"n_citations": 22,
"n_key_citations": 0,
"score": 0,
"title": "Uranyl adsorption and speciation at the fused silica/water interface studied by resonantly enhanced second harmonic generation and the chi(3) method.",
"venue": "The journal of physical chemistry. A",
"year": 2010
},
{
"abstract": "Nanostructured materials offer many advantages over bulk materials in nonlinear optical phenomena. For example, the subwavelength scale allows the neglect of phase matching issues. The ability to control tight confinement and large resonant enhancement of electromagnetic fields also results in higher nonlinear frequency conversion efficiencies than bulk materials. Compared with plasmonic nanostructures, high index dielectric nanostructures render larger mode volumes and show great promise for enhanced nonlinear optical processes. In this work, we present resonantly enhanced second harmonic generation from L shaped AlGaAs nanoantennas. By tuning the nanostructure thickness, arm length, and width, the overlap of resonances at fundamental and second harmonic wavelengths can be achieved using any pump wavelength in a broad near infrared spectral region. We predict SHG efficiency exceeding {4} \\times {10} 3}$4x10 3 with a pump intensity of {1}\\rm GW/cm}^2}$1GW/cm2 at two representative pump wavelengths of 1675 and 1800 nm. Our findings are valuable for applications involving all dielectric nanoantennas, such as efficient sensing and single biomolecule tracking microscopy.",
"author_names": [
"Tao Zeng",
"Lingwei Guo",
"Long Xu",
"Tiancheng Han"
],
"corpus_id": 213550704,
"doc_id": "213550704",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Enhanced second harmonic generation from L shaped AlGaAs nanoantennas",
"venue": "",
"year": 2020
},
{
"abstract": "At room temperature, by using a tunable broadband femtosecond laser as excitation source we observed second harmonic generation (SHG) and nonlinear photoluminescence (NPL) in GaN film grown on sapphire simultaneously or individually. In addition to the observation of the resonance effect of the nonlinear response when the SHG is tuned to coincide with the near band edge emission, we carefully measured dependence of the SHG and NPL signals on polarization of the excitation light. The results reveal that the reabsorption of the SHG photons with energies higher than the fundamental gap of GaN significantly contributes to generation of the efficient NPL signal.",
"author_names": [
"Hui Yang",
"Shijie Xu",
"Q L Li",
"Jie Zhang"
],
"corpus_id": 120263439,
"doc_id": "120263439",
"n_citations": 16,
"n_key_citations": 0,
"score": 0,
"title": "Resonantly enhanced femtosecond second harmonic generation and nonlinear luminescence in GaN film grown on sapphire",
"venue": "",
"year": 2006
},
{
"abstract": "Using a variety of fixed frequency and tunable laser sources, we investigated optical second harmonic generation (SHG) of wavelengths near and inside the charge transfer absorption band of the organic crystal DAN [4 (N,N dimethylamino) 3 acetamidonitrobenzene] This represents the first study of second harmonic generation in the absorption band of an organic nonlinear crystal, we believe.",
"author_names": [
"Herbert Looser",
"William P Risk",
"Robert J Twieg",
"Gary C Bjorklund"
],
"corpus_id": 123897362,
"doc_id": "123897362",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Resonantly enhanced second harmonic generation in the charge transfer band of DAN",
"venue": "",
"year": 1989
},
{
"abstract": "Nearly perfectly unidirectional second harmonic generation of light is realized in a magnetoelectric material. Mirror symmetries are of particular importance because they are connected to fundamental properties and conservation laws. Spatial inversion and time reversal are typically associated to charge and spin phenomena, respectively. When both are broken, magnetoelectric cross coupling can arise. In the optical regime, a difference between forward and backward propagation of light may result. Usually, this nonreciprocal response is small. We show that a giant nonreciprocal optical response can occur when transferring from linear to nonlinear optics, specifically second harmonic generation (SHG) CuB2O4 exhibits SHG transmission changes by almost 100% upon reversal of a magnetic field of just 10 mT. The observed nonreciprocity results from an interference between magnetic dipole and electric dipole SHG. Although the former is inherently weaker than the latter, a resonantly enhanced magnetic dipole transition has a comparable amplitude as a nonresonant electric dipole transition, thus maximizing the nonreciprocity. Multiferroics and magnetoelectrics are an obvious materials platform to exhibit nonreciprocal nonlinear optical functionalities.",
"author_names": [
"Shingo Toyoda",
"Manfred Fiebig",
"Taka-hisa Arima",
"Yoshinori Tokura",
"Naoki Ogawa"
],
"corpus_id": 219179695,
"doc_id": "219179695",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Nonreciprocal second harmonic generation in a magnetoelectric material",
"venue": "Science Advances",
"year": 2021
},
{
"abstract": "Leveraging the resonantly enhanced parametric excitation of amorphous silicon metasurface, we achieved second harmonic generation (SHG) with ultra high ON/OFF ratio of 15000. It provides a compact and electrically tunable approach to boosting and dynamically controlling SHG.",
"author_names": [
"Xuexue Guo",
"Yimin Ding",
"Xingjie Ni"
],
"corpus_id": 221719281,
"doc_id": "221719281",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Electrically Tunable Second Harmonic Generation Enhancement on a Parametrically Excited Metasurface",
"venue": "2020 Conference on Lasers and Electro Optics (CLEO)",
"year": 2020
}
] |
design rules for quantum imaging devices | [
{
"abstract": "We continue our previous program1 where we introduced a set of quantum based design rules directed at quantum engineers who design single photon quantum communications and quantum imaging devices. Here, we report on experimental progress using SPAD (single photon avalanche diode) arrays of our design and fabricated in CMOS (complementary metal oxide semiconductor) technology. Emerging high resolution imaging techniques based on SPAD arrays have proven useful in a variety of disciplines including bio fluorescence microscopy and 3D vision systems. They have also been particularly successful for intra chip optical communications implemented entirely in CMOS technology. More importantly for our purposes, a very low dark count allows SPADs to detect rare photon events with a high dynamic range and high signal to noise ratio. Our CMOS SPADs support multi channel detection of photon arrivals with picosecond accuracy, several million times per second, due to a very short detection cycle. The tiny chip area means they are suitable for highly miniaturized quantum imaging devices and that is how we employ them in this paper. Our quantum path integral analysis of the Young Afshar Wheeler interferometer showed that Bohr's complementarity principle was not violated due the previously overlooked effect of photon bifurcation within the lens a phenomenon consistent with our quantum design rules which accounts for the loss of which path information in the presence of interference. In this paper, we report on our progress toward the construction of quantitative design rules as well as some proposed tests for quantum imaging devices using entangled photon sources with our SPAD imager.",
"author_names": [
"Edoardo Charbon",
"Neil J Gunther",
"Dmitri L Boiko",
"Giordano B Beretta"
],
"corpus_id": 14970986,
"doc_id": "14970986",
"n_citations": 2,
"n_key_citations": 0,
"score": 1,
"title": "Design rules for quantum imaging devices: experimental progress using CMOS single photon detectors",
"venue": "SPIE Optics Photonics",
"year": 2006
},
{
"abstract": "A common syndrome in much of the current quantum optics and quantum computing literature is the casual switching between classical concepts (e.g. geometric rays, electromagnetic waves) and quantum concepts (e.g. state vectors, projection operators) Such ambiguous language can confuse designers not well versed in the deeper subtleties of quantum mechanics, or worse, it can lead to a flawed analysis of new designs for quantum devices. To validate that a quantum device can be constructed with the expected characteristics and that its quantum effects are correctly interpreted, a set of unambiguous design rules would be useful. In this paper we enumerate such a set of easily applied quantum rules in the hope that they might facilitate clearer communication between researchers and system developers in the field. In part, we are motivated by recently reported interferometer results that have not only led to flawed claims about disproving fundamental quantum principles, but have elicited equally flawed counter aruments from supposedly knowledgeable respondents. After one hundred years of testing Einstein's photon, it is alarming that such widespread confusion still persists. Our proposed quantum design rules are presented in a practical diagrammatic style, demonstrating their effectiveness by analyzing several interferometers that have appeared in the recent literature. Application to other quantum devices e.g. quantum ghost imaging, are also discussed. We stress that these rules are entirely quantum in prescription, being particularly appropriate for single photon devices. Classical optics concepts e.g. refractive index, are not required since they are subsumed by our quantum rules.",
"author_names": [
"Neil J Gunther",
"Giordano B Beretta"
],
"corpus_id": 2368651,
"doc_id": "2368651",
"n_citations": 5,
"n_key_citations": 0,
"score": 0,
"title": "Towards practical design rules for quantum communications and quantum imaging devices",
"venue": "SPIE Optics Photonics",
"year": 2005
},
{
"abstract": "e2v technologies has developed \"Hi Rho\" devices manufactured on very high resistivity silicon. Special design features have been included that enable extremely high gate to substrate potentials to be applied without significant current leakage between back and front substrate connections. The approach taken allows the usual design rules for low noise output amplifier circuitry to be followed. Thus low noise devices very sensitive to red and near infrared wavelengths can be manufactured. This paper reports on the detailed characterisation of the large format \"Hi Rho\" sensor designed for astronomical applications and extends the data previously reported to include detailed assessment of the CTE, spatial resolution, dark signal and cosmetic quality. The influence of the base material has also been investigated with devices manufactured on silicon from two different manufacturers. Measurements of the quantum efficiency from devices utilising a newly developed antireflection coating process are presented.",
"author_names": [
"Mark Stanford Robbins",
"Pritesh Mistry",
"Paul R Jorden"
],
"corpus_id": 73616118,
"doc_id": "73616118",
"n_citations": 9,
"n_key_citations": 2,
"score": 0,
"title": "Detailed characterisation of a new large area CCD manufactured on high resistivity silicon",
"venue": "Electronic Imaging",
"year": 2011
},
{
"abstract": "We demonstrate quantum imaging based on induced coherence without induced emission in a compact single crystal setup. Our first results will stimulate further work towards extreme light imaging devices for life science.",
"author_names": [
"Marta Gilaberte Basset",
"Josue R Leon Torres",
"Markus Grafe"
],
"corpus_id": 204817119,
"doc_id": "204817119",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Compact Quantum Imaging Based on Induced Coherence",
"venue": "2019 Conference on Lasers and Electro Optics Europe European Quantum Electronics Conference (CLEO/Europe EQEC)",
"year": 2019
},
{
"abstract": "As a promising alternative to CMOS technology, QCA circuit design has been extensively studied in recent years. However, although a concrete set of design rules exist for integrated circuit design, little attention has been paid to the design rules necessary for efficient QCA circuit design. This paper compiles a set of important QCA design rules which include layout design rules, timing rules and some special rules for QCA technology to ensure QCA circuits function correctly and reliably. These rules will promote the development of practical and efficient QCA systems. A GF(2m) multiplier design is proposed as a case study to illustrate these design rules.",
"author_names": [
"Weiqiang Liu",
"Liang Lu",
"Maire O'Neill",
"Earl E Swartzlander"
],
"corpus_id": 5791694,
"doc_id": "5791694",
"n_citations": 86,
"n_key_citations": 2,
"score": 0,
"title": "Design rules for Quantum dot Cellular Automata",
"venue": "2011 IEEE International Symposium of Circuits and Systems (ISCAS)",
"year": 2011
},
{
"abstract": "We demonstrate diamond based quantum imaging of the current flow in graphene structures with submicrometer resolution. Since its first discovery in 2004, graphene has been found to host a plethora of unusual electronic transport phenomena, making it a fascinating system for fundamental studies in condensed matter physics as well as offering tremendous opportunities for future electronic and sensing devices. Typically, electronic transport in graphene has been investigated via resistivity measurements; however, these measurements are generally blind to spatial information critical to observing and studying landmark transport phenomena in real space and in realistic imperfect devices. We apply quantum imaging to the problem and demonstrate noninvasive, high resolution imaging of current flow in monolayer graphene structures. Our method uses an engineered array of near surface, atomic sized quantum sensors in diamond to map the vector magnetic field and reconstruct the vector current density over graphene geometries of varying complexity, from monoribbons to junctions, with spatial resolution at the diffraction limit and a projected sensitivity to currents as small as 1 mA. The measured current maps reveal strong spatial variations corresponding to physical defects at the submicrometer scale. The demonstrated method opens up an important new avenue to investigate fundamental electronic and spin transport in graphene structures and devices and, more generally, in emerging two dimensional materials and thin film systems.",
"author_names": [
"Jean-Philippe Tetienne",
"Nikolai Dontschuk",
"David A Broadway",
"Alastair D Stacey",
"David A Simpson",
"Lloyd C L Hollenberg"
],
"corpus_id": 25574194,
"doc_id": "25574194",
"n_citations": 127,
"n_key_citations": 1,
"score": 0,
"title": "Quantum imaging of current flow in graphene",
"venue": "Science Advances",
"year": 2017
},
{
"abstract": "In this paper, we combine multiphysics simulation methods to assemble a comprehensive design methodology for silicon qubit devices. Key device parameters are summarized by modeling device electrostatics, stress, micro magnetics, band structure and spin dynamics. Based on the models, we infer that highly confined single electron qubits in quantum dots, with large orbital energy separations, can be induced in Si MOS structures with thin (tOX 20 nm) gate oxides. We further advocate that poly silicon gate material, in conjunction with small barrier gate widths (b 30 nm) will reduce the impact of strain on qubit readout and two qubit gate operations. We optimized a micromagnet design to provide fast single qubit gate times 100 ns) with minimal dephasing field gradients. Finally, we estimate that the exchange coupling between qubits is tunable by over 4 orders of magnitude, for two qubit operations.",
"author_names": [
"Fahd A Mohiyaddin",
"B T Chan",
"Tsvetan Ivanov",
"Alessio Spessot",
"Philippe Matagne",
"J Lee",
"Bogdan Govoreanu",
"I P Raduimec",
"George Simion",
"N I Dumoulin Stuyck",
"R Li",
"Florin Ciubotaru",
"Geert Eneman",
"Fabian M Bufler",
"S Kubicek",
"J Jussot"
],
"corpus_id": 211211907,
"doc_id": "211211907",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "Multiphysics Simulation Design of Silicon Quantum Dot Qubit Devices",
"venue": "2019 IEEE International Electron Devices Meeting (IEDM)",
"year": 2019
},
{
"abstract": "Quantum computation is currently moving from an academic idea to a practical reality. The recent past has seen tremendous progress in the physical implementation of corresponding quantum computers also involving big players such as IBM, Google, Intel, Rigetti, Microsoft, and Alibaba. These devices promise substantial speedups over conventional computers for applications like quantum chemistry, optimization, machine learning, cryptography, quantum simulation, and systems of linear equations. The Computer Aided Design and Verification (jointly referred as CAD) community needs to be ready for this revolutionizing new technology. While research on automatic design methods for quantum computers is currently underway, there is still far too little coordination between the CAD community and the quantum computation community. Consequently, many CAD approaches proposed in the past have either addressed the wrong problems or failed to reach the end users. In this summary paper, we provide a glimpse into both sides. To this end, we review and discuss selected accomplishments from the CAD domain as well as open challenges within the quantum domain. These examples showcase the recent state of the art but also outline the remaining work left to be done in both communities.",
"author_names": [
"Robert Wille",
"Austin G Fowler",
"Yehuda Naveh"
],
"corpus_id": 53225844,
"doc_id": "53225844",
"n_citations": 3,
"n_key_citations": 1,
"score": 0,
"title": "Computer Aided Design for Quantum Computation",
"venue": "2018 IEEE/ACM International Conference on Computer Aided Design (ICCAD)",
"year": 2018
},
{
"abstract": "Tunneling field effect transistors (TFETs) based on 2 D materials are promising steep sub threshold swing devices due to their tight gate control. There are two major methods to create the tunnel junction in these 2 D TFETs: 1) electrical and 2) chemical doping. In this paper, design guidelines for both electrically and chemically doped 2 D TFETs are provided using full band atomistic quantum transport simulations in conjunction with analytic modeling. Moreover, several 2 D TFETs' performance boosters such as strain, source doping, and equivalent oxide thickness are studied. Later on, these performance boosters are analyzed within a novel figure of merit plot (i.e. constant ON current plot)",
"author_names": [
"Hesameddin Ilatikhameneh",
"Gerhard Klimeck",
"Joerg Appenzeller",
"Rajib Rahman"
],
"corpus_id": 29509146,
"doc_id": "29509146",
"n_citations": 17,
"n_key_citations": 0,
"score": 0,
"title": "Design Rules for High Performance Tunnel Transistors From 2 D Materials",
"venue": "IEEE Journal of the Electron Devices Society",
"year": 2016
},
{
"abstract": "Editor's note: As an emerging technology, quantum computing brings unique promises in creating a fundamentally different paradigm of information processing. This article reviews introductory concepts and building blocks quantum logic gates and memory, i.e. qubits, for quantum computing and depicts the challenges as well as prospects associated with design, test, and fabrication of quantum devices. Swarup Bhunia, Case Western Reserve University",
"author_names": [
"Travis S Humble",
"Himanshu Thapliyal",
"Edgard Munoz-Coreas",
"Fahd A Mohiyaddin",
"Ryan S Bennink"
],
"corpus_id": 119550572,
"doc_id": "119550572",
"n_citations": 17,
"n_key_citations": 0,
"score": 0,
"title": "Quantum Computing Circuits and Devices",
"venue": "IEEE Design Test",
"year": 2019
}
] |
Stealth Dicing sic | [
{
"abstract": "Current methods of wafer cutting for silicon carbide (SiC) substrates by semiconductor and chip packaging fabs are prohibiting high volume production required to meet growing demand for more efficient electronic devices Due to the high hardness and brittleness of SiC, chipping (front and back) cracking and micro cracking, cycle time and cost are the main challenges The industry is looking at other cutting technologies such as laser cutting, micro Jet dicing and plasma dicing to address the manufacturing issues Alternative solutions such as laser ablation, stealth dicing and plasma dicing, have their problems, especially in running cost Mechanical dicing with improved platform design could address issues related cut quality, cycle time and lower overall running cost to enable future high volume manufacturing",
"author_names": [],
"corpus_id": 199516597,
"doc_id": "199516597",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Accelerating Silicon Carbide Power Electronics Devices into High Volume Manufacturing with Mechanical Dicing System",
"venue": "",
"year": 2016
},
{
"abstract": "Recently many issues came up when using conventional dicing methods. Such conventional methods are mechanical sawing (blade dicing) or laser dicing or stealth dicing. Relevant applications are thin wafers, brittle materials and wafer singulation for very small devices or LED or discretes. Plasma dicing is a recommended method to overcome many challenges of wafer separation. Damage free, water free, particle free and high throughput dicing can be realized by using plasma trench etch (dry etch) technology for dicing. Several technical and equipment aspects will be presented and discussed accordingly. Plasma dicing technology can provide solutions for high rate dicing, beautiful chip shape without any chipping and high bonding strength. Future challenges such as SiC dicing or GaN on Silicon dicing will be discussed.",
"author_names": [
"Reinhard Windemuth"
],
"corpus_id": 40590160,
"doc_id": "40590160",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Plasma dicing for thin wafers",
"venue": "2015 European Microelectronics Packaging Conference (EMPC)",
"year": 2015
},
{
"abstract": "Mechanical blade dicing is a state of the art technique for the chip separation of SiC devices. Due to the hardness of SiC this technique suffers from low feed rate and high wear of the diamond coated dicing blade, resulting in the risk of uncontrolled tool breakage during the dicing process. With the upcoming transition to 150 mm diameter of SiC wafers this technique will most probably reach its limit. For dicing SiC wafers of those diameters on a productive scale three alternative dicing technologies are considered in this paper: ablation laser dicing, Stealth Dicing and Thermal Laser Separation. All these methods are based on laser processing. The benefits of these technologies are discussed in detail and compared to the classical mechanical diamond blade dicing, including a brief summary of first experimental results on each of the three laser dicing technologies.",
"author_names": [
"Karl Otto Dohnke",
"Korbinian Kaspar",
"Dirk Lewke"
],
"corpus_id": 137756361,
"doc_id": "137756361",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Comparison of Different Novel Chip Separation Methods for 4H SiC",
"venue": "",
"year": 2015
},
{
"abstract": "We report on a one step method for cutting 250 um thick quartz plates using highly focused ultrashort laser pulses with a duration of 200 fs and a wavelength of 1030 nm. We show that the repetition rate, the scan speed, the pulse overlap and the pulse energy directly influence the cutting process and quality. Therefore, a suitable choice of these parameters was necessary to get single pass stealth dicing with neat and flat cut edges. The mechanism behind the stealth dicing process was ascribed to tensile stresses generated by the relaxation of the compressive stresses originated in the laser beam focal volume during irradiation in the bulk material. Such stresses produced micro fractures whose controlled propagation along the laser beam path led to cutting of the samples.",
"author_names": [
"Caterina Gaudiuso",
"Annalisa Volpe",
"Antonio Ancona"
],
"corpus_id": 214769345,
"doc_id": "214769345",
"n_citations": 16,
"n_key_citations": 0,
"score": 0,
"title": "One Step Femtosecond Laser Stealth Dicing of Quartz",
"venue": "Micromachines",
"year": 2020
},
{
"abstract": "We report on a damage less singulation technique using Stealth Dicing (SD) of ultra thin wafers. This paper describes how we minimized the damage using highly sensitive Test Element Group (TEG) wafers with patterned aluminum lines. We developed a TEG wafer, having multiple wiring lines of Ti/Al layers, to monitor laser damage. The wiring lines were designed so that a change in wiring resistance caused by scattered light could be measured. Also, in order to measure the position of the generated scatter damage, the line/space ratio (L/S) of the monitor wiring was designed to be 1 mm/1 mm. In this experiment, IR OBIRCH and STEM analyses were performed to identify the details of the laser damage on the wiring. In addition, two types of lasers (wavelengths: 1099 nm and 1342 nm) were used to evaluate the effect of the transmittance in silicon on the processing results. Laser damage evaluation was carried out on the basis of parameters such as the laser processing power and laser focus position (distance from the device side) We confirmed that laser damage was prevented and that damage free singulation could be achieved by optimizing the processing conditions using a laser with a wavelength of 1099 nm. By applying this SD technology, the width of the dicing street can be shrunk to 1/4 compared with the conventional blade dicing.",
"author_names": [
"Natsuki Suzuki",
"Tomoji Nakamura",
"Yuta Kondo",
"Shimpei Tominaga",
"Kazuhiro Atsumi",
"Takayuki Ohba"
],
"corpus_id": 221087305,
"doc_id": "221087305",
"n_citations": 1,
"n_key_citations": 0,
"score": 1,
"title": "Damage Less Singulation of Ultra Thin Wafers using Stealth Dicing",
"venue": "2020 IEEE 70th Electronic Components and Technology Conference (ECTC)",
"year": 2020
},
{
"abstract": "This paper describes the reduction of singulation defects and die strength enhancement in Stealth Dicing before Grinding process. The process was carried on wafers which are 710mm in thickness at a laser wavelength of 1342nm, after the SD (stealth dicing) process, wafers need to be grinded to 60mm with BG (back grinding) method. After SD, laser scattered damage scattered damage and cleavage fracture have been analyzed. After BG, the ratios of singulative dies that have different defects such as silicon dust and kerf defect have been calculated. In this paper, the optimization of the SD process has led to the reduction of the SD related defects and die strength has also been improved. The kerf loss after SD has been calculated and 100% kerf has been achieved. Besides, die strength has been increased by 19.53% which can illustrate the high quality of laser singulation.",
"author_names": [
"Yitong Xie",
"Xundi Zhang",
"Chenlin Yang",
"Liming Gao",
"Ming Li"
],
"corpus_id": 221912875,
"doc_id": "221912875",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Effects of Stealth Dicing Parameters on Singulation Defects and Die Strength",
"venue": "2020 21st International Conference on Electronic Packaging Technology (ICEPT)",
"year": 2020
},
{
"abstract": "The adhesion between metal and polymer layer was studied using four point bending tests. It was shown that as the annealing temperature increased, oxidation binding increased, which decreased adhesion and reduced the $G_{c} value. A new four point bending test sample prepared using the stealth dicing method with a 100% success rate was proposed for the first time. With samples prepared with stealth dicing, the region where crack extension occurred was smaller after the stress test. Less crack extension generated less loading on the samples. Owing to the coefficient of thermal expansion (CTE) mismatch after increasing the temperature in a highly accelerated stress test (HAST) a void formed in stealth dicing was made close to the interface between the silicon layer and the polymer layer after the stress test, and less crack extension occurred compared with samples kept at room temperature. The smaller loading force guarantees much more stable measurement with a higher success rate after the stress tests. The stealth dicing method can be applied to other structures, such as redistribution layers (RDLs)",
"author_names": [
"Yi-Lun Yang",
"Hiroyuki Ito",
"Yo Suk Kim",
"Takayuki Ohba",
"Kuan-Neng Chen"
],
"corpus_id": 213437180,
"doc_id": "213437180",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Evaluation of Metal/Polymer Adhesion and Highly Reliable Four Point Bending Test Using Stealth Dicing Method in 3 D Integration",
"venue": "IEEE Transactions on Components, Packaging and Manufacturing Technology",
"year": 2020
},
{
"abstract": "We report on the development of an ultrafast beam shaper capable of generating Bessel beams of high cone angle that maintain a high intensity hot spot with subwavelength diameter over a propagation distance in excess of 8 mm. This generates a high intensity focal region with extremely high aspect ratio exceeding 10 000:1. The absence of intermediate focusing in the shaper allows for shaping very high energies, up to Joule levels. We demonstrate a proof of principle application of the Bessel beam shaper for stealth dicing of thick glass, up to 1 cm. We expect that this high energy Bessel beam shaper will have applications in several areas of high intensity laser physics.We report on the development of an ultrafast beam shaper capable of generating Bessel beams of high cone angle that maintain a high intensity hot spot with subwavelength diameter over a propagation distance in excess of 8 mm. This generates a high intensity focal region with extremely high aspect ratio exceeding 10 000:1. The absence of intermediate focusing in the shaper allows for shaping very high energies, up to Joule levels. We demonstrate a proof of principle application of the Bessel beam shaper for stealth dicing of thick glass, up to 1 cm. We expect that this high energy Bessel beam shaper will have applications in several areas of high intensity laser physics.",
"author_names": [
"Remi Meyer",
"Luc Froehly",
"R Giust",
"J Del Hoyo",
"Luca Furfaro",
"Cyril Billet",
"Francois Courvoisier"
],
"corpus_id": 182859660,
"doc_id": "182859660",
"n_citations": 19,
"n_key_citations": 0,
"score": 0,
"title": "Extremely high aspect ratio ultrafast Bessel beam generation and stealth dicing of multi millimeter thick glass",
"venue": "Applied Physics Letters",
"year": 2019
},
{
"abstract": "In this study, a sandwich structure (silicon/polymer/silicon) was prepared to demonstrate the adhesion strength between polymer and silicon layers using a four point bending system. For the first time, the stealth dicing (SD) method was applied to the silicon layer to generate an initial crack, and the SD method was compared with the traditional method of forming a trench by blade dicing. From the experimental results, the SD method improved the test yield and showed a lower level of sudden force drop. As a result, SD is a highly reliable way to measure the adhesion strength using a four point bending system.",
"author_names": [
"Yi-Lun Yang",
"Jialong Liu",
"Guan Wei Chen",
"Shoichi Kodama",
"Kyosuke Kobinata",
"Kuan-Neng Chen",
"Hiroyuki Ito",
"Young suk Kim",
"Takayuki Ohba"
],
"corpus_id": 184467113,
"doc_id": "184467113",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Highly Reliable Four Point Bending Test Using Stealth Dicing Method for Adhesion Evaluation",
"venue": "2019 International Conference on Electronics Packaging (ICEP)",
"year": 2019
},
{
"abstract": "In flip chip mounting process, chip is fragile since chip is not protected by mold resin. It is known that the backside coating tape has been used widely to correspond to blade dicing. We had developed a release sheet integrated backside coating tape corresponding to blade dicing for a thin wafer. On the other hand, stealth dicing becomes popular to prevent chipping. In this study, we investigate the process of release sheet integrated backside coating tape corresponding to stealth dicing. we evaluate process consisting of stealth dicing, mount, laser marking, cool expanding, heat shrinking, heat curing and pick up. As a result, it is found that it is possible to manufacture a chip with backside coating layer in lower height expanding by optimization of the base film material of release sheet and expanding condition.",
"author_names": [
"Daisuke Yamamoto",
"Naoya Saiki",
"Shinya Takyu"
],
"corpus_id": 211227046,
"doc_id": "211227046",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Release Sheet Integrated Backside Coating Tape Corresponding to Stealth Dicing",
"venue": "2019 IEEE CPMT Symposium Japan (ICSJ)",
"year": 2019
}
] |
zeolite carbon dioxide photoconversion | [
{
"abstract": "Abstract The leaf is a prime example of a material converting waste (CO2) into value with maximum sustainability. As the most important constituent, it contains the coupled photosystems II and I, which are imbedded in the cellular membrane of the chloroplasts. Can key functions of the leaf be packed into soap? We present next generation surfactants that self assemble into bilayer vesicles (similar to the cellular membrane) are able to absorb photons of two different visible wavelengths, and exchange excited charge carriers (similar to the photosystems) followed by conversion of CO2 (in analogy to the leaf) The amphiphiles contain five dye molecules as the hydrophobic entity attached exclusively to one hemisphere of a polyhydroxylated fullerene (Janus type) We herein report on their surfactant, optical, electronic, and catalytic properties. Photons absorbed by the dyes are transferred to the fullerenol head, where they can react with different species such as CO2 to give formic acid.",
"author_names": [
"Marius Kunkel",
"Sebastian Sutter",
"Sebastian Polarz"
],
"corpus_id": 197423156,
"doc_id": "197423156",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Molecular Semiconductor Surfactants with Fullerenol Heads and Colored Tails for Carbon Dioxide Photoconversion",
"venue": "Angewandte Chemie",
"year": 2019
},
{
"abstract": "In the CO2 sequestration, a desirable yet challenging option is the photocatalytic conversion with solar irradiation. While nanoscale semiconductors have been at the center of attention in the development of suitable photocatalysts for the CO2 conversion, carbon nanoparticles have recently emerged as a new class of photoactive materials for harvesting visible photons to drive photocatalytic processes. Results from this study demonstrate that aqueous suspended bare carbon nanoparticles could be excited with visible light to photocatalytically convert CO2 into formic acid, with the performance competitive to that of widely used semiconductor nanoparticles.",
"author_names": [
"Sushant Sahu",
"Li Cao",
"Mohammed Meziani",
"Christopher E Bunker",
"Kurukulasuriya Alexius Shiral Fernando",
"Ping Wang",
"Ya-Ping Sun"
],
"corpus_id": 92960939,
"doc_id": "92960939",
"n_citations": 14,
"n_key_citations": 0,
"score": 0,
"title": "Carbon dioxide photoconversion driven by visible light excitation of small carbon nanoparticles in various configurations",
"venue": "",
"year": 2015
},
{
"abstract": "Abstract Novel asymmetric polyethersulfone membranes loaded with SAPO 34 particles were prepared using phase inversion technique and then surface coated with PDMS using spin coating method. The mixed matrix membranes were then characterized by FTIR, TGA, SEM EDX and gas permeation analysis. Effect of SAPO 34 loading alongwith operating pressure was also analyzed on gas permeation properties of both coated and uncoated membranes. SAPO 34 loading resulted in improvement of permeability of all the gases without much decrease in CO2 ideal selectivity with respect to methane and nitrogen whereas PDMS coating resulted in improvement of ideal selectivity of CO2 at the expense of decrease of permeance of all the gases. It was found that PDMS coated PES membrane, loaded with 30 wt. SAPO 34, having thickness of 45 um, demonstrated high CO2 permeance of 641.77 GPU, CO2/CH4 ideal selectivity of 4.45 and CO2/N2 ideal selectivity of 12.45, respectively at 20 bar and 25 degC. It was found that the performance of this membrane crossed the Robeson upper bound limit 2008 for CO2/N2 separation whereas for CO2/CH4 separation it crossed the previous upper bound limit 1991. Finally, the performance of this membrane was also analyzed under mixed gas conditions for CO2/CH4 separation at high pressure.",
"author_names": [
"Bilal Haider",
"Muhammad Rizwan Dilshad",
"M A U Rehman",
"Jurgen Schmitz",
"Malte Kaspereit"
],
"corpus_id": 219016469,
"doc_id": "219016469",
"n_citations": 19,
"n_key_citations": 1,
"score": 0,
"title": "Highly permeable novel PDMS coated asymmetric polyethersulfone membranes loaded with SAPO 34 zeolite for carbon dioxide separation",
"venue": "",
"year": 2020
},
{
"abstract": "Abstract New active and selective catalysts for propane to propene dehydrogenation in assistance of CO2 (CO2 PDH) were prepared by chromium incorporation in siliceous SiBeta. The Cr containing SiBeta zeolite catalysts were obtained by two step postsynthesis preparation procedure which consist, in the first step, removal of aluminum from TEABeta zeolite with Si/Al of 17 by treatment with nitric acid solution to obtain an aluminium free SiBeta support with Si/Al ratio of 1000 and, in the second step, various amounts of Cr were introduced into the siliceous SiBeta zeolite structure by wet impregnation. The well correlation between the number of redox Cr sites and propene yield up to 2 wt of Cr has been determined. Comparing of catalytic activity of Cr containing Beta zeolite catalysts with the identical Cr content (2 wt of Cr) supported on SiBeta and AlBeta revealed that the dealumination has great impact on catalytic properties. Low acidity of SiBeta is suitable for achieving high selectivity to propene (above 80 while high acidity of starting AlBeta promotes propane cracking to lighter hydrocarbons. Moreover, in switch operation mode between propane dehydrogenation in presence and absence of CO2 the formation rate of propene raises in mode with CO2 only over Cr containing SiBeta zeolite catalyst, while over Cr containing AlBeta zeolite catalyst a negative effect on the rate of propene formation was observed.",
"author_names": [
"Piotr Michorczyk",
"Kamila Zenczak-Tomera",
"Barbara Michorczyk",
"Adam Wegrzyniak",
"Marcelina Basta",
"Yannick Millot",
"Laetitia Valentin",
"Stanislaw Dzwigaj"
],
"corpus_id": 209710692,
"doc_id": "209710692",
"n_citations": 14,
"n_key_citations": 1,
"score": 0,
"title": "Effect of dealumination on the catalytic performance of Cr containing Beta zeolite in carbon dioxide assisted propane dehydrogenation",
"venue": "",
"year": 2020
},
{
"abstract": "Carbon dioxide and water vapor were effectively photoconverted to methane using either pure or modified TiO2 and UV Vis irradiation. The process of photoconversion in the gas phase was carried out in a tubular reactor equipped with a perforated TiO2 coated support. The effect of selected parameters of TiO2 immobilization procedure, such as the time and temperature of drying step and the photocatalyst amount, on photocatalytic efficiency was investigated. The effect of TiO2 loading with Ag/Au nanoparticles on CO2 photoconversion efficiency was also studied. CH4 was found to be the major photoreduction product. The highest methane production was observed after irradiation of CO2+H2O mixture over Au TiO2 photocatalyst. After one hour of UV Vis irradiation 503 ppm of methane was formed.",
"author_names": [
"Anna Cybula",
"Marek Klein",
"Anna Zielinska-Jurek",
"Marcin Janczarek",
"Adriana Zaleska"
],
"corpus_id": 59461189,
"doc_id": "59461189",
"n_citations": 9,
"n_key_citations": 0,
"score": 0,
"title": "CARBON DIOXIDE PHOTOCONVERSION. THE EFFECT OF TITANIUM DIOXIDE IMMOBILIZATION CONDITIONS AND PHOTOCATALYST TYPE",
"venue": "",
"year": 2012
},
{
"abstract": "ii DEDICATION iii ACKNOWLEDGMENTS iv LIST OF TABLES viii LIST OF FIGURES ix LIST OF SCHEMES. xviii",
"author_names": [
"Sushant Sahu"
],
"corpus_id": 136573386,
"doc_id": "136573386",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "DEVELOPMENT AND EVALUATION OF CARBON BASED QUANTUM DOTS FOR CARBON DIOXIDE PHOTOCONVERSION",
"venue": "",
"year": 2014
},
{
"abstract": "Abstract The porous material adsorbent X zeolite was prepared by using agricultural waste rice hull ash (RHA) and modified by ion exchange into the rare earth metal zeolite of La and Ce. A series of characterization results, including X ray diffraction, Fourier transform infrared spectroscopy, scanning electron microscopy, N2 adsorption desorption and pore size analysis, illustrated the effects of ion exchange modification on crystal, pore structure and morphology. The CO2 adsorption experiment was operated, and the adsorption amount of NaX and LaLiX was 6.14 and 4.36 mmol/g at atmospheric pressure, respectively. Three isotherm models were used to fit the pressure swing adsorption data. The Toth and DSL models were more accurate due to their ability to express heterogeneity. The isosteric heat and selectivity were obtained by the corresponding models and calculations. The results explained the mechanism of heat and gas uptake during adsorption and desorption. The CO2/N2 selectivity of LaNaX has enhanced more than three times. All modified zeolite samples maintained above 96.5% of initial adsorption after 20 adsorption desorption cycles. The adsorption heat releases properties and long term stability of the modified zeolite are superior. It demonstrates that such adsorbents can be used for long term capture and separation of CO2 from the industrial exhaust gas.",
"author_names": [
"Yi-song Wang",
"He Jia",
"Peng Chen",
"Xin Fang",
"Tao Du"
],
"corpus_id": 216377204,
"doc_id": "216377204",
"n_citations": 14,
"n_key_citations": 0,
"score": 0,
"title": "Synthesis of La and Ce modified X zeolite from rice husk ash for carbon dioxide capture",
"venue": "",
"year": 2020
},
{
"abstract": "Abstract Amine based solid sorbents are promising candidates for post combustion CO2 capture owing to their high sorption capacity at operating temperatures. Sorbents are required for stable CO2 mixture sorption and regeneration. Herein, we report a novel alkyl functionalization method for the stoichiometric conversion of primary amines to secondary amines in solid sorbents. (3 Aminopropyl)triethoxysilane (APTES) and alkyl functionalized APTES were grafted on zeolite beta through a reflux reaction; the alkyl functionalization was confirmed by nuclear magnetic resonance and Fourier transform infrared analyses. Alkyl functionalized APTES zeolite exhibited a sorption capacity of 1.44 mmol/g (15% CO2 balanced with N2 at 90 degC) very high sorption rate of t1/2 0.69 min (over 90% of the total sorption capacity within 5 min) and long term stability after 20 cycles in a temperature swing adsorption (TSA) operation (above 0.9 mmol/g at pure CO2 regeneration) Alkyl functionalized APTES zeolite beta showed higher sorption capacity and stability than APTES zeolite and PEI silica in TSA operation at CO2 mixture sorption and CO2 flow regeneration.",
"author_names": [
"Lei Liu",
"Seongmin Jin",
"Kwang Jun Ko",
"Hyejoo Kim",
"Ik Sung Ahn",
"Chang-Ha Lee"
],
"corpus_id": 203129062,
"doc_id": "203129062",
"n_citations": 7,
"n_key_citations": 0,
"score": 0,
"title": "Alkyl functionalization of (3 Aminopropyl)triethoxysilane grafted zeolite beta for carbon dioxide capture in temperature swing adsorption",
"venue": "",
"year": 2020
},
{
"abstract": "ABSTRACT Releasing of greenhouse gas results in global warming. In which cement production plants are also blamable for some quantity of carbon dioxide emission. Hence it is necessary to minimize the amount of carbon dioxide emission from cement production plants. So zeolite 4a powder and silica fume substituting for cement, investigation is carried out. Zeolite has the property of absorbing carbon dioxide up to certain percentage and silica fume used to keep the strength of the concrete. In this study grade of concrete used is M25 and cement is substituted by 5% 10% 15% 20% of zeolite and silica fume combination. Concrete is checked for its carbon dioxide absorbing capacity, workability parameters and strength parameters.",
"author_names": [
"N AthulK",
"Pushparaj A Naik"
],
"corpus_id": 221094097,
"doc_id": "221094097",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "A STUDY ON PROPERTIES OF CARBON DIOXIDE ABSORBING CONCRETE USING ZEOLITE AND SILICA FUME",
"venue": "",
"year": 2020
},
{
"abstract": "The Intergovernmental Panel on climate changes have concluded thatMost paths to halting global temperature increases at 2 degrees and every way to decrease it to 1.5 degrees depend on adopting methods of sucking CO2 from the sky. \"CO2 removal has gone from a moral hazard to a moral imperative,\" says Julio Fried Mann senior research scholar at the Center for Global Energy Policy at Columbia University. There are many industries emitting the flue gases which include steam, sulphur dioxide, nitrogen dioxide, carbon dioxide. One such industry which emits carbon dioxide is cement industry. A single cement industry accounts for around 5 percent of global carbon dioxide emissions. Concrete is the second most widely used material on earth after the water. Concrete is used for wide range of applications like construct buildings, bridges, roads, runways, sidewalks, and dams. So, here's the concrete with zeolite powder and zeolite sand that captures the carbon dioxide from the ambient air and reduces the atmospheric carbon dioxide making it eco friendly. Also addition of zeolite to the concrete improves the mechanical strength of the concrete. It is more durable than the ordinary Portland cement. In this review paper, we will discuss the performance and properties of concrete incorporated with zeolite.",
"author_names": [
"Hanusha Durisety",
"Kiranmai Palcham",
"K Prasad Babu"
],
"corpus_id": 219178108,
"doc_id": "219178108",
"n_citations": 0,
"n_key_citations": 0,
"score": 1,
"title": "The Concrete Incorporated with Zeolite for Reducing Atmospheric Carbon Dioxide",
"venue": "",
"year": 2020
}
] |
Element Edge Based Discretization for TCAD Device Simulation | [
{
"abstract": "Technology computer aided design (TCAD) semiconductor device simulators solve partial differential equations (PDE) using the finite volume method (FVM) or related methods. While this approach has been in use over several decades, its methods continue to be extended, and are still applicable for investigating novel devices. In this paper, we present an element edge based (EEB) FVM discretization approach suitable for capturing vector field effects. Drawing from a 2D approach in the literature, we have extended this method to 3D. We implemented this method in a TCAD semiconductor device simulator, which uses a generalized PDE (GPDE) approach to simulate de vices with the FVM. We describe how our EEB method is compatible with the GPDE approach, allowing the modeling of vector effects using scripting. This method is applied to solve polarization effects in a 3D ferro capacitor, and a 2D ferroelectric field effect transistor. An example for field dependent mobility in a 3D MOSFET is also presented.",
"author_names": [],
"corpus_id": 238153151,
"doc_id": "238153151",
"n_citations": 0,
"n_key_citations": 0,
"score": 1,
"title": "Element Edge Based Discretization for TCAD Device Simulation",
"venue": "IEEE Transactions on Electron Devices",
"year": 2021
},
{
"abstract": "In this article, a novel edge domain decomposition (EDD) method is proposed to solve 3 D nonlinear finite element (FE) problems of electromagnetic devices and transient field circuit co simulation. The method applies reduced magnetic vector potential formulation to discretize the physical problem based on 3 D edge elements, and the solution region is divided into many sub domains that only contain one edge unknown. The solution of lightweight nonlinear sub domain systems can be massively parallelized, and the neighbor to neighbor communication scheme eliminates the need to assemble the global FE matrix. This article also introduces an indirect coupling scheme to handle large eddy currents to interface the EDD FE system with external circuits. The abovementioned algorithms are then implemented on a many core GPU for transient field circuit co simulation. The result shows an auto gauging property, and the comparison with a commercial FE software indicates a speedup of over 43 times with relative error less than 2%",
"author_names": [
"Jiacong Li",
"Peng Liu",
"Venkata R Dinavahi"
],
"corpus_id": 221847558,
"doc_id": "221847558",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Matrix Free Edge Domain Decomposition Method for Massively Parallel 3 D Finite Element Simulation With Field Circuit Coupling",
"venue": "IEEE Transactions on Magnetics",
"year": 2020
},
{
"abstract": "We present a charge conservative electromagnetic particle in cell (EM PIC) algorithm optimized for the analysis of vacuum electronic devices (VEDs) with cylindrical symmetry (axisymmetry) We exploit the axisymmetry present in the device geometry, fields, and sources to reduce the dimensionality of the problem from 3D to 2D. Further, we employ 'transformation optics' principles to map the original problem in polar coordinates with metric tensor diag(1,r2,1) to an equivalent problem on a Cartesian metric tensor diag(1,1,1) with an effective (artificial) inhomogeneous medium introduced. The resulting problem in the meridian (rz) plane is discretized using an unstructured 2D mesh considering TEph polarized fields. Electromagnetic field and source (node based charges and edge based currents) variables are expressed as differential forms of various degrees, and discretized using Whitney forms. Using leapfrog time integration, we obtain a mixed E B finite element time domain scheme for the full discrete Maxwell's equations. We achieve a local and explicit time update for the field equations by employing the sparse approximate inverse (SPAI) algorithm. Interpolating field values to particles' positions for solving Newton Lorentz equations of motion is also done via Whitney forms. Particles are advanced using the Boris algorithm with relativistic correction. A recently introduced charge conserving scatter scheme tailored for 2D unstructured grids is used in the scatter step. The algorithm is validated considering cylindrical cavity and space charge limited cylindrical diode problems. We use the algorithm to investigate the physical performance of VEDs designed to harness particle bunching effects arising from the coherent (resonance) Cerenkov electron beam interactions within micro machined slow wave structures.",
"author_names": [
"Dong-Yeop Na",
"Yuri A Omelchenko",
"Haksu Moon",
"Ben-Hur Viana Borges",
"Fernando Lisboa Teixeira"
],
"corpus_id": 205899171,
"doc_id": "205899171",
"n_citations": 24,
"n_key_citations": 0,
"score": 0,
"title": "Axisymmetric charge conservative electromagnetic particle simulation algorithm on unstructured grids: Application to microwave vacuum electronic devices",
"venue": "J. Comput. Phys.",
"year": 2017
},
{
"abstract": "Rice seed rope planting has the advantages of water saving, energy saving and it can precisely control the rate and hill space of seeds, fertilizer and herbicide or pesticide, and simplify the sowing process as well. To solve the problem of ditching and rope laying the influence of cutting edge curve of trench opener on trench resistance is studied in this paper. Three kinds of furrow opener curves are put forward: exponential, parabola and circular. The mechanical model of the seeder was established and simulation analysis of furrow opener based on discrete element method, therefore, the edge curve equation of the soil cutting and seed rope guiding device was optimized. The simulation test results show that the exponential opener has the minimum working resistance 6.71 N; and the parabola opener has a higher working resistance 7.87 N; the circle opener has the highest resistance 9.59 N. This study may provide the basis for design of the rice seed rope planter.",
"author_names": [
"Dan Liu",
"Haobin Dong",
"Baofeng Zhang",
"Jiahan You"
],
"corpus_id": 234082687,
"doc_id": "234082687",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Design of Seed Rope Guiding Opener Based on DEM",
"venue": "",
"year": 2021
},
{
"abstract": "We propose an approach based on sensitivity analysis to evaluate threshold voltage variability of nanoscale MOSFETs due to line edge roughness (LER) and to random discrete dopants (RDD) It requires a very limited number of TCAD simulations, corresponding to computational load much smaller than that required for statistical simulations. We apply our approach to 45 nm CMOS technology, and show that with only few tens of device simulations one can obtain results comparable to those of statistical simulations, with an improved understanding of the impact of physical parameters on the variability of electrical characteristics.",
"author_names": [
"Valentina Bonfiglio",
"Giuseppe Iannaccone"
],
"corpus_id": 15660551,
"doc_id": "15660551",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Evaluation of threshold voltage dispersion in 45 nm CMOS technology with TCAD based sensitivity analysis",
"venue": "2010 14th International Workshop on Computational Electronics",
"year": 2010
},
{
"abstract": "Subsoiling, as an important mode of conservation tillage, can break plowpan and increase permeability and water retention ability of soil which increase the crop yield. Subsoiler, as a key component of subsoiling, significantly effects on the tillage resistance. Reduction of working resistance of subsoiler can decrease output power of tractor and then further reduce the cost of subsoiling operation. The existing subsoilers have problem of overlarge subsoiling resistance. The conventional methods to resolve such problem include optimal design of the structural parameters of subsoiler and application of oscillation subsoiling device, but those methods not only make the structure of subsoiler more complex, but also increase the cost of agricultural production. Based on biomimetics principles, the upper surface outline of the claw of the house mouse (mus musculus) which has exponential function curve feature, was applied to the structural design of cutting soil edge of subsoiler shaft. Accordingly, the bionic subsoiler which has exponential function curve feature was designed and manufactured. The comparative experiments were conducted using the two types of subsoilers which have exponential function curve shape and parabola type in tillage depth at 300mm and 350mm with the forward velocitys of 0.5m/s and 1.0m/s in the indoor soil bin. The horizontal tillage resistances of the two types of subsoilers were measured using remote measuring system of agricultural machinery dynamic parameters under different experimental conditions. The results showed that the horizontal tillage resistances increased with the increase of tillage depth and forward velocity. The horizontal tillage resistances of bionic anti drag subsoiler (BAS) were obviously less than those of parabola type subsoiler (PTS) under same experimental conditions and the reduction was in the range of 8.5 38.2% It indicated that the cutting soil edge of shaft with exponential function curve structure has remarkable anti drag property. The simulations of tillage processes of the two types of subsoilers were conducted using discrete element method (DEM) the stress fields and velocity fields were obtained under different simulation conditions. The results showed that the directions of stress fields and velocity fields of the two types of subsoilers have forward and upward variation trend, but the directionality of contact stress of PTS was nonuniform compared with BAS, and such results lead to an increase in soil disturbance. The intensities of stress field and velocity field of BAS were obviously less than those of PTS under same experimental conditions, The results of simulation were consistent with the results of tillage experiments, indicating that the bionic subsoiler with exponential function curve feature has significant anti drag property. The exponential function curve can be applied to the structural design of cutting soil edge of subsoiler aiming to reduce tillage resistance.",
"author_names": [
"Jin Zhang",
"Jin Tong",
"Yunhai Ma"
],
"corpus_id": 108631253,
"doc_id": "108631253",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "Simulation of Bionic Anti Drag Subsoiler with Exponential Curve Feature Using Discrete Element Method",
"venue": "",
"year": 2013
},
{
"abstract": "Nowadays, device simulators are essential tools for designing VLSI devices and much effort is being made to improve their user interfaces. For example, unified systems have been developed which allow consecutive process and device simulations, and multi window based simulation environments have been constructed which assist users to operate simulators. Optimizing discretization mesh, however, is still left to users and this reduces the practicality of device simulators. The adaptive meshing technique resolves this problem by refining the mesh automatically according to the discretization error. Adaptive mesh generation consists of 1) solving semiconductor device equations, 2) evaluating an discretization error indicator, and 3) refining the mesh elements with the large indicator value. These steps are repeated while some element retains the large indicator value. It is crucial to select appropriate indicators for ensuring the precision in calculated device characteristics on the adapted mesh. Although several indicators were already proposed [1 4] more reliable and simpler indicator is desirable especially for the current continuity equation. In this paper, simple error indicators are incorporated into the typical adaptive mesh device simulator HFIELDS [3] and their practicality is examined. In HFIELDS, the discretization mesh is adaptively generated by using the curvature 3 of electrostatic potential (or quasi Fermi potentials q5n,q5p) as an error indicator. The indicator b' is estimated simply by \"(1 2) 2 (d z) z 2. To limit p( is almost equivalent to limiting the spatial change of V$ and this reduces the discretization error in the Poisson equation effectively. In the case of the current continuity equation, to limit p(4) is not effective since current density is proportional to V4 times carrier density and carrier density varies by several orders of magnitude. Therefore, another indicator shouid be introduced that either takes account of the carrier density or directly estimates the error in current density. In the case of MOSFETs simulation, lateral current density varies abruptly near the Si surface along the vertical direction, and this spatial variation in current density can be used as an error indicator. When the discretization mesh is based on box grid, such an indicator is useful as where 5 1 and Jz are current densities along two parallel edges of a box. Essentially, the indicator 7r examines the uniformity of current density normal to the control volume boundary. Although 7, can be evaluated easily, it is well defined only for the",
"author_names": [
"K Tanaka",
"P Ciampohni",
"Anna Pierantoni",
"Giorgio Baccarani"
],
"corpus_id": 16378816,
"doc_id": "16378816",
"n_citations": 6,
"n_key_citations": 1,
"score": 0,
"title": "Comparison Between A Posteriori Error Indicators For Adaptive Mesh Generation In Semiconductor Device Simulation",
"venue": "[Proceedings] 1993 International Workshop on VLSI Process and Device Modeling (1993 VPAD)",
"year": 1993
},
{
"abstract": "Technology scaling increasingly emphasizes complexity and non ideality of the electrical behavior of semiconductor devices and boosts interest on alternatives to the conventional planar MOSFET architecture. TCAD simulation tools are fundamental to the analysis and development of new technology generations. However, the increasing device complexity is reflected in an augmented dimensionality of the problems to be solved. The trade off between accuracy and computational cost of the simulation is especially influenced by domain discretization: mesh generation is therefore one of the most critical steps and automatic approaches are sought. Moreover, the problem size is further increased by process variations, calling for a statistical representation of the single device through an ensemble of microscopically different instances. The aim of this thesis is to present multi disciplinary approaches to handle this increasing problem dimensionality in a numerical simulation perspective. The topic of mesh generation is tackled by presenting a new Wavelet based Adaptive Method (WAM) for the automatic refinement of 2D and 3D domain discretizations. Multiresolution techniques and efficient signal processing algorithms are exploited to increase grid resolution in the domain regions where relevant physical phenomena take place. Moreover, the grid is dynamically adapted to follow solution changes produced by bias variations and quality criteria are imposed on the produced meshes. The further dimensionality increase due to variability in extremely scaled devices is considered with reference to two increasingly critical phenomena, namely line edge roughness (LER) and random dopant fluctuations (RD) The impact of such phenomena on FinFET devices, which represent a promising alternative to planar CMOS technology, is estimated through 2D and 3D TCAD simulations and statistical tools, taking into account matching performance of single devices as well as basic circuit blocks such as SRAMs. Several process options are compared, including resist and spacer defined fin patterning as well as different doping profile definitions. Combining statistical simulations with experimental data, potentialities and shortcomings of the FinFET architecture are analyzed and useful design guidelines are provided, which boost feasibility of this technology for mainstream applications in sub 45 nm generation integrated circuits.",
"author_names": [
"Emanuele Baravelli"
],
"corpus_id": 59744051,
"doc_id": "59744051",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "TCAD approaches to multidimensional simulation of advanced semiconductor devices",
"venue": "",
"year": 2008
},
{
"abstract": "The mapping of the current induced by a focused electron beam in a scanning electron microscope (SEM) has been used to localize electrically stressed regions in the AlGaN/GaN on Si Schottky barrier diode (SBD) structures cross sectioned by the focused ion beam (FIB) technique. We have shown that homogeneously distributed electron beam induced current (EBIC) intensity detected below the Schottky contact at 0 V changes with increasing reverse voltage {V}_{R} and peaks at the edges of a field plate region. The build up of local microavalanches at high electric voltages has been indicated by overexposed EBIC signal at areas following the edges of the field plate structure. Interpretation of EBIC measurements is supported by electro physical modeling and simulations employing the 2 D finite element method in Synopsys TCAD Sentaurus. The simulations prove that the electric field intensity in the SBD locally reaches values sufficiently high to trigger multiplication of the excessive carriers generated by an electron beam, which helps one to visualize and localize critical regions in GaN based power electronic devices by the EBIC method.",
"author_names": [
"Juraj Priesol",
"Alexander Satka",
"Ales Chvala",
"Steve Stoffels",
"Brice De Jaeger",
"Stefaan Decoutere"
],
"corpus_id": 229701850,
"doc_id": "229701850",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Identification of Electrically Stressed Regions in AlGaN/GaN on Si Schottky Barrier Diode Using EBIC Technique",
"venue": "IEEE Transactions on Electron Devices",
"year": 2021
},
{
"abstract": "A 3D drift diffusion device simulation tool with quantum corrections has been applied to study the off current, threshold voltage and sub threshold slope variability induced by the metal gate granularity using a Voronoi approach, and line edge roughness using Fourier synthesis, in a 25?nm Si FinFET. The discretization based on the finite element method allows for an accurate description of the 3D geometry. We have simulated 4000 variations of the device to study the metal gate granularity using four different metal grain sizes. The results for the threshold voltage variability ranged from 8.6?mV, for a 3?nm grain size, to 25.9?mV, for a 10?nm grain size. The effect of the grain size was studied and we found an inverse square root dependence of the variability for the three figures of merit. The mean threshold voltage and sub threshold slope have monotonous decrease with the decrease in metal grain size suggesting that the device power consumption and switching speed can be improved by reducing the grain size. The corresponding threshold voltage variability can reach up to 8.2?mV when RMS 3?nm and the correlation length is 50?nm.",
"author_names": [
"Guillermo Indalecio",
"Manuel Aldegunde",
"Natalia Seoane",
"Karol Kalna",
"Antonio J Garcia-Loureiro"
],
"corpus_id": 96051927,
"doc_id": "96051927",
"n_citations": 14,
"n_key_citations": 0,
"score": 0,
"title": "Statistical study of the influence of LER and MGG in SOI MOSFET",
"venue": "",
"year": 2014
}
] |
Handbook of optical constants of solids | [
{
"abstract": "VOLUME ONE: Determination of Optical Constants: E.D. Palik, Introductory Remarks. R.F. Potter, Basic Parameters for Measuring Optical Properties. D.Y. Smith, Dispersion Theory, Sum Rules, and Their Application to the Analysis of Optical Data. W.R. Hunter, Measurement of Optical Constants in the Vacuum Ultraviolet Spectral Region. D.E. Aspnes, The Accurate Determination of Optical Properties by Ellipsometry. J. Shamir, Interferometric Methods for the Determination of Thin Film Parameters. P.A. Temple, Thin Film Absorplance Measurements Using Laser Colorimetry. G.J. Simonis, Complex Index of Refraction Measurements of Near Millimeter Wavelengths. B. Jensen, The Quantum Extension of the Drude Zener Theory in Polar Semiconductors. D.W. Lynch, Interband Absorption Mechanisms and Interpretation. S.S. Mitra, Optical Properties of Nonmetallic Solids for Photon Energies below the Fundamental Band Gap. Critiques Metals: D.W. Lynch and W.R. Hunter, Comments of the Optical Constants of Metals and an Introduction to the Data for Several Metals. D.Y. Smith, E. Shiles, and M. Inokuti, The Optical Properties of Metallic Aluminum. Critiques Semiconductors: E.D. Palik, Cadium Telluride (CdTe) E.D. Palik, Gallium Arsenide (GaAs) A. Borghesi and G. Guizzetti, Gallium Phosphide (GaP) R.F. Potter, Germanium (Ge) E.D. Palik and R.T. Holm, Indium Arsenide (InAs) R.T. Holm, Indium Antimonide (InSb) O.J. Glembocki and H. Piller, Indium Phosphide (InP) G. Bauer and H. Krenn, Lead Selenide (PbSe) G. Guizzetti and A. Borghesi, Lead Sulfide (PbS) G. Bauer and H. Krenn, Lead Telluride (PbTe) D.F. Edwards, Silicon (Si) H. Piller, Silicon (Amorphous) Si) W.J. Choyke and E.D. Palik, Silicon Carbide (SiC) E.D. Palik and A. Addamiano, Zinc Sulfide (ZnS) Critiques Insulators: D.J. Treacy, Arsenic Selenide (As 2 gt Se 3 gt D.J. Treacy, Arsenic Sulfide (As 2 gt S 3 gt D.F. Edwards and H.R. Philipp, Cubic Carbon (Diamond) E.D. Palik and W.R. Hunter, Litium Fluoride (LiF) E.D. Palik, Lithium Niobote (LiNbO 3 gt E.D. Palik, Potassium Chloride (KCl) H.R. Philipp, Silicon Dioxide (SiO 2 gt Type (Crystalline) H.R. Philipp, Silicon Dioxide (SiO 2 gt (Glass) gt H.R. Philipp, Silicon Monoxide (SiO) (Noncrystalline) H.R. Philipp, Silicon Nitride (Si 3 gt N 4 gt (Noncrystalline) J.E. Eldridge and E.D. Palik, Sodium Chloride (NaCl) M.W. Ribarsky, Titanium Dioxide (TiO 2 gt (Rutile)",
"author_names": [
"Edward D Palik"
],
"corpus_id": 138765507,
"doc_id": "138765507",
"n_citations": 12904,
"n_key_citations": 539,
"score": 2,
"title": "Handbook of Optical Constants of Solids",
"venue": "",
"year": 1997
},
{
"abstract": "",
"author_names": [
"David W Lynch",
"William R Hunter"
],
"corpus_id": 135471795,
"doc_id": "135471795",
"n_citations": 4975,
"n_key_citations": 204,
"score": 0,
"title": "Handbook of Optical Constants of Solids",
"venue": "",
"year": 1985
},
{
"abstract": "",
"author_names": [
"Oliver S Heavens"
],
"corpus_id": 120965652,
"doc_id": "120965652",
"n_citations": 851,
"n_key_citations": 64,
"score": 0,
"title": "Handbook of Optical Constants of Solids II",
"venue": "",
"year": 1992
},
{
"abstract": "",
"author_names": [
"Edward D Palik",
"Gorachand Ghosh"
],
"corpus_id": 229871481,
"doc_id": "229871481",
"n_citations": 43,
"n_key_citations": 6,
"score": 0,
"title": "The electronic handbook of optical constants of solids",
"venue": "",
"year": 1999
},
{
"abstract": "We report on the performance of density functional theory (DFT) with the Tran Blaha modified Becke Johnson exchange potential and the random phase approximation dielectric function for optical constants of semiconductors in the ultraviolet visible (UV Vis) light region. We calculate optical bandgaps Eg, refractive indices n, and extinction coefficients k of 70 semiconductors listed in the Handbook of Optical Constants of Solids (Academic Press, 1985) Vol. 1; (Academic Press, 1991) Vol. 2; and (Academic Press, 1998) Vol. 3] and compare the results with experimental values. The results show that the calculated bandgaps and optical constants agree well with the experimental values to within 0.440 eV for Eg, 0.246 0.299 for n, and 0.207 0.598 for k in root mean squared error (RMSE) The small values of the RMSEs indicate that the optical constants of semiconductors in the UV Vis region can be quantitatively predicted even by a low cost DFT calculation of this type.",
"author_names": [
"Kousuke Nakano",
"Tomohiro Sakai"
],
"corpus_id": 103085988,
"doc_id": "103085988",
"n_citations": 13,
"n_key_citations": 0,
"score": 0,
"title": "Assessing the performance of the Tran Blaha modified Becke Johnson exchange potential for optical constants of semiconductors in the ultraviolet visible light region",
"venue": "",
"year": 2018
},
{
"abstract": "Lead sulfide (PbS) in thin film form was prepared by thermal evaporation in order to evaluate its optical characteristics. The absorption coefficient of lead sulfide in the 3 11 microns band of the infrared spectrum was calculated under smooth and rough surface conditions. The calculated and measured absorption coefficient was in a good agreement with that published by Semiletov. These results were an order of magnitude higher than that published in the handbook of optical constants of solids and referred to Scanlon.",
"author_names": [
"I Elfalla",
"Ahmed H Zaki",
"Shahriar Alian"
],
"corpus_id": 60491254,
"doc_id": "60491254",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Optical constants of lead sulfide in the 3 11 microns window",
"venue": "Proceedings of the Sixteenth National Radio Science Conference. NRSC'99 (IEEE Cat. No.99EX249)",
"year": 1999
},
{
"abstract": "Historical Figures in Chemistry and Physics Basic Constants, Units, and Conversion Factors Symbols, Terminology, and Nomenclature Physical Constants of Organic Compounds Properties of the Elements and Inorganic Compounds Thermochemistry, Electrochemistry, and Solution Chemistry Fluid Properties Biochemistry Analytical Chemistry Molecular Structure and Spectroscopy Atomic, Molecular, and Optical Physics Nuclear and Particle Physics Properties of Solids Polymer Properties Geophysics, Astronomy, and Acoustics Practical Laboratory Data Health and Safety Information APPENDICES: Mathematical Tables. Sources of Physical and Chemical Data Index",
"author_names": [
"Robert C Weast",
"Melvin Jensen Astle",
"William H Beyer",
"Samuel M Selby",
"David R Lide",
"H P R Frederikse",
"William M Haynes",
"Thomas J Bruno"
],
"corpus_id": 222665132,
"doc_id": "222665132",
"n_citations": 157,
"n_key_citations": 0,
"score": 0,
"title": "Handbook of chemistry and physics a ready reference pocket book of chemical and physical data",
"venue": "",
"year": 1922
},
{
"abstract": "Maxwell's equations state that a dielectric metallic interface can support surface plasmon polaritons (SPPs) which are coherent electron oscillation waves that propagate along the interface with an electromagnetic wave. The unique properties of the interface waves result from the frequency dependent dispersion characteristics of metallic and dielectric materials. This chapter provides an introduction to alternative plasmonic materials, as well as the rationale for each material choice. The comprehensive optical properties of various materials, including noble metals and semiconductors, are presented. The optical properties are evaluated based on the permittivity and permeability defined by either the Drude or Lorentz model. Furthermore, the noble metals are described from the generally approved data in a general handbook of solid materials, such as the Handbook of Optical Constants of Solids, edited by Palik. This chapter outlines the effective medium approaches for describing the effective dielectric functions of composite nanostructures. It also provides a reference for finding better plasmonic materials at specific frequencies.",
"author_names": [],
"corpus_id": 44110632,
"doc_id": "44110632",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Chapter 1 Optical Properties of Plasmonic Materials",
"venue": "",
"year": 2017
},
{
"abstract": "Maxwell's equations state that a dielectric metallic interface can support surface plasmon polaritons (SPPs) which are coherent electron oscillation waves that propagate along the interface with an electromagnetic wave. The unique properties of the interface waves result from the frequency dependent dispersion characteristics of metallic and dielectric materials. This chapter provides an introduction to alternative plasmonic materials, as well as the rationale for each material choice. The comprehensive optical properties of various materials, including noble metals and semiconductors, are presented. The optical properties are evaluated based on the permittivity and permeability defined by either the Drude or Lorentz model. Furthermore, the noble metals are described from the generally approved data in a general handbook of solid materials, such as the Handbook of Optical Constants of Solids, edited by Palik. This chapter outlines the effective medium approaches for describing the effective dielectric functions of composite nanostructures. It also provides a reference for finding better plasmonic materials at specific frequencies.",
"author_names": [
"Yongqian Li"
],
"corpus_id": 136378976,
"doc_id": "136378976",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Optical Properties of Plasmonic Materials",
"venue": "",
"year": 2017
},
{
"abstract": "S. E. Miller and I. P. Kaminow, Eds. Optical Fiber Telecommunications II, San I. P. Kaminow and A. E. Siegman, Eds. Laser Devices and Applications, IEEE, 1973. I. P. Kaminow, \"Foreword,\" in Handbook of Optical Constants of Solids, I. P. Kaminow, \"Book Review: Integrated Optics: Theory and Technology, ed. If you want to get Handbook of Fiber Optics: Theory and Applications pdf eBook copy write by good author Wolf, Helmut F. you can download the book copy. G. L. Cariolaro, \"Error probability in digital fiber optic communication systems,\" IEEE Trans. M. G. Kendall and A. Stuart, The Advanced Theory of Statistics (Hafer, New detection with application to FSK fiber optic communications,\" IEEE Trans. M. Abramowitz and I. E. Stegun, Handbook of Mathematical Functions (U.S.",
"author_names": [
"Helmut F Wolf"
],
"corpus_id": 57647747,
"doc_id": "57647747",
"n_citations": 38,
"n_key_citations": 1,
"score": 0,
"title": "Handbook of fiber optics theory and applications",
"venue": "",
"year": 1979
}
] |
Principles of sustainable energy systems | [
{
"abstract": "Introduction to Sustainable Energy Sustainability Principles Carrying Capacity Context for Sustainable Energy Key Sustainability Considerations Energy Efficiency and Conservation Energy from Fossil Fuels Nuclear Energy Renewable Energy Hydrogen NREL System Advisor Model Energy Units and Conversion Factors Problems Discussion Questions Online Resources References Suggested Readings Economics of Energy Generation and Conservation Systems Unit Cost of Energy Payback Period Time Value of Money Inflation Societal and Environmental Costs Total Life Cycle Costs Internal Rate of Return Capital Recovery Factor Levelized Cost of Energy Input Output Analysis Energy System Analysis Methodologies Energy Return on Energy Invested EROI for a Wind Energy System EROI for Nuclear Power Relation between Energy Return on Energy Invested and Monetary Return on Investment Problems References Wind Energy, Contributing Author: Gary E. Pawlas Wind Power in a Nutshell Power and Energy Fact or Fiction: Common Questions about Wind Turbines History of Wind Turbine Development: HAWTs and VAWTs Introduction to Wind Turbine Performance Aerodynamics Wind Characteristics Turbine Performance Cost of Energy Wind Farms Offshore Wind Energy System Advisory Model Additional Topics for Study Acknowledgment Problems References Capturing Solar Energy through Biomass, Contributing Authors: Robert C. Brown and Mark M. Wright Biomass Production and Land Use Waste Material Energy Crops Algae Land Use for Biomass Production Important Properties of Biomass Biomass Process Economics and Technology Conversion of Biomass to Gaseous Fuels Conversion of Biomass to Liquid Fuels Conversion of Biomass to Electricity Fossil and Biomass Fuel Properties Conclusions Problems References Fundamentals of Solar Radiation Physics of the Sun and Its Energy Transport Thermal Radiation Fundamentals Sun Earth Geometric Relationship Solar Radiation Estimation of Terrestrial Solar Radiation Models Based on Long Term Measured Horizontal Solar Radiation Measurement of Solar Radiation Problems References Photovoltaics Semiconductors Analysis of Photovoltaic Cells Manufacture of Solar Cells and Panels Design for Remote Photovoltaic Applications Thin Film PV Technology Multilayer PV Technology PVWatts for PV Performance Estimates Problems References Suggested Readings Solar Heating and Cooling of Buildings Radiative Properties and Characteristics of Materials Flat Plate Collectors Evacuated Tube Collectors Experimental Testing of Collectors Calculations of Heating and Hot Water Loads in Buildings Solar Water Heating Systems Liquid Based Solar Heating Systems for Buildings Methods of Modeling and Design of Solar Heating Systems Solar Cooling Solar Desiccant Dehumidification Problems References Solar Process Heat and Thermal Power Historical Perspective Solar Industrial Process Heat Parabolic Collectors Long Term Performance of SIPH Systems Thermal Power Fundamentals Solar Thermal Power Plants Parabolic Dish Systems and Stirling Engines Problems References Passive Solar Heating, Cooling, and Daylighting, Contributing Author: Jeffrey H. Morehouse Introduction Key Elements of Economic Consideration Solar Thermosyphon Water Heating Passive Solar Heating Design Fundamentals Passive Design Approaches Passive Space Cooling Design Fundamentals Daylighting Design Fundamentals Problems Defining Terms References Suggested Readings Further Information Energy Storage Overview of Storage Technology Mechanical Technologies Direct Electrical Technologies Fundamentals of Batteries and Fuel Cells Rechargeable Batteries Fuel Cells and Hydrogen Thermal Energy Storage Virtual Storage in the Electric Transmission Grid Problems References Battery Resources Ocean Energy Conversion Ocean Thermal Energy Conversion Tidal Energy Ocean Wave Energy Problems References Transportation Introduction Alternative Fuels Well to Wheel Analysis Mass Transportation Hybrid Electric Vehicles Plug In Hybrid Electric Vehicles Advanced Ground Transportation with Biomass Fuel Future All Electric System Hydrogen for Transportation Natural Gas as a Transitional Bridging Fuel Problems Energy Committee References Online Resources Transition Engineering, Contributing Author: Susan Krumdieck Foundations of Transition Engineering Anthropogenic System Dynamics Risk Management Framework of Change Projects Strategic Analysis of Complex Systems Concluding Remarks Problems References Index",
"author_names": [
"Frank Kreith"
],
"corpus_id": 106733700,
"doc_id": "106733700",
"n_citations": 48,
"n_key_citations": 1,
"score": 1,
"title": "Principles of sustainable energy systems",
"venue": "",
"year": 2013
},
{
"abstract": "",
"author_names": [
"Charles F Kutscher"
],
"corpus_id": 187208197,
"doc_id": "187208197",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Principles of Sustainable Energy Systems, Third Edition",
"venue": "",
"year": 2018
},
{
"abstract": "There is more or less consensus around the problems related to the existing energy systems. Most focus has been on the negative environmental effects of using fossil fuels. Many papers and reports conclude that renewable energy has the potential to run the world and the technology needed to do so is available. An important question is: why such a big potential is only marginally utilized?",
"author_names": [
"Ziyi Wang",
"R Wennersten",
"Qie Sun"
],
"corpus_id": 110253858,
"doc_id": "110253858",
"n_citations": 30,
"n_key_citations": 0,
"score": 0,
"title": "Outline of principles for building scenarios Transition toward more sustainable energy systems",
"venue": "",
"year": 2017
},
{
"abstract": "Abstract This review article deals with thermodynamics and thermochemistry of processes combining solar radiation power with chemical reactions for the production and storage of usable energy. Some of the most promising procedures of such processes discussed in the literature have been selected as representative examples and are analyzed on the basis of their thermodynamic principles rather than reporting on technical details and feasibility studies with respect to economic potentials. The examples studied involve pure gaseous as well as heterogeneous reactive systems where the shift of chemical equilibria at different temperatures is used to gain chemical energy. The majority of examples focusses on different multistep chemical processes for water splitting into H2 and O2 which have already been tested on laboratory and semi technical scale.",
"author_names": [
"Andreas Heintz"
],
"corpus_id": 96136179,
"doc_id": "96136179",
"n_citations": 6,
"n_key_citations": 0,
"score": 0,
"title": "Solar energy combined with chemical reactive systems for the production and storage of sustainable energy. A review of thermodynamic principles",
"venue": "",
"year": 2012
},
{
"abstract": "This paper explores sustainable energy systems in ecovillages based on the concept of the Zero Energy Building. The cases discussed in this paper include BedZED in the United Kingdom and Masdar City in the Middle East. These two communities contain features characterized by the sustainable principles of the ecovillage concept by using renewable energy and reclaimed materials to reach a low/zero energy system in buildings. The creation of more ecovillages and the growth of current ecovillages play an important role in positively solving environmental and social problems. The low energy buildings in the ecovillages also act as a model for communities wishing to implement sustainable development.",
"author_names": [
"Dan Zhu",
"Michael Kung",
"Liang Zhou"
],
"corpus_id": 55720286,
"doc_id": "55720286",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "Analysis of Sustainable Energy Systems in Ecovillages: A Review of Progress in BedZED and Masdar City",
"venue": "",
"year": 2015
},
{
"abstract": "Sustainable energy development always involves complex optimization problems of design, planning, and control, which are often computationally difficult for conventional optimization methods. Fortunately, the continuous advances in artificial intelligence have resulted in an increasing number of heuristic optimization methods for effectively handling those complicated problems. Particularly, algorithms that are inspired by the principles of natural biological evolution and/or collective behavior of social colonies have shown a promising performance and are becoming more and more popular nowadays. In this paper we summarize the recent advances in bio inspired optimization methods, including artificial neural networks, evolutionary algorithms, swarm intelligence, and their hybridizations, which are applied to the field of sustainable energy development. Literature reviewed in this paper shows the current state of the art and discusses the potential future research trends.",
"author_names": [
"Yujun Zheng",
"Shengyong Chen",
"Yao Lin",
"Wanliang Wang"
],
"corpus_id": 54193877,
"doc_id": "54193877",
"n_citations": 43,
"n_key_citations": 0,
"score": 0,
"title": "Bio Inspired Optimization of Sustainable Energy Systems: A Review",
"venue": "",
"year": 2013
},
{
"abstract": "Introduction to Sustainable Energy Global Sustainability Role of Energy Conservation Nuclear Energy Hydrogen Geothermal Energy Global Status and Potential of Renewable Energy Summary of Renewable Energy Resources Orders of Magnitude Energy Units and Conversion Factors Problems Online Resources References Suggested Readings Economics of Energy Generation and Conservation Systems Time Value of Money Inflation Societal Costs Total Life Cycle Costs Internal Rate of Return Levelized Cost of Energy Example of a Typical Cash Flow Scenario Energy Analysis Methodology EROI for a Wind Energy System EROI for Nuclear Power Problems Appendix 2.A Capital Recovery Factor References Wind Energy, Contributing Author: G. Pawlas Wind Power in a Nutshell Power and Energy Fact or Fiction: Common Questions about Wind Turbines History of Wind Turbine Development: HAWTs and VAWTs Introduction to Wind Turbine Performance Aerodynamics Wind Characteristics Turbine Performance Cost of Energy Wind Farms Offshore Wind Energy Additional Topics for Study Acknowledgment Problems References Capturing Solar Energy through Biomass, Contributing Authors: R.C. Brown and M.M. Wright Introduction Biomass Feedstocks Conversion of Biomass to Gaseous Fuels Transportation Fuels from Biomass Conversion of Biomass to Electricity Conclusions Solutions to Selected Problems Additional Problems References Fundamentals of Solar Radiation The Physics of the Sun and Its Energy Transport Thermal Radiation Fundamentals Sun Earth Geometric Relationship Solar Radiation Models Based on Long Term Measured Horizontal Solar Radiation Measurement of Solar Radiation Problems Appendix References Photovoltaics Semiconductors Analysis of Photovoltaic Cells Manufacture of Solar Cells and Panels Design for Remote Photovoltaic Applications Thin Film PV Technology Multilayer PV Technology Problems References Solar Heating and Cooling of Buildings Radiative Properties and Characteristics of Materials Flat Plate Collectors Evacuated Tube Collectors Experimental Testing of Collectors Calculations of Heating and Hot Water Loads in Buildings Solar Water Heating Systems Liquid Based Solar Heating Systems for Buildings Methods of Modeling and Design of Solar Heating Systems Solar Cooling Solar Desiccant Dehumidification Problems Appendix References Solar Process Heat and Thermal Power Historical Perspective Solar Industrial Process Heat Parabolic Collectors Long Term Performance of SIPH Systems TRNSYS Computer Simulation Program Solar Thermal Power Examples of Solar Thermal Power Plants Parabolic Dish Systems and Stirling Engines Recent Developments in Solar Thermal Power Cycles Problems References Passive Solar Heating, Cooling, and Daylighting, Contributing Author: J.H. Morehouse Introduction Solar Thermosyphon Water Heating Passive Solar Heating Design Fundamentals Passive Space Cooling Design Fundamentals Daylighting Design Fundamentals Defining Terms References For Further Information Energy Storage Overview of Storage Technology Mechanical Technologies Direct Electrical Technologies Fundamentals of Batteries and Fuel Cells Rechargeable Batteries Fuel Cells and Hydrogen Thermal Energy Storage Problems References Other Battery Resources Ocean Energy Conversion Ocean Thermal Energy Conversion Tidal Energy Ocean Wave Energy References Transportation Introduction Alternative Fuels Well to Wheel Analysis Mass Transportation Hybrid Electric Vehicles Plug In Hybrid Electric Vehicles Advanced Ground Transportation with Biomass Fuel Future All Electric System Hydrogen for Transportation Problems References Index",
"author_names": [
"Frank Kreith",
"Jan F Kreider",
"Aldo Steinfeld"
],
"corpus_id": 108634574,
"doc_id": "108634574",
"n_citations": 31,
"n_key_citations": 2,
"score": 0,
"title": "Principles of Sustainable Energy",
"venue": "",
"year": 2013
},
{
"abstract": "Abstract There is more or less consensus around the problems related to the existing energy systems in the world. Most focus has been on the negative environmental effects of using fossil fuels. However, looking at the development there seems to be important barriers for change. Many papers and reports conclude that the renewable energy sources have the potential to run the world and the technology needed to do so is available. A relevant and important question in this paper is then why is this potential only marginally utilized? Often the high prices of renewables are said to be one barrier and that technology change will gradually increase the advantages of renewable energy. However considerations based solely on thermodynamics and energy systems analysis, no matter how simple they are, lead to a very serious conclusion, namely that the utilization of renewable energy does not support continuous growth as we know it. In order to develop pathways for change, scenarios can be used to support decision making involving all key actors in society. In this paper we outline the driving forces why we ended up in the energy systems we have today. The competition between fossil fuels and renewable energy must be analyzed at a more fundamental thermodynamic level. This analysis has also to include the links between energy and material transformation. Understanding this we can outline possible roadmaps for transitions to more sustainable energy and material systems starting from primary energy sources. The strong dependence on fossil fuels now will require long transitions periods for change. However it is important to start the transitions taking small steps forward. The problem related to fossil fuels and climate change will not be solved in due time. The only realistic options here is Carbon Capture and storage together with climate change adaption. One difficulty in making scenarios is to handle changes in technology and people's behavior. By developing evolutionary forecasting scenarios (EFS) different roadmaps can be evaluated, including continuous and discontinuous technology change. The key parameter that will determine the inevitable transitions in energy use and the future of our civilization is the emergy yield ratio we can obtain from the renewable energy sources. For material transformation conservation of low entropy states will be of high importance.",
"author_names": [
"Ziyi Wang",
"Qinxing Wang",
"R Wennersten",
"Qie Sun"
],
"corpus_id": 111397738,
"doc_id": "111397738",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Transitions to Sustainable Energy and Material Systems Outline of Principles for Scenarios",
"venue": "",
"year": 2015
},
{
"abstract": "Abstract The evaluation of the environmental performance of energy systems used in residential buildings by applying the principles of the Life Cycle Analysis is an established methodological approach. Applying it in practice presents, however, significant interest, as a lack of available data has to be overcome. The research results presented in this paper include the analysis of the production, disposal and transportation of the materials used for the manufacturing processes of the building's energy systems, which include an oil and a gas fired boiler, split unit air conditioners, mono Si and poly Si PV arrays, flat plate and evacuated tube solar thermal collectors and their auxiliaries. The data needed for the analysis were taken from audits in the industries producing those systems, from related studies already published and from publicly available databases, when no other source was available. In this way, a comprehensive and fully adjustable database of the systems' environmental impact has been created. This database can be a part of an integrated dynamic decision support tool, or it can be used in combination with tools commercially available. It can therefore assist prospective users in the selection of the appropriate energy systems that will lead to the minimization of the total environmental impact of new and existing buildings. The results are applied to a representative residential building and its systems are evaluated and analyzed for several scenarios.",
"author_names": [
"Dimitrios Anastaselos",
"Simeon Oxizidis",
"Alexandros Manoudis",
"Agis M Papadopoulos"
],
"corpus_id": 109252444,
"doc_id": "109252444",
"n_citations": 14,
"n_key_citations": 1,
"score": 0,
"title": "Environmental performance of energy systems of residential buildings: Toward sustainable communities",
"venue": "",
"year": 2016
},
{
"abstract": "Abstract The study of sustainable energy systems is an interdisciplinary endeavour which entails the analysis of a large amount of diverse data and complex interactions that are better understood if developed from first principles. This paper reviews the approaches to this analysis and presents as a general case study, a fossil free imaginary island whose electricity, heat and mobility demand are fulfilled with sustainable and renewable energies only. The detailed hourly balance between supply and demand highlights the importance of energy storage, which is achieved by reversible hydropower and storage in electric vehicles.",
"author_names": [
"Miguel Centeno Brito",
"Killian Lobato",
"Pedro Nunes",
"Filipe C Serra"
],
"corpus_id": 108585304,
"doc_id": "108585304",
"n_citations": 20,
"n_key_citations": 1,
"score": 0,
"title": "Sustainable energy systems in an imaginary island",
"venue": "",
"year": 2014
}
] |
Analysis of on-state losses in pwm inverters | [
{
"abstract": "The authors present an analytical technique by which the on state losses in the devices in a PWM inverter may be calculated. The authors take the regular type of symmetrical double edge sinusoidal modulation, assume that the load current is sinusoidal, and show that the inherent Bessel Function solution can be reduced to a simple algebraic form when the frequency ratio is greater than about ten. It is assumed that the on state voltage drop across an active device and a free wheel diode is made up of a constant component plus a component that is linearly dependent upon current. The results are, therefore, applicable to most semiconductor devices. Experimental results are presented and are shown to be in good agreement with predictions.",
"author_names": [
"Lalit K Mestha",
"P D Evans"
],
"corpus_id": 110524826,
"doc_id": "110524826",
"n_citations": 97,
"n_key_citations": 3,
"score": 1,
"title": "Analysis of on state losses in PWM inverters",
"venue": "",
"year": 1989
},
{
"abstract": "In this paper, a near state pulse width modulation (NSPWM) algorithm is proposed and implemented on dual two level voltage source inverters (D2L VSIs) in order to reduce the common mode voltage (CMV) the inverter switching losses, the current total harmonic distortion, and the side effects of bearing currents compared with space vector modulation (SVM) and PWM7. To gain these goals, two conventional two level inverters of the D2L VSI are controlled, separately, with specific switching sequences and an adjusted phase difference between the carriers of two inverters. For evaluating and comparing these PWM techniques mathematically, both CMV root mean square generated and switching losses of the D2L VSI are formulated as a function of the power factor of the D2L VSI, which is driven by the methods detailed in this study. Eventually, theories and analysis, as well as simulations and experimental results which are generated by MATLAB/Simulink environment and a 300 W scaled down D2LVSI prototype, respectively authenticate the superiority of the proposed NSPWM over both SVM and PWM7.",
"author_names": [
"Amir Aghazadeh",
"Naser Khodabakhshi-Javinani",
"Hamed Nafisi",
"Masoud Davari",
"Edris Pouresmaeil"
],
"corpus_id": 115845102,
"doc_id": "115845102",
"n_citations": 6,
"n_key_citations": 1,
"score": 0,
"title": "Adapted near state PWM for dual two level inverters in order to reduce common mode voltage and switching losses",
"venue": "IET Power Electronics",
"year": 2019
},
{
"abstract": "Common mode voltage (CMV) problem brings severe negative effects in three phase voltage source inverters (VSIs) particularly for high frequency silicon (Si) carbide (SiC) VSIs. However, most traditional CMV reduction strategies, which are studied based on Si VSIs, are hard to be implemented and sacrifice the other performance of the VSIs. To overcome such drawbacks, this paper proposes a novel generalized tri state pulsewidth modulation (GTSPWM) for high frequency SiC VSIs over power factor of 0 1 and whole linear modulation index range. The working principle of conventional space vector based reduced CMV PWM (RCMV PWM) methods are reviewed, and their switching signals and CMV patterns are illustrated. The proposed GTSPWM method is described in a generalized scalar PWM approach for simple application and compared with other RCMV PWM methods. Mathematical analysis, simulations, and experimental results have been used to verify that GTSPWM based high frequency SiC VSIs can achieve minimum switching losses, improved output waveform quality, smaller dc link current ripples, and RCMV characteristics. Moreover, with the usage of GTSPWM method, the switching frequency of SiC VSIs can be further increased, and the performance of high frequency SiC VSIs could be improved appreciably.",
"author_names": [
"Junzhong Xu",
"Jingwen Han",
"Yong Wang",
"Muhammad Umair Ali",
"Houjun Tang"
],
"corpus_id": 56596491,
"doc_id": "56596491",
"n_citations": 12,
"n_key_citations": 0,
"score": 0,
"title": "High Frequency SiC Three Phase VSIs With Common Mode Voltage Reduction and Improved Performance Using Novel Tri State PWM Method",
"venue": "IEEE Transactions on Power Electronics",
"year": 2019
},
{
"abstract": "Summary In this paper, a single phase quasi Z source (qZS) inverter (qZSI) integrating the pulse width modulation (PWM) control with interleaved and shifted shoot through state (STS) placement modulation technique, is proposed to simultaneously achieve both dc voltage boost and dc ac inversion. Instead of placing the STS in both inverter legs simultaneously, the addressed method inserts the STS only in left/right inverter leg separately during the positive/negative half cycle of the output voltage to reduce switching losses and thermal stresses of the power devices. The STS shift is also studied to decrease the switching numbers of power devices and thus can improve the efficiency further. Theoretical analysis and design guidelines of the studied inverter are included. Improvement in effectiveness and performance of the devised scheme and modulation strategy are proved experimentally and compared with the previous studies on a built laboratory prototype.",
"author_names": [
"Shun Chung Wang",
"Yi-Hua Liu",
"Yu-Shan Cheng",
"Bo-Ruei Peng"
],
"corpus_id": 3635087,
"doc_id": "3635087",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "A novel modulation technique with interleaved and shifted shoot through state placement for quasi Z source inverters",
"venue": "Int. J. Circuit Theory Appl.",
"year": 2018
},
{
"abstract": "This paper discusses analysis and reduction of power losses in PV converter systems using conventional Si IGBTs as well as SiC MOSFETs. The PV converter system discussed in this paper consists of a boost converter and two half bridge PWM inverters, considering grid connection to three phase three wire low voltage power systems in Japan. Power losses in Si IGBTs and SiC MOSFETs are measured and theoretically discussed from experimental result in a buck converter benchmark. The power losses in PV converters using Si IGBTs and SiC MOSFETs are also measured and separated into the components in each part. As a result, it is clarified that the dominant power loss occurs in the two half bridge inverters, and their switching power losses are larger than the on state losses. Moreover, application of SiC MOSFETs to both boost converter and half bridge inverters makes it possible to improve the overall power conversion efficiency from 93.5% to 95.5% in the Euro eta definition.",
"author_names": [
"Ryosuke Amma",
"Hideaki Fujita"
],
"corpus_id": 40943570,
"doc_id": "40943570",
"n_citations": 7,
"n_key_citations": 0,
"score": 0,
"title": "Analysis and reduction of power losses in PV converters for grid connection to low voltage three phase three wire systems",
"venue": "2014 International Power Electronics Conference (IPEC Hiroshima 2014 ECCE ASIA)",
"year": 2014
},
{
"abstract": "This paper deals with a feasible power loss analysis simulator which can actually estimate the total power losses of three phase voltage source soft switching inverter as well as hard switching inverter. To estimate switching power losses and conduction power losses of switching semiconductor power devices IGBTs incorporated into the inverters and converters, this practical simulator is based on making use of feasible switching power loss data based table and conduction power loss table which are accumulated from the measured transient switching operation and periodic steady state conduction voltage and current operating waveforms of semiconductor switching power devices used in the power converters. The effectiveness of feasible simulation technique and power loss evaluations for power electronic conversion circuits and systems has been confirmed on the basis of simulation and experimental results under the conditions of soft switching and hard switching PWM schemes.",
"author_names": [
"Eiji Hiraki",
"Mutsuo Nakaoka"
],
"corpus_id": 109047625,
"doc_id": "109047625",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "Practical simulator development for power loss performance analysis of soft switching and hard switching PWM inverters using IGBTs",
"venue": "IECON'01. 27th Annual Conference of the IEEE Industrial Electronics Society (Cat. No.37243)",
"year": 2001
},
{
"abstract": "This paper deals with a feasible power loss analysis simulator which can actually estimate the total power losses of three phase voltage source soft switching inverter as well as hard switching inverter. To estimate switching power losses and conduction power losses of switching semiconductor power devices IGBTs, incorporated into the inverters and converters this practical simulator is based on making use of feasible switching power loss data based table and conduction power loss table which are accumulated from the measured transient switching operation and periodic steady state conduction voltage and current operating waveforms of semiconductor switching power devices used in the power converters. The effectiveness of feasible simulation technique and power loss evaluations for power electronic conversion circuits and systems has been confirmed on the basis of simulation and experimental results under the conditions of soft switching and hard switching PWM schemes.",
"author_names": [
"Eiji Hiraki",
"Mutsuo Nakaoka"
],
"corpus_id": 63762245,
"doc_id": "63762245",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "Practical power loss analysis simulator of soft switching and hard switching PWM inverters and performance evaluations",
"venue": "IEEE 2002 International Conference on Communications, Circuits and Systems and West Sino Expositions",
"year": 2002
},
{
"abstract": "This paper deals with a feasible power loss analysis simulator which can actually estimate the total power losses of three phase voltage source soft switching inverter as well as hard switching inverter. To estimate switching power losses and conduction power losses of switching semiconductor power devices; IGBTs, incorporated into the inverters and converters, this practical simulator is based on making use of feasible switching power loss data based table and conduction power loss table which are accumulated from the measured transient switching operation and periodic steady state conduction voltage and current operating waveforms of semiconductor switching power devices used in the power converters. The effectiveness of feasible simulation technique and power loss evaluations for power electronic conversion circuits and systems has been confirmed on the basis of simulation and experimental results under the conditions of soft switching and hard switching PWM schemes.",
"author_names": [
"Eiji Hiraki",
"Mutsuo Nakaoka",
"Toshikazu Horiuchi",
"Yoshitaka Sugawara"
],
"corpus_id": 109981464,
"doc_id": "109981464",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "Practical power loss simulation analysis for soft switching and hard switching PWM inverters",
"venue": "Proceedings of the Power Conversion Conference Osaka 2002 (Cat. No.02TH8579)",
"year": 2002
},
{
"abstract": "The series Z source network, an expansion of the popular concept of the Z source dc link, was originally proposed for boosting the output voltage of power electronic inverters. In this paper, that idea is extended on a three phase indirect matrix converter. The converter is based on the ultrasparse matrix topology characterized by the minimum number of semiconductor switches. The series Z source network is placed between the three switch input rectifier stage and the six switch output inverter stage in either the positive or the negative rail. A brief shoot through state produces the voltage boost. An optimal pulsewidth modulation (PWM) technique is developed for higher boosting capability of the converter and minimization of switching losses. A comparison is made between the matrix converters employing series and conventional cascade Z source networks. The inrush current and Z source capacitor's voltage are reduced in the series Z source matrix converter. Furthermore, the fast Fourier transform analysis of the output current of the converters suggests superiority of the series Z source matrix converter over the cascaded Z source matrix converter.",
"author_names": [
"Ekrem Karaman",
"Mehdi Farasat",
"Andrzej M Trzynadlowski"
],
"corpus_id": 6814343,
"doc_id": "6814343",
"n_citations": 63,
"n_key_citations": 1,
"score": 0,
"title": "A Comparative Study of Series and Cascaded Z Source Matrix Converters",
"venue": "IEEE Transactions on Industrial Electronics",
"year": 2014
},
{
"abstract": "Reactive power handling by distributed power generation systems (DPGS) was prevented in the past in order to avoid additional power losses in the distribution feeders, however several new national grid codes request reactive power generation/absorption by the converters of active users. Actually almost no literature is focused on power losses analysis in case of reactive current circulation from the DPGS converter point of view. In the reactive power management, it has to be considered that the amount of the power losses varies on basis of the converter topology and the related modulation strategy. In this paper an accurate power losses evaluation is provided in case of two levels and three levels single phase PWM inverters in grid connected operation. The H Bridge converter and the Neutral Point Clamped (NPC) half bridge converter are compared. Reactive power handling feasibility is demonstrated up to low power factors (leading and lagging) involving a very limited increase of the power losses.",
"author_names": [
"Francesco A Gervasio",
"Rosa Anna Mastromauro",
"Marco Liserre"
],
"corpus_id": 24459785,
"doc_id": "24459785",
"n_citations": 12,
"n_key_citations": 1,
"score": 0,
"title": "Power losses analysis of two levels and three levels PWM inverters handling reactive power",
"venue": "2015 IEEE International Conference on Industrial Technology (ICIT)",
"year": 2015
}
] |
Two-mode surface plasmon lasing in hexagonal arrays | [
{
"abstract": "We demonstrate surface plasmon lasing in hexagonal metal hole arrays with a semiconductor gain medium. The device can be tuned between two laser modes, with distinct wavelengths, spatial distributions, and polarization patterns, by changing the size of the optically pumped area. One of the modes exhibits a six fold polarization pattern, while the mode observed for larger pump spots has a rotationally symmetric polarization pattern. We explain the mode tuning by the differences of in plane and radiative out of plane losses of the modes. The spatial and polarization properties of the modes are conveniently described by a sum of vectorial orbital angular momentum beams with orbital, spin, and total angular momentum j=l+s.",
"author_names": [
"Vasco T Tenner",
"Michiel J A de Dood",
"M P van Exter"
],
"corpus_id": 46768563,
"doc_id": "46768563",
"n_citations": 4,
"n_key_citations": 0,
"score": 1,
"title": "Two mode surface plasmon lasing in hexagonal arrays.",
"venue": "Optics letters",
"year": 2018
},
{
"abstract": "Surface plasmons are waves that propagate along the surface of a conductor. By altering the structure of a metal's surface, the properties of surface plasmons in particular their interaction with light can be tailored, which offers the potential for developing new types of photonic device. This could lead to miniaturized photonic circuits with length scales that are much smaller than those currently achieved. Surface plasmons are being explored for their potential in subwavelength optics, data storage, light generation, microscopy and bio photonics.",
"author_names": [
"William L Barnes",
"Alain Dereux",
"Thomas W Ebbesen"
],
"corpus_id": 116017,
"doc_id": "116017",
"n_citations": 9262,
"n_key_citations": 106,
"score": 0,
"title": "Surface plasmon subwavelength optics",
"venue": "Nature",
"year": 2003
},
{
"abstract": "We study surface plasmons on hexagonal hole arrays in gold on actively pumped semiconductor. The observed dispersion yields the angle dependent scattering. Lasing occurs in a radially polarized donut beam and a hexagonal mode with mixed radial/azimuthal polarization.",
"author_names": [
"Vasco T Tenner",
"Michiel J A de Dood",
"M P van Exter"
],
"corpus_id": 23843982,
"doc_id": "23843982",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Surface plasmon lasing in hexagonal hole arrays",
"venue": "2017 Conference on Lasers and Electro Optics Europe European Quantum Electronics Conference (CLEO/Europe EQEC)",
"year": 2017
},
{
"abstract": "We make a step towards quantum nanoplasmonics: surface plasmon fields of a nanosystem are quantized and their stimulated emission is considered. We introduce a quantum generator for surface plasmon quanta and consider the phenomenon of surface plasmon amplification by stimulated emission of radiation (spaser) Spaser generates temporally coherent high intensity fields of selected surface plasmon modes that can be strongly localized on the nanoscale, including dark modes that do not couple to far zone electromagnetic fields. Applications and related phenomena are discussed.",
"author_names": [
"David J Bergman",
"Mark I Stockman"
],
"corpus_id": 10798864,
"doc_id": "10798864",
"n_citations": 1428,
"n_key_citations": 15,
"score": 0,
"title": "Surface plasmon amplification by stimulated emission of radiation: quantum generation of coherent surface plasmons in nanosystems.",
"venue": "Physical review letters",
"year": 2003
},
{
"abstract": "Since 1993, InGaN light emitting diodes (LEDs) have been improved and commercialized1,2, but these devices have not fulfilled their original promise as solid state replacements for light bulbs as their light emission efficiencies have been limited2. Here we describe a method to enhance this efficiency through the energy transfer between quantum wells (QWs) and surface plasmons (SPs) SPs can increase the density of states and the spontaneous emission rate in the semiconductor3,4,5,6,7,8,9, and lead to the enhancement of light emission by SP QW coupling10,11. Large enhancements of the internal quantum efficiencies (eint) were measured when silver or aluminium layers were deposited 10 nm above an InGaN light emitting layer, whereas no such enhancements were obtained from gold coated samples. Our results indicate that the use of SPs would lead to a new class of very bright LEDs, and highly efficient solid state light sources.",
"author_names": [
"Koichi Okamoto",
"Isamu Niki",
"Alexander Shvartser",
"Yukio Narukawa",
"Takashi Mukai",
"Axel Scherer"
],
"corpus_id": 15031578,
"doc_id": "15031578",
"n_citations": 1254,
"n_key_citations": 15,
"score": 0,
"title": "Surface plasmon enhanced light emitters based on InGaN quantum wells",
"venue": "Nature materials",
"year": 2004
},
{
"abstract": "Disk shaped semiconductor nanostructures provide enhanced architectures for low threshold whispering gallery mode (WGM) lasing with the potential for on chip nanophotonic integration. Unlike cavities that lase via Fabry Perot modes, WGM structures utilize low loss, total internal reflection of the optical mode along the circumference of the structure, which effectively reduces the volume of gain material required for lasing. As a result, circularly resonant cavities provide much higher quality (Q) factors than lower reflection linear cavities, which makes nanodisks an ideal platform to investigate lasing nanostructures smaller than the free space wavelength of light (i.e. subwavelength laser) Here we report the bottom up synthesis and single mode lasing properties of individual ZnO disks with diameters from 280 to 900 nm and show finite difference time domain (FDTD) simulations of the whispering gallery mode inside subwavelength diameter disks. These results demonstrate ultraviolet WGM lasing in chemically synthesized, isolated nanostructures with subwavelength diameters.",
"author_names": [
"Daniel J Gargas",
"Michael C Moore",
"Adrian Ni",
"Shu-Wei Chang",
"Zhaoyu Zhang",
"Shun Lien Chuang",
"Peidong Yang"
],
"corpus_id": 4235734,
"doc_id": "4235734",
"n_citations": 195,
"n_key_citations": 2,
"score": 0,
"title": "Whispering gallery mode lasing from zinc oxide hexagonal nanodisks.",
"venue": "ACS nano",
"year": 2010
},
{
"abstract": "We experimentally demonstrate extremely narrow plasmon resonances with half width of just several nanometers in regular arrays of metallic nanoparticles. These resonances are observed at Rayleigh's cutoff wavelengths for Wood anomalies and based on diffraction coupling of localized plasmons. We show experimentally that reflection from an array of nanoparticles can be completely suppressed at certain wavelengths. As a result, our metal nanostructures exhibit pi jump for the phase of the reflected light.",
"author_names": [
"Vasyl G Kravets",
"Fred Schedin",
"Alexander N Grigorenko"
],
"corpus_id": 206259153,
"doc_id": "206259153",
"n_citations": 532,
"n_key_citations": 8,
"score": 0,
"title": "Extremely narrow plasmon resonances based on diffraction coupling of localized plasmons in arrays of metallic nanoparticles.",
"venue": "Physical review letters",
"year": 2008
},
{
"abstract": "Using electrodynamics calculations, we have discovered one dimensional array structures built from spherical silver nanoparticles that produce remarkably narrow approximately meV or less) plasmon resonance spectra upon irradiation with light that is polarized perpendicular to the array axis. The narrow lines require a minimum particle radius of about 30 nm to achieve. Variations of the plasmon resonance wavelength, extinction efficiency and width with particle size, array structure, interparticle distance and polarization direction are examined, and conditions which lead to the smallest widths are demonstrated. A simple analytical expression valid for infinite lattices shows that the sharp resonance arises from cancellation between the single particle width and the imaginary part of the radiative dipolar interaction.",
"author_names": [
"Shengli Zou",
"Nicolas Janel",
"George C Schatz"
],
"corpus_id": 22812874,
"doc_id": "22812874",
"n_citations": 596,
"n_key_citations": 11,
"score": 0,
"title": "Silver nanoparticle array structures that produce remarkably narrow plasmon lineshapes.",
"venue": "The Journal of chemical physics",
"year": 2004
},
{
"abstract": "A laser cavity formed from a single defect in a two dimensional photonic crystal is demonstrated. The optical microcavity consists of a half wavelength thick waveguide for vertical confinement and a two dimensional photonic crystal mirror for lateral localization. A defect in the photonic crystal is introduced to trap photons inside a volume of 2.5 cubic half wavelengths, approximately 0.03 cubic micrometers. The laser is fabricated in the indium gallium arsenic phosphide material system, and optical gain is provided by strained quantum wells designed for a peak emission wavelength of 1.55 micrometers at room temperature. Pulsed lasing action has been observed at a wavelength of 1.5 micrometers from optically pumped devices with a substrate temperature of 143 kelvin.",
"author_names": [
""
],
"corpus_id": 6627734,
"doc_id": "6627734",
"n_citations": 1962,
"n_key_citations": 14,
"score": 0,
"title": "Two dimensional photonic band Gap defect mode laser",
"venue": "Science",
"year": 1999
},
{
"abstract": "An effective approach is demonstrated for growing large area, hexagonally patterned, aligned ZnO nanorods. The synthesis uses a catalyst template produced by a self assembled monolayer of submicron spheres and guided vapor liquid solid (VLS) growth on a single crystal alumina substrate. The ZnO nanorods have uniform shape and length, align vertically on the substrate, and are distributed according to the pattern defined by the catalyst template. The nanorods grow along [0001] with side surfaces defined by {2110} This approach opens the possibility of creating patterned one dimensional nanostructures for applications as sensor arrays, piezoelectric antenna arrays, optoelectronic devices, and interconnects.",
"author_names": [
"Xudong Wang",
"C J Summers",
"Zhong Lin Wang"
],
"corpus_id": 11546754,
"doc_id": "11546754",
"n_citations": 1330,
"n_key_citations": 4,
"score": 0,
"title": "Large Scale Hexagonal Patterned Growth of Aligned ZnO Nanorods for Nano optoelectronics and Nanosensor Arrays.",
"venue": "Nano letters",
"year": 2004
}
] |
Lasing from lead halide perovskite semiconductor microcavity system | [
{
"abstract": "Organic inorganic halide perovskite semiconductors are ideal gain media for fabricating laser and photonic devices due to high absorption, photoluminescence (PL) efficiency and low nonradiative recombination losses. Herein, organic inorganic halide perovskite CH3NH3PbI3 is embedded in the Fabry Perot (FP) microcavity, and a wavelength tunable excitonic lasing with a threshold of 12.9 mJ cm 2 and the spectral coherence of 0.76 nm are realized. The lasing threshold decreases and the spectral coherence enhances as the temperature decreases; these results are ascribed to the suppression of exciton irradiative recombination caused by thermal fluctuation. Moreover, both lasing and light emission below threshold from the perovskite microcavity (PM) system demonstrate a redshift with the decreasing temperature. These results provide a feasible platform based on the PM system for the study of light matter interaction for quantum optics and the development of optoelectronic devices such as polariton lasers.",
"author_names": [
"Jiadao Wang",
"Peimei Da",
"Zhe Zhang",
"Song Luo",
"Liming Liao",
"Zeyuan Sun",
"Xuechu Shen",
"Shiwei Wu",
"Gengfeng Zheng",
"Zhanghai Chen"
],
"corpus_id": 206132903,
"doc_id": "206132903",
"n_citations": 11,
"n_key_citations": 0,
"score": 1,
"title": "Lasing from lead halide perovskite semiconductor microcavity system.",
"venue": "Nanoscale",
"year": 2018
},
{
"abstract": "Organic inorganic halide perovskite semiconductors with the attractive physics properties, including strong photoluminescence (PL) huge oscillator strengths, and low nonradiative recombination losses, are ideal candidates for studying the light matter interaction in nanostructures. Here, we demonstrate the coupling of the exciton state and the cavity mode in the lead halide perovskite microcavity system at room temperature. The Purcell effect in the coupling system is clearly observed by using angle resolved photoluminescence spectra. Kinetic analysis based on time resolved PL reveals that the spontaneous emission rate of the halide perovskite semiconductor is significantly enhanced at resonance of the exciton energy and the cavity mode. Our results provide the way for developing electrically driven organic polariton lasers, optical devices, and on chip coherent quantum light sources.",
"author_names": [
"Jun Wang",
"Runan Cao",
"Peimei Da",
"Yafeng Wang",
"Tao Hu",
"Lingxiang Wu",
"Jian Lu",
"Xuechu Shen",
"Fei Xu",
"Gengfeng Zheng",
"Zhanghai Chen"
],
"corpus_id": 124036152,
"doc_id": "124036152",
"n_citations": 26,
"n_key_citations": 0,
"score": 0,
"title": "Purcell effect in an organic inorganic halide perovskite semiconductor microcavity system",
"venue": "",
"year": 2016
},
{
"abstract": "Hybrid organic inorganic perovskites have emerged as promising gain media for tunable, solution processed semiconductor lasers. However, continuous wave operation has not been achieved so far1 3. Here, we demonstrate that optically pumped continuous wave lasing can be sustained above threshold excitation intensities of ~17 kW cm 2 for over an hour in methylammonium lead iodide (MAPbI3) distributed feedback lasers that are maintained below the MAPbI3 tetragonal to orthorhombic phase transition temperature of T 160 K. In contrast with the lasing death phenomenon that occurs for pure tetragonal phase MAPbI3 at T 160 K (ref. 4) we find that continuous wave gain becomes possible at T 100 K from tetragonal phase inclusions that are photogenerated by the pump within the normally existing, larger bandgap orthorhombic host matrix. In this mixed phase system, the tetragonal inclusions function as carrier recombination sinks that reduce the transparency threshold, in loose analogy to inorganic semiconductor quantum wells, and may serve as a model for engineering improved perovskite gain media.Optically pumped continuous wave lasing is achieved in methylammonium lead iodide (MAPbI3) distributed feedback lasers that are maintained below the MAPbI3 tetragonal to orthorhombic phase transition temperature of 160 K.",
"author_names": [
"Yufei Jia",
"Ross A Kerner",
"Alex J Grede",
"Barry P Rand",
"Noel C Giebink"
],
"corpus_id": 125415545,
"doc_id": "125415545",
"n_citations": 199,
"n_key_citations": 3,
"score": 0,
"title": "Continuous wave lasing in an organic inorganic lead halide perovskite semiconductor",
"venue": "",
"year": 2017
},
{
"abstract": "A rational design and construction of white light emitting components based on semiconductor materials are extremely important in lighting and display technologies.[1 4] In general, there are two reasonable approaches developed to realize the white light source. They are the synthesis of semiconductor alloy nanostructures with widely tunable band gaps,[5 7] and the construction of a white lighting emitter with various single band gap semiconductors that have monochromatic luminescence with high quantum efficiency.[8 10] However, white light generated from red green blue emitters based on semiconductor nanostructures has been known as the major challenge in the solid state lighting community.[1,2,8,9] For example, a suitable mix for white light radiation with favorable wavelengths and intensity ratios, proper color rendering index, and high stability is still lacking.[11,12] Recently, perovskite materials have brought a variety of new opportunities for the advancement of nanophotonics and optoelectronics.[13 23] In particular, inorganic metal halide perovskites, such as cesium lead halide perovskites, have drawn enormous attention because they show great promises for various photoelectric applications, such as the natural highquality whispering gallery mode (WGM) or Fabry Perot (F P) resonators,[16,17,24 26] laser cooling,[18] solar cells,[27 30] and light emitting diodes.[31 33] Moreover, they also exhibit a broad band gap tunability for multifunctional devices and circuits covering the entire visible spectrum.[19,20] Therefore, these unusual semiconductor materials may offer the opportunity to design novel white light emitting devices in future full color displays. Currently, solution based synthesis methods have been commonly used to realize the band gap tunable cesium lead halide perovskite (CsPbX3, X Cl, Br, I) quantum dots.[34 37] Protesescu et al. reported a new avenue for the growth of CsPbX3 perovskite nanocrystals by the solution phase method, which shows bright emissions with a wide color gamut.[38] In comparison, chemical vapor deposition (CVD) is another attractive approach for the synthesis of diverse Wavelength tunable nano/microlasers are essential components for various highly integrated and multifunctional photonic devices. Based on the different band gap/composition of inorganic cesium lead halide perovskite materials, broad band light absorption and emission devices can be achieved. Herein, a vapor liquid solid route for growing cesium lead halide perovskite (CsPbX3, X Cl, Br, I) microcrystal structures is demonstrated. These square shaped microstructures exhibit strong blue, green, and red photoluminescence, indicating that their band gaps can be engineered to cover the entire visible range. Optically pumped red green blue whispering gallery mode lasers based on the controlled composition of these microcrystals are successfully realized at room temperature. Moreover, rationally designed white light emitting chips with high brightness are fabricated utilizing these metal halide perovskite microstructures grown on sapphire. All these results evidently suggest a feasible route to the design of red green blue lasers and white light emitters for potential applications in full color displays as well as photonic devices. Perovskite Microlasers",
"author_names": [
"Pengfei Guo",
"Mohammad Kamal Hossain",
"Xia Shen",
"Haibin Sun",
"Wenchao Yang",
"Chao Liu",
"Chun Yuen Ho",
"Cheuk Kai Gary Kwok",
"Sai-Wing Tsang",
"Yongsong Luo",
"Johnny C Ho",
"Kin Man Yu"
],
"corpus_id": 103427944,
"doc_id": "103427944",
"n_citations": 24,
"n_key_citations": 0,
"score": 0,
"title": "Room Temperature Red Green Blue Whispering Gallery Mode Lasing and White Light Emission from Cesium Lead Halide Perovskite (CsPbX3, X Cl, Br, I) Microstructures",
"venue": "",
"year": 2018
},
{
"abstract": "The CH3NH3PbI3 (methylammonium lead triiodide) perovskite semiconductor system has been viewed as a blockbuster research material during the last five years. Because of its complicated architecture, several of its technological, physical and geometrical issues have been examined many times. Yet this has not assisted in overcoming a number of problems in the field nor in enabling the material to be marketed. For instance, these studies have not clarified the nature and type of hydrogen bonding and other noncovalent interactions involved; the origin of hysteresis; the actual role of the methylammonium cation; the nature of polarity associated with the tetragonal geometry; the unusual origin of various frontier orbital contributions to the conduction band minimum; the underlying phenomena of spin orbit coupling that causes significant bandgap reduction; and the nature of direct to indirect bandgap transition features. Arising from many recent reports, it is now a common belief that the I**H N interaction formed between the inorganic framework and the ammonium group of CH3NH3+ is the only hydrogen bonded interaction responsible for all temperature dependent geometrical polymorphs of the system, including the most stable one that persists at low temperatures, and the significance of all other noncovalent interactions has been overlooked. This study focussed only on the low temperature orthorhombic polymorph of CH3NH3PbI3 and CD3ND3PbI3, where D refers deuterium. Together with QTAIM, DORI and RDG based charge density analyses, the results of density functional theory calculations with PBE with and without van der Waals corrections demonstrate that the prevailing view of hydrogen bonding in CH3NH3PbI3 is misleading as it does not alone determine the a b+a tilting pattern of the PbI64 octahedra. This study suggests that it is not only the I**H/D N, but also the I**H/D C hydrogen/deuterium bonding and other noncovalent interactions (viz. tetrel pnictogen and lump hole bonding interactions) that are ubiquitous in the orthorhombic CH3NH3PbI3/CD3ND3PbI3 perovskite geometry. Their interplay determines the overall geometry of the polymorph, and are therefore responsible in part for the emergence of the functional optical properties of this material. This study also suggests that these interactions should not be regarded as the sole determinants of octahedral tilting since lattice dynamics is known to play a critical role as well, a common feature in many inorganic perovskites both in the presence and the absence of the encaged cation, as in CsPbI3/WO3 perovskites, for example.",
"author_names": [
"Pradeep R Varadwaj",
"Arpita Varadwaj",
"Helder M Marques",
"Koichi Yamashita"
],
"corpus_id": 58004822,
"doc_id": "58004822",
"n_citations": 40,
"n_key_citations": 0,
"score": 0,
"title": "Significance of hydrogen bonding and other noncovalent interactions in determining octahedral tilting in the CH3NH3PbI3 hybrid organic inorganic halide perovskite solar cell semiconductor",
"venue": "Scientific Reports",
"year": 2019
},
{
"abstract": "Semiconductor micro/nano cavities with high quality factor (Q) and small modal volume provide critical platforms for exploring strong light matter interactions and quantum optics, enabling further development of coherent and quantum photonic devices. Constrained by exciton binding energy and thermal fluctuation, only a handful of wide band semiconductors such as ZnO and GaN have stable excitons at room temperature. Metal halide perovskite with cubic lattice and well controlled exciton may provide solutions. In this work, high quality single crystalline cesium lead halide CsPbX3 (X Cl, Br, I) whispering gallery mode (WGM) microcavities are synthesized by vapor phase van der Waals epitaxy method. The as grown perovskites show strong emission and stable exciton at room temperature over the whole visible spectra range. By varying the halide composition, multi color (400 700 nm).WGM excitonic lasing is achieved at room temperature with low threshold 2.0 mJ cm 2) and high spectra coherence ~0.14 0.15 nm) The results advocate the promise of inorganic perovskites towards development of optoelectronic devices and strong light matter coupling in quantum optics.",
"author_names": [
"Qing Zhang",
"Rui Su",
"Xinfeng Liu",
"Jun Xing",
"Tze Chien Sum",
"Qihua Xiong"
],
"corpus_id": 99578930,
"doc_id": "99578930",
"n_citations": 331,
"n_key_citations": 1,
"score": 0,
"title": "High Quality Whispering Gallery Mode Lasing from Cesium Lead Halide Perovskite Nanoplatelets",
"venue": "",
"year": 2016
},
{
"abstract": "The remarkable performance of lead halide perovskites in solar cells can be attributed to the long carrier lifetimes and low non radiative recombination rates, the same physical properties that are ideal for semiconductor lasers. Here, we show room temperature and wavelength tunable lasing from single crystal lead halide perovskite nanowires with very low lasing thresholds (220 nJ cm( 2) and high quality factors (Q 3,600) The lasing threshold corresponds to a charge carrier density as low as 1.5 x 10(16) cm( 3) Kinetic analysis based on time resolved fluorescence reveals little charge carrier trapping in these single crystal nanowires and gives estimated lasing quantum yields approaching 100% Such lasing performance, coupled with the facile solution growth of single crystal nanowires and the broad stoichiometry dependent tunability of emission colour, makes lead halide perovskites ideal materials for the development of nanophotonics, in parallel with the rapid development in photovoltaics from the same materials.",
"author_names": [
"Haiming Zhu",
"Yongping Fu",
"Fei Meng",
"Xiaoxi Wu",
"Zizhou Gong",
"Qi Ding",
"Martin V Gustafsson",
"M Tuan Trinh",
"Song Jin",
"X-Y Zhu"
],
"corpus_id": 11329500,
"doc_id": "11329500",
"n_citations": 1726,
"n_key_citations": 5,
"score": 0,
"title": "Lead halide perovskite nanowire lasers with low lasing thresholds and high quality factors.",
"venue": "Nature materials",
"year": 2015
},
{
"abstract": "Quantum dots (QDs) are considered useful for demonstrating quantum phenomena in undergraduate laboratories due to their monodisperse size and excellent optical properties. Although doping has an increasingly important role in QD fabrication in the semiconductor field, it has rarely been discussed in the context of the undergraduate laboratory. In this work, a simple synthesis and characterization method for Mn doped CsPbCl3 QDs for an upper level undergraduate inorganic chemistry laboratory is reported. The Mn doped CsPbCl3 system benefits from a simplified synthesis and straightforward characterization. This experiment introduces QD research to students and offers opportunities for instructors to discuss many important concepts in inorganic chemistry, such as energy band theory, particle in a box model, electron paramagnetic resonance, ligand field theory, and nanochemistry.",
"author_names": [
"Hanjun Yang",
"Winnie Fan",
"Katie Hills-Kimball",
"Ou Chen",
"Li-Qiong Wang"
],
"corpus_id": 201232024,
"doc_id": "201232024",
"n_citations": 10,
"n_key_citations": 0,
"score": 0,
"title": "Introducing Manganese Doped Lead Halide Perovskite Quantum Dots: A Simple Synthesis Illustrating Optoelectronic Properties of Semiconductors",
"venue": "Journal of Chemical Education",
"year": 2019
},
{
"abstract": "Sustained stimulated emission under continuous wave (CW) excitation is a prerequisite for new semiconductor materials being developed for laser gain media. Although hybrid organic inorganic lead halide perovskites have attracted much attention as optical gain media, the demonstration of room temperature CW lasing has still not been realized. Here, we present a critical step towards this goal by demonstrating CW amplified spontaneous emission (ASE) in a phase stable perovskite at temperatures up to 120 K. The phase stable perovskite maintains its room temperature phase while undergoing cryogenic cooling and can potentially support CW lasing also at higher temperatures. We find the threshold level for CW ASE to be 387 W cm 2 at 80 K. These results indicate that easily fabricated single phase perovskite thin films can sustain CW stimulated emission, potential at higher temperatures as well, by further optimization of the material quality in order to extend the carrier lifetimes.In order to develop perovskite based lasers and LEDs for applications, their potential as room temperature CW pumped gain materials has to be established. Here, Brenner et al. demonstrate cw pumped amplified spontaneous emission up to 120 K from a hybrid organic inorganic halide perovskite layer.",
"author_names": [
"Philipp Brenner",
"Ofer Bar-On",
"Marius Jakoby",
"Isabel Allegro",
"Bryce Sydney Richards",
"Ulrich Wilhelm Paetzold",
"Ian A Howard",
"Jacob Scheuer",
"Uli Lemmer"
],
"corpus_id": 67860327,
"doc_id": "67860327",
"n_citations": 50,
"n_key_citations": 0,
"score": 0,
"title": "Continuous wave amplified spontaneous emission in phase stable lead halide perovskites",
"venue": "Nature Communications",
"year": 2019
},
{
"abstract": "We report optically pumped random lasing in planar methylammonium lead iodide perovskite microcrystal networks that form spontaneously from spin coating. Low thresholds 100 mm and spatially overlap with one another, resulting in chaotic pulse to pulse intensity fluctuations due to gain competition. These results demonstrate this class of hybrid organic inorganic perovskite as a platform to study random lasing with well defined, low level disorder, and support the potential of these materials for use in semiconductor laser applications.",
"author_names": [
"Rijul Dhanker",
"Alyssa N Brigeman",
"Alec V Larsen",
"Robert J Stewart",
"John B Asbury",
"Noel C Giebink"
],
"corpus_id": 119599220,
"doc_id": "119599220",
"n_citations": 103,
"n_key_citations": 0,
"score": 0,
"title": "Random lasing in organo lead halide perovskite microcrystal networks",
"venue": "",
"year": 2014
}
] |
The search for superhard materials: Doped boron | [
{
"abstract": "Superhard materials have numerous industrial applications and are then the subject of intense investigations. The b rhombohedral polymorph of boron is the second hardest elemental crystal (HV ~34 GPa) It is also very light and a p type semiconductor. In the early seventies, it has been shown that the doping of boron with 3d transition elements enhances its hardness by about 25% We predict that, in general, heavily doped samples MBx, with x<=31 or equivalently a dopant concentration larger than 3.2 at. should be ultrahard, i.e. HV >43 GPa. The relevant dopants M are Al, Cu, Sc, Mn, Mg and Li. In addition to these properties, boron rich materials have a very low volatility, a high chemical inertness and high melting point >2400 degC) They are suitable for applications under extreme conditions and thermoelectric equipment.",
"author_names": [
"Mohamed Hebbache"
],
"corpus_id": 96652017,
"doc_id": "96652017",
"n_citations": 5,
"n_key_citations": 0,
"score": 1,
"title": "The search for superhard materials: Doped boron",
"venue": "",
"year": 2009
},
{
"abstract": "The search for suitable additives for boron suboxide (B6O) materials which could improve densification, reduce sintering temperature and tailor the microstructure has been productive. B6O materials doped with 0 5 vol% cobalt addition were sintered at temperatures up to 1850 degC and pressure of 50 MPa for 20 min. Relationships between the formed phases, microstructures and mechanical properties of the sintered materials were investigated as a function of sintering conditions and added cobalt content. The hardness of the sintered B6O materials increases with sintering temperature, while the fracture toughness increases with increasing cobalt content and reduces with increasing sintering temperature.",
"author_names": [
"O T Johnson",
"Iakovos Sigalas",
"Mathias Herrmann",
"Hans Joachim Kleebe"
],
"corpus_id": 135551325,
"doc_id": "135551325",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "Densification and properties of superhard B6O materials with cobalt additions",
"venue": "",
"year": 2012
},
{
"abstract": "The development of superhard materials is focused on two very different classes of compounds. The first contains only light, inexpensive main group elements and requires high pressures and temperatures for preparation whereas the second class combines a transition metal with light main group elements and in general tends to only need high reaction temperatures. Although the preparation conditions are simpler, the second class of compounds suffers from the transition metals used being expensive and exceedingly scarce. Thus, in the search for novel superhard compounds, synthetic accessibility, resource considerations, and material response must be balanced. The research presented here develops high information density plots drawn from high throughput first principle calculations and data mining to reveal the optimal composition space to synthesize new materials. This contribution includes analysis of the experimentally known Vickers hardness for materials as well as screening over 1100 compounds from first principle calculations to predict their intrinsic hardness. Both data sets are analyzed not only for their mechanical performance but also the compositional scarcity, and Herfindahl Hirschman index is calculated. Following this methodology, it is possible to ensure targeted materials are not only sustainable and accessible but that they will also have superb mechanical response.",
"author_names": [
"Aria Mansouri Tehrani",
"Leila Ghadbeigi",
"Jakoah Brgoch",
"Taylor D Sparks"
],
"corpus_id": 136097980,
"doc_id": "136097980",
"n_citations": 8,
"n_key_citations": 0,
"score": 0,
"title": "Balancing Mechanical Properties and Sustainability in the Search for Superhard Materials",
"venue": "Integrating Materials and Manufacturing Innovation",
"year": 2017
},
{
"abstract": "Superhard boron carbon materials are of prime interest due to their non oxidizing properties at high temperatures compared to diamond based materials and their non reactivity with ferrous metals under extreme conditions. In this work, evolutionary algorithms combined with density functional theory have been utilized to predict stable structures and properties for the boron carbon system, including the elusive superhard BC5 compound. We report on the microwave plasma chemical vapor deposition on a silicon substrate of a series of composite materials containing amorphous boron doped graphitic carbon, boron doped diamond, and a cubic hard phase with a boron content as high as 7.7 at% The nanoindentation hardness of these composite materials can be tailored from 8 GPa to as high as 62 GPa depending on the growth conditions. These materials have been characterized by electron microscopy, X ray photoelectron spectroscopy, Raman spectroscopy, X ray diffraction, and nanoindentation hardness, and the experimental results are compared with theoretical predictions. Our studies show that a significant amount of boron up to 7.7 at% can be accommodated in the cubic phase of diamond and its phonon modes and mechanical properties can be accurately modeled by theory. This cubic hard phase can be incorporated into amorphous boron carbon matrices to yield superhard materials with tunable hardness values.",
"author_names": [
"Paul A Baker",
"Shane A Catledge",
"Sumner B Harris",
"Kathryn J Ham",
"Wei-Chi Chen",
"Cheng-Chien Chen",
"Yogesh K Vohra"
],
"corpus_id": 51717402,
"doc_id": "51717402",
"n_citations": 6,
"n_key_citations": 0,
"score": 0,
"title": "Computational Predictions and Microwave Plasma Synthesis of Superhard Boron Carbon Materials",
"venue": "Materials",
"year": 2018
},
{
"abstract": "In the pursuit of materials with exceptional mechanical properties, a machine learning model is developed to direct the synthetic efforts toward compounds with high hardness by predicting the elastic moduli as a proxy. This approach screens 118 287 compounds compiled in crystal structure databases for the materials with the highest bulk and shear moduli determined by support vector machine regression. Following these models, a ternary rhenium tungsten carbide and a quaternary molybdenum tungsten borocarbide are selected and synthesized at ambient pressure. High pressure diamond anvil cell measurements corroborate the machine learning prediction of the bulk modulus with less than 10% error, as well as confirm the ultraincompressible nature of both compounds. Subsequent Vickers microhardness measurements reveal that each compound also has an extremely high hardness exceeding the superhard threshold of 40 GPa at low loads (0.49 N) These results show the effectiveness of materials development through state of the art machine learning techniques by identifying functional inorganic materials.",
"author_names": [
"Aria Mansouri Tehrani",
"Anton O Oliynyk",
"Marcus Parry",
"Zeshan Rizvi",
"Samantha Couper",
"Feng Lin",
"Lowell Miyagi",
"Taylor D Sparks",
"Jakoah Brgoch"
],
"corpus_id": 51627469,
"doc_id": "51627469",
"n_citations": 114,
"n_key_citations": 0,
"score": 0,
"title": "Machine Learning Directed Search for Ultraincompressible, Superhard Materials.",
"venue": "Journal of the American Chemical Society",
"year": 2018
},
{
"abstract": "Superhard materials such as diamond or cubic boron nitride are widely used in industry for fast machining and drilling. A great challenge to scientists is to make a material which could be harder than diamond. A short review of the compounds predicted to be superhard is made. A new approach based on recent experimental high pressure results is to consider heavier atom compounds crystallizing in dense structures; possible new materials are proposed.",
"author_names": [
"Jean-Michel Leger",
"J Haines"
],
"corpus_id": 97174662,
"doc_id": "97174662",
"n_citations": 49,
"n_key_citations": 2,
"score": 0,
"title": "The search for superhard materials",
"venue": "",
"year": 1997
},
{
"abstract": "As an extension of boron based materials, transition metal doped boron clusters deserve interest in controlling size dependent structural and electronic properties. Herein, using the Crystal structure AnaLYsis by Particle Swarm Optimization (CALYPSO) method and density functional theory (DFT) calculations, we have performed a global search for the lowest energy structures of ZrBQn (Q 0, 1) clusters with n 10 20. The results show that the ground state structures of the obtained clusters feature a distinctive structural evolution pattern, from half sandwich bowl to distorted drum like and then to Zr centered distorted tubular motifs. For the sake of validating the current ground state structures, photoelectron spectra are predicted from time dependent DFT calculations. More interestingly, the neutral and anionic ZrB12 clusters are found to possess enhanced stability in the size regime studied here. The stability of the closed shell half sandwich ZrB12 cluster is analyzed by intrinsic bond orbital (IBO) and Adaptive Natural Density Partitioning (AdNDP) methods, which indicates that the stability mechanism is caused by the dopant Zr atom breaking the boron bowl's triangle B3 unit to form a quasi linear B3 unit in B12 and strengthen both the interaction of the B B s bonds and the Zr B p bonds.",
"author_names": [
"Weiguo Sun",
"Xinxin Xia",
"Cheng Lu",
"Xiaoyu Kuang",
"Andreas Hermann"
],
"corpus_id": 52176583,
"doc_id": "52176583",
"n_citations": 28,
"n_key_citations": 0,
"score": 0,
"title": "Probing the structural and electronic properties of zirconium doped boron clusters: Zr distorted B12 ligand framework.",
"venue": "Physical chemistry chemical physics PCCP",
"year": 2018
},
{
"abstract": "Electron emission signifies an important mechanism facilitating the enlargement of devices that have modernized large parts of science and technology. Today, the search for innovative electron emission devices for imaging, sensing, electronics, and high energy physics continues. Integrating two materials with dissimilar electronic properties into a hybrid material is an extremely sought after synergistic approach envisioning a superior field electron emission (FEE) material. An innovation is described regarding the fabrication of a nanostructured carbon hybrid resulting from the one step growth of boron doped nanocrystalline diamond (BNCD) and carbon nanospines (CNSs) by a microwave plasma enhanced chemical vapor deposition technique. Spectroscopic and microscopic tools are used to investigate the morphological, bonding, and microstructural characteristics related to the growth mechanism of these hybrids. Utilizing the benefits of both the sharp edges of the CNSs and the high stability of BNCD, a promising FEE performance with a lower turn on field of 1.3 V mm 1, a higher field enhancement factor of 6780, and a stable FEE current stability lasting for 780 min is obtained. The microplasma devices utilizing these hybrids as a cathode illustrate a superior plasma illumination behavior. Such hybrid carbon nanostructures, with superb electron emission characteristics, can encourage the enlargement of several electron emission device technologies.",
"author_names": [
"Kamatchi Jothiramalingam Sankaran",
"Mateusz Ficek",
"Kalpataru Panda",
"C J Yeh",
"Miroslaw Sawczak",
"Jacek Ryl",
"Keh-Chyang Leou",
"Jeong Y Park",
"I-Nan Lin",
"Robert Bogdanowicz",
"Ken Haenen"
],
"corpus_id": 208621381,
"doc_id": "208621381",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Boron doped Nanocrystalline Diamond Carbon Nanospine Hybrid Electron Emission Source.",
"venue": "ACS applied materials interfaces",
"year": 2019
},
{
"abstract": "We investigated the high pressure structures and properties of iron tetraborides (FeB4) using a combination of an ab initio high throughput search and a particle swarm optimization algorithm for crystal structure prediction. We found that, under compression, the boron sublattice in FeB4 from the buckled boron layer first polymerizes into B4 tetrahedral clusters and then forms cubo octahedral B12 clusters. At 55 GPa, the orthorhombic crystal structure with a Pnnm space group (58 FeB4) transforms into a tetragonal I41/acd structure (142 FeB4) which is stable within a wide pressure range up to 695 GPa. Then, a cubic Imm phase (229 FeB4) emerges at higher pressures up to at least 1 TPa. The computed Vicker's hardnesses of 58 142 and 229 FeB4 are 61.58, 47.44, and 50.87 GPa, respectively. All of them can be considered as superhard materials. Compared to the previously reported 58 FeB4 as a superhard superconductor, the B4 tetrahedral cluster based 142 FeB4 is a superhard semiconductor with an indirect band gap of 1.34 eV. The pressure induced metal to semiconductor transition can be related to a unique Fe B B three center covalent bond. Moreover, 229 FeB4, which is composed of cubo octahedral B12 clusters, is ferromagnetic with a magnetic moment of 0.929mB per Fe atom at ambient pressure. The magnetic moment will decrease rapidly with increasing pressure and be completely quenched as pressure exceeds 40 GPa. The pressure induced evolution of boron cluster units not only adds new features to boron chemistry, but also gives rise to novel superhard semiconductors or ferromagnetic materials. Moreover, our results may inspire further experimental and theoretical interest in designing new materials using clusters as pseudo atoms with expected properties.",
"author_names": [
"Xuening Jiang",
"Jijun Zhao"
],
"corpus_id": 93918022,
"doc_id": "93918022",
"n_citations": 5,
"n_key_citations": 0,
"score": 0,
"title": "Evolution of boron clusters in iron tetraborides under high pressure: semiconducting and ferromagnetic superhard materials",
"venue": "",
"year": 2015
},
{
"abstract": "This review summarizes progress in electroanalysis of organic compounds and biomacromolecules by means of bare BDD based electrodes for the period of 2009 2018. New trends, which have emerged in the reported decade and which have improved their performance in batch voltammetric and amperometric methods and electrochemical detection in liquid flow techniques are commented. Importance of BDD surface termination, effect of boron doping level, and utilization of adsorption of analytes on BDD surfaces enabling development of adsorptive voltammetric techniques are addressed. Further, possibilities of simultaneous determination of analytes by means of voltammetric techniques utilizing computational approaches and multiple pulse amperometric detection are discussed. Strategies leading to enhancement of sensitivity such as nanostructuring of the BDD surface, fabrication of BDD based composite materials or new approaches in construction of microelectrodes and microelectrode arrays for biosensing represent another area of interest. Attention is paid to possibilities in detection of amino acids, peptides and proteins, nucleobases, nucleos(t)ides and DNA/RNA.",
"author_names": [
"Simona Baluchova",
"Ales Danhel",
"Hana Dejmkova",
"Veronika Ostatna",
"Miroslav Fojta",
"Karolina Schwarzova-Peckova"
],
"corpus_id": 182731103,
"doc_id": "182731103",
"n_citations": 60,
"n_key_citations": 0,
"score": 0,
"title": "Recent progress in the applications of boron doped diamond electrodes in electroanalysis of organic compounds and biomolecules A review.",
"venue": "Analytica chimica acta",
"year": 2019
}
] |
A novel commutation-based hybrid HVDC circuit | [
{
"abstract": "Recent development renewable energy increases the requirement in constructing multi terminal HVDC systems or HVDC grids. To increase the system reliability, an HVDC circuit breaker will be required. However the existing HVDC breakers developed in recent years use large amount of IGBTs. Considering the cost reduction in real HVDC grids, this paper presents a novel hybrid HVDC circuit breaker. The proposed topology is based on anti parallel thyristors and full bridge sub module technique with bidirectional interruption. In normal operation, the fast mechanical switch and small amount of IGBTs handle the low conduction loss. In case of interruption, the faulted current is forced to the snubber capacitor of transfer branch in order to turn off thyristors. The proposed breaker has significant technical advantage compared with other solutions especially in terms of current breaking ability and semiconductor cost. The modular unit design could improve the voltage application easily. Moreover, the voltage stress over the load commutation switch is analyzed based on a simplified model. It is observed that the parameters of snubber circuit and time delay of commutation time have great influence on the voltage stress. A principle prototype has been built and tested with an adaptive triggering board. A current commutation test procedure is developed to verify the voltage stress.",
"author_names": [
"Lei Feng",
"Ruifeng Gou",
"Xiaoping Yang",
"Fang Zhuo",
"Shuhuai Shi"
],
"corpus_id": 9706556,
"doc_id": "9706556",
"n_citations": 5,
"n_key_citations": 0,
"score": 1,
"title": "Research on the current commutation in a novel hybrid HVDC circuit breaker",
"venue": "2017 19th European Conference on Power Electronics and Applications (EPE'17 ECCE Europe)",
"year": 2017
},
{
"abstract": "This paper proposes a novel passive hybrid high voltage DC circuit breaker based on thyristors. A current commutation circuit to turn off the thyristors is designed with elements of relatively low current and voltage ratings. Moreover, voltage balancing strategy is applied to protect the series connected device.",
"author_names": [
"Wenjie Pan",
"Fang Zhuo",
"Ying Chen",
"Xiaotong Du",
"Lei Feng",
"Shuhuai Shi"
],
"corpus_id": 42022979,
"doc_id": "42022979",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "A passive hybrid HVDC circuit breaker based on thyristors",
"venue": "2017 19th European Conference on Power Electronics and Applications (EPE'17 ECCE Europe)",
"year": 2017
},
{
"abstract": "DC fault isolation is one of the main challenges of the HVDC grid. DC fault current can be interrupted within several milliseconds by employing hybrid HVDC circuit breaker. However, this scheme is not cost effective, especially in meshed HVDC grid. In this paper, a novel integrated HVDC circuit breaker by sharing components is proposed to overcome these drawbacks. Suppose that a converter in a meshed HVDC grid is connected to m DC lines. The integrated HVDC circuit breaker consists of m 1 ultra fast disconnectors (UFDs) m 1 load commutation switches (LCSs) m 1 mechanical disconnectors (DSs) and only one main breaker (MB) and surge arrester (SA) The behavior of the proposed integrated HVDC circuit breaker is similar to that of the conventional hybrid HVDC circuit breaker. These two kinds of breakers have the same operating time, which means the integrated HVDC circuit breaker is fast enough to clear DC faults. Besides, the IGBT modules in the MB and LCSs of the proposed integrated HVDC circuit breaker are connected in one direction, and the m DC lines share a single MB. Therefore, this scheme is more cost effective compared to the conventional hybrid HVDC circuit breaker. Moreover, the adjacent lines can still be used to transmit power after DC bus faults. Simulation results on a three terminal HVDC grid are presented to verify the effectiveness and feasibility of the proposed scheme.",
"author_names": [
"Huangqing Xiao",
"Zhaopeng Xu",
"Liang Xiao",
"Chun Gan",
"Feng Xu",
"Leisi Dai"
],
"corpus_id": 213129037,
"doc_id": "213129037",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "Components Sharing Based Integrated HVDC Circuit Breaker for Meshed HVDC Grids",
"venue": "IEEE Transactions on Power Delivery",
"year": 2020
},
{
"abstract": "Conventional HVDC has the advantages of mature state of art and lower cost and the disadvantages of absorbing large reactive power and the danger of commutation fault. Voltage Source Converter (VSC) based HVDC (VSC HVDC) has the advantages of flexible operation and control and the disadvantages of high cost. Combining the advantages of the two kinds of HVDCs, a novel type of hybrid HVDC transmission is proposed, in which at sending terminal the Phase Control Converter (PCC) is adopted and at receiving terminal the VSC is adopted. Simulation results show that the proposed hybrid HVDC system could operate steadily. Further more when single phase to ground or three phases short circuit fault occurs in one or both AC sides, the steadily operation of the proposed hybrid HVDC can be rapidly restored.",
"author_names": [
"Li Hai-feng"
],
"corpus_id": 113233980,
"doc_id": "113233980",
"n_citations": 7,
"n_key_citations": 0,
"score": 0,
"title": "Research on a Novel Hybrid HVDC System",
"venue": "",
"year": 2006
},
{
"abstract": "Abstract Hybrid high voltage direct current circuit breakers (DCCBs) are capable of interrupting fault current within a few milliseconds, but this technology has high capital cost, especially in a meshed HVDC grid. To increase the economic competitiveness of hybrid DCCBs, this paper proposes a capacitor commutated dc circuit breaker (CCCB) The CCCB mainly comprises an auxiliary branch with a fast dis connector in series with semiconductor devices and the main branch with the series connection of a dc capacitor and diode valves. This paper provides a detailed depiction of the CCCB. The topology and operating principles are discussed. The impact of snubber circuits and stray inductances on the commutation process is analyzed. The general sizing method for the main components in the CCCB is detailed. Reclosing to transmission lines with different operating conditions is studied. Several extended topologies are proposed to further reduce the semiconductor cost and on state operation power loss. The power loss and cost of CCCB are assessed. Extensive simulations on PSCAD/EMTDC verified the dc fault isolation and reclosing of the CCCB.",
"author_names": [
"Meng Zhou",
"Wang Xiang",
"Wenping Zuo",
"Weixing Lin",
"Jinyu Wen"
],
"corpus_id": 116532014,
"doc_id": "116532014",
"n_citations": 13,
"n_key_citations": 0,
"score": 0,
"title": "A novel HVDC circuit breaker for HVDC application",
"venue": "International Journal of Electrical Power Energy Systems",
"year": 2019
},
{
"abstract": "The emerging demand on development of high voltage direct current (HVdc) networks brings about an increasingly urgent need for a fast and reliable HVdc circuit breaker. This paper proposes a novel topology of HVdc circuit breaker combining a liquid metal load commutation switch and a two stage commutation circuit, which offers an effective solution for isolating faults while preserving larger current breaking capability and lower overvoltage rate of rise. With the topology optimized in terms of circuit parameters for determining their optimum design values, the handling of short circuit faults for the proposed circuit breaker in HVdc application is predicted theoretically. Finally, preliminary tests from a developed low power prototype are presented together with a comparative simulation study provided to verify the performance of the proposed HVdc circuit breaker.",
"author_names": [
"Yife Wu",
"Yi Wu",
"Mingzhe Rong",
"Fei Yang"
],
"corpus_id": 94796364,
"doc_id": "94796364",
"n_citations": 8,
"n_key_citations": 0,
"score": 0,
"title": "Development of a Novel HVdc Circuit Breaker Combining Liquid Metal Load Commutation Switch and Two Stage Commutation Circuit",
"venue": "IEEE Transactions on Industrial Electronics",
"year": 2019
},
{
"abstract": "In high voltage level, the dc transmission technology can provide a brand new solution for the future transformation of electricity energy, which can realize the interconnection and complementarity of large scale renewable energy and long distance power transmission. And in the low and middle voltage level, power quality can be improved and various types of de loads can be connected into systems efficiently and flexibly by using dc power distribution technology. With the rapid development of dc transmission and distribution technology, it is possible to construct dc power grid in the future. This paper reviews the research progress of dc circuit breaker (DCCB) in Tsinghua University in recent years. The key technology of research on DCCB with current commutation drive circuit (CCDC) is introduced from four aspects topology, fast mechanical switch, power electronics and principle of current commutation. Finally, an engineering prototype of 500kV DCCB has been developed, and the experimental verification of 25kA fault current interrupting within 3ms has been completed.",
"author_names": [
"Yannan Zhou",
"Yulong Huang",
"Hui Liu",
"Yu Tai",
"Haonan Yang",
"Bo Men"
],
"corpus_id": 218651372,
"doc_id": "218651372",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Research and development of 500kV hybrid HVDC circuit breaker with current commutation drive circuit",
"venue": "2019 4th IEEE Workshop on the Electronic Grid (eGRID)",
"year": 2019
},
{
"abstract": "The use of high voltage direct current (HVDC) circuit breakers (CBs) with the capabilities of bidirectional fault interruption, reclosing, and rebreaking can improve the reliable and safe operation of HVDC grids. Although several topologies of CBs have been proposed to perform these capabilities, the limitation of these topologies is either high on state losses or long time interruption in the case bidirectional fault current interruption. Long time interruption results in the large magnitude of the fault current in the voltage source converter based HVDC (VSC HVDC) system due to the high rate of rise of fault current. This paper proposes a new topology of hybrid CB (HCB) with lower conduction loss and lower interruption time to solve the problems. The proposed topology is based on the inverse current injection method, which uses the capacitor to enforce the fault current to zero. In the case of the bidirectional fault current interruption, the capacitor does not change its polarity after identifying the direction of fault current, which can reduce the interruption time accordingly. A switching control algorithm for the proposed topology is presented in detail. Different operation modes of proposed HCB, such as normal current mode, breaking fault current mode, discharging, and reversing capacitor voltage modes after clearing the fault, are considered in the proposed algorithm. The proposed topology with the switching control algorithm is tested in a simulation based system. Different simulation scenarios such as temporary and permanent faults are carried out to verify the performance of the proposed topology. The simulation is performed in the Matlab/Simulink environment.",
"author_names": [
"Van-Vinh Nguyen",
"Ho-Ik Son",
"Thai-Thanh Nguyen",
"Hak-Man Kim",
"Chan-Ki Kim"
],
"corpus_id": 28417745,
"doc_id": "28417745",
"n_citations": 7,
"n_key_citations": 0,
"score": 0,
"title": "A Novel Topology of Hybrid HVDC Circuit Breaker for VSC HVDC Application",
"venue": "",
"year": 2017
},
{
"abstract": "The HVDC circuit breaker is a key component of the multi terminal DC (MTDC) transmission system. The paper proposes a novel Hybrid HVDC CB with the vacuum interrupter (VI) and SF6 interrupter (GI) in series. The artificial current zero method is used to produce current zero while the follow current loop is firstly introduced in order to achieve the \"voltage zero\" for the main mechanical switch so that the dynamic electric recovery strength (DDRS) will be improved. The follow current loop is composed of the high voltage thyristors and current limiting element. The mathematic description of the \"voltage zero\" and the synergy of the dynamic voltage distribution are obtained. The simulation model of the novel HVDC CB is set up according to the continuous transition model and the modified Mayr arc model with respect to the vacuum arc and SF6 arc respectively. The influence of the parameters of the current limiting resistors and reactors in the follow current loop as well as the parameters of the oscillation circuit on the \"voltage zero\" and the inverted transient recovery voltage (TRV) is gained. This paper provides a new method to improve the breaking capacity of the HVDC CB and supplies the reference for design of the HVDC CB.",
"author_names": [
"Xian Guo Cheng",
"Peiyuan Yang",
"Guowei Ge",
"Kuo Su",
"Minfu Liao"
],
"corpus_id": 53950234,
"doc_id": "53950234",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "The Novel Hybrid HVDC Circuit Breakers with Series Connected Vacuum and the SF6 Interrupters",
"venue": "2018 28th International Symposium on Discharges and Electrical Insulation in Vacuum (ISDEIV)",
"year": 2018
},
{
"abstract": "Abstract This paper proposes a novel coordinated control approach for the hybrid parallel HVDC system that is composed of a line commutated converter based high voltage direct current (LCC HVDC) link and a modular multi level converter based HVDC (MMC HVDC) link in parallel. With the presented control approach, the reactive power of MMC HVDC is regulated flexibly based on the extinction angle of LCC HVDC to mitigate the commutation failure of LCC HVDC and improve the fault recovery performances of the overall system during fault and post fault periods. A hybrid parallel HVDC system is developed in PSCAD/EMTDC to evaluate the effects of the proposed control approach. The transient performances of the hybrid parallel HVDC, under single phase and three phase to ground faults at the inverter AC busbar are investigated. Commutation failure immunity index (CFII) and fault recovery time are used as measures to evaluate the effects of the proposed control approach. The results show that the proposed coordinated control approach can make the LCC HVDC less susceptible to commutation failure in hybrid parallel HVDC system and effectively improve the fault recovery performances of the overall system.",
"author_names": [
"Chunyi Guo",
"Zhizhong Yang",
"Linru Ning",
"Chengyong Zhao"
],
"corpus_id": 116047751,
"doc_id": "116047751",
"n_citations": 11,
"n_key_citations": 0,
"score": 0,
"title": "A Novel Coordinated Control Approach for Commutation Failure Mitigation in Hybrid Parallel HVDC System with MMC HVDC and LCC HVDC",
"venue": "",
"year": 2017
}
] |
transparent electrodes MSM photodetector based on GaN | [
{
"abstract": "Metal semiconductor metal (MSM) photodetectors based on graphene/p type Si Schottky junctions are fabricated and characterized. Thermionic emission dominates the transport across the junctions above 260 K with a zero bias barrier height of 0.48 eV. The reverse bias dependence of the barrier height is found to result mostly from the Fermi level shift in graphene. MSM photodetectors exhibit a responsivity of 0.11 A/W and a normalized photocurrent to dark current ratio of 4.55 x 104 mW 1, which are larger than those previously obtained for similar detectors based on carbon nanotubes. These results are important for the integration of transparent, conductive graphene electrodes into existing silicon technologies.",
"author_names": [
"Yanbin An",
"Ashkan Behnam",
"Eric Pop",
"Ant Ural"
],
"corpus_id": 32536426,
"doc_id": "32536426",
"n_citations": 172,
"n_key_citations": 5,
"score": 1,
"title": "Metal semiconductor metal photodetectors based on graphene/p type silicon Schottky junctions",
"venue": "",
"year": 2013
},
{
"abstract": "Indium tin oxide (ITO) layers were deposited onto n GaN films and/or glass substrates by electron beam evaporation. With proper annealing, we found that we could improve the optical properties of the ITO layers and achieve a maximum transmittance of 98% at 360 nm. GaN based metal semiconductor metal (MSM) photodetectors with ITO transparent contacts were also fabricated. A maximum 0.12 A photocurrent with a photocurrent to dark current contrast higher than five orders of magnitude during ultraviolet irradiation were obtained for a photodetector annealed at 600/spl deg/C. We also found that the maximum photo responsivity at 345 nm is 7.2 and 0.9 A/W when the detector is biased at 5 and 0.5 V, respectively.",
"author_names": [
"C -H Chen",
"S -J Chang",
"Y -K Su",
"Gou-chung Chi",
"Jim Y Chi",
"C A Chang",
"Jinn-Kong Sheu",
"J F Chen"
],
"corpus_id": 39704400,
"doc_id": "39704400",
"n_citations": 130,
"n_key_citations": 0,
"score": 1,
"title": "GaN metal semiconductor metal ultraviolet photodetectors with transparent indium tin oxide Schottky contacts",
"venue": "IEEE Photonics Technology Letters",
"year": 2001
},
{
"abstract": "We demonstrate a novel type of traveling wave photodetector: \"metal semiconductor metal traveling wave photodetecor\" (MSM TWPD) The demonstrated devices were fabricated using low temperature grown GaAs (LTG GaAs) In order to achieve high internal quantum efficiency, the narrow spacing between electrodes was fabricated by the self aligned process without e beam lithography. Electro optical sampling measurement results at different optical pumping levels are reported. Ultrahigh bandwidth (0.8 ps, 570 GHz transform bandwidth) performance was observed even under high optical power illumination /spl sim/1.8 mW) with 8.1% net quantum efficiency. Compared with a LTG GaAs based p i n TWPD and vertically illuminated MSM photodetector (PD) this novel TWPD has higher output saturation current with near terahertz electrical bandwidth, better quantum efficiency, and can be easily fabricated and integrated with other microwave devices. It thus promises the application in high power distributed PD array or terahertz signal generation.",
"author_names": [
"",
"John E Bowers"
],
"corpus_id": 6435569,
"doc_id": "6435569",
"n_citations": 70,
"n_key_citations": 7,
"score": 0,
"title": "Metal semiconductor metal traveling wave photodetectors",
"venue": "IEEE Photonics Technology Letters",
"year": 2001
},
{
"abstract": "The Schottky diodes based on graphene/GaN interface are fabricated and demonstrated for the dual wavelength photodetection of ultraviolet (UV) and green lights. The physical mechanisms of the photoelectric response of the diodes with different light wavelengths are different. For UV illumination, the photo generated carriers lower the Schottky barrier and increase the photocurrent. For green light illumination, as the photon energy is smaller than the bandgap of GaN, the hot electrons excited in graphene via internal photoemission are responsible for the photoelectric response. Using graphene as a transparent electrode, the diodes show a ~mS photoresponse, providing an alternative route toward multi wavelength photodetectors.",
"author_names": [
"Fang Lin",
"Shaowen Chen",
"Jie Meng",
"Geoffrey Tse",
"Xuewen Fu",
"Fujun Xu",
"Bo Shen",
"Zhimin Liao",
"Dapeng Yu"
],
"corpus_id": 119761953,
"doc_id": "119761953",
"n_citations": 59,
"n_key_citations": 0,
"score": 0,
"title": "Graphene/GaN diodes for ultraviolet and visible photodetectors",
"venue": "",
"year": 2014
},
{
"abstract": "GaN metal semiconductor metal (MSM) ultraviolet photodetectors with titanium tungsten (TiW) transparent electrodes were fabricated and characterized. It was found that the 10 nm thick TiW film deposited with a 300 W RF power can still provide a reasonably high transmittance of 75.1% at 300 nm, a low resistivity of 1.7/spl times/10/sup 3/ /spl Omega//spl middot/cm and an effective Schottky barrier height of 0.773 eV on u GaN. We also achieved a peak responsivity of 0.192 A/W and a quantum efficiency of 66.4% from the GaN ultraviolet MSM photodetector with TiW electrodes. With a 3 V applied bias, it was found that minimum noise equivalent power and maximum D/sup of our detector were 1.987/spl times/10/sup 10/ W and 6.365/spl times/10/sup 9/ cmHz/sup 0.5/W/sup 1/ respectively.",
"author_names": [
"C -K Wang",
"S -J Chang",
"Y -K Su",
"Yu-Zung Chiou",
"S -C Chen",
"C -S Chang",
"T K Lin",
"H L Liu",
"J Tang"
],
"corpus_id": 22240471,
"doc_id": "22240471",
"n_citations": 52,
"n_key_citations": 1,
"score": 0,
"title": "GaN MSM UV photodetectors with titanium tungsten transparent electrodes",
"venue": "IEEE Transactions on Electron Devices",
"year": 2006
},
{
"abstract": "Solar blind metal semiconductor metal (MSM) photodetectors (PDs) with Ni/Au semi transparent interdigitated contact electrodes are fabricated on Al0.4Ga0.6N epi layer grown by metal organic chemical vapor deposition on sapphire substrate. The PD exhibits ultra low dark current in fA range at room temperature (RT) under 20 V bias and a corresponding breakdown voltage higher than 300 V. The PD also shows a maximum RT quantum efficiency of 64% at 275 nm under 10 V bias with a solar blind/ultraviolet (UV) rejection ratio up to four orders of magnitude. Even at a high temperature of 150degC, the dark current of the PD is still in fA range with a reasonable rejection ratio of more than 8000, suggesting its potential applications for high temperature deep UV detection. The ultra low dark current achieved is believed to be related to the high temperature AlN buffer layer used in the epi structure as well as the coplanar configuration of the MSM PD itself.",
"author_names": [
"Feng Xie",
"Hai Lu",
"Dunjun Chen",
"Xiaoli Ji",
"F Yan",
"Rong Zhang",
"Youdou Zheng",
"L Li",
"Jianjun J Zhou"
],
"corpus_id": 34374725,
"doc_id": "34374725",
"n_citations": 47,
"n_key_citations": 0,
"score": 0,
"title": "Ultra Low Dark Current AlGaN Based Solar Blind Metal Semiconductor Metal Photodetectors for High Temperature Applications",
"venue": "IEEE Sensors Journal",
"year": 2012
},
{
"abstract": "GaN metal semiconductor metal (MSM) ultraviolet photodetectors with transparent tungsten (W) electrodes were fabricated and characterized. It was found that the 10 nm thick W film deposited with a 250 W RF power could provide a reasonably high transmittance of 68.3% at 360 nm, a low resistivity of 1.5 x 10 3 O cm and an effective Schottky barrier height of 0.777 eV on u GaN. We also achieved a peak responsivity of 0.15 A W 1 and a quantum efficiency of 51.8% at 360 nm from the GaN MSM UV photodetector with W electrodes. With a 2 V applied bias, it was found that the minimum noise equivalent power (NEP) and the maximum D* of our detector were 1.745 x 10 10 W and 7.245 x 109 cm Hz0.5 W 1, respectively.",
"author_names": [
"Cheng Kun Wang",
"Shoou Jinn Chang",
"Yan Kuin Su",
"Yu-Zung Chiou",
"C S Chang",
"T K Lin",
"Han-Yin Liu",
"Jing-Jou Tang"
],
"corpus_id": 98006846,
"doc_id": "98006846",
"n_citations": 37,
"n_key_citations": 2,
"score": 0,
"title": "High detectivity GaN metal semiconductor metal UV photodetectors with transparent tungsten electrodes",
"venue": "",
"year": 2005
},
{
"abstract": "Abstract In this paper, a solar blind ultraviolet photodetector (PD) based on the graphene/vertical Ga2O3 nanowire array heterojunction was proposed and demonstrated. To the best of our knowledge, it is the first time that vertical Ga2O3 nanowire arrays have been realized. Ga2O3 nanowires were obtained by thermally oxidizing GaN nanowires grown by molecular beam epitaxy on n doped Si substrate. Then, a monolayer graphene film was transferred to Ga2O3 nanowires to form the graphene/vertical Ga2O3 nanowire array heterojunction and transparent electrodes. The fabricated device exhibited a responsivity (R) of 0.185 A/W and rejection ratio (R258 nm/R365 nm) of 3x104 at the bias of 5 V. Moreover, the fast response times of this PD were 9 and 8 ms for the rise and decay times under 254 nm illumination, respectively, which are attributed to the unique properties of nanowire arrays and the graphene/vertical Ga2O3 nanowire array heterojunction structure.",
"author_names": [
"Tao He",
"Yukun Zhao",
"Xiaodong Zhang",
"Wen-kui Lin",
"Kai Fu",
"Chi-Hsiang Sun",
"Fengfeng Shi",
"Xiaoyu Ding",
"Guohao Yu",
"Kai Zhang",
"Shulong Lu",
"Xinping Zhang",
"Baoshun Zhang"
],
"corpus_id": 125723137,
"doc_id": "125723137",
"n_citations": 36,
"n_key_citations": 1,
"score": 0,
"title": "Solar blind ultraviolet photodetector based on graphene/vertical Ga2O3 nanowire array heterojunction",
"venue": "",
"year": 2018
},
{
"abstract": "GaN based ultraviolet (UV) photodetectors were fabricated with transparent TiN electrodes. It was found that the transmittance was higher than 80% for a 50 nm thick TiN layer. It was also found that we can significantly reduce the dark current of the photodetectors by inserting a thin Ba0.25Sr0.75TiO3 (BST) interlayer between the TiN electrode and the n GaN. With a 3 nm thick BST interlayer, we can realize a TiN/BST/GaN photodetector with a photocurrent to dark current contrast as high as 2.5x104.",
"author_names": [
"Yu-Zung Chiou",
"Yan Kuin Su",
"Shoou Jinn Chang",
"Jone F Chen",
"Chia-Sheng Chang",
"Sen-Hai Liu",
"Yi-Chao Lin",
"Chin-Hsiang Chen"
],
"corpus_id": 121925041,
"doc_id": "121925041",
"n_citations": 31,
"n_key_citations": 0,
"score": 0,
"title": "Transparent TiN Electrodes in GaN Metal Semiconductor Metal Ultraviolet Photodetectors",
"venue": "",
"year": 2002
},
{
"abstract": "In this study, we design and demonstrate a novel type of self powered UV photodetectors (PDs) using single crystalline ZnS nanotubes (NTs) as the photodetecting layer and Ag nanowires (NWs) network as transparent electrodes. The self powered UV PDs with asymmetric metal semiconductor metal (MSM) structure exhibit attractive photovoltaic characteristic at 0 V bias. Device performance analysis reveals that the as assembled PDs have a high on/off ratio of 19173 and a fast response speed (tr 0.09 s, tf 0.07 s) without any external bias. These values are even higher than that of ZnS nanostructures and ZnS heterostructure based PDs at a large bias voltage. Besides, its UV sensivity, responsivity and detectivity at self powered mode can reach as high as 19172, 2.56 A/W and 1.67 x 1010 cm Hz1/2 W 1, respectively. In addition, the photosensing performance of the self powered UV PDs is studied in different ambient conditions (e.g. in air and vacuum) Moreover, a physical model based on band energy theory is proposed to explain the origin of the self driven photoresponse characteristic in our device. The totality of the above study signifies that the present self powered ZnS NTs based UV nano photodetector may have promising application in future self powered optoelectronic devices and integrated systems.",
"author_names": [
"Qinwei An",
"Xianquan Meng",
"Ke Xiong",
"Yunlei Qiu"
],
"corpus_id": 3268991,
"doc_id": "3268991",
"n_citations": 33,
"n_key_citations": 1,
"score": 0,
"title": "Self powered ZnS Nanotubes/Ag Nanowires MSM UV Photodetector with High On/Off Ratio and Fast Response Speed",
"venue": "Scientific Reports",
"year": 2017
}
] |
post CMP megasonic cleaning | [
{
"abstract": "Non contact cleaning or wet cleaning processes, were the megasonic play a key role in the separation of the particles from the wafer is a commonly used technique in semiconductor manufacturing. CMP process can be very damaging to the production yield if not followed by an effective post clean process. McQueen identified the effect of the acoustic boundary layer and its role in the removal of small particles at high frequency. Busnaina et alt studied ultrasonic and megasonic particle removal and the effect of acoustic streaming. They showed that the cleaning efficiency increased with power until a certain range and then decrease slightly. Busnaina et al result indicted that SCI removes more particles than DI water particularly at lower megasonic powers specially in the case were the slurry particles are deposited onto the wafer surface by dipping experiments. But they also demonstrated that it was possible to achieve 100 removal in DI water when using the optimum conditions. This paper presents the latest results of the post CMP megasonic cleaning process, this study is focused on the cleaning of thermal oxide silicone wafer polished using silica based slurry and cleaned using diluted SC1 (H 2 0/H 2 0 2 /NH 4 OH: 40/2/1)",
"author_names": [
"Ahmed Busnaina",
"N Moumen",
"J Piboontum",
"M Guarrera"
],
"corpus_id": 95990932,
"doc_id": "95990932",
"n_citations": 1,
"n_key_citations": 0,
"score": 1,
"title": "Post CMP Megasonic Cleaning Using Dilute SCI Solution",
"venue": "",
"year": 1999
},
{
"abstract": "In this study, the development of post chemical mechanical polishing (CMP) protocols for cleaning abrasive nanoparticles from In0.53Ga0.47As surfaces was systematically analyzed. Abrasive silica nanoparticles (130 and 289 nm) were intentionally deposited onto InGaAs surfaces. Various concentration ratios of chemical etchants such as HCl and H2O2 were used to control material loss and surface oxides of InGaAs. The optimal concentration ratio of the HCl/H2O2 cleaning solution exhibited 40% particle removal efficiency (PRE) Application of megasonic (MS) cleaning improved the PRE to 80% To prevent particle re contamination, ammonium dodecyl sulfate (ADS) was used as an anionic surfactant to modify surface charge in the InGaAs substrate. Addition of surfactant further improved the PRE to over 96% Optimal cleaning of InGaAs surfaces was achieved with a combination of HCl/H2O2, surfactant, and MS.",
"author_names": [
"In-Chan Choi",
"Hyun-Tae Kim",
"Nagendra Prasad Yerriboina",
"Jung-Hwan Lee",
"Lieve Teugels",
"Tae-Gon Kim",
"Jin-Goo Park"
],
"corpus_id": 104353832,
"doc_id": "104353832",
"n_citations": 5,
"n_key_citations": 0,
"score": 0,
"title": "Post CMP Cleaning of InGaAs Surface for the Removal of Nanoparticle Contaminants for Sub 10nm Device Applications",
"venue": "",
"year": 2019
},
{
"abstract": "Post CMP defect is a critical process parameter to yield enhancement and device reliability in sub 14nm semiconductor manufacturing as \"killing\" particle size has shrunk according to device shrinkage. In order to improve defect at post CMP step, CMP has an in situ cleaning module, commonly composed of megasonic cleaning, brush scrubber cleanings, fluid jet cleaning and their combination. Among the module, brush scrubber cleaning is the most effective method in particle removal due to its physical force. Although many studies have been conducted to optimize particle removal efficiency of brush cleaning, its cross contamination effect has not been considered for the optimization of post CMP defects. In this paper, effect of brush scrubber cleaning on the post CMP defect and its optimization process are explored. In addition to cross contamination effect, equipment aspect of optimization is also investigated. Chemical spray bar position to the wafer and mechanical operation are key controlling factors. Brush motor torque analysis suggests how to optimize brush operation. Experimental results in this paper provide insight into post CMP cleaning optimization.",
"author_names": [
"Hong Jin Kim",
"Tae Hoon Lee",
"Venugopal Govindarajulu",
"Jason Mazzotti"
],
"corpus_id": 13068774,
"doc_id": "13068774",
"n_citations": 5,
"n_key_citations": 0,
"score": 0,
"title": "Effect of post CMP in situ cleaning and its optimization on the defect improvement: CFM: Contamination free manufacturing",
"venue": "2016 27th Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC)",
"year": 2016
},
{
"abstract": "Scaling of gate length below 14nm has a lot of advantages such as high speed with low power consumption in device aspects. However, process requirement also becomes much tighter and defect control becomes the key to the yield enhancement. Every single surface particle can be potential killer defect depending on the particle location and process step. To remove large slurry particle to help following wet cleaning efficiency, in situ cleaning modules such as brush scrubber cleaning and megasonic tank are implemented in most CMP equipment. However, due to device complexity, limitation of post CMP clean chemical and insufficient wet cleaning at post CMP step, fabrication process strongly relies on CMP in situ cleaning for the clean surface. This study focuses on the brush cleaning performance and its optimization for sub 14nm device manufacturing. The experimental results show how brush scrubber cleaning optimization is achieved, and address effective process sequence to minimize cross contamination and to maximize particle removal efficiency. Challenges and requirements of brush manufacturing are also presented.",
"author_names": [
"Hong Jin Kim",
"Tae Hoon Lee",
"Liqiao Qin",
"Sumeet Kashyap"
],
"corpus_id": 7546494,
"doc_id": "7546494",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "Challenges in Post CMP in situ cleaning for sub 14nm device yield enhancement",
"venue": "2015 International Conference on Planarization/CMP Technology (ICPT)",
"year": 2015
},
{
"abstract": "Abstract Chemical mechanical planarization (CMP) has emerged as a critical step in integrated circuit fabrication for achieving global surface planarization of various thin films in the front and back end processing. This has led to the development of novel and advanced post CMP cleaning techniques that can effectively remove different types of contaminants (e.g. particulate, organic, metallic, etc. from surfaces. There is an increasing effort toward improving the fundamental understanding of the interfacial phenomena and the underlying physical and mechanical mechanisms that drive the post CMP cleaning process. In this chapter, a review of batch and single wafer brush and megasonic cleaning processes with emphasis on the effect of different process variables on particle removal is provided. The first few sections briefly describe the forces that drive particle adhesion and removal in a post CMP cleaning process. The last part of the chapter focuses on the typical chemical formulations and the role of additives in post CMP cleaning of silicon dioxide, tungsten, and copper films.",
"author_names": [
"Manish Keswani",
"Zhenxing Han"
],
"corpus_id": 138291910,
"doc_id": "138291910",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "Post CMP Cleaning",
"venue": "",
"year": 2015
},
{
"abstract": "CMP is extensively used in silica based semiconductor manufacturing, and the post CMP cleaning is therefore a critical process after the wafers being polished by CMP slurries [1] Often with the UPW rinse as the last step, particles in the effluent from the UPW rinse can be characterized for their size and corresponding concentration as an essential parameter to evaluate the effectiveness and outcome of the overall cleaning process. This paper presents an application of using the Liquid Nanoparticle Sizer (LNS) system as an inline monitor to measure the size distribution of particles in the rinse effluent. 1. BACKGROUND Post CMP (pCMP) cleaning is one of the most important steps in the CMP process flow. A typical process flow will involve multiple polish steps, followed by several pCMP clean steps involving megasonic tanks, brush stations, and different chemical treatments. The last step in the pCMP sequence is typically an Ultrapure Water (UPW) rinse followed by a Marangoni dry step. The final UPW step is intended to remove any last particles from the wafer before sending the wafer to the drying step. The effluent from UPW the rinse may be monitored for particles to determine the cleanliness of the wafer and check for any excursions or unexpected behavior. In despite of the increasing demand of accuracy and sensitivity in monitoring the cleaning performance, to take out this measurement of sizing low concentration nanoparticles in UPW has been a challenge due to the limitation in currently available optical particle counting technologies [2, 3] far less to apply it as an evaluation parameter. 2. SYSTEM INTRODUCTION The Liquid Nanoparticle Sizer (LNS) System can be used to fill this gap [4] The LNS measures particles at above 80% counting efficiency across the 6 360 nm size range with a sizing resolution of 64 channels per decade, and it provides actual (not relative) number concentration for each corresponding particle size independent of particle shape or composition, and scans through the entire size range in less than 5 minutes. In addition to the scan mode which measures concentration and size spectra of the entire size range, the LNS can also be used in a single size mode that monitors the concentration change of a certain size as fast as one count per second. This fast response capability allows for the rinse process to be continuously monitored and endpointed to ensure that only clean wafers are passed on to the drying step. 3. EXPERIMENT AND RESULTS The experiment was setup in a way to mimic the UPW rinse situation, as shown in Figure 1. A silicon wafer was deposited with 500 mL CMP slurry, such as might be left behind in the pCMP clean process, a UPW flow is introduced at a constant flowrate towards the deposited surface as the rinse liquid, and the effluent is collected in a prewashed Nalgene container which is continuously sampled by the LNS System. Figure 1. Experimental Setup Experimental results are plotted in Figure 2. The size distribution of particles in the effluent was continuously measured from before and throughout the rinse process with results plotted in a sequence of time points, as shown in Figure 2(a) Each line represents the concentration spectrum measured from one LNS scan, with the ending time of that scan marked to the right. Lines are color coded to reflect the total concentration level, with red showing the post CMP Kanomax LNS System Model 9310",
"author_names": [
"Siqin He",
"Derek R Oberreit",
"S L Kosier"
],
"corpus_id": 211479856,
"doc_id": "211479856",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Post CMP Clean Effluent Endpointing and Monitoring with the LNS System",
"venue": "",
"year": 2019
},
{
"abstract": "Scrub, ultrasonic and megasonic are widely used in industry as post CMP cleaning procedure. In this paper, experiments are taken to analyze the particle contaminations after each process. A scatter spot method has been exploited to exam the particles' location and features. SEM with EDX is used to observe and analyze the particles' shape and size as well as the elements. The results indicate that brush scrub is not sufficient for submicron abrasive particle removal. Megasonic is a better method of cleaning but contaminations like metallic particles and bacteria fiom the equipment may pollute the clean disk. The abrasive particles embedded in the plating pits are hard to remove by mechanical force. Pollution in the dryer is also discussed.",
"author_names": [
"Yating Huang",
"Xinchun Lu",
"Guoshun Pan",
"Bill Lee",
"Jianbin Luo"
],
"corpus_id": 134650637,
"doc_id": "134650637",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Particles Detection and Analysis of Hard Disk Substrate after Post CMP Cleaning",
"venue": "",
"year": 2009
},
{
"abstract": "Optimization of post Cu CMP cleaning performance can be accomplished through dilution ratio tuning and pad rinse of clean chemicals. Excessive chemical etching as well as megasonic power can induce high Cu roughness. Generation of hollow metal and Cu dendrite defects depends not only on the clean chemistry but also the queue time between plating and anneal and between CMP and cap. AFM and XPS provide insights into the cleaning mechanism. EM and TDDB tests are the ultimate tests for the effectiveness of post Cu CMP cleaning.",
"author_names": [
"Wei-tsu Tseng",
"Donald F Canaperi",
"Adam Ticknor",
"Vamsi Krishna Devarapalli",
"Leo Tai",
"Laertis Economikos",
"J MacDougal",
"Christine Bunke",
"Matthew Angyal",
"Jennifer Muncy",
"Xiaomeng Chen",
"Jack Zhang",
"Qiang Fang",
"Jianping Zheng"
],
"corpus_id": 30055597,
"doc_id": "30055597",
"n_citations": 9,
"n_key_citations": 1,
"score": 0,
"title": "Post Cu CMP cleaning process evaluation for 32nm and 22nm technology nodes",
"venue": "2012 SEMI Advanced Semiconductor Manufacturing Conference",
"year": 2012
},
{
"abstract": "The invention provides a post chemical mechanical polishing (CMP) cleaning method for polysilicon and a CMP method. The post CMP cleaning method provided by the invention comprises the steps that firstly, in a megasonic cleaning step, an H2O2 rich SC1 solution in which the mass percentage of NH4OH is 2.8 plus/minus 0.2 percent and the mass percentage of H2O2 is 3.0 plus/minus 0.2 percent is utilized to conduct megasonic cleaning; and afterwards, in a subsequent polyvinyl alcohol (PVA) brush cleaning step, NH4OH (ammonia water) and deionized water (DIW) are utilized to clean a wafer and brush the surface of the wafer. According to the post CMP cleaning method, the high concentration SC1 solution (in which the NH4OH serves as an etching agent) is utilized to improve the etching efficiency of a natural oxide layer, so that a better cleaning effect can be achieved; meanwhile, the H2O2 with higher concentration in the SC1 solution is utilized to oxidize the polysilicon to form the oxide layer, so that the natural oxide layer of a certain thickness can be generated on the surface of the polysilicon while the better effect is achieved, an organic pollutant layer cannot be formed on the polysilicon in the subsequent brush cleaning process, and the growth of the natural oxide layer of the polysilicon in the air cannot be influenced by pollutants on the polysilicon.",
"author_names": [
""
],
"corpus_id": 139995924,
"doc_id": "139995924",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Post chemical mechanical polishing (CMP) cleaning method and CMP method",
"venue": "",
"year": 2012
},
{
"abstract": "Abstract Post CMP wafer cleaning commonly uses a combination of double sided brush scrubbing, megasonic cleaning, and spin rinse drying to remove polishing residues from wafer surfaces. This paper investigates double sided brush scrubbing and spin rinse drying by evaluating IPEC Planar's Avanti 9000 post CMP cleaner for the removal of diluted slurry solutions from previously polished and cleaned W sheet wafers. Diluted SC 1 (H 2 O/NH 4 OH/H 2 O 2 20:1:1) and DI water were used as the cleaning solutions in the brush and spin rinse drying units, respectively. Results show that the number of brush cycles, the brush pressure, and the brush rotating speed are key parameters in the removal of particles with diameters greater than 0.21 mm from the wafer. Two factor interactions between: (1) the number of brush cycles and the brush pressure, and (2) the number of brush cycles and the brush rotating speed are also important to the process performance. In addition, operating the spin rinse drying unit at the typical rotating speed (1000 rev./min) is not adequate for submicron particle removal from the wafer. A theoretical analysis of the hydrodynamics of the brush cleaning suggests that particles are removed from wafers due to direct particle brush contact, but that particle redeposition onto the wafers may occur.",
"author_names": [
"G Zhang",
"Garry M Burdick",
"F Dai",
"Thomas Frederick Allen Bibby",
"Stephen P Beaudoin"
],
"corpus_id": 136422977,
"doc_id": "136422977",
"n_citations": 41,
"n_key_citations": 3,
"score": 0,
"title": "Assessment of post CMP cleaning mechanisms using statistically designed experiments",
"venue": "",
"year": 1998
}
] |
Quantum size effects on effective mass and band gap of semiconductor quantum dots | [
{
"abstract": "In this research we calculate the band gap of ZnS nano particle and show that it increases with decreasing its size. The simplest way for discussing this phenomenon and finding the band gap for different sizes is effective mass approximation. We show, this method isn't accurate for particles smaller than 2.5 nm. This is due to the fact that the effective mass of particles at this size is dependent of size. To calculate the band gap of small size ZnS, the density functional theory was used. This theory has also used to determine the effective mass for various particle sizes. Our calculation indicates the appearance of blue shift by decreasing the size of particle.",
"author_names": [
"Changiz Vatankhah",
"Alireza Ebadi"
],
"corpus_id": 16975052,
"doc_id": "16975052",
"n_citations": 23,
"n_key_citations": 0,
"score": 1,
"title": "Quantum Size Effects on Effective Mass and Band gap of Semiconductor Quantum Dots",
"venue": "",
"year": 2013
},
{
"abstract": "Dimensionality is an important factor to govern the electronic structures of semiconductor nanocrystals. The quantum confinement energies in one dimensional quantum wires and zero dimensional quantum dots are quite different. Using large scale first principles calculations, we systematically study the electronic structures of semiconductor (including group IV, III V, and II VI) surface passivated quantum wires and dots. The band gap energies of quantum wires and dots have the same scaling with diameter for a given material. The ratio of band gap increases between quantum wires and dots is material dependent, and slightly deviates from 0.586 predicted by effective mass approximation. Highly linear polarization of photoluminescence in quantum wires is found. The degree of polarization decreases with the increasing temperature and size.",
"author_names": [
"Jingbo Li",
"Lin-wang Wang"
],
"corpus_id": 54520228,
"doc_id": "54520228",
"n_citations": 31,
"n_key_citations": 0,
"score": 0,
"title": "Comparison of quantum confinement effects between quantum wires and dots",
"venue": "",
"year": 2004
},
{
"abstract": "Light absorption and luminescence processes in nano sized materials can be modelled either by using computational approaches developed for quantum chemical calculations or by applying computational methods in the effective mass approximation (EMA) originally intended for solid state theory studies. An overview of the theory and implementation of an ab initio correlation EMA method for studies of luminescence properties of embedded semiconductor quantum dots is presented. The applicability of the method and the importance of correlation effects are demonstrated by calculations on InGaAs/GaAs quantum dot and quantum ring samples. Ab initio and density functional theory (DFT) quantum chemical studies of optical transitions in freestanding silicon nanoclusters are also discussed. The accuracy of the optical gaps and oscillator strengths for silicon nanoclusters obtained using different computational methods is addressed. Changes in the cluster structures, excitation energies and band strengths upon excitation are reported. The role of the surface termination and functional groups on the silicon nanocluster surfaces is discussed.",
"author_names": [
"Olli Lehtonen",
"Dage Sundholm",
"Tommy Vanska"
],
"corpus_id": 39227102,
"doc_id": "39227102",
"n_citations": 32,
"n_key_citations": 0,
"score": 0,
"title": "Computational studies of semiconductor quantum dots.",
"venue": "Physical chemistry chemical physics PCCP",
"year": 2008
},
{
"abstract": "Coupled colloidal quantum dot molecules composed of two fused CdSe/CdS core/shell sphere monomers were recently presented. Upon fusion, the potential energy landscape changes into two quantum dots separated by a pretuned potential barrier with energetics dictated by the conduction and valence band offsets of the core/shell semiconductors and the width controlled by the shell thickness and the fusion reaction conditions. In close proximity of the two nanocrystals, orbital hybridization occurs, forming bonding and antibonding states in analogy to the hydrogen molecule. In this study, we examine theoretically the electronic and optical signatures of such a quantum dot dimer compared to its monomer core/shell building blocks. We examine the effects of different core sizes, barrier widths, different band offsets, and neck sizes at the interface of the fused facets on the system wave functions and energetics. Due to the higher effective mass of the hole and the large valence band offset, the hole still essentially resides in either of the cores, breaking the symmetry of the potential for the electron as well. We found that the dimer signature is well expressed in a red shift of the band gap both in absorption and emission, in slower radiative lifetimes and in an absorption cross section which is significantly enhanced relative to the monomers at energies above the shell absorption onset, while remains essentially at the same level near the band edge. This study provides essential guidance to predesign of coupled quantum dot molecules with specific attributes which can be utilized for various new opto electronic applications.",
"author_names": [
"Yossef E Panfil",
"Doaa Shamalia",
"Jiabin Cui",
"Somnath Koley",
"Uri Banin"
],
"corpus_id": 209140561,
"doc_id": "209140561",
"n_citations": 7,
"n_key_citations": 0,
"score": 0,
"title": "Electronic coupling in colloidal quantum dot molecules; the case of CdSe/CdS core/shell homodimers.",
"venue": "The Journal of chemical physics",
"year": 2019
},
{
"abstract": "Author(s) Gong, Ke Advisor(s) Kelley, David F Abstract: As it is well known, semiconductor nanocrystals (also called quantum dots, QDs) are being actively pursued for use in many different types of luminescent optical materials. These materials include the active media for luminescence downconversion in artificial lighting, lasers, luminescent solar concentrators and many other applications. Chapter 1 gives general introduction of QDs, which describe the basic physical properties and optical properties. Based on the experimental spectroscopic study, a semiquantitative method effective mass model is employed to give theoretical prediction and guide. The following chapters will talks about several topics respectively. A predictive understanding of the radiative lifetimes is therefore a starting point for the understanding of the use of QDs for these applications. Absorption intensities and radiative lifetimes are fundamental properties of any luminescent material. Meantime, achievement of high efficiency with high working temperature and heterostructure fabrication with manipulation of lattice strain are not easy and need systematic investigation.To make accurate connections between extinction coefficients and radiative recombination rates, chapter 2 will consider three closely related aspects of the size dependent spectroscopy of II VI QDs. First, it will consider the existing literature on cadmium selenide (CdSe) QD absorption spectra and extinction coefficients. From these results and fine structure considerations Boltzmann weighted radiative lifetimes are calculated. These lifetimes are compared to values measured on very high quality CdSe and CdSe coated with zinc selenide (ZnSe) shells. Second, analogous literature data are analyzed for cadmium telluride (CdTe) nanocrystals and compared to lifetimes measured for very high quality QDs. Furthermore, studies of the absorption and excitation spectra and measured radiative lifetimes for CdTe/CdSe Type II core/shell QDs are reported. These results are also analyzed in terms of a Boltzmann population of exciton sublevels and calculated electron and hole wave functions. Much of the absorption data and fine structure calculations are already in the literature. These results are combined with new measurements of radiative lifetimes and electron hole overlap calculations to produce an integrated picture of the II VI QD spectroscopic fundamentals. Finally, we adopt recent synthetic advances to make very monodisperse zincblende CdSe/CdS quantum dots having near unity photoluminescence quantum yields (PLQYs) Due the absence of nonradiative decay pathways, accurate values of the radiative lifetimes can be obtained from time resolved PL measurements. Radiative lifetimes can also be obtained from the Einstein relations, using the static absorption spectra and the relative thermal populations in the angular momentum sublevels. One of the inputs into these calculations is the shell thickness, and it is useful to be able to determine shell thickness from spectroscopic measurements. We use an empirically corrected effective mass model to produce a \"map\" of exciton wavelength as a function of core size and shell thickness. These calculations use an elastic continuum model and the known lattice and elastic constants to include the effect of lattice strain on the band gap energy. Radiative lifetimes calculated both experimentally and theoretically are checked and the size dependence is compared to previous studied Type I, II and single component particles. However, it is not enough to just understanding these basic photophysics of absorption and emission. The emission intensities (related to QYs) also change with changes of the temperature. The temperature dependent PLs of II VI QDs is extensively studied, but most of this work is at low temperatures. Temperatures well above ambient are of interest to lighting applications and in this regime both the reversible and irreversible loss of quantum yield (thermal quenching) are serious impediments to the implementation of QDs in commercial devices. Chapter 3 will elucidate the mechanism of static thermal quenching, in which the reduction of QYs does not affect the PL decay kinetics, on CdSe, CdTe and CdSe/ZnSe QDs as a function of particle sizes/shapes, surface composition and surface ligands. Through systematic experiments, this part of the dissertation discusses several possible mechanisms (e.g. structural, activated excited state, and electronic charging) and examines which the dominant cause for loss of QY at high temperature is. The more practical step is to develop the synthetic method of highly luminescent and stable core/shell QDs with minimum thermal quenching, which greatly enhance the energy efficiency of light emitting and photovoltaic devices. As the nonradiative Auger processed are induced by surface charging described in chapter 3, static and time resolved fluorescence and high and low power transient absorption results on CdSe/CdS and CdSe/ZnSe core/shell particles are presented in chapter 4. Two CdS shell thicknesses were examined and all of the particles had either octadecylamine (ODA) and tributylphosphine (TBP) or just ODA ligands. The results can be understood in terms of a mechanism in which there is a thermal equilibrium between electrons being in the valence band or in chalcogenide localized surface states. Thermal promotion of a valence band electron to a surface state leaves the particle core positively charged. Photon absorption when the particle is in this state results in a positive trion, which undergoes a fast Auger recombination, making the particle nonluminescent. A lack of TBP ligands results in more empty surface orbitals and therefore shifts the equilibrium toward surface trapped electrons and hence trion formation. Low and high power transient absorption measurements give the trion and biexciton lifetimes and the ratio of the trion to biexciton Auger lifetimes are examined and compared to the degeneracies of Auger pathways. We also study the shell thickness and composition dependence of Auger times, which is compared to the scaling factors of effective volume and electron hole overlap considerations. Core/shell QDs often exhibit much higher luminescence quantum yields (QYs) more stability, and are depicted as having a nearly spherical core and a shell of very nearly uniform thickness, which results in a very simple picture of surface passivation. The uniformity of the shell is crucial in obtaining QDs with well passivated surfaces. However, transmission electron microscope (TEM) images disprove the ideal situation. Defects and thickness inhomogeneity in shell materials are treated qualitatively as an analog to film thickness inhomogeneity in epitaxially grown thin films. More quantitatively, the extent to which the shell thickness of core/shell particles is constant can be determined by time resolved PL studies that measure the dynamics of hole tunneling to acceptors that are adsorbed on the shell surface due that tunneling rates varies strongly with core acceptor separation. Careful analysis of the hole transfer kinetics reveals the extent of shell thickness inhomogeneity, however, it may be complicated by the distribution of numbers of adsorbed acceptors. All the considerations can be incorporated into a model we establish in Chapter 5for the distribution of measured hole tunneling rates. From this analysis the distribution of shell thicknesses can be extracted from the luminescence kinetic results. This approach is therefore a sensitive measure of the distribution of tunneling distances. Thus, any defects or structural irregularities that allow the hole acceptors to adsorb closer to the particle core increases the hole tunneling rate and can be detected and quantified.A quantitative treatment of the lattice strain energy in determining the shell morphology of CdSe/CdS core/shell nanoparticles is presented in chapter 5. We use the inhomogeneity in hole tunneling rates through the shell to adsorbed hole acceptors to quantify the extent of shell thickness inhomogeneity. The results can be understood in terms of a model based on elastic continuum calculations, which indicate that the lattice strain energy depends on both core size and shell thickness. This model assumes thermodynamic equilibrium, i.e. that the shell morphology corresponds to a minimum total (lattice strain plus surface) energy. Comparison with the experimental results indicates that CdSe/CdS nanoparticles undergo an abrupt transition from smooth to rough shells when the total lattice strain energy exceeds about 27eV or the strain energy density exceeds 0.59 eV/nm2. The predictions of this model are not followed for CdSe/CdS nanoparticles when the shell is deposited at very low temperature and therefore equilibrium is not established. The effects of lattice strain on the spectroscopy and photoluminescence quantum yields of zincblende CdSe/CdS core/shell quantum dots are examined. The quantum yields are measured as a function of core size and shell thickness. High quantum yields are achieved as long as the lattice strain energy density is below ~0.85 eV/nm2, which is considerably greater than the limiting value of 0.59 eV/nm2for thermodynamicstability of a smooth, defect free shell, as previously reported in chapter 5. Thus, core/shell quantum dots having strain energy densities between 0.59 and 0.85 eV/nm2 can have very high PL QYs, but are metastable with respect to surface defect formation. Such metastable core/shell QDs can be produced by shell deposition at comparatively low temperatures (l 140 degC).Annealing of these particles causes partial loss of core pressure, and a red shift of the spectrum.",
"author_names": [
"Ke Gong"
],
"corpus_id": 101513544,
"doc_id": "101513544",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Photophysical Properties of II VI Semiconductor Nanocrystals",
"venue": "",
"year": 2015
},
{
"abstract": "This thesis consists of three parts: Quantum tunneling simulation, Schottky barrier induced injecting contact on wide band gap II VI materials, and excitons in semiconductor heterostructures. Part I (chapter 2, 3) deals with quantum transport and electronic band structure in semiconductor heterostructures. In chapter 2, we present a new method for quantum transport calculations in tunnel structures employing multiband k.p theory. This method circumvents the numerical instability problems that arise in the standard transfer matrix method. In addition to being numerically stable, efficient, and easy to implement, this method can also be easily generalized to include the magnetic field and strain effects. The development of this technique mainly consists of two parts, the discretization of effective mass Schrodinger's equation using finite difference method, and the formulation of boundary conditions. The treatment of boundary condition in quantum transport is similar to the Multiband Quantum Transmitting Boundary Method (MQTBM) for use with multiband tight binding models. The calculations of transmission coefficients reduce to a set of linear equations, which can be solved very easily. With appropriate formulation of boundary conditions, this technique can be readily extended to the calculations of electronic band structures in quantum confinement and superlattice structures. We have applied this new technique to magnetotunneling in interband tunnel structures in chapter 3, and studied two prototypical device structures: Resonant Interband Tunneling (RIT) devices and Barrierless Resonant Interband Tunneling (BRIT) devices. Effects of transverse magnetic field on the band structures, transmission spectrum, and I V characteristics are investigated. Evidence of heavy hole resonance contribution can be identified in the change of I V characteristics under applied magnetic field. The technique has also been illustrated for hole tunneling in p type GaAs/AlAs double barrier tunnel structures, and calculations of electronic band structures in lattice matched InAs/GaSb superlattices, and strained InAs/Ga1 xInxSb superlattices. Part II describes a novel approach to achieve ohmic injecting contact on wide bandgap II VI semiconductors. The problem of making good ohmic contact to wide bandgap II VI materials has been a major challenge in the effort of making visible light emitting diodes. The method we propose consists of forming the device structure in an electric field at elevated temperatures in the Schottky barrier region, to spatially separate the ionized dopants from the compensating centers. In this way, the ratio of dopants to compensating centers can be greatly increased at the semiconductor surface. Upon cooling, the dopant concentrations are frozen to retain a large net concentration of dopants in a thin surface layer, resulting in a depletion layer that is sufficiently thin to allow tunneling injection. Calculations of band profiles, distributions of dopant concentrations, and current voltage characteristics were performed. We have selected the case of Al doped ZnTe in our study, in which two Al donors complex with a doubly negatively ionized Zn vacancy to produce total compensation. The results show that the bulk doping concentration and the total band bending during the forming process are the crucial factors for achieving injecting contacts. For Schottky barrier heights above 1 eV, doping concentrations as high as 1020cm 3 are needed. In part III, we studied excitons in semiconductor heterostructures, consisting of two subjects: excitons in II VI heterostructures, and exciton coherent transfer process in quantum structures. Calculations of exciton binding energies and oscillator strengths are performed in both Type I strained CdTe/ZnTe superlattices with very small valence band offset and Type II strained ZnTe/ZnSe superlattices. A special variational approach was employed to take into account the effects of unusual band alignment, strain, and image charges at the heterojunction interface. It is found that the large enhancements of exciton binding energy and oscillator strength in the CdTe/ZnTe system are similar to what one finds in systems with a much larger valence band offset. For small CdTe layer thickness, however, the confinement of holes in the CdTe layer is weak, resulting in a lowering of the exciton binding energy. The oscillator strength in CdTe/ZnTe superlattice system shows the expected enhancement over the oscillator strengths in the bulk. For the ZnTe/ZnSe system, the Type II character of the heterojunction results in the confinement of the electrons and holes in different layers. It is found that strong confinement of electrons and holes by the large band offsets can give rise to a fairly large exciton binding energy for thin heterojunction layers. Also, the mismatch in dielectric constants induces an image charge at the interface, which modifies significantly the exciton Hamiltonian in an asymmetric superlattice structure and plays an important role in determining the degree of localization of the electron and hole at the interface. We have investigated exciton coherent transfer in semiconductor quantum structures. In systems where the typical dimensions of the semiconductor quantum structures and the spacings between them are significantly smaller than the photon wavelength, the resonant transfer of excitons between two identical quantum structures is accomplished through the interaction of near field dipole dipole transitions (exchange of virtual photons) The transfer matrix elements are calculated for three different geometries: quantum wells, quantum wires and quantum dots, respectively. The results show that the exciton transfer matrix element is proportional to exciton oscillator strength, and depends on exciton polarization. The transfer matrix element between quantum wells depends on the exciton wave vector in the plane of the wells, k, and vanishes when k 0. For quantum wire and quantum dot structures, the transfer matrix elements between two units separated by R vary as R 2 and R 3, respectively. For quantum structures with typical characteristic size of 50 A with separation about 100 A, the transfer matrix element is on the order of 10 3 meV. It corresponds to a resonant transfer time of 1 ns, comparable with the exciton lifetime. However, it is significantly smaller than the inhomogeneous broadening due to phonons and structural imperfection in most synthesized semiconductor quantum structures achievable today, which is typically on the order of a few meV, making the realization of experimental observation difficult. The study is to explore new ideas and potential technological applications based on excitonic devices.",
"author_names": [
"Yixin Liu"
],
"corpus_id": 137549623,
"doc_id": "137549623",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Quantum Tunneling, Field Induced Injecting Contact, and Excitons",
"venue": "",
"year": 1995
},
{
"abstract": "This thesis deals with cross sectional scanning tunneling microscopy analyses on III/V and II/VI semiconductor nanostructures and single dopants in various III/V materials. A detailed atomic scale study of the structural properties of capped InAs quantum dots and ZnSeTe/ZnTe based quantum wells and the local charge distribution around acceptor type dopants in GaAs and GaP are presented. Self assembled InAs quantum dots are interesting objects from fundamental and technological points of view because they form nearly ideal zerodimensional systems in which quantum confinement effects become important. For example, InAs quantum dots are employed in quantum dot lasers, single electron transistors, midinfrared detectors, single photon sources, etc. For any device application, the quantum dots are capped after growth to prevent unwanted effects occurring at the surface of uncapped dots. However, the use of capping materials such as InP, GaAs and InGaAs strongly affects the structural properties of quantum dot size, shape and composition. In chapter 3, critical issues occurring during the capping process like dot decomposition, intermixing, segregation, As/P exchange, and compositional modulation in the dot/capping layer interface have been identified on the atomic scale. In chapter 4, II/VI semiconductor heterostructures grown by MBE are investigated on the atomic level. Some peculiar effects are found which are not observed in III/V semiconductors. For ZnTe, mono atomic vacancy chains are observed on the Te sublattice. These chains are found to be created during the cleavage process, pulling straight atomic rows out of the surface. The measured distances between these missing rows were found to vary between a few nanometers and tens of nanometers whereas their lengths go up to several hundreds of nanometers. It can be an interesting breakthrough to use these vacancy chains as templates to create 1D magnetic quantum wires with a cross section of only one atom by incorporating magnetic ions (e.g. Fe, Mn or Co) into these vacancy chains. Atom manipulation as a result of applying positive bias voltages to the sample is observed on ZnTe. In this process, atoms are randomly pulled out of the surface by STM tip. Moreover, the quantitative extent of the atom manipulation appears to increase with decreasing bias voltage while maintaining the constant tunnel current. Atomic vacancy chains in particular are determined to be highly sensitive to the change of the bias voltage. The most important result concerns with the observation of ZnSeTe/ZnTe quantum well structures. The compositional profile of these quantum wells is determined directly by atom counting and by numerical fitting of the cleavage induced outward relaxation of the ZnSeTe/ZnTe layered structure. In chapter 5, the identification of the spatial position of Mn acceptors in GaAs is studied with atomic layer precision at T 5 K. In the experiments, the STM tip is used not only to probe the hole distribution around the Mn acceptors, but also to manipulate the transition metal atoms and their adsorbate related complexes on the GaAs surface. The symmetry of Mn is studied experimentally both at GaAs(110) and GaAs(1 10) cleavage surface. In order to examine the electronic structure of the Mn state, we compared our measurements with a multi band tight binding model. The model considers the non spherical symmetry of the GaAs top most valence band structure and takes into account the spin orbit interaction. The calculations were performed for a bulk like (Mn2+3d5 hole) complex and excluded the possible effects caused by the presence of the surface and a vacuum half sphere. The results of the bulk calculations made it possible to distinguish the experimentally observed actual surface induced effects from the bulk properties of the Mn acceptor state located near the vacuum interface. In chapter 6, the wave functions of single Mn, and Cd acceptors at 10atomic layers below the (110) cleavage surface of GaAs and GaP are spatially mapped both at room and low temperature (T 5 K) In particular, the effect of the spin orbit interaction on the acceptor wave functions is investigated by comparing a set of measurements on Mn doped GaAs and Cd doped GaP samples. Although the binding energy of Cd (102 meV) in GaP is nearly identical to that of Mn (113 meV) in GaAs, the spin orbit interaction differs by a factor of about 4 between the two materials. Similar to the Mn hole wave function in GaAs, we found a highly anisotropic cross like shape of the hole bound to Cd acceptor at GaP(110) surface. The observed similarity of the symmetry properties of Mn:GaAs and Cd:GaP clearly showed that the anisotropic structure of the acceptor states in III/V semiconductors is found to be determined by the cubic symmetry of the host crystal. The role of the spinorbit interaction on the overall shape of acceptor wave functions is identified to be negligible. Nevertheless, the weak spin orbit coupling in GaP gives rise to additional components in the Cd acceptor wave function as compared to Mn:GaAs. Our experimental results are confirmed by two independent models based on tight binding and effective mass approaches. In chapter 7, a detailed depth dependence of the Mn acceptor wave function symmetry is characterized and quantified experimentally at T 5 K as a function of the depth of the Mn atom up to several layers below the surface. The experimental results are compared with the results of theoretical tightbinding calculations in the presence of an internal homogeneous strain and the strain induced by the surface relaxation, is identified to be the dominant cause of the symmetry breaking of both shallow and deep acceptors in III/V materials. To approximate the case of a relaxed GaAs surface within the tightbinding model, the Ga sub lattice of the GaAs crystal is displaced vertically relative to the As sub lattice. Such a shift of the Ga sub lattice created an internal strain which was found to break the Mn wave function symmetry along a particular direction as observed experimentally. Finally, the effect of the surface related strain on different acceptor species with different binding energies is investigated with an emphasis on their (001) reflection asymmetry. As the strain driven effect is found to be stronger for weakly bound acceptors, this work explains within a unified approach the long standing problem of the commonly observed triangular shaped STM images for all shallow acceptors and the cross like features of deeply bound acceptor wave functions in III/V semiconductors. The results are of general importance for the dopant atoms in a stain field as well as near a strained interface. Further analysis on the acceptor wave functions, as presented in this thesis, suggests that the deep acceptor wave functions can be used as atomic scale sensors to trace the strain profile in a strained semiconductors.",
"author_names": [
"Cem Celebi"
],
"corpus_id": 228036763,
"doc_id": "228036763",
"n_citations": 1,
"n_key_citations": 1,
"score": 0,
"title": "Atomic scale study of impurities and nanostructures in compound semiconductors",
"venue": "",
"year": 2009
},
{
"abstract": "The fundamental structure property relationship of semiconductor quantum dots has been investigated. For deposited germanium nanocrystals strong quantum confinement effects have been determined with synchrotron radiation based x ray absorption and photoemission techniques. The nanocrystals are condensed out of the gas phase with a narrow size distribution and subsequently deposited in situ onto various substrates. The particles are crystalline in the cubic phase with a structurally disordered surface shell and the resulting film morphology depends strongly on the substrate material and condition. The disordered surface region has an impact on the overall electronic structure of the particles. In a size dependent study, the conduction and valence band edge of germanium nanocrystals have been measured for the first time and compared to the bulk crystal. The band edges move to higher energies as the particle size is decreased, consistent with quantum confinement theory. To obtain a more accurate analysis of confinement effects in the empty states, a novel analysis method utilizing an effective particle size for the x ray absorption experiment, which allows a deconvolution of absorption edge broadening effects, has been introduced. Comparison of the present study to earlier studies on silicon reveals that germanium exhibits stronger quantum confinement effects than silicon. Below amore critical particle size of 2.3 0.7 nm, the band gap of germanium becomes larger than that of silicon even if it is the opposite for bulk materials. This result agrees phenomenologically with effective mass and tight binding theories but contradicts the findings of recent pseudopotential calculations. The discrepancy between theory and experiments is attributed to the differences in the theoretical models and experimental systems. The experimentally observed structural disorder of the particle surface has to be included in the theoretical models. less",
"author_names": [
"Christoph Bostedt"
],
"corpus_id": 136673372,
"doc_id": "136673372",
"n_citations": 10,
"n_key_citations": 2,
"score": 0,
"title": "Electronic Structure of Germanium Nanocrystal Films Probed with Synchrotron Radiation",
"venue": "",
"year": 2002
},
{
"abstract": "Overview and Background: M.A. Reed and W.P. Kirk, Overview and Background. Conceptual Origins of Nanostructures: R. Landauer, Nanostructure Physics: Fashion or Depth? A.J. Leggett, Quantum and Classical Concepts at the One Electron Level. R.A. Webb, Quantum Interference Effects in Condensed Matter Physics. Lateral Periodicity and Confinement: H.I. Smith, K. Ismail, W. Chu, A. Yen, Y.C. Ku, M.L. Schattenburg, and D.A. Antoniadis, Fabrication of Quantum Effect Electronic Devices Using X Ray Nanolithography. J.P. Kotthaus, Transport Properties and Infrared Excitations of Laterally Periodic Nanostructures. S.P Beaumont, Fabrication and Overgrowth of Quantum Wires and Dots for Optoelectronic Applications. M. Watt, H.E.G. Arnot, C.M.S. Torres, and S.P. Beaumont, Surface Phonon Studies of Nanostructures. W. Hansen, T.P. Smith III, J.A. Brum, J.M. Hong, K.Y. Lee, C.M. Knoedler, D.P. Kern, and L.L. Chang, Magnetic Effects in Quantum Dots. J.H. Davies, Analytic Self Consistent Calculations for Inhomogeneous Two Dimensional Electron Gases. G. Danan, J.S. Weiner, A. Pinczuk, J. Valladares, L.N. Pfeiffer, and K. West, Optical Investigation of a One Dimensional Electron Gas. S. Bandyopadhyay, Quantum Phase Coherent Effects in the Photoluminescence Spectra of Disordered Mesoscopic Structures. J.A. Nixon, J.H. Davies, and J.R. Barker, Fluctuations in Sub Micron Semiconducting Devices Caused by the Random Positions of Dopants. W.B. Kinard, M.H. Weichold, G.F. Spencer, and W.P. Kirk, Laterally Confined Resonant Tunneling Diode with Adjustable Quantum Dot Cross Section. G. Neofotistos, K. Diff, and J.D. Gunton, Time Dependent Modeling of Resonant Tunneling Structures Using the 3 Dimenensional Schrodinger Equation: Investigation of the Intrinsic Time Characteristics of a Zero Dimensional Semiconductor Nanostructure. S.E. Ulloa, Y.C. Lee, and B.S. Mendoza, Interlevel Plasmons in Quasi One Dimensional Structures. Quantum Devices and Transistors: D. van der Marel, Theory of the Quantum Ballistic Transport in Constrictions and Quantum Resonance Devices. F. Sols, M. Macucci, U. Ravaioli, and K. Hess, Criteria for Transister Action Based on Quantum Interference Phenomena. D.C. Miller, R.K. Lake, S. Datta, M.S. Lundstrom, M.R. Melloch, and R. Reifenberger, Modulation of the Conductance of T Shaped Electron Waveguide Structures with a Remote Gate. T. Hiramoto, T. Odagiri, K. Hirakawa, Y. Iye, and T. Ikoma, Anomalous Drain Conductance in Quasi One Dimensional AlGaAs/GaAs Quantum Wire Transistors Fabricated by Focused Ion Beam Implantation. S. Bandyopadhyay, G.H. Bernstein, and W. Porod, Quantum Devices Based on Phase Coherent Lateral Quantum Transport. U.K. Reddy, G.I. Haddad, I. Mehdi, and R.K. Mains, Fabrication and Room Temperature Operation of a Resonant Tunneling Transistor with a Pseudomorphic InGaAs Base. J.M. Ryan, J. Han, A.M. Kriman, D.K. Ferry, and P. Newman, Overshoot Saturation in Ultra Short Channel FETs due to Minimum Acceleration Lengths. S. Bhobe, W. Porod, S. Bandyopadhyay, and D.J. Kirkner, Tailoring Transport Properties by Wavefunction Engineering in Quantum Wells and Its Device Applications. I. Mehdi and G.I. Haddad, InP Based Resonant Tunneling Diodes for Millimeter Wave Power Generation. R. Bertoncini, A.M. Kriman, and D.K. Ferry, Field/Scattering Interaction Quantization in High Field Quantum Transport. Equilibrium and Nonequilibrium Response in Nanoelectronic Structures: A.F.J. Levi and S. Schmitt Rink, Nonequilibrium Electron Dynamics in Small Semiconductor Structures. M. Heiblum, Ballistic Transport in the Vertical and Horizontal Domains. W.R. Frensley, Quantum Kinetic Theory of Nanoelectronic Devices. S. Datta and M.J. McLennan, Quantum Transport with Dissipation: Linear and Non linear Response. J.R. Barker, Theory of Quantum Transport in Lateral Nanostructures. M.L. Leadbeater, E.S. Alves, L. Eaves, M. Henini, O.H. Hughes, F.W. Sheard, and G.A. Toombs, High Magnetic Field Studies of Intrinsic Bistability, Electron Thermalization and Ballistic Effects in Resonant Tunneling Devices. B.Y K. Hu, J.W. Wilkins, and S.K. Sarker, Quantum Transport Equation Approach to Nonequilibrium Screening. C. Lent, S. Sivaprakasam, and D.J. Kirkner, Calculation of Ballistic Transport in Two Dimensional Quantum Structures Using the Finite Element Method. K. Diff, G. Neofotistos, H. Guo, and J.D. Gunton, Resonant Tunneling in Double Barrier Diodes. W.W. Lui and J. Frey, A Simplified Method for Quantum Size Effect Analysis in Sub Micron Devices Including Fermi Dirac Statistics. R.L. Kamocsai and W. Porod, A Monte Carlo Study of the Influence of Traps on High Field Electronic Transport in a SiO2. J.A. St*alovneng and E.H. Hauge, Tunneling Times and the B*aduttiker Landauer Model. R.A. Serota and J. Yu, Variance Fluctuations and Sample Statistics for Fluctuating Variable Range Hopping Conduction. Quantum Wires and Ballistic Point Contacts: M. B*aduttiker, When is the Hall Resistance Quantized? G. Timp, R. Behringer, S. Sampere, J.E. Cunningham, and R.E. Howard, When Isn't the Conductance of an Electron Waveguide Quantized? H. van Houten and C.W.J. Beenakker, Electron Beams and Waveguide Modes: Aspects of Quantum Ballistic Transport. B.J. van Wees, L.P. Kouwenhoven, E.M.M. Willems, and C.J.P.M. Harmans, Quantum Ballistic Transport in High Magnetic Fields. A.D. Stone, A. Szafer, and H.U. Baranger, New Theoretical Results on Ballistic Quantum Transport: Quenching of the Hall Resistance and Quantized Contact Resistance. Y. Imry, Theoretical Considerations for Some New Effects in Narrow Wires. C.J.B. Ford, The Low Field Hall Effect in Quasi Ballistic Wires. A. Sachrajda, D. Landheer, R. Boulet, J. Stalica, and T. Moore, Evidence for an Inhomogeneity Size Effect in Micron Size GaAs/A1GaAs Constrictions. S.D. Berger, H.A. Huggins, A.E. White, K.T. Short, and D. Loretto, A New Technique to Produce Single Crystal Epitaxial Nanostructures. M. Cahay, S. Bandyopadhyay, and H.L. Grubin, Doubled Frequency of the Conductance Minima in Electrostatic Aharonov Bohm Oscillations in One Dimensional Rings. A. Pruisken and Z. Wang, Mesoscopic Conductance Fluctuations in Disordered Metals. Related Fabrication and Phenomena: A.N. Broers, Resolution Limits of Electron Beam Lithography and Methods for Avoiding These Limits. A. Scherer, M.L. Roukes, and B.P. Van der Gang, Quantum Device Microfabrication at the Resolution Limit of Ion Beam Processing. E.E. Ehrichs and A.L. de Lozanne, Fabrication of Nanometer Features with a Scanning Tunneling Microscope. A.E. Owen, P.J.S. Ewen, A. Zakery, M.N. Kozicki, and Y. Khawaja, Metal Chalcogenide Photoresists for High Resolution Lithography and Sub Micron Structures. D.C. Ralph, K.S. Ralls, and R.A. Buhrman, Defect Motion, Electromigration and Conductance Fluctuations in Metal Nanocontacts. C.R. Martin, M.J. Tierney, I.F. Cheng, L.S. Van Dyke, Z. Cai, J.R. McBride, and C.J. Brumlik, Nano and Microstructures in Chemistry, Electrochemistry, and Materials Science. C. Van Haesendonck, H. Vloeberghs, Y. Bruynseraede, and R. Jonckheere, Electronic Transport in Mesoscopic AuFe Spin Glasses. N. Giordano, Nature of the Superconducting Transition in Very Thin Wires. L. Reggiani and V. Kozlov, Population Inversion in Superconducting Quantum Wells Under Ballistic Conditions. A. Badakhshan, C. Durbin, A. Giordana, R. Glosser, S.A. Lambert, and J. Liu, Photoreflectance of Semiconductors Beyond the Band Gap. M. Cahay, M.A. Osman, H.L. Grubin, and M. McLennan, Space Charge Effects in Compositional and Effective Mass Superlattices. G.Y. Hu and R.F. O'Connell, 1/f Noise in Two Dimensional Mesoscopic Systems from a Generalized Quantum Langevin Equation Approach. NPF Symposium Participants. Author Index.",
"author_names": [
"Mark A Reed",
"Wiley P Kirk"
],
"corpus_id": 118983170,
"doc_id": "118983170",
"n_citations": 22,
"n_key_citations": 0,
"score": 0,
"title": "Nanostructure physics and fabrication proceedings of the international symposium, College Station, Texas, March 13 15, 1989",
"venue": "",
"year": 1989
},
{
"abstract": "This thesis describes growth, processing, characterization and photoluminescence (PL) spectroscopy of nanowire heterostructures. The nanowires were made of III V semiconductor materials and were produced by Au particle assisted growth. Axial heterostructures in the form of quantum dots (QDs) in the nanowires as well as radial heterostructures in the form of core shell nanowires were studied. Axial heterostructures in the InAs GaAs and InAs InP material systems were studied and it was found that the latter material system gave the best control of the QD dimensions and spectrum. In this system wurtzite InAs0.85P0.15 QDs with well defined dimensions were grown in wurtzite InP nanowires. QDs with diameters, d, between 9 and 22 nm were produced. By growing the heterostructures using only the In stored in the Au seed particle and AsH3 from the gas phase a well controllable QD height of 0.35d was achieved for nanowires with diameters larger than the critical diameter for the Gibbs Thomson effect, which was estimated to be 9 nm under the growth conditions used. The size dependence of the QD PL spectrum was studied. We found that the largest QDs were luminescing at the telecommunication wavelength of 1300 nm. With decreasing QD size the emission blue shifted and level splittings as well as the biexciton binding energy increased, illustrating strong confinement. A comparison of the experimental data to a strain dependent k*p model indicated that the band gap of InAs0.85P0.15 is 190 meV larger and the effective mass is a factor two larger in the wurtzite polytype compared to the zinc blende polytype. Radial heterostructures, core shell nanowires, were grown using low temperature, kinetically limited growth for the core to suppress lateral growth and high temperature growth for the shell. Core shell nanowires in two material systems, GaAs GaInP and GaAs AlInP, were investigated. It was found that the shell increases the emission efficiency of the core two to three orders of magnitude by moving the surface states away from the core. The effect of strain, caused by a lattice mismatched shell, on the PL spectrum was investigated and the core emission was tuned over a range of 240 meV. It was also found that planar defects such as twins and stacking faults in the nanowire propagate into the shell during growth and that new twins and stacking faults are created due to {1,1,1} facetted corrugations on the nanowire surface. A defect free shell therefore requires a defect free core without {1,1,1} micro facets. Furthermore, phase segregation due to capillarity effects during shell growth was studied. Cross sectional transmission electron microscopy showed that Al rich domains are formed in the directions in the shell. However, PL investigations indicated that In rich domains also exist, these are possibly associated with the corrugated nanowire surface. Two other applications for the core shell nanowires were also investigated. A growth scheme was developed where the shell growth was extended in order to completely embed the nanowires which could then be cleaved to enable cross sectional scanning tunneling microscopy of the interior of the nanowires. Such studies of axial heterostructures revealed an asymmetry in the sharpness of heterostructure interfaces as a consequence of large amounts of group III material being stored in the Au particle causing memory effects following material switching. In the second application a process for conversion of core shell nanowires into tubular nanowires which can be used for cell injection was developed. It was shown that these tubular nanowires can be used to efficiently deliver cell membrane impermeable molecules to macrophages. (Less)",
"author_names": [
"Niklas Skold"
],
"corpus_id": 137876136,
"doc_id": "137876136",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Nanowire Heterostructures Growth, Characterization and Optical Physics",
"venue": "",
"year": 2008
}
] |
BERT and transformer | [
{
"abstract": "High performance gate drive power supply (GDPS) plays a crucial role in ensuring the reliability and safety of the gate driver for power semiconductor devices. This article focuses on the design of a high voltage insulated GDPS for the 10 kV silicon carbide MOSFET in medium voltage (MV) application. Design considerations, including insulation scheme, high voltage insulated transformer design, and load voltage regulation scheme, are proposed. In addition, the performance of the secondary side regulated (SSR) GDPS and that of the primary side regulated (PSR) GDPS are compared for several aspects, including interwinding capacitance, load voltage regulation rate, conversion efficiency, and hardware complexity. Finally, an SSR GDPS and a PSR GDPS, with an insulation voltage of 20 kV, are built in the lab. The test results demonstrate that the PSR GDPS is more preferable because of lower interwinding capacitance, lower load voltage regulation rate, higher conversion efficiency, and simpler control circuit.",
"author_names": [
"Li Zhang",
"Shiqi Ji",
"Shida Gu",
"Xingxuan Huang",
"James Palmer",
"William Giewont",
"Leon M Tolbert"
],
"corpus_id": 226424161,
"doc_id": "226424161",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Design Considerations for High Voltage Insulated Gate Drive Power Supply for 10 kV SiC MOSFET Applied in Medium Voltage Converter",
"venue": "IEEE Transactions on Industrial Electronics",
"year": 2021
},
{
"abstract": "BERT based models are currently used for solving nearly all Natural Language Processing (NLP) tasks and most often achieve state of the art results. Therefore, the NLP community conducts extensive research on understanding these models, but above all on designing effective and efficient training procedures. Several ablation studies investigating how to train BERT like models have been carried out, but the vast majority of them concerned only the English language. A training procedure designed for English does not have to be universal and applicable to other especially typologically different languages. Therefore, this paper presents the first ablation study focused on Polish, which, unlike the isolating English language, is a fusional language. We design and thoroughly evaluate a pretraining procedure of transferring knowledge from multilingual to monolingual BERT based models. In addition to multilingual model initialization, other factors that possibly influence pretraining are also explored, i.e. training objective, corpus size, BPE Dropout, and pretraining length. Based on the proposed procedure, a Polish BERT based language model HerBERT is trained. This model achieves state of the art results on multiple downstream tasks.",
"author_names": [
"Robert Mroczkowski",
"Piotr Rybak",
"Alina Wroblewska",
"Ireneusz Gawlik"
],
"corpus_id": 233365297,
"doc_id": "233365297",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "HerBERT: Efficiently Pretrained Transformer based Language Model for Polish",
"venue": "BSNLP",
"year": 2021
},
{
"abstract": "Technology assisted review (TAR) refers to iterative active learning workflows for document review in high recall retrieval (HRR) tasks. TAR research and most commercial TAR software has applied linear models such as logistic regression or support vector machines to lexical features. Transformer based models with supervised tuning have been found to improve effectiveness on many text classification tasks, suggesting their use in TAR. We indeed find that the pre trained BERT model reduces review volume by 30% in TAR workflows simulated on the RCV1 v2 newswire collection. In contrast, we find that linear models outperform BERT for simulated legal discovery topics on the Jeb Bush e mail collection. This suggests the match between transformer pre training corpora and the task domain is more important than generally appreciated. Additionally, we show that just right language model fine tuning on the task collection before starting active learning is critical. Both too little or too much fine tuning results in performance worse than that of linear models, even for RCV1 v2.",
"author_names": [
"Eugene Yang",
"Sean MacAvaney",
"David D Lewis",
"Ophir Frieder"
],
"corpus_id": 233481800,
"doc_id": "233481800",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Goldilocks: Just Right Tuning of BERT for Technology Assisted Review",
"venue": "ArXiv",
"year": 2021
},
{
"abstract": "In this article, we introduce a fractional N all digital phase locked loop (ADPLL) architecture based on a single LC tank, featuring an ultra wide tuning range (TR) and optimized for ultra low area in 10 nm FinFET CMOS. Underpinned by excellent switches in the FinFET technology, a high turn on/off capacitance ratio of LC tank switched capacitors, in addition to an adjustable magnetic coupling technique, yields almost an octave TR from 10.8 to 19.3GHz. A new method to compensate for the tracking bank resolution can maintain its quantization noise level over this wide TR. A new scheme is adopted to overcome the metastability resolution problem in a fractional N ADPLL operation. A low complexity TDC gain estimator reduces the digital core area by progressive averaging and time division multiplexing. Among the published fractional N PLLs with an area smaller than 0.1mm2, this work achieves an rms jitter of 725fs in an internal fractional N mode of ADPLL's phase detector (2.7 4.825GHz) yielding the best overall jitter figure of merit (FOM) of 232dB. This topology features small area (0.034mm2) wide TR (56.5% and good supply noise rejection (1.8%/V) resulting in FOMs with normalized TR (FOMT) of 247dB, and normalized TR and area (FOMTA) of 262dB.",
"author_names": [
"Min-Shueh Yuan",
"Chia-Chun Liao",
"Chih-Hsien Chang",
"Yu-Tso Lin",
"Tsung-Hsien Tsai",
"Tien-Chien Huang",
"Hsien-Yuan Liao",
"Chung-Ting Lu",
"Hung-Yi Kuo",
"Augusto Ronchini Ximenes",
"Robert Bogdan Staszewski"
],
"corpus_id": 233333059,
"doc_id": "233333059",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "A Compact Transformer Based Fractional N ADPLL in 10 nm FinFET CMOS",
"venue": "IEEE Transactions on Circuits and Systems I: Regular Papers",
"year": 2021
},
{
"abstract": "This paper demonstrates a wideband 2.4 GHz <inline formula> <tex math notation=\"LaTeX\"$2\\times 9$ /tex math>/inline formula> bit Cartesian radio frequency digital to analog converter (RFDAC) Active under coil integration is introduced in the physical implementation, where all key active circuitry is located underneath the matching network transformer, achieving a core area of merely 0.35 mm<sup>2</sup> An <inline formula> <tex math notation=\"LaTeX\"$8\\times /tex math>/inline formula> analog linear interpolation at the RF rate is proposed to suppress replicas close to the carrier while avoiding any high order and high speed digital filters in digital processing back end. The multi port transformer is adopted in the matching network to improve the back off efficiency. The measured peak output power and drain efficiency at the center frequency of 2.4 GHz are 17.47 dBm and 17.6% respectively, while the peak efficiency is 19.03% Moreover, the 6 dB back off efficiency is at 66% of that at the peak output power. The active under coil integration helps this RFDAC to achieve the smallest area among comparable prior arts.",
"author_names": [
"Feifei Zhang",
"Jeffrey Sean Walling",
"Anding Zhu",
"Robert Bogdan Staszewski"
],
"corpus_id": 233333708,
"doc_id": "233333708",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "An Active Under Coil RFDAC With Analog Linear Interpolation in 28 nm CMOS",
"venue": "IEEE Transactions on Circuits and Systems I: Regular Papers",
"year": 2021
},
{
"abstract": "Vision and Language (VL) pre training has shown great potential on many related downstream tasks, such as Visual Question Answering (VQA) one of the most popular problems in the VL field. All of these pre trained models (such as VisualBERT, ViLBERT, LXMERT and UNITER) are built with Transformer, which extends the classical attention mechanism to multiple layers and heads. To investigate why and how these models work on VQA so well, in this paper we explore the roles of individual heads and layers in Transformer models when handling 12 different types of questions. Specifically, we manually remove (chop) heads (or layers) from a pre trained VisualBERT model at a time, and test it on different levels of questions to record its performance. As shown in the interesting echelon shape of the result matrices, experiments reveal different heads and layers are responsible for different question types, with higher level layers activated by higher level visual reasoning questions. Based on this observation, we design a dynamic chopping module that can automatically remove heads and layers of the VisualBERT at an instance level when dealing with different questions. Our dynamic chopping module can effectively reduce the parameters of the original model by 50% while only damaging the accuracy by less than 1% on the VQA task.",
"author_names": [
"Chenyu Gao",
"Qi Wu"
],
"corpus_id": 233476555,
"doc_id": "233476555",
"n_citations": 0,
"n_key_citations": 0,
"score": 1,
"title": "Chop Chop BERT: Visual Question Answering by Chopping VisualBERT's Heads",
"venue": "IJCAI",
"year": 2021
},
{
"abstract": "Social web contains a large amount of information with user sentiment and opinions across different fields. For example, drugs.com provides users' textual review and numeric ratings of drugs. However, text reviews may not always be consistent with the numeric ratings. In this project, we built different classification models to classify user ratings of drugs with their textual review. Multiple supervised machine learning models including Random Forest and Naive Bayesian classifiers were built with drug reviews using TF IDF features as input. Also, transformer based neural network models including BERT, BioBERT, RoBERTa, XLNet, ELECTRA, and ALBERT were built for classification using the raw text as input. Overall, BioBERT model outperformed the other models with the overall accuracy of 87% This research demonstrated that transformer based classification models can be used to classify drug reviews and identify reviews that are inconsistent with the ratings.",
"author_names": [
"A A Shiju",
"Z He"
],
"corpus_id": 233306502,
"doc_id": "233306502",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Classifying Drug Ratings Using User Reviews with Transformer Based Language Models",
"venue": "medRxiv",
"year": 2021
},
{
"abstract": "Transformer based neural networks have heavily impacted the field of natural language processing, outperforming most previous state of the art models. However, well known models such as BERT, RoBERTa, and GPT 2 require a huge compute budget to create a high quality contextualised representations. In this paper, we study several efficient pre training objectives for Transformersbased models. By testing these objectives on different tasks, we determine which of the ELECTRA model's new features is the most relevant: (i) Transformers pre training can be improved when the input is not altered with artificial symbols, e.g. masked tokens; and (ii) loss functions computed using the whole output reduce training time. (iii) Additionally, we study efficient models composed of two blocks: a discriminator and a simple generator (inspired by the ELECTRA architecture) Our generator is based on a much simpler statistical approach, which minimally increases the computational cost. Our experiments show that it is possible to efficiently train BERT like models using a discriminative approach as in ELECTRA but without a complex generator. Finally, we show that ELECTRA largely benefits from a deep hyper parameter search.",
"author_names": [
"Luca Di Liello",
"Matteo Gabburo",
"Alessandro Moschitti"
],
"corpus_id": 233306960,
"doc_id": "233306960",
"n_citations": 2,
"n_key_citations": 1,
"score": 0,
"title": "Efficient pre training objectives for Transformers",
"venue": "ArXiv",
"year": 2021
},
{
"abstract": "In this work, we show the process of building a large scale training set from digital and digitized collections at a national library. The resulting Bidirectional Encoder Representations from Transformers (BERT) based language model for Norwegian outperforms multilingual BERT (mBERT) models in several token and sequence classification tasks for both Norwegian Bokmal and Norwegian Nynorsk. Our model also improves the mBERT performance for other languages present in the corpus such as English, Swedish, and Danish. For languages not included in the corpus, the weights degrade moderately while keeping strong multilingual properties. Therefore, we show that building high quality models within a memory institution using somewhat noisy optical character recognition (OCR) content is feasible, and we hope to pave the way for other memory institutions to follow.",
"author_names": [
"Per Egil Kummervold",
"Javier de la Rosa",
"Freddy Wetjen",
"Svein Arne Brygfjeld"
],
"corpus_id": 233307467,
"doc_id": "233307467",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Operationalizing a National Digital Library: The Case for a Norwegian Transformer Model",
"venue": "NODALIDA",
"year": 2021
},
{
"abstract": "We probe pre trained transformer language models for bridging inference. We first investigate individual attention heads in BERT and observe that attention heads at higher layers prominently focus on bridging relations in comparison with the lower and middle layers, also, few specific attention heads concentrate consistently on bridging. More importantly, we consider language models as a whole in our second approach where bridging anaphora resolution is formulated as a masked token prediction task (Of Cloze test) Our formulation produces optimistic results without any fine tuning, which indicates that pre trained language models substantially capture bridging inference. Our further investigation shows that the distance between anaphor antecedent and the context provided to language models play an important role in the inference.",
"author_names": [
"Onkar Arun Pandit",
"Yufang Hou"
],
"corpus_id": 233296222,
"doc_id": "233296222",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Probing for Bridging Inference in Transformer Language Models",
"venue": "NAACL",
"year": 2021
}
] |
electrochemical copper oxide films effect of bath PH | [
{
"abstract": "PREPARATION AND CHARACTERIZATION OF PROPERTIES OF ELECTRODEPOSITED COPPER OXIDE FILMS Publication No. ______ LONGCHENG WANG, PhD. The University of Texas at Arlington, 2006 Supervising Professor: MENG TAO Copper oxides, including cuprous oxide and cupric oxide, are prepared by electrochemical deposition. The structural, optical and electrical properties of as deposited copper oxides are evaluated, based on which cuprous oxide is selected as a promising material for photovoltaic applications. Electrodeposited cuprous oxide is a p type semiconductor with a direct band gap of 2.06 eV. The mechanism of how pH affects the structural and electrical properties of electro deposited cuprous oxide films is studied. In the pH range of 7.5 to 12.0, there are three different preferred crystal orientations: (100) (110) and (111) With different orientations, cuprous oxide shows different surface morphology and iv grain size. Bath pH effect on structural properties is explained by its effect on the growth rate of different crystallographic planes with different Cu/O ratios. Capacitance voltage measurements are performed to study electrical properties of differently oriented cuprous oxide films. The results show that the flat band potential shifts negatively as the bath pH increases. Electrodeposited cupric oxide is a p type cupric oxide with an indirect band gap of 1.32 eV. Different cleaning methods are used to clean the substrate surface for electrodeposition of cupric oxide. Electrochemical etching is proven to be an effective method for Cu substrate cleaning in cupric oxide deposition. In particular, in situ electrochemical etching is developed, which prevents the cleaned substrate from exposure to air. Current voltage characterization shows that cupric oxide deposited on electrochemically etched Cu substrates has favorable electrical properties and better rectification behavior. Cuprous oxide is selected for the fabrication of p n homo junction because it has better crystallinity, bigger grains, better control over crystal quality and a direct band gap. Based on the model that bath pH can control the stoichiometry and native point defects in electrodeposited cuprous oxide films, both p type and n type cuprous oxides are successfully deposited at different bath pH values. For samples deposited at pH below 7.5, cuprous oxides are n type semiconductors, while at bath pH above 9.0, cuprous oxides are p type semiconductors. Furthermore, a two step deposition process is developed to fabricate a p n homo junction in cuprous oxide. Current voltage measurements show a typical rectification behavior of a p n junction for these samples,",
"author_names": [
"Longcheng Wang"
],
"corpus_id": 93838759,
"doc_id": "93838759",
"n_citations": 7,
"n_key_citations": 0,
"score": 0,
"title": "Preparation and characterization of properties of electrodeposited copper oxide films",
"venue": "",
"year": 2006
},
{
"abstract": "Abstract Copper (I) oxide (Cu 2 O) films were cathodically electrodeposited on Sn doped indium oxide substrates. The influence of electrodeposition bath pH on grain orientation and crystallite shape was carefully re examined using X ray diffraction and scanning electron microscopy. In addition to the (100) and (111) preferred orientations identified in two previous sets of studies, as the bath pH was varied in the present study from 7.5 to 12, a third preferred orientation, (110) was identified in a narrow pH range, 9.4 to 9.9. A remarkable shift in the flat band potential (spanning 500 mV) was measured in a non aqueous electrolyte medium for the various Cu 2 O samples obtained from baths of varying pH.",
"author_names": [
"Li Chen Wang",
"Norma R de Tacconi",
"C Ramannair Chenthamarakshan",
"Krishnan Rajeshwar",
"Meng Tao"
],
"corpus_id": 95598839,
"doc_id": "95598839",
"n_citations": 124,
"n_key_citations": 0,
"score": 1,
"title": "Electrodeposited copper oxide films: Effect of bath pH on grain orientation and orientation dependent interfacial behavior",
"venue": "",
"year": 2007
},
{
"abstract": "Cuprous oxide (Cu 2 O) thin films have been successfully electrodeposited onto indium tin oxide coated glass substrates from copper acetate solution and characterized by x ray diffraction analysis, scanning electron microscopy, ultraviolet visible (UV Vis) spectroscopy, and photoelectrochemical (PEC) and electrical Hall effect measurements. The effect of the solution pH on the structural, morphological, optical, PEC, and electrical properties of the deposited Cu 2 O thin films was investigated. The x ray diffraction results indicated that the prepared Cu 2 O films exhibited good crystallinity with pure cubic structure, while the crystallite size increased with increasing solution pH. The preferential orientation of the deposited films also changed with the pH value. When the solution pH was changed, the surface morphology changed from spherical to pyramidal shape with increasing grain size. The optical characteristics of the Cu 2 O thin films were not significantly affected by changing the solution pH value. PEC measurements revealed that Cu 2 O thin films prepared at pH 6.2 exhibited n type conductivity, while those obtained at pH 11 showed p type conductivity. These results were confirmed by Hall effect measurements. The obtained Cu 2 O thin films would be promising semiconductor materials for use in various applications such as PEC cells and photovoltaic solar cells.",
"author_names": [
"Hassiba Rahal",
"Rafiaa Kihal",
"Abed Mohamed Affoune",
"Samia Rahal"
],
"corpus_id": 218562012,
"doc_id": "218562012",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Effect of Solution pH on Properties of Cuprous Oxide Thin Films Prepared by Electrodeposition from a New Bath",
"venue": "Journal of Electronic Materials",
"year": 2020
},
{
"abstract": "S.BOUDINAR N.BENBRAHIM, K.SEGUENI, A.KADRI Laboratoire de Physique et Chimie des Materiaux (LPCM) Universite Mouloud MAMMERI de Tizi Ouzou, BP 17, RP Tizi Ouzou 15000, Algerie Corresponding author: [email protected] ABSTRACT In this work, the electrodeposition of bismuth oxide from alkaline bath onto a copper substrate was studied. In order to obtain bismuth oxide with a desired crystallographic structure, the effect of annealing temperature on the morphological and structural proprieties of Bi 2 O 3 was investigated. Cyclic voltammetry and chroampermmety methods were used to the electrochemical characterization formation of Bi thin layers. The morphological analysis with the scanning electron microscopy (SEM) shows a different surface morphology according to the experimental condition. The X rays diffraction analysis (XRD) reveals the presence a several Bi 2 O 3 phases according to annealing temperature, which also confirmed by Raman spectroscopy. Key words: Bismuth oxide, Electrodeposition, SEM, XRD, Raman spectroscopy.",
"author_names": [
"Salem Boudinar"
],
"corpus_id": 141202701,
"doc_id": "141202701",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Electrochemical synthesis and characterization of Bismuth oxide thin films from alkaline bath: Effect of annealing temperature",
"venue": "",
"year": 2018
},
{
"abstract": "Abstract. The semiconducting properties of anodic passive films formed potentiostatically on polycrystalline copper in aqueous borax solutions were studied using electrochemical impedance spectroscopy, photocurrent spectroscopy and ellipsometric measurements. The semiconducting nature of the cuprous passive layer was analysed as a function of pH (9.2>pH>7.4) electrode potential and with the addition of 3.5 mM Na2CO3 and 8 mM Na2SO4. The different growth conditions change the compactness and the defect or excess of cations accumulated in the compact inner cuprous layer, leading to different semiconducting properties.",
"author_names": [
"Jorge O Zerbino",
"Liliana Mabel Gassa"
],
"corpus_id": 98230544,
"doc_id": "98230544",
"n_citations": 19,
"n_key_citations": 0,
"score": 0,
"title": "Electrochemical impedance spectroscopy study of cuprous oxide films formed on copper: effect of pH and sulfate and carbonate ions",
"venue": "",
"year": 2003
},
{
"abstract": "Abstract The formation of sulfide and oxide films on copper has been studied in sulfide solutions containing chloride and buffered to pH 9 with borate over the temperature range 20 degC to 80 degC. The primary goal was to investigate the susceptibility of copper to pitting corrosion over a range of temperatures expected in a deep geological repository. Films were formed electrochemically and characterized using scanning electron microscopy (SEM) energy dispersive X ray and Raman spectroscopy and X ray diffractometry (XRD) Chalcocite (Cu2S) formation was observed to occur under partially transport controlled conditions in the potential range 0.9 V to 0.3 V vs SCE. At less negative potentials 0.3 V vs SCE) a transition from active dissolution to partial passivation by a copper oxide film was observed. Temperature had only a minor effect on the formation of the sulfide film, but the onset of active dissolution and oxide film formation shifted to lower potentials as the temperature increased.",
"author_names": [
"M Guo",
"Christina Lilja",
"Vahid Dehnavi",
"Mehran Behazin",
"J J Noel",
"David W Shoesmith"
],
"corpus_id": 224966956,
"doc_id": "224966956",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "The anodic formation of sulfide and oxide films on copper in borate buffered aqueous chloride solutions containing sulfide",
"venue": "",
"year": 2020
},
{
"abstract": "A simple two step approach has been employed to synthesize a cobalt nickel copper ternary metal oxide, involving electrochemical precipitation/deposition followed by calcination. The ternary metal hydroxide gets precipitated/deposited from a nitrate bath at the cathode in the catholyte chamber of a two compartment diaphragm cell at room temperature having a pH 3. The microstructure of the ternary hydroxides was modified in situ by two different surfactants such as cetyltrimethylammonium bromide and dodecyltrimethylammonium bromide in the bath aiming for enhanced storage performance in the electrochemical devices. The effect of the surfactant produces a transition from microspheres to nanosheets, and the effect of micelle concentration produces nanospheres at a higher ion concentration. The ternary hydroxides were calcined at 300 degC to obtain the desired ternary mixed oxide materials as the electrode for hybrid supercapacitors. X ray diffraction analysis confirmed the formation of the ternary metal oxide product. The scanning electron microscopy images associated with energy dispersive analysis suggest the formation of a nanostructured porous composite. Ternary metal oxide in the absence and presence of a surfactant served as the cathode and activated carbon served as the anode for supercapacitor application. DTAB added metal oxide showed 95.1% capacitance retention after 1000 cycles, achieving 188 F/g at a current density of 0.1 A/g, and thereafter stable until 5000 cycles, inferring that more transition metals in the oxide along with suitable surfactants at an appropriate micellar concentration may be better for redox reactions and achieving higher electrical conductivity and smaller charge transfer resistance. The role of various metal cations and surfactants as additives in the electrolytic bath has been discussed.",
"author_names": [
"Avijit Biswal",
"Prasanna Kumar Panda",
"Achyuta N Acharya",
"Subhashree Mohapatra",
"Nibedita Swain",
"Bankim Chandra Tripathy",
"Zhong-Tao Jiang",
"Manickam Minakshi Sundaram"
],
"corpus_id": 211563144,
"doc_id": "211563144",
"n_citations": 14,
"n_key_citations": 0,
"score": 0,
"title": "Role of Additives in Electrochemical Deposition of Ternary Metal Oxide Microspheres for Supercapacitor Applications",
"venue": "ACS omega",
"year": 2020
},
{
"abstract": "Well defined cuprous oxides (Cu2O) with different morphologies were successfully deposited on fluorine doped tin oxide (FTO) covered glass substrates by potentiostatic deposition. The effect of the pH values on the crystal morphologies of Cu2O was studied. The as prepared products were characterized by means of X ray diffraction (XRD) scanning electron microscopy (SEM) linear sweep voltammetry (LSV) electrochemical impedance spectroscopy (EIS) and UV visible spectroscopy. The typical XRD patterns revealed that all Cu2O thin films were pure Cu2O phase. Different crystal morphologies of Cu2O could be obtained by varying pH. The Cu2O thin film was composed of a large number of star like shape grains with the pH of 4.8. With a further increase of the pH, the Cu2O film showed the uniform dendrite morphology. LSV uncovered that ER (reduction potential of Cu2+ to Cu+ increased with the pH value enlargement. According to the EIS, diffusion coefficient of Cu2+ ion was reduced with the increase of the pH. The UV vis absorption spectra revealed that the broad absorption peaks were from 300 to 500 nm and the evaluated band gap of the films was tunable in the range of 2.02 2.67 eV, with the variation of the pH values. According to the experiment and relevant literatures, the probable electrodeposition mechanism of the Cu2O was discussed.",
"author_names": [
"Guangli Li",
"Yaohan Huang",
"Qingfei Fan",
"Meili Zhang",
"Qiuming Lan",
"Xi-mei Fan",
"Zuowan Zhou",
"Chaoliang Zhang"
],
"corpus_id": 99649985,
"doc_id": "99649985",
"n_citations": 6,
"n_key_citations": 0,
"score": 0,
"title": "Effects of bath pH on structural and electrochemical performance of Cu2O",
"venue": "Ionics",
"year": 2016
},
{
"abstract": "Environmental conditions and health protection worldwide, is a search engine solutions for the surface finishing industry, in the sense use bath solutions, which do not contain toxic substances, and difficult to treat waters waste. Here are the results from the replacement of copper cyanide by copper sulphate in the composition of an electroless brass plating bath; the electroless brass plating process can be applied directly on Zamak alloys, also on low carbon steels and aluminium. The morphological and brass film colour characteristics were evaluated by scanning electron microscopy, optical microscopy, colour parameters L* a* b* with a spectrophotometer and electrochemical techniques, depending on the molar concentration of copper sulphate. This bath was prepared based on a bath of electroless brass patented in Mexico, the composition of this bath using zinc oxide as a source of Zn ions, a suitable complexing agent and a stabilizer, with an alkaline pH and a temperature of 75 deg C. The results indicate that we can control the colour of brass plating with Cu/Zn ratio.",
"author_names": [
"Gladis P Mendoza-Aragon",
"Roal Torres-Sanchez",
"Adan Borunda-Terrazas",
"Alfredo Aguilar",
"Carlos Dominguez-Rios"
],
"corpus_id": 218570357,
"doc_id": "218570357",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "EFFECT OF REPLACING COPPER CYANIDE FOR COPPER SULPHATE IN A BRASS ELECTROLESS BATH",
"venue": "",
"year": 2016
},
{
"abstract": "The selective dissolution of thin copper oxide films grown on copper in semi aqueous formulations containing dimethyl sulfoxide (DMSO) ammonium fluoride (NH\"4F) and water was studied. Optimization of the formulations was carried out by systematic evaluation of the effect of solvent content and pH on the removal rates of copper oxide films and selectivity towards copper and carbon doped oxide (CDO) low k dielectric film. Copper oxide removal rate of ~180A/min with a selectivity of ~130:1 towards copper and ~20:1 selectivity towards CDO was obtained in a formulation containing 29% DMSO, 1% NH\"4F and 70% H\"2O at pH 4. Electrochemical impedance spectroscopy studies were performed on this system and the data were analyzed to characterize the copper oxide/electrolyte interface with the ultimate objective of developing an end point detection technique for copper oxide removal.",
"author_names": [
"N Venkataraman",
"Srinivasa R Raghavan"
],
"corpus_id": 97083494,
"doc_id": "97083494",
"n_citations": 9,
"n_key_citations": 0,
"score": 0,
"title": "Dissolution and electrochemical impedance spectroscopy studies of thin copper oxide films on copper in semi aqueous fluoride solutions",
"venue": "",
"year": 2010
}
] |
Photocurrent Generation with Two-Dimensional van der Waals Semiconductors. | [
{
"abstract": "Two dimensional (2D) materials have attracted a great deal of interest in recent years. This family of materials allows for the realization of versatile electronic devices and holds promise for next generation (opto)electronics. Their electronic properties strongly depend on the number of layers, making them interesting from a fundamental standpoint. For electronic applications, semiconducting 2D materials benefit from sizable mobilities and large on/off ratios, due to the large modulation achievable via the gate field effect. Moreover, being mechanically strong and flexible, these materials can withstand large strain >10% before rupture, making them interesting for strain engineering and flexible devices. Even in their single layer form, semiconducting 2D materials have demonstrated efficient light absorption, enabling large responsivity in photodetectors. Therefore, semiconducting layered 2D materials are strong candidates for optoelectronic applications, especially for photodetection. Here, we review the state of the art in photodetectors based on semiconducting 2D materials, focusing on the transition metal dichalcogenides, novel van der Waals materials, black phosphorus, and heterostructures.",
"author_names": [
"Michele Buscema",
"Joshua O Island",
"Dirk J Groenendijk",
"Sofya I Blanter",
"Gary A Steele",
"Herre S J van der Zant",
"Andres Castellanos-Gomez"
],
"corpus_id": 205958677,
"doc_id": "205958677",
"n_citations": 403,
"n_key_citations": 1,
"score": 1,
"title": "Photocurrent generation with two dimensional van der Waals semiconductors.",
"venue": "Chemical Society reviews",
"year": 2015
},
{
"abstract": "",
"author_names": [
"Michele Buscema",
"Joshua O Island",
"Dirk J Groenendijk",
"Sofya I Blanter",
"Gary A Steele",
"Herre S J van der Zant",
"Andres Castellanos-Gomez"
],
"corpus_id": 197102918,
"doc_id": "197102918",
"n_citations": 120,
"n_key_citations": 4,
"score": 0,
"title": "Photocurrent Generation with Two Dimensional van der Waals Semiconductors",
"venue": "",
"year": 2015
},
{
"abstract": "Strong many body interactions in two dimensional (2D) semiconductors give rise to efficient exciton exciton annihilation (EEA) This process is expected to result in generation of unbound high energy carriers. Here, we report unconventional photoresponse of van der Waals heterostructure devices resulting from efficient EEA. Our heterostructures, which consist of monolayer transition metal dichalcogenide (TMD) hexagonal boron nitride (hBN) and few layer graphene, exhibit photocurrent when photo excited carriers possess sufficient energy to overcome the high energy barrier of hBN. Interestingly, we find that the device exhibits moderate photocurrent quantum efficiency even when the semiconducting TMD layer is excited at its ground exciton resonance despite the high exciton binding energy and large transport barrier. Using ab initio calculations, we show that EEA yields highly energetic electrons and holes with unevenly distributed energies depending on the scattering condition. Our findings highlight the dominant role of EEA in determining the photoresponse of 2D semiconductor optoelectronic devices.",
"author_names": [
"Eric Linardy",
"Dinesh K Yadav",
"Daniele Vella",
"Ivan A Verzhbitskiy",
"Kenji Watanabe",
"Takashi Taniguchi",
"Fabian Pauly",
"Maxim Trushin",
"Goki Eda"
],
"corpus_id": 211230488,
"doc_id": "211230488",
"n_citations": 9,
"n_key_citations": 0,
"score": 0,
"title": "Harnessing exciton exciton annihilation in two dimensional semiconductors.",
"venue": "Nano letters",
"year": 2020
},
{
"abstract": "Two dimensional semiconductors have a range of electronic and optical properties that can be used in the development of advanced electronic devices. However, unlike conventional silicon semiconductors, simple doping methods to monolithically assemble n and p type channels on a single two dimensional semiconductor are lacking, which makes the fabrication of integrated circuitry challenging. Here we report the reversible photo induced doping of few layer molybdenum ditelluride and tungsten diselenide, where the channel polarity can be reconfigured from n type to p type, and vice versa, with laser light at different frequencies. This reconfigurable doping is attributed to selective light lattice interactions, such as the formation of tellurium self interstitial defects under ultraviolet illumination and the incorporation of substitutional oxygen in tellurium and molybdenum vacancies under visible illumination. Using this approach, we create a complementary metal oxide semiconductor (CMOS) device on a single channel, where the circuit functions can be dynamically reset from a CMOS inverter to a CMOS switch using pulses of different light frequencies. Few layer molybdenum ditelluride and tungsten diselenide field effect transistors can be reversibly doped with different carrier types and concentrations using pulses of ultraviolet and visible light, allowing reconfigurable complementary metal oxide semiconductor circuits to be created.",
"author_names": [
"Seung-Young Seo",
"Gunho Moon",
"Odongo Francis Ngome Okello",
"Min Yeong Park",
"Cheolhee Han",
"Soonyoung Cha",
"Hyunyong Choi",
"Han Woong Yeom",
"Siyoung Q Choi",
"Jewook Park",
"Moon-Ho Jo"
],
"corpus_id": 230586622,
"doc_id": "230586622",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Reconfigurable photo induced doping of two dimensional van der Waals semiconductors using different photon energies",
"venue": "",
"year": 2020
},
{
"abstract": "The interlayer coupling in 2D van der Waals (vdW) heterostructures (HTS) plays the main role in generating new physics. However, the interlayer coupling is often weak, and little information on the strength of interlayer interaction in HTS is available. On the basis of density functional theory, we demonstrate that an effective electron coupling can be created in 2D C3B/C3N vdW HTS. The experimentally synthesized monolayers C3B and C3N are p and n type doped large gap semiconductors, respectively. However, the formed vdW HTS exhibits novel Dirac fermion. The strong interlayer electron coupling results in a large interlayer built in electric field and improved optical properties of the 2D C3B/C3N vdW HTS. Moreover, a simple tight binding model of C3B/C3N HTS with the nonzero interlayer hopping parameters captures the physical picture of interlayer coupling. Our results demonstrate the importance of interlayer electron coupling in the modulation of materials properties of 2D vdW HTS.",
"author_names": [
"Chunmei Zhang",
"Yalong Jiao",
"Tianwei He",
"Steven E Bottle",
"Thomas Frauenheim",
"Aijun Du"
],
"corpus_id": 3324098,
"doc_id": "3324098",
"n_citations": 48,
"n_key_citations": 0,
"score": 0,
"title": "Predicting Two Dimensional C3B/C3N van der Waals p n Heterojunction with Strong Interlayer Electron Coupling and Enhanced Photocurrent.",
"venue": "The journal of physical chemistry letters",
"year": 2018
},
{
"abstract": "In two dimensional (2D) magnetic systems, large magnetic anisotropy is needed to stabilize the magnetic order according to Mermin Wagner theorem. Based on density functional theory (DFT) calculations, we propose that the magnetic anisotropic energy (MAE) of 2D ferromagnetic (FM) semiconductors can be strongly enhanced in van der Waals heterostructures by attaching a nonmagnetic semiconductor monolayer with large spin orbit coupling. We studied \\mathrm{Cr}}_{2}\\mathrm{Ge}}_{2}\\mathrm{Te}}_{6}\\mathrm{PtSe}}_{2} bilayer heterostructures, where each layer has been realized in recent experiments. The DFT calculations show that the MAE of \\mathrm{Cr}}_{2}\\mathrm{Ge}}_{2}\\mathrm{Te}}_{6}\\mathrm{PtSe}}_{2} is enhanced by 70% and the Curie temperature {T}_{C} is increased far beyond room temperature. A model Hamiltonian is suggested to analyze the DFT results, showing that both the Dzyaloshinskii Moriya interaction and single ion anisotropy contribute to the enhancement of the MAE. Based on the superexchange picture, we find that the decreased energy difference between $3d$ orbitals of Cr and $5p$ orbitals of Te contributes partially to the increase of {T}_{C} Our present work indicates a promising way to enhance the MAE and {T}_{C} by constructing van der Waals semiconductor heterostructures, which will inspire further studies on the 2D magnetic semiconductor systems.",
"author_names": [
"Zhen Zhang",
"Bo Gu",
"Gang Su"
],
"corpus_id": 221397662,
"doc_id": "221397662",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Great enhancement of Curie temperature and magnetic anisotropy in two dimensional van der Waals magnetic semiconductor heterostructures",
"venue": "",
"year": 2020
},
{
"abstract": "The flexible organic amine cations on the interfaces of two dimensional (2D) hybrid organic inorganic perovskite nanosheets could form relaxed structures, which would lead to exotic optoelectronic properties but are hard to understand. Here, the unusual interfacial relaxation of nanosheets exfoliated from an orthorhombic 2D lead halide perovskite, (C6H5CH2NH3)2]PbCl4, is interrogated via ultrafast second harmonic generation (SHG) spectroscopy. The in plane SHG intensity anisotropy of these nanosheets is found to decrease with reducing layer thickness. Combined first principles calculations and Monte Carlo simulations reveal that the induced second order polarization arises primarily from the (C6H5CH2NH3) cations; and these organic amine cations form significantly reorganized conformations with decreasing nanosheet thickness due to weakened van der Waals interactions. Because the orientations of organic components at the interface determine their electric properties and specifically the dipolar susceptibility, the resulting structure leads to striking changes in the SHG properties.",
"author_names": [
"Wenjuan Wei",
"Xingxing Jiang",
"Liyuan Dong",
"Wei-Wei Liu",
"Xiao-Bo Han",
"Yan Qin",
"Kai Li",
"Wei Li",
"Zheyang Lin",
"Xian-He Bu",
"Peixiang Lu"
],
"corpus_id": 167207180,
"doc_id": "167207180",
"n_citations": 32,
"n_key_citations": 1,
"score": 0,
"title": "Regulating Second Harmonic Generation by van der Waals Interactions in Two dimensional Lead Halide Perovskite Nanosheets.",
"venue": "Journal of the American Chemical Society",
"year": 2019
},
{
"abstract": "Optical memory unit with the ability to detect and store optical signals is increasingly becoming a crucial part of advanced data communication and image sensing technology. Despite great efforts devoted to develop high performance optical memory devices based on two dimensional (2D) material, the photoelectric conversion is still limited to defect dominant photo generated carrier trapping/de trapping process at the interface of 2D materials. Here, a reconfigurable optical memory implanted with photonic programming/electric erasing operation is demonstrated based on MoS2/quantum dots (QDs) mixed dimensional heterostructure. Unique photoelectric coupling effect between MoS2 and QDs leads to a continuous n doping on MoS2 channel after light exposure removed, resulting in the generation of persistent photocurrent. Excellent optical memory characteristics such as high programming/erasing ratio, long retention time and stable operation cycles have been achieved and demonstrated to be gate tunable. Besides, multi level optical data storage with ten different states is also realized by applying a series of programmable optical signals. Owing to the unique dynamic response of mix dimensional van der Waals heterostructure to optical and electric signals, our proposed optical memory transistor may pave up a new path to explore photoelectric conversion in low dimensional system and develop high performance optoelectronics for a broad range of applications.",
"author_names": [
"Yilin Sun",
"Yingtao Ding",
"Dan Xie",
"Mengxing Sun",
"Jianlong Xu",
"Pengfei Yang",
"Yanfeng Zhang",
"Tian-ling Ren"
],
"corpus_id": 233570228,
"doc_id": "233570228",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Reconfigurable optical memory based on MoS2/QDs mixed dimensional van der Waals heterostructure",
"venue": "",
"year": 2021
},
{
"abstract": "Stimuli responsive hybrid van der Waals heterostructures (vdWHs) composed of organic molecular switches superimposed on inorganic 2D materials (2DMs) can combine the outstanding physical properties of the latter components with the virtually infinite variety of tunable functionality of molecules, thereby offering an efficient protocol for the development of high performance multifunctional materials and devices. The use of light as a remote control to modulate the properties of semiconducting 2DMs when interfaced with photochromic molecules suffers from both the limitation associated with the persistent photoconductivity characterizing the 2DMs and the finite thermal stability of the photochromic molecule in its different states. Here, we have devised a universal approach toward the fabrication of optically switchable electronic devices comprising a few nanometers thick azobenzene (AZO) layer physisorbed on 2D semiconductors supported on a trap free polymer dielectric. The joint effect of the improved 2D/dielectric interface, the molecule's light modulated dipolar doping, and the high thermal stability of cis AZO offers the highest control over the reversible and efficient charge carrier tuning in 2D semiconductors with a preserved high performance in 2D field effect transistors, as quantified in terms of carrier mobility and Ion/ Ioff ratio. The device has the potential to operate as an optical memory with four current levels and long retention time >15 h) Furthermore, by using a CMOS compatible micropatterning process, the photoswitchable resistor diode transition has been achieved on hybrid lateral heterojunction devices. Our approach is of general applicability toward the generation of high performance hybrid vdWHs for the emergence of functional and responsive devices.",
"author_names": [
"Yuda Zhao",
"Simone Bertolazzi",
"Paolo Samori"
],
"corpus_id": 85545500,
"doc_id": "85545500",
"n_citations": 25,
"n_key_citations": 0,
"score": 0,
"title": "A Universal Approach toward Light Responsive Two Dimensional Electronics: Chemically Tailored Hybrid van der Waals Heterostructures.",
"venue": "ACS nano",
"year": 2019
},
{
"abstract": "We investigated low frequency current fluctuations, i.e. noise, in the quasi two dimensional (2D) van der Waals antiferromagnetic semiconductor FePS3 with the electronic bandgap of 1.5 eV. The electrical and noise characteristics of the p type, highly resistive, thin films of FePS3 were measured at different temperatures. The noise spectral density was of the 1/f type over most of the examined temperature range but revealed well defined Lorentzian bulges, and increased strongly near the Neel temperature TN=118 K (f is the frequency) Intriguingly, the noise spectral density attained its minimum at temperature T~200 K, which was attributed to an interplay of two opposite trends in noise scaling one for semiconductors and another for materials with the phase transitions. The Lorentzian corner frequencies revealed unusual dependence on temperature and bias voltage, suggesting that their origin is different from the generation recombination noise in conventional semiconductors. The obtained results are important for proposed applications of antiferromagnetic semiconductors in spintronic devices. They also attest to the power of the noise spectroscopy for monitoring various phase transitions.",
"author_names": [
"Subhajit Ghosh",
"Fariborz Kargar",
"Amirmahdi Mohammadzadeh",
"Sergey Rumyantsev",
"Alexander A Balandin"
],
"corpus_id": 233231448,
"doc_id": "233231448",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Low Frequency Electronic Noise Spectroscopy of Quasi 2D van der Waals Antiferromagnetic Semiconductors",
"venue": "",
"year": 2021
}
] |
Tungsten CMP slurry | [
{
"abstract": "Abstract In this study, a response surface methodology (RSM) coupled with a face center cube design (FCD) was used to optimize the three principal components (i.e. Fe(NO3)3, H2O2, and SiO2 abrasives) in polishing slurries for a W barrier chemical mechanical planarization (CMP) process. The experimental ranges of the three components were 10 50 ppm of Fe(NO3)3, 0.3 0.9 wt% of H2O2, and 1 5 wt% of SiO2 abrasives. Based on the experimental data from the FCD, the second order models for the material removal rate (MRR) of the W and Oxide films were fitted; these were determined to be statistically valid and reliable. We have achieved the optimal conditions for the three components where the MRR is maximized and the selectivity between the W and Oxide MRRs is 1. The predicted MRR and selectivity at the optimal conditions were well correlated with the results of a confirmation run, which was conducted by using the W barrier CMP process with W patterned wafers. In addition, we employed a particular RSM called dual response optimization in order to investigate the tradeoff between the MRR and selectivity. Based on the tradeoff information, process engineers can conduct the optimization of the three components more flexibly.",
"author_names": [
"Jihoon Seo",
"Joo Hyun Kim",
"Myoung-Jae Lee",
"Keungtae You",
"Jinok Moon",
"Dong-Hee Lee",
"Ungyu Paik"
],
"corpus_id": 136192204,
"doc_id": "136192204",
"n_citations": 18,
"n_key_citations": 0,
"score": 0,
"title": "Multi objective optimization of tungsten CMP slurry for advanced semiconductor manufacturing using a response surface methodology",
"venue": "",
"year": 2017
},
{
"abstract": "Chemical mechanical polishing (CMP) is one of the important steps that involves during fabrication of semiconductor devices. This research highlights the importance of tungsten (W) polishing slurries consisting of a novel nonionic, heat activated FeSi nanocatalyst on the performance of W chemical mechanical polishing. The results obtained from the polishing data showed a higher W removal rate of 5910 A/min with a slurry consisting of FeSi nanocatalyst at a polishing temperature of 80 degC. The increase in W polishing rate using FeSi slurry was explained on the basis of formation of a thicker oxide layer (WO3) due to the interaction between the W surface and hydroxyl radicals *OH) generated via the reaction between FeSi and hydrogen peroxide at 80 degC. Higher *OH generation and increase in oxygen depth profile of W film were confirmed by UV Vis spectrometer and AES analysis, respectively. Compared to Fe(NO3)3 catalyst, the slurry with FeSi showed a higher static etch rate at 80 degC. Potentiodynamic polarization results obtained using FeSi slurry showed thicker WO3 passivation layer as compared to the slurry with Fe(NO3)3. The increase in the polishing rate of W CMP using slurry with FeSi nanocatalyst can be essentially attributed to the generation of much stronger oxidant *OH due to its increased catalytic effect at a high polishing temperature of 80 degC.",
"author_names": [
"Maneesh Kumar Poddar",
"Heon-Yul Ryu",
"Nagendra Prasad Yerriboina",
"Yeon-Ah Jeong",
"Jung-Hwan Lee",
"Tae-Gon Kim",
"Jae-Hyun Kim",
"Jongdeok Park",
"Min-Gun Lee",
"Chang-Yong Park",
"Seong-jun Han",
"Jae-Gon Choi",
"Jin-Goo Park"
],
"corpus_id": 208538913,
"doc_id": "208538913",
"n_citations": 7,
"n_key_citations": 0,
"score": 0,
"title": "Nanocatalyst induced hydroxyl radical *OH) slurry for tungsten CMP for next generation semiconductor processing",
"venue": "Journal of Materials Science",
"year": 2019
},
{
"abstract": "",
"author_names": [
"Eun-bin Seo",
"Hao Cui",
"Sangsu Yun",
"Jin-hyung Park",
"Jea-Gun Park"
],
"corpus_id": 138084550,
"doc_id": "138084550",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Research of New Concept Dishing Less Tungsten CMP Slurry Using Using 25 Nm Crystal Zirconium Dioxide Abrasive",
"venue": "",
"year": 2014
},
{
"abstract": "",
"author_names": [
"Takao Funakoshi",
"Send Ojima",
"Kei Ishii",
"Toshiro Doi",
"Syuhei Kurokawa",
"Osamu Ohnishi"
],
"corpus_id": 138592271,
"doc_id": "138592271",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Basic studies on Tungsten CMP slurry recycle",
"venue": "",
"year": 2011
},
{
"abstract": "",
"author_names": [],
"corpus_id": 204873192,
"doc_id": "204873192",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "SLURRY CONTAINING MULT OXDZER AND MIXED NANO ABRASIVES FOR TUNGSTEN CMP",
"venue": "",
"year": 2017
},
{
"abstract": "The polishing performance and the relationships of electrochemistry depending upon oxidizers and additives in the tungsten CMP slurry used in semiconductor industry were investigated. Hydrogen peroxide, ferric nitrate and potassium iodate were used as oxidizers and they showed different oxidation reactions on tungsten film depending on the kind of oxidizers and pH of slurry. The differences influenced the polishing performance. Etching reaction was predominated in the hydrogen peroxide. However, passivation reaction was prevailed in ferric nitrate and potassium iodate. TMAH and KOH raised the potential energy and removal rate of tungsten, and improved a dispersion characteristic of slurry by increasing absolute value of zeta potential. Addition of 100 ppm of poly(acrylic acid) of M.W. 250,000 improved dispersion ability.",
"author_names": [
"Jae seok Lee",
"Beom Suk Choi"
],
"corpus_id": 92262097,
"doc_id": "92262097",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Effect of oxidants and additives on the polishing performance in tungsten CMP slurry",
"venue": "",
"year": 2006
},
{
"abstract": "The dishing and the erosion were evaluated on the tungsten CMP process with conventional and new developed slurry. The tungsten thin film was polished by orbital polishing equipment. Commercial pattern wafer was used for the evaluation. Both slurries were pre tested on the oxide region on the wafer surface and the removal rate was not different very much. At the pattern density examination, the erosion performance was increased at all processing condition due to the reduction of thickness loss in new slurry. However, the dishing thickness was not remarkably changed at high pattern density despite of the improvement at low pattern density. At the large pad area, the reduction of dishing thickness was clearly found at new tungsten slurry.ma/~KZha",
"author_names": [
"Sang-ho Lee",
"Young-Jae Kang",
"Jin-Goo Park",
"P Kwon",
"Chang-I Kim",
"Chan-Kwon Oh",
"Soo-Myoung Kim",
"Myung S Jhon",
"Se-An Hur",
"Youngjo Kim",
"Bong-Ho Kim"
],
"corpus_id": 137425554,
"doc_id": "137425554",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Dishing and Erosion Evaluations of Tungsten CMP Slurry in the Orbital Polishing System",
"venue": "",
"year": 2006
},
{
"abstract": "In a typical production scale fab, CMP slurry will circulate through a bulk delivery system and fab piping approximately 100 times before being consumed. During these \"turnovers\" the slurry will encounter abuse from many sources, including decomposition of constituents. Change in any physical or chemical property during its lifetime, particularly hydrogen peroxide (H/sub 2/O/sub 2/ concentration, may affect polishing performance. In this paper, a commercially available CMP slurry used for tungsten polishing was subjected to an accelerated aging and handling evaluation to determine its handling characteristics in a bulk slurry distribution system used in semiconductor manufacturing. In this accelerated aging test, Cabot SemiSperse/sup R/ W 2000 was subjected to 3000 storage tank turnovers during a seven day test period while monitoring slurry health parameters, including pH, density, weight percent solids, and particle size distribution. During this period, the slurry showed minimal changes in all normal slurry health parameters. The H/sub 2/O/sub 2/ oxidizer did decompose as expected, but was readily replenished by following the manufacturer's recommendations of periodic assay analysis and dosing with replenishing H/sub 2/O/sub 2/ Cabot Semi Sperse/sup R/ W 2000 tungsten polishing slurry with H/sub 2/O/sub 2/ oxidizer should be compatible with bulk slurry delivery systems, especially those using vacuum pressure dispense engines.",
"author_names": [
"John P Bare",
"Budge Johl"
],
"corpus_id": 136916175,
"doc_id": "136916175",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Evaluation of manufacturing handling characteristics of hydrogen peroxide based tungsten CMP slurry",
"venue": "Twenty Third IEEE/CPMT International Electronics Manufacturing Technology Symposium (Cat. No.98CH36205)",
"year": 1998
},
{
"abstract": "The new CMP3200TM alumina slurry which meets the 110nm technology node for tungsten CMP on damascene and plug layers has been introduced. The testing has shown it is a very low cost, low defectivity alumina/Ferric Nitrate slurry.",
"author_names": [
"L Chung"
],
"corpus_id": 138209183,
"doc_id": "138209183",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "A Cost Effective, Low defect Tungsten CMP Slurry for Advanced Damascene and Plugs Processes",
"venue": "",
"year": 2004
},
{
"abstract": "Tungsten contact chemical mechanical polishing is a critical process in advanced node semiconductor device manufacturing that enables metal interconnection. It is essential for device performance, and any defects caused by the tungsten CMP process have a direct effect on product yield. Among these defects, the highest yield killer is microscratches. Microscratches are a well studied CMP produced defect; however, this paper provides a new look at controlling microscratches in a manufacturing environment. Specifically, the bulk tungsten slurry is the cause for nearly all scratches at tungsten contact CMP. This paper studies the effects of abrasive size on defect performance and suggests causes for variation in size of slurry particles outside of manufacturing variability. While tungsten removal rate is not affected by abrasive size, the defectivity performance relies heavily on the ability to remove large particles from suspension. Current filtration solutions are not completely effective in preventing large particles from contacting the wafer, so the burden falls on preventing their formation. Factors such as age of material, storage temperature and agitation induced agglomeration will be studied to characterize the effects on particle size. Volume manufacturing data will be used to support the claims made in this paper.",
"author_names": [
"Bryan Egan",
"Hong Jin Kim"
],
"corpus_id": 195403223,
"doc_id": "195403223",
"n_citations": 2,
"n_key_citations": 0,
"score": 1,
"title": "Effect of Controlling Abrasive Size in Slurry for Tungsten Contact CMP Process",
"venue": "",
"year": 2019
}
] |
Photoluminescence properties of graphene versus other carbon nanomaterials | [
{
"abstract": "Photoluminescent nanomaterials continue to garner research attention because of their many applications. For many years, researchers have focused on quantum dots (QDs) of semiconductor nanocrystals for their excellent performance and predictable fluorescence color variations that depend on the sizes of the nanocrystals. Even with these advantages, QDs can present some major limitations, such as the use of heavy metals in the high performance semiconductor QDs. Therefore, researchers continue to be interested in developing new QDs or related nanomaterials. Recently, various nanoscale configurations of carbon have emerged as potential new platforms in the development of brightly photoluminescent materials. As a perfect p conjugated single sheet, graphene lacks electronic bandgaps and is not photoluminescent. Therefore, researchers have created energy bandgaps within graphene as a strategy to impart fluorescence emissions. Researchers have explored many experimental techniques to introduce bandgaps, such as cutting graphene sheets into small pieces or manipulating the p electronic network to form quantum confined sp(2) \"islands\" in a graphene sheet, which apparently involve the formation or exploitation of structural defects. In fact, defects in graphene materials not only play a critical role in the creation of bandgaps for emissive electronic transitions, but also contribute directly to the bright photoluminescence emissions observed in these materials. Researchers have found similar defect derived photoluminescence in carbon nanotubes and small carbon nanoparticles, dubbed carbon \"quantum\" dots or \"carbon dots\" However, they have not systematically examined the emissions properties of these different yet related carbon nanomaterials toward understanding their mechanistic origins. In this Account, we examine the spectroscopic features of the observed photoluminescence emissions in graphene materials. We associate the structural characteristics in the underlying graphene materials with those emission properties as a way of classifying them into two primary categories: emissions that originate from created or induced energy bandgaps in a single graphene sheet and emissions that are associated with defects in single and/or multiple layer graphene. We highlight the similarities and differences between the observed photoluminescence properties of graphene materials and those found in other carbon nanomaterials including carbon dots and surface defect passivated carbon nanotubes, and we discuss their mechanistic implications.",
"author_names": [
"Li Cao",
"Mohammed Meziani",
"Sushant P Sahu",
"Ya-Ping Sun"
],
"corpus_id": 27654249,
"doc_id": "27654249",
"n_citations": 572,
"n_key_citations": 8,
"score": 2,
"title": "Photoluminescence properties of graphene versus other carbon nanomaterials.",
"venue": "Accounts of chemical research",
"year": 2013
},
{
"abstract": "Graphene quantum dots (GQDs) as a new graphene material, possess advantageous chemical physical properties. Owing to their distinctive photoluminescence (PL) property and low biological toxicity, GQDs have been shown to be good candidates for applications in bioimaging and medical analysis. However, the prepared GQDs have their own slew of problems, including low luminescent efficiency, uncertain luminescent mechanism and lack of effective methods to tuning the luminescence property. Heteroatom doping is a good strategy, which could partially address these above problems. Because the doping atoms will be located in the internal structure of carbon nanomaterials thus change their local electronic configuration, polarizability, defect degree and band structure, etc. the physical and chemical properties of GQDs could be well tuned. At present, GQDs doped with Cl, F, N, S, B, P, etc. have been prepared. These obtained GQDs with heteroatom doping not only exhibited tunable optical properties, but also showed enormous potential applications in the field of photocatalysis. Herein, in order to further improve the PL performance of GQDs and extend their application, we prepared pure GQDs and single elemental doped GQDs with Cl, N, P and S by using the electrochemical method and hydrothermal method. Fourier transform infrared spectroscopy (FT IR) X ray photoelectron spectroscopy, (XPS) and Raman measurements were used to characterize their elemental composition, surface element state and structural defect. Based on the experimental results, the position and bonding state of heteroatoms in doped GQDs were analyzed. The doping amounts in these doped GQDs are different, i.e. 1.35% of Cl GQDs, 7.95% of N GQDs, 10.08% of P GQDs and 3.25% of S GQDs, respectively. The degree of defect state is decreased in the order as follows: P GQDs>S GQDs>GQDs>Cl GQDs>N GQDs. Meanwhile, the PL performance was tested, and the fluorescent quantum efficiencies were calculated to be 8.2% for Cl GQDs, 5.3% for N GQDs, 4.0% for GQDs, 2.8% for S GQDs, and 0.037% for P GQDs, respectively. It can be concluded that the diverse doping atoms play different roles for the improvement of PL performance. The doping of Cl and N can form the luminescent center, which improves the fluorescent intensity of GQDs. Especially for the Cl doping, the fluorescent intensity of Cl GQDs is increased twice compared to the pure GQDs, due to larger atomic radius and more outer shell electron of Cl than that of the others doped elementals. In the S GQDs, the doped S could become small quenching centers, which decreased the fluorescent intensity slightly compared to that of pure GQDs. However, different from the above doped GQDs, P GQDs showed the negligible fluorescence. Because the P atoms mainly are present in the surface functional groups of P GQDs, these P atoms induced defects may become the large fluorescent quenching center and greatly decrease their PL intensity. Besides the measurement and analysis of luminescence intensity, the emission peak positions and corresponding excitation wavelengths of these doped GQDs were also well studied. The excitation wavelength of pure GQDs is located at 340 nm, while the doped GQDs are all located at 360 nm. The strongest emission peaks of Cl GQDs and N GQDs are around 450 nm, while the strongest emission peaks of S GQDs and pure GQDs are around 430 nm. These results indicate that the doping of heteroatoms could change the band gap of GQDs to some extent.",
"author_names": [
"Ying-Min Wang",
"Yuyu Jing",
"Lifeng Wang",
"Wen-hui Kong",
"Sen Wang",
"Zhao Wang",
"Yan Li",
"Qipeng Lu"
],
"corpus_id": 104473854,
"doc_id": "104473854",
"n_citations": 6,
"n_key_citations": 0,
"score": 0,
"title": "Photoluminescence of graphene quantum dots doped with different elements",
"venue": "",
"year": 2019
},
{
"abstract": "Expeditious evolution of antimicrobial resistance in recent years has been identified as a growing concern by various health organizations around the world. Herein, we report a facile and environmentally benign production of highly antibacterial carbonaceous nanomaterials from Colocasia esculenta and Nelumbo nucifera leaves for the first time. After carbonization and oxidative treatment, smaller graphene domains are formed in Colocasia esculenta derivatives whereas larger sheet like structures are spotted in the case of Nelumbo nucifera Smaller particle size makes quantum confinement effects more prominent as evident in fine tuning of photoluminescence emission after each stage of treatment. We also demonstrate the influence of precursor materials in dictating the antibacterial properties of nano systems. When microbicidal activity was tested against model bacteria Pseudomonas aeruginosa nanocomposite derived from Colocasia esculenta leaves displayed higher activity than the antibiotic drug Clarithromycin (control) with a measured zone of inhibition of 40 0.5 mm. This is one of the highest values reported in comparison with other plant based carbon silver nano systems. From quantitative analysis, it is discerned that nanocomposite obtained from Colocasia esculenta leaves has antimicrobial efficacy equivalent to commercial antibiotic drugs and is able to eradicate bacteria at much lower concentrations when compared to those from Nelumbo nucifera leaves.",
"author_names": [
"Anu N Mohan",
"Manoj B"
],
"corpus_id": 214695319,
"doc_id": "214695319",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Extraction of graphene nanostructures from Colocasia esculenta and Nelumbo nucifera leaves and surface functionalization with tin oxide: Evaluation of their antibacterial properties.",
"venue": "Chemistry",
"year": 2020
},
{
"abstract": "Light weight composite materials of superior thermal transport properties are important to thermal management and other applications. Carbon nanomaterials with their high thermal conductivities have been widely pursued for such a purpose. Specifically, carbon nanotubes have been shown both theoretically and experimentally to possess extraordinarily high thermal conductivities at the individual nanotube level, and thus are logically considered as ideal fillers for highly thermally conductive polymeric nanocomposites. However, the predicted dramatically enhanced thermal transport in polymers upon the incorporation of carbon nanotubes has not yet materialized. Recently, graphene research has brought new opportunities to the development of polymer/carbon nanocomposites of high thermal conductivities, with already some successful uses of exfoliated graphite sheets as nanoscale fillers. In this work poly(vinyl alcohol) (PVA) was selected as the polymer matrix for the dispersion of single walled carbon nanotubes (seamlessly with PVA functionalization and solubilization) vs. few layer graphene sheets as nanoscale carbon fillers for a more direct comparison on the thermal transport performance in the resulting nanocomposites. The effect of aligning the nanotubes embedded in the nanocomposite films via mechanical stretching was also evaluated. Implications of the comparison between the nanotubes and nanosheets with respect to their potentials in thermally conductive polymeric nanocomposites are discussed.",
"author_names": [
"Wei-Li Song",
"Weitie Wang",
"Lucia Monica Veca",
"Chang Yi Kong",
"Maosheng Cao",
"Ping Wang",
"Mohammed Meziani",
"Haijun Qian",
"Gregory E LeCroy",
"Li Cao",
"Ya-Ping Sun"
],
"corpus_id": 97960238,
"doc_id": "97960238",
"n_citations": 70,
"n_key_citations": 1,
"score": 0,
"title": "Polymer/carbon nanocomposites for enhanced thermal transport properties carbon nanotubes versus graphene sheets as nanoscale fillers",
"venue": "",
"year": 2012
},
{
"abstract": "Graphene quantum dots (GQDs) as a new kind of carbon nanomaterial, have been widely prepared with graphene oxide (GO) as precursor via various methods. However, little work has been done to detail the structural relationship between GQDs and pristine GO. Herein, we synthesized GQDs through acidic oxidation of GO and separated blue photoluminescent GQDs (b GQDs) and green photoluminescent GQDs (g GQDs) by a simple dialysis technique. Although the transmission electron microscopy (TEM) and atomic force microscopy (AFM) images reveal their similar morphology, the results of X ray photoelectron spectroscopy (XPS) Fourier transform infrared (FTIR) spectroscopy, Raman spectroscopy and zeta potential measurements reveal their distinct structures with different origins from the GO. The b GQDs may originate from the intact sp2 cluster of GO, while the g GQDs are derived from the relaxed carbon backbone with numerous oxygen containing functional groups. Besides photoluminescence (PL) properties, the peroxidase like catalytic activity of the two GQDs was also compared. Interestingly, the g GQDs exhibit higher peroxidase like catalytic activity and can be used to detect H2O2 with a detection limit of 87 nM, which is lower than most other reported methods. We believe this work provides important insights into the structure, PL properties and potential applications of GO derived GQDs.",
"author_names": [
"Duosi Tang",
"Jingjing Liu",
"Xiaomei Yan",
"Longtian Kang"
],
"corpus_id": 98993939,
"doc_id": "98993939",
"n_citations": 44,
"n_key_citations": 2,
"score": 0,
"title": "Graphene oxide derived graphene quantum dots with different photoluminescence properties and peroxidase like catalytic activity",
"venue": "",
"year": 2016
},
{
"abstract": "'Nanocarbon science' ignited interest owing to its substantial scope in biomedicine, energy and environment beneficial applications. Carbon dots (C dots) a multi faceted nanocarbon material, emerged as a homologue to graphene and henceforth geared extensive investigation both on its properties and applications. Eximious properties like excitation wavelength tunable fluorescence emission, up converted photoluminescence, photon induced electron transfer, low cytotoxicity, chiroptical behavior, high chemical and photostability set the ground for astounding applications of carbon dots. Abundant availability of raw 'green' precursors complementary to other molecular/graphitic precursors make them environmentally benign, inexpensive and ultimately 'nanomaterials of the current decade' This review focuses on the synthesis of carbon dots not only from natural sources but also from other carbonaceous precursors and contemplates the inherent but controversial properties. We also aim to garner the attention of readers to the recent progress achieved by C dots in one of its prestantious area of applications as nanosensors.",
"author_names": [
"Nagaraju Kottam",
"Smrithi S P"
],
"corpus_id": 222301676,
"doc_id": "222301676",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "'Luminescent carbon nanodots: Current prospects on synthesis, properties and sensing applications'",
"venue": "Methods and applications in fluorescence",
"year": 2020
},
{
"abstract": "Abstract Fluorescent carbon dots (C dots) are new class of nanomaterials with widespread applications in optoelectronics, bio imaging, catalysis, and sensing. The origin of photoluminescence of carbon dots is a debatable issue which is pretend to depend on the chemical structures such as graphitic conjugated core, molecular fluorophores and the surface defect states found to be dependent on the method of preparation. In this review, we have illustrated the important issues and challenges of the luminescent carbon dots and their potential applications. Graphitic conjugated core containing carbon dots is being synthesized usually from bulk materials like graphite, graphene and graphene oxide which exhibit size dependent photoluminescence behaviour due to quantum confinement. On the other hand, carbon dots synthesized from small molecules exhibit excitation dependent emission due to the presence of surface energy trap states which can be tuned by surface modification. Again, presence of both conjugated core and surface defect generates dual emission property. It is evident that various molecular fluorophores are produced inside carbon dots during low temperature synthesis. Hetero atom doping is another strategy to tune the photoluminescence properties of carbon dots. Red emitting carbon dots are found to be suitable for bio imaging applications after surface modification. Again, high quantum yield and solar light absorbing carbon dots are required for light harvesting and optoelectronic applications. Surface modified carbon dots are found to be appropriate for sensing applications. Analysis reveals that carbon dots based hybrid systems provide good applicability towards construction of solar cell devices because of their efficient charge separation.",
"author_names": [
"Monoj Kumar Barman",
"Amitava Patra"
],
"corpus_id": 105114814,
"doc_id": "105114814",
"n_citations": 55,
"n_key_citations": 2,
"score": 0,
"title": "Current status and prospects on chemical structure driven photoluminescence behaviour of carbon dots",
"venue": "",
"year": 2018
},
{
"abstract": "A novel strategy to precisely detect or monitor various biomaterials in living cells poses paramount importance in understanding cellular processes. Graphene, a newly emerged two dimensional carbon material, has been widely utilized for biosensors owing to its multifarious characteristics including mechanical, electrical, and optical properties (e.g. stability, conductivity, fluorescence quenching and photoluminescence) In addition, graphene derivatives and their innate characteristics, such as biocompatibility low cytotoxicity and water solubility have facilitated the use of graphene based materials for live cell biosensing, wherein graphene is utilized as a core material by itself or in combination with other functional nanomaterials to load target specific probes, fluorescent dyes, and other signaling molecules. Such graphene based hybrid nanomaterials have been employed to detect various cellular entities in living cells, including ions, biomolecules, genetic molecules, proteins, enzymes, and even whole cells. The following review will discuss a number of previous studies in which graphene based hybrid constructs were used for live cell biosensing, and their potential applications in cancer research and stem cell therapy.",
"author_names": [
"Tae-Hyung Kim",
"Donghyun Lee",
"Jeong-Woo Choi"
],
"corpus_id": 26955878,
"doc_id": "26955878",
"n_citations": 33,
"n_key_citations": 0,
"score": 0,
"title": "Live cell biosensing platforms using graphene based hybrid nanomaterials.",
"venue": "Biosensors bioelectronics",
"year": 2017
},
{
"abstract": "Metal free carbonaceous nanomaterials have witnessed a renaissance of interest due to the surge in the realm of nanotechnology. Among myriads of carbon based nanostructures with versatile dimensionality, one dimensional (1D) carbon nanotubes (CNTs) and zero dimensional (0D) carbon dots (CDs) have grown into a research frontier in the past few decades. With extraordinary mechanical, thermal, electrical and optical properties, CNTs are utilized in transparent displays, quantum wires, field emission transistors, aerospace materials, etc. Although CNTs possess diverse characteristics, their most attractive property is their unique photoluminescence. On the other hand, another growing family of carbonaceous nanomaterials, which is CDs, has drawn much research attention due to its cost effectiveness, low toxicity, environmental friendliness, fluorescence, luminescence and simplicity to be synthesized and functionalized with surface passivation. Benefiting from these unprecedented properties, CDs have been widely employed in biosensing, bioimaging, nanomedicine, and catalysis. Herein, we have systematically presented the fascinating properties, preparation methods and multitudinous applications of CNTs and CDs (including graphene quantum dots) We will discuss how CNTs and CDs have emerged as auspicious nanomaterials for potential applications, especially in electronics, sensors, bioimaging, wearable devices, batteries, supercapacitors, catalysis and light emitting diodes (LEDs) Last but not least, this review is concluded with a summary, outlook and invigorating perspectives for future research horizons in this emerging platform of carbonaceous nanomaterials.",
"author_names": [
"Quan Xu",
"Weijun Li",
"Lan Ding",
"Wenjing Yang",
"Haihua Xiao",
"Wee-Jun Ong"
],
"corpus_id": 58667611,
"doc_id": "58667611",
"n_citations": 72,
"n_key_citations": 0,
"score": 0,
"title": "Function driven engineering of 1D carbon nanotubes and 0D carbon dots: mechanism, properties and applications.",
"venue": "Nanoscale",
"year": 2019
},
{
"abstract": "The results of X ray studies of the structure of components of composite materials based on milled microcrystalline cellulose are presented. The 3D model of the atomic arrangement in the short range order of amorphous carbon can be described by a mechanical mixture of two types of clusters in the ratio of 1 2. One type of clusters is formed by two planar graphene single layers shifted relative to each other and containing vacancies, and the other type is presented by six graphene grids. The cellulose matrix with silicon nanoparticles has a low photoluminescence signal degradation rate. The introduction of fullerenes into nanomaterial as a third nanofraction, as well as the action of ozone, leads to anomalous luminescence kinetics under UV (ultraviolet) photoexcitation, which can be associated with competing processes of hydrogen and oxygen adsorption on the surface of silicon nanoparticles. A change in the ionic conductivity of the porous cellulose matrix upon exposure to ozone can be used to develop effective ozone detectors. Such a filler as amorphous crystalline carbon causes not only ionic but also electronic conductivity in the sample; however, the processes of space charge redistribution remain dependent only on the ion current component. An increase in the total current passing through the pressed sample eliminates the need for a further increase in the signal in the design of ozone sensors.",
"author_names": [
"Vitaly Pikulev",
"D V Loginov",
"Svetlana V Loginova"
],
"corpus_id": 103291135,
"doc_id": "103291135",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Effect of the carbon component on the electrical and optical properties of nanocellulose based composites",
"venue": "Journal of Surface Investigation: X ray, Synchrotron and Neutron Techniques",
"year": 2017
}
] |
electrical detection of confined gap | [
{
"abstract": "Plasmonic waveguides offer promise in providing a solution to the bandwidth limitations of classical electrical interconnections1,2,3. Fast, low loss and error free signal transmission has been achieved in long range surface plasmon polariton waveguides4,5. Deep subwavelength plasmonic waveguides with short propagation lengths have also been demonstrated6,7, showing the possibility of matching the sizes of optics and today's electronic components. However, in order to combine surface plasmon waveguides with electronic circuits, new high bandwidth electro optical transducers need to be developed. Here, we experimentally demonstrate the electrical detection of surface plasmon polaritons in metallic slot waveguides. By means of an integrated metal semiconductor metal photodetector, highly confined surface plasmon polaritons in a metal insulator metal waveguide are detected and characterized. This approach of integrating electro optical components in metallic waveguides could lead to the development of advanced active plasmonic devices and high bandwidth on chip plasmonic circuits. Electrical detection and characterization of gap plasmons is achieved by means of an integrated metal semiconductor metal photodetector. Integration of electro optical components in metallic waveguides may lead to active high bandwidth on chip nano optical circuits.",
"author_names": [
"Pieter Neutens",
"Pol van Dorpe",
"Iwijn De Vlaminck",
"Liesbet Lagae",
"G Borghs"
],
"corpus_id": 119847648,
"doc_id": "119847648",
"n_citations": 323,
"n_key_citations": 0,
"score": 1,
"title": "Electrical detection of confined gap plasmons in metal insulator metal waveguides",
"venue": "",
"year": 2009
},
{
"abstract": "Recent experiments and theories have suggested that strong spin orbit coupling effects in certain band insulators can give rise to a new phase of quantum matter, the so called topological insulator, which can show macroscopic quantum entanglement effects. Such systems feature two dimensional surface states whose electrodynamic properties are described not by the conventional Maxwell equations but rather by an attached axion field, originally proposed to describe interacting quarks. It has been proposed that a topological insulator with a single Dirac cone interfaced with a superconductor can form the most elementary unit for performing fault tolerant quantum computation. Here we present an angle resolved photoemission spectroscopy study that reveals the first observation of such a topological state of matter featuring a single surface Dirac cone realized in the naturally occurring Bi_2Se_3 class of materials. Our results, supported by our theoretical calculations, demonstrate that undoped Bi_2Se_3 can serve as the parent matrix compound for the long sought topological device where in plane carrier transport would have a purely quantum topological origin. Our study further suggests that the undoped compound reached via n to p doping should show topological transport phenomena even at room temperature.",
"author_names": [
"Yuqi Xia",
"Dong Qian",
"D Hsieh",
"L Andrew Wray",
"Arijeet Pal",
"Hsin Lin",
"Arun Bansil",
"D Grauer",
"Yew San Hor",
"Robert J Cava",
"M Zahid Hasan"
],
"corpus_id": 118305489,
"doc_id": "118305489",
"n_citations": 1946,
"n_key_citations": 41,
"score": 0,
"title": "Observation of a large gap topological insulator class with a single Dirac cone on the surface",
"venue": "",
"year": 2009
},
{
"abstract": "The innexin family of gap junction proteins has 25 members in Caenorhabditis elegans. Here, we describe the first high resolution expression map of all members through analysis of live worms transformed with green fluorescent protein under the control of entire promoter regions. Our analyses show that innexins have dynamic expression patterns throughout development and are found in virtually all cell types and tissues. Complex tissues, such as the pharynx, intestine, gonad, as well as scaffolding tissues and guidepost cells express a variety of innexins in overlapping or complementary patterns, suggesting they may form heteromeric and heterotypic channels. Innexin expression occurs in several types of cells that are not known to form gap junctions as well as in a pair of migrating cells, suggesting they may have hemichannel function. Therefore, innexins likely play roles in almost all body functions, including embryonic development, cell fate determination, oogenesis, egg laying, pharyngeal pumping, excretion, and locomotion. Developmental Dynamics 238:1936 1950, 2009. (c) 2009 Wiley Liss, Inc.",
"author_names": [
"Zeynep F Altun",
"Bojun Chen",
"Zhao-Weng Wang",
"David H Hall"
],
"corpus_id": 817899,
"doc_id": "817899",
"n_citations": 140,
"n_key_citations": 13,
"score": 0,
"title": "High resolution map of Caenorhabditis elegans gap junction proteins",
"venue": "Developmental dynamics an official publication of the American Association of Anatomists",
"year": 2009
},
{
"abstract": "Cells undergo a variety of physiological processes, including division, migration and differentiation, under the influence of endogenous electrical cues, which are generated physiologically and pathologically in the extracellular and sometimes intracellular spaces. These signals are transduced to regulate cell behaviours profoundly, both in vitro and in vivo. Bioelectricity influences cellular processes as fundamental as control of the cell cycle, cell proliferation, cancer cell migration, electrical signalling in the adult brain, embryonic neuronal cell migration, axon outgrowth, spinal cord repair, epithelial wound repair, tissue regeneration and establishment of left right body asymmetry. In addition to direct effects on cells, electrical gradients interact with coexisting extracellular chemical gradients. Indeed, cells can integrate and respond to electrical and chemical cues in combination. This Commentary details how electrical signals control multiple cell behaviours and argues that study of the interplay between combined electrical and chemical gradients is underdeveloped yet necessary.",
"author_names": [
"Colin D McCaig",
"Bing Song",
"Ann M Rajnicek"
],
"corpus_id": 15341307,
"doc_id": "15341307",
"n_citations": 253,
"n_key_citations": 18,
"score": 0,
"title": "Electrical dimensions in cell science",
"venue": "Journal of Cell Science",
"year": 2009
},
{
"abstract": "We report the design, fabrication and optical investigation of electrically tunable single quantum dots photonic crystal defect nanocavities operating in both the weak and strong coupling regimes of the light matter interaction. Unlike previous studies where the dot cavity spectral detuning was varied by changing the lattice temperature, or by the adsorption of inert gases at low temperatures, we demonstrate that the quantum confined Stark effect can be employed to quickly and reversibly switch the dot cavity coupling simply by varying a gate voltage. Our results show that exciton transitions from individual dots can be tuned by ~4 meV relative to the nanocavity mode before the emission quenches due to carrier tunneling escape. This range is much larger than the typical linewidth of the high Q cavity modes ~100 meV) allowing us to explore and contrast regimes where the dots couple to the cavity or decay by spontaneous emission into the two dimensional photonic bandgap. In the weak coupling regime, we show that the dot spontaneous emission rate can be tuned using a gate voltage, with Purcell factors =7. New information is obtained on the nature of the dot cavity coupling in the weak coupling regime, and electrical control of zero dimensional polaritons is demonstrated for the highest Q cavities (Q>=12 000) Vacuum Rabi splittings up to ~120 meV are observed, larger than the linewidths of either the decoupled exciton (g<=40 meV) or cavity mode. These observations represent a voltage switchable optical nonlinearity at the single photon level, paving the way towards on chip dot based nano photonic devices that can be integrated with passive optical components.",
"author_names": [
"Arne Laucht",
"Felix Hofbauer",
"Norman Hauke",
"Jacques Angele",
"Soren Stobbe",
"Michael Kaniber",
"Gisela Bohm",
"Peter Lodahl",
"Markus-Christian Amann",
"J J Finley Walter Schottky Institut",
"Technische Universitat Munchen",
"",
"Dtu Fotonik",
"Department of Electrical Engineering",
"Technical University of Denmark"
],
"corpus_id": 46669151,
"doc_id": "46669151",
"n_citations": 146,
"n_key_citations": 3,
"score": 0,
"title": "Electrical control of spontaneous emission and strong coupling for a single quantum dot",
"venue": "",
"year": 2009
},
{
"abstract": "We report broadband visible photoluminescence from solid graphene oxide, and modifications of the emission spectrum by progressive chemical reduction. The data suggest a gapping of the two dimensional electronic system by removal of p electrons. We discuss possible gapping mechanisms, and propose that a Kekule pattern of bond distortions may account for the observed behavior.",
"author_names": [
"Zhengtang Luo",
"Patrick M Vora",
"Eugene J Mele",
"A T Charlie Johnson",
"James Makoto Kikkawa"
],
"corpus_id": 5928620,
"doc_id": "5928620",
"n_citations": 468,
"n_key_citations": 7,
"score": 0,
"title": "Photoluminescence and band gap modulation in graphene oxide",
"venue": "",
"year": 2009
},
{
"abstract": "This letter presents a new metamaterial based waveguide technology referred to as ridge gap waveguides. The main advantages of the ridge gap waveguides compared to hollow waveguides are that they are planar and much cheaper to manufacture, in particular at high frequencies such as for millimeter and sub millimeter waves. The latter is due to the fact that there are no mechanical joints across which electric currents must float. The gap waveguides have lower losses than microstrip lines, and they are completely shielded by metal so no additional packaging is needed, in contrast to the severe packaging problems associated with microstrip circuits. The gap waveguides are realized in a narrow gap between two parallel metal plates by using a texture or multilayer structure on one of the surfaces. The waves follow metal ridges in the textured surface. All wave propagation in other directions is prohibited (in cutoff) by realizing a high surface impedance (ideally a perfect magnetic conductor) in the textured surface at both sides of all ridges. Thereby, cavity resonances do not appear either within the band of operation. The present letter introduces the gap waveguide and presents some initial simulated results.",
"author_names": [
"Per-Simon Kildal",
"Esperanza Alfonso",
"Alejandro Valero-Nogueira",
"Eva Rajo-Iglesias"
],
"corpus_id": 24750761,
"doc_id": "24750761",
"n_citations": 538,
"n_key_citations": 31,
"score": 0,
"title": "Local Metamaterial Based Waveguides in Gaps Between Parallel Metal Plates",
"venue": "IEEE Antennas and Wireless Propagation Letters",
"year": 2009
},
{
"abstract": "",
"author_names": [
"Glenn F Knoll"
],
"corpus_id": 120929968,
"doc_id": "120929968",
"n_citations": 987,
"n_key_citations": 127,
"score": 0,
"title": "Radiation Detection And Measurement, 3rd Ed",
"venue": "",
"year": 2009
},
{
"abstract": "We show how the quantum Hall effect in an inverted gap semiconductor (with electron and hole like states at the conduction and valence band edges interchanged) can be used to inject, precess, and detect the electron spin along a one dimensional pathway. The restriction of the electron motion to a single spatial dimension ensures that all electrons experience the same amount of precession in a parallel magnetic field, so that the full electrical current can be switched on and off. As an example, we calculate the magnetoconductance of a p n interface in a HgTe quantum well and show how it can be used to measure the spin precession due to bulk inversion asymmetry.",
"author_names": [
"Anton Akhmerov",
"Christoph Groth",
"Jakub Tworzydlo",
"C W J Beenakker"
],
"corpus_id": 118842412,
"doc_id": "118842412",
"n_citations": 9,
"n_key_citations": 0,
"score": 0,
"title": "Switching of electrical current by spin precession in the first Landau level of an inverted gap semiconductor",
"venue": "",
"year": 2009
},
{
"abstract": "This article is based on a consensus conference, which took place in Certosa di Pontignano, Siena (Italy) on March 7 9, 2008, intended to update the previous safety guidelines for the application of transcranial magnetic stimulation (TMS) in research and clinical settings. Over the past decade the scientific and medical community has had the opportunity to evaluate the safety record of research studies and clinical applications of TMS and repetitive TMS (rTMS) In these years the number of applications of conventional TMS has grown impressively, new paradigms of stimulation have been developed (e.g. patterned repetitive TMS) and technical advances have led to new device designs and to the real time integration of TMS with electroencephalography (EEG) positron emission tomography (PET) and functional magnetic resonance imaging (fMRI) Thousands of healthy subjects and patients with various neurological and psychiatric diseases have undergone TMS allowing a better assessment of relative risks. The occurrence of seizures (i.e. the most serious TMS related acute adverse effect) has been extremely rare, with most of the few new cases receiving rTMS exceeding previous guidelines, often in patients under treatment with drugs which potentially lower the seizure threshold. The present updated guidelines review issues of risk and safety of conventional TMS protocols, address the undesired effects and risks of emerging TMS interventions, the applications of TMS in patients with implanted electrodes in the central nervous system, and safety aspects of TMS in neuroimaging environments. We cover recommended limits of stimulation parameters and other important precautions, monitoring of subjects, expertise of the rTMS team, and ethical issues. While all the recommendations here are expert based, they utilize published data to the extent possible.",
"author_names": [
"Simone Rossi",
"Mark Hallett",
"Paolo Maria Rossini",
"Alvaro Pascual-Leone"
],
"corpus_id": 5856802,
"doc_id": "5856802",
"n_citations": 3809,
"n_key_citations": 191,
"score": 0,
"title": "Safety, ethical considerations, and application guidelines for the use of transcranial magnetic stimulation in clinical practice and research",
"venue": "Clinical Neurophysiology",
"year": 2009
}
] |
Optical and electronic properties of some semiconductors from energy gaps | [
{
"abstract": "Abstract II VI and III V tetrahedral semiconductors have significant potential for novel optoelectronic applications. In the present work, some of the optical and electronic properties of these groups of semiconductors have been studied using a recently proposed empirical relationship for refractive index from energy gap. The calculated values of these properties are also compared with those calculated from some well known relationships. From an analysis of the calculated electronic polarisability of these tetrahedral binary semiconductors from different formulations, we have proposed an empirical relation for its calculation. The predicted values of electronic polarisability of these semiconductors agree fairly well with the known values over a wide range of energy gap. The proposed empirical relation has also been used to calculate the electronic polarisability of some ternary compounds.",
"author_names": [
"Sunil Kumar Tripathy",
"Anup Pattanaik"
],
"corpus_id": 100893633,
"doc_id": "100893633",
"n_citations": 34,
"n_key_citations": 0,
"score": 1,
"title": "Optical and electronic properties of some semiconductors from energy gaps",
"venue": "",
"year": 2016
},
{
"abstract": "The structural, electronic and optical properties of the Li2In2XY6 (X Si, Ge; Y S, Se) compounds, which are scarcely studied by theoretical methods previously, have been investigated by ab initio calculations based on the density functional theory (DFT) in this article by using the full potential linearized augmented plane wave method. The equilibrium structural ground state properties of the Li2In2XY6 (X Si, Ge; Y S, Se) compounds such as the lattice parameters were obtained from the structural optimization process (with the Perdew Burke Ernzerhof generalized gradient approximation) and they are in close agreement with the experimental lattice parameters. Conversely, calculations by the modified Becke Johnson exchange potential indicates that the Li2In2XY6 (X Si, Ge; Y S, Se) compounds are semiconductors with direct energy band gaps. It is clearly observed from the DFT calculated partial density of states, that there are significant contributions of the S s and S p states in the Li2In2SiS6 and Li2In2GeS6 compounds as well as the Se s and Se p states in the Li2In2SiSe6 and Li2In2GeSe6 compounds, respectively. The calculated band gaps ranging from 1.92 eV to 3.24 eV of the Li2In2XY6 (X Si, Ge; Y S, Se) compounds are in good agreement with the experimental results, where the calculated band gap values are positioned in the visible region of the electromagnetic spectrum; therefore, these materials can be efficiently used for opto electronic and optical applications. Furthermore, some general trends are observed in the optical responses of the compounds, which are possibly correlated to the energy band gaps when the X cations changes from Si to Ge and the Y anions changes from S to Se in the Li2In2XY6 (X Si, Ge; Y S, Se) compounds, respectively.",
"author_names": [
"Kin Mun Wong",
"Wilayat Khan",
"Muhammad Shoaib",
"U S Shah",
"Shah Haider Khan",
"Ghulam Murtaza"
],
"corpus_id": 102542780,
"doc_id": "102542780",
"n_citations": 38,
"n_key_citations": 0,
"score": 0,
"title": "Ab Initio Investigation of the Structural, Electronic and Optical Properties of the Li2In2XY6 (X Si, Ge; Y S, Se) Compounds",
"venue": "Journal of Electronic Materials",
"year": 2017
},
{
"abstract": "Abstract The energy band gap of Zn 0.75 Cd 0.25 S 1 z Se z x 0, 0.25, 0.5, 0.75 and 1.0) quaternary alloys have been calculated by using the full potential linearized augmented plane wave (FPLAPW) method and the Tran Blaha modified Becke Johnson (TBmBJ) potential. The lattice volume was first relaxed within the generalized gradient approximation (GGA) The obtained values of the band gaps are found to decrease linearly from 3.61 eV down to 2.83 eV as the content of the Se ion increases in the lattice. These values are in agreement with those reported experimentally for some of ZnS and ZnSe structures. The energies of the interband transitions were determined from the dielectric functions. It is seen that the main interband transitions peaks obtained after the TBmBJ potential is comparable with some reported experimental data. These finding supports the use of the TBmBJ potential as an accurate method in obtaining the energy band gap and the optical constants of semiconductors.",
"author_names": [
"O A Yassin"
],
"corpus_id": 124905880,
"doc_id": "124905880",
"n_citations": 7,
"n_key_citations": 0,
"score": 0,
"title": "Electronic and optical properties of Zn0.75Cd0.25S1 zSez first principles calculations based on the Tran Blaha modified Becke Johnson potential",
"venue": "",
"year": 2016
},
{
"abstract": "Band gap engineering can efficiently improve the photocatalytic activity of semiconductors for hydrogen generation from water splitting. Herein, we present a comprehensive investigation on the geometrical structures, electronic, optical, and potential photocatalytic properties and charge carrier mobility of pristine and group IVA element doped SrIn2O4 using first principles density functional theory with the meta GGA+MBJ potential. The calculated formation energies are moderate, indicating that the synthesis of the doped structures is experimentally feasible. In addition, the energy band gaps of the group IVA element doped SrIn2O4 range from 1.67 to 3.07 eV, which satisfy the requirements for photocatalytic water splitting, except for that of the Si mono doped structure. Based on the deformation potential theory, a high charge carrier mobility of 2093 cm2 V 1 s 1 is obtained for the pristine SrIn2O4 and those of the doped structures are also large, although a decrease in the values of some are observed. The optical absorption coefficient of the doped structures in the near ultraviolet (UV) and visible light range significantly increases. Therefore, group IVA element doped SrIn2O4 are potential candidates as photocatalysts for hydrogen generation from water splitting driven by visible light.",
"author_names": [
"Hai-Cai Huang",
"Chuanlu Yang",
"Mei-Shan Wang",
"Xiaoguang Ma",
"Yougen Yi"
],
"corpus_id": 105397953,
"doc_id": "105397953",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Group IVA element doped SrIn2O4 as potential materials for hydrogen production from water splitting with solar energy",
"venue": "",
"year": 2018
},
{
"abstract": "",
"author_names": [
"Rahul Jain",
"Kh Gopal Krishna Singh"
],
"corpus_id": 150146958,
"doc_id": "150146958",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Optical and Electronic Properties of II VI Group Semiconductor Nanomaterials from Energy Gaps",
"venue": "",
"year": 2018
},
{
"abstract": "In order to tune the optoelectronic and charge transfer properties of 4,6 di(thiophen 2 yl)pyrimidine (1) some new compounds were designed, i.e. 4,6 bis(benzo[b]thiophen 2 yl)pyrimidine (2) 4,6 bis(naphtho[2,3 b]thiophen 2 yl)pyrimidine (3) 4,6 bis(anthra[2,3 b]thiophen 2 yl)pyrimidine (4) 4,6 bis(tetraceno[2,3 b]thiophen 2 yl)pyrimidine (5) and 4,6 bis(pentaceno[2,3 b]thiophen 2 yl)pyrimidine (6) Compounds 2 6 were designed by assimilation of benzene, naphthalene, anthracene, tetracene and pentacene, respectively at both ends of compound 1. Integration of oligocene end cores reduces the energy gap resulting in a red shift in the absorption and fluorescence emission spectra. The legible intra molecular charge transfer is significant from electron rich moieties to the electron deficient core (pyrimidine) The elongation of \\uppi $p conjugation led to escalate the electron affinity, lower the ionization potential and hole reorganization energy. The hole reorganization energies of compounds 3 6 exposed that these materials would be effective hole transport contenders to be used in diverse semiconductor devices.Graphical abstract",
"author_names": [
"Ahmad Irfan",
"Abdullah G Al-Sehemi",
"Mohammed Ali Assiri",
"Muhammad Waseem Mumtaz"
],
"corpus_id": 165124183,
"doc_id": "165124183",
"n_citations": 13,
"n_key_citations": 0,
"score": 0,
"title": "Exploring the electronic, optical and charge transfer properties of acene based organic semiconductor materials",
"venue": "Bulletin of Materials Science",
"year": 2019
},
{
"abstract": "Abstract Density functional FP LAPW+lo method calculations were performed to explore the structural, electronic, optical, elastic, thermoelectric and thermodynamic properties of the spinel oxides ZnRh2O4 and CdRh2O4. The exchange correlation potential were described using the GGA PBEsol and TB mBJ functionals. As the first step, the optimized structural parameters, including the lattice parameter and atomic coordinates, were determined. Electronic band structure, atomic resolved l projected densities of electronic states and photon energy dependence of the linear optical functions were computed. It is found that both investigated compounds are indirect band gap semiconductors. The band gap results from the splitting of the R h 4 d 6 states into occupied R h 4 d t 2 g 6 states, which form the valence band maximum (VBM) and the empty states R h 4 d e g 0 which form the conduction band minimum (CBM) owing to the octahedral substantial crystal field. The electronic interband transitions responsible of the structures in the optical spectra were specified. Single crystal and polycrystal elastic moduli, wave sound velocities, Debye temperature, Pugh's indicator and indexes of elastic anisotropy were numerically estimated using total energy versus strain. FP LAPW+lo band structure in combination with the standard Boltzmann transport theory were employed to calculate the thermoelectric parameters, including Seebeck coefficient, electrical and thermal conductivities and figure of merit. It is found that the title compounds are potential candidates for thermoelectric applications if one can further reduce their thermal conductivities via some techniques. FP LAPW+lo approach in combination with the quasi harmonic Debye model was employed to study temperature and pressure dependences of some macroscopic physical parameters. Our obtained results in the present work are discussed in comparison with the available experimental and theoretical data. The calculated results show a good agreement with the available experimental and theoretical results.",
"author_names": [
"Abdelmadjid Bouhemadou",
"Djamel Allali",
"Khaouther Boudiaf",
"B Al Qarni",
"Saad Bin-Omran",
"Rabah Khenata",
"Y Al-Douri"
],
"corpus_id": 139961847,
"doc_id": "139961847",
"n_citations": 31,
"n_key_citations": 0,
"score": 0,
"title": "Electronic, optical, elastic, thermoelectric and thermodynamic properties of the spinel oxides ZnRh2O4 and CdRh2O4",
"venue": "Journal of Alloys and Compounds",
"year": 2019
},
{
"abstract": "Optical band gap $E_{\\mathrm{g}0} $Eg0) is a parameter of paramount importance in describing various transport and opto electronic properties of the III V type low band gap semiconductors. In the present communication, an attempt has been made to develop an energy momentum $E{ \\bar{k} $E k dispersion relation for studying the density of state (DOS) and band gap related parameters. The external laser excitation has been treated as a perturbation. It has been shown theoretically that due to such excitation with different intensity (I) and wavelength \\lambda (l) the band edge of the conduction band (CB) of the III V compound semiconductors moves vertically upward, indicating laser modulation (increase) of $E_{\\mathrm {g}0} $Eg0 and related parameters compared to those of the normal ones (unperturbed) Therefore, in the presence of light, the original CB edge forms a pseudo CB edge above the unperturbed CB edge in the forbidden band (FB) zone. This new development of the $E{ \\bar{k} $E k relationship has also been extended for the estimation of exact optical effective mass (OPEM) of an electron in some III V compound semiconductors. The OPEM variation with carrier concentration showed a continuous decreasing nature, while the corresponding variation of electron effective mass (EEM) (without laser excitation) exhibited an increasing trend. The present theoretical results would be important for the deeper understanding of the variation of OPEM with I and \\lambda $l. The observed new results will also be beneficial for studying laser induced effects in semiconductor heterostructures with different applications in optoelectronic devices.",
"author_names": [
"Paritosh K Chakraborty",
"B N Mondal",
"Bijay Krishna Chaudhuri"
],
"corpus_id": 133513414,
"doc_id": "133513414",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Laser induced modulation of optical band gap parameters in the III V type semiconductors from the density of state (DOS) calculations",
"venue": "Pramana",
"year": 2019
},
{
"abstract": "In this paper, the electronic and optical properties of various point defects in gallium sulfide (GaS) and gallium selenide (GaSe) are studied. Various vacancy defects in each monolayer GaX (X= S, Se) include VX, VGa, 2VX, 2VGa, 1VGa1VX, 1VGa2VX, 2VGa1VX, 2VGa2VX. We compute the band structure, zero bias transmission spectrum, and dielectric function for all considered structures. The calculations are carried out by the first principles method. The calculation results indicate that the absence of S/Se atom in these semiconductors leads to the transition from an indirect band gap for the pristine materials to a direct band gap in their defective systems and the band gap energies change from 2.3 eV/2.11 eV to 1.33 eV/0.98 eV, respectively. Also, 2VX causes that the semiconductor band gap changes from indirect to direct. Furthermore, GaX monolayer is converted to a p type semiconductor in the presence of VGa. Moreover, these findings represent that some of the point defects in this system lead to magnetic states which can be employed in spintronic devices. In addition, for the defective GaX monolayers with the direct band gap, the first peak of imaginary part of the dielectric function occurs around their band gap energy. The study of intrinsic structural defects in monolayer GaX provides new opportunities for optimizing the electronic and optical properties of these materials via defect engineering.",
"author_names": [
"Raziehsadat Hosseini Almadvari",
"Maryam Nayeri",
"Somayeh Fotoohi"
],
"corpus_id": 213593471,
"doc_id": "213593471",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Engineering of electronic and optical properties of monolayer gallium sulfide/selenide in presence of intrinsic atomic defects",
"venue": "",
"year": 2020
},
{
"abstract": "In this paper, some electronic properties such as the electronic density of states (DOS) and energy band structure, and optical properties of the bulk and monolayer PtSe2 structure have been investigated based on the density functional theory (DFT) using full potential linearized augmented plane wave (FP LAPW) method and the modified Becke Johnson potential (mBJ) By changing the PtSe2 structure size from bulk to monolayer, there have been major changes in the electronic (DOS and band structure) and optical properties such as the dielectric function. The electronic results show that PtSe2 in the bulk state exhibits a metallic property, while its nanosheet state, PtSe2 is a semiconductor with an energy gap of about 1.5 eV. Band structure calculations showed that for PtSe2 structure in the bulk state the energy distance between G point and the K point is about 0.4 eV, so it is concluded that PtSe2 is a semi metal. The optical properties results show that the largest damping region for electromagnetic waves for a bulk PtSe2 structure in the z direction is equal to 14.02 eV. The volume plasmon energy of the PtSe2 monolayer is smaller than the plasmon energy of the bulk PtSe2. Also, the optical reflectivity of the bulk PtSe2 is larger than the optical reflectivity of the PtSe2 monolayer.",
"author_names": [
"Farshad Ghasemi",
"R Taghavimendi",
"Ali Bakhshayeshi"
],
"corpus_id": 228960059,
"doc_id": "228960059",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Electronic and optical properties of monolayer and bulk of PtSe2",
"venue": "",
"year": 2020
}
] |
Bandgap engineering of two-dimensional C3N bilayers | [
{
"abstract": "Semiconductors are the basis of many vital technologies such as electronics, computing, communications, optoelectronics, and sensing. Modern semiconductor technology can trace its origins to the invention of the point contact transistor in 1947. This demonstration paved the way for the development of discrete and integrated semiconductor devices and circuits that has helped to build a modern society where semiconductors are ubiquitous components of everyday life. A key property that determines the semiconductor electrical and optical properties is the bandgap. Beyond graphene, recently discovered two dimensional (2D) materials possess semiconducting bandgaps ranging from the terahertz and mid infrared in bilayer graphene and black phosphorus, visible in transition metal dichalcogenides, to the ultraviolet in hexagonal boron nitride. In particular, these 2D materials were demonstrated to exhibit highly tunable bandgaps, achieved via the control of layers number, heterostructuring, strain engineering, chemical doping, alloying, intercalation, substrate engineering, as well as an external electric field. We provide a review of the basic physical principles of these various techniques on the engineering of quasi particle and optical bandgaps, their bandgap tunability, potentials and limitations in practical realization in future 2D device technologies.",
"author_names": [
"Andrey Chaves",
"Javad G Azadani",
"Hussain Alsalman",
"D R da Costa",
"Riccardo Frisenda",
"Seunghyun Song",
"Y D Kim",
"Daowei He",
"Jiadong Zhou",
"Andres Castellanos-Gomez",
"Francois M Peeters",
"Zheng Liu",
"Christopher L Hinkle",
"Sang-Hyun Oh",
"Peide D Ye",
"Steven J Koester",
"Young Hee Lee",
"Phaedon Avouris",
"Xinran Wang",
"Tony Low"
],
"corpus_id": 221257819,
"doc_id": "221257819",
"n_citations": 69,
"n_key_citations": 2,
"score": 1,
"title": "Bandgap engineering of two dimensional semiconductor materials",
"venue": "npj 2D Materials and Applications",
"year": 2020
},
{
"abstract": "Atomically thin two dimensional semiconducting transition metal dichalcogenides (TMDs) can withstand large levels of strain before their irreversible damage occurs. This unique property offers a promising route for control of the optical and electronic properties of TMDs, for instance by depositing them on nano structured surfaces, where position dependent strain can be produced on the nano scale. Here, we demonstrate strain induced modifications of the optical properties of mono and bilayer TMD WSe$_2 placed on photonic nano antennas made from gallium phosphide (GaP) Photoluminescence (PL) from the strained areas of the TMD layer is enhanced owing to the efficient coupling with the confined optical mode of the nano antenna. Thus, by following the shift of the PL peak, we deduce the changes in the strain in WSe$_2$ deposited on the nano antennas of different radii. In agreement with the presented theory, strain up to \\approx 1.4 is observed for WSe$_2$ monolayers. We also estimate that >3\\ strain is achieved in bilayers, accompanied with the emergence of a direct bandgap in this normally indirect bandgap semiconductor. At cryogenic temperatures, we find evidence of the exciton confinement in the most strained nano scale parts of the WSe$_2$ layers, as also predicted by our theoretical model. Our results, of direct relevance for both dielectric and plasmonic nano antennas, show that strain in atomically thin semiconductors can be used as an additional parameter for engineering light matter interaction in nano photonic devices.",
"author_names": [
"Luca Sortino",
"Matthew Brooks",
"Panaiot G Zotev",
"Armando Genco",
"Javier Cambiasso",
"Sandro Mignuzzi",
"Stefan A Maier",
"Guido Burkard",
"Riccardo Sapienza",
"Alexander I Tartakovskii"
],
"corpus_id": 211076279,
"doc_id": "211076279",
"n_citations": 7,
"n_key_citations": 1,
"score": 0,
"title": "Dielectric Nanoantennas for Strain Engineering in Atomically Thin Two Dimensional Semiconductors",
"venue": "",
"year": 2020
},
{
"abstract": "Multiple quantum wells based on 2D semiconductors are realized by monolithic bandgap engineering and van der Waals stacking. Quantum wells (QWs) enabling effective exciton confinement and strong light matter interaction, form an essential building block for quantum optoelectronics. For two dimensional (2D) semiconductors, however, constructing the QWs is still challenging because suitable materials and fabrication techniques are lacking for bandgap engineering and indirect bandgap transitions occur at the multilayer. Here, we demonstrate an unexplored approach to fabricate atomic layer confined multiple QWs (MQWs) via monolithic bandgap engineering of transition metal dichalcogenides and van der Waals stacking. The WOX/WSe2 hetero bilayer formed by monolithic oxidation of the WSe2 bilayer exhibited the type I band alignment, facilitating as a building block for MQWs. A superlinear enhancement of photoluminescence with increasing the number of QWs was achieved. Furthermore, quantum confined radiative recombination in MQWs was verified by a large exciton binding energy of 193 meV and a short exciton lifetime of 170 ps. This work paves the way toward monolithic integration of band engineered heterostructures for 2D quantum optoelectronics.",
"author_names": [
"Yoon Seok Kim",
"Sojung Kang",
"Jae-Pil So",
"Jong Chan Kim",
"Kangwon Kim",
"Seunghoon Yang",
"Ye Seul Jung",
"Yongjun Shin",
"Seong Won Lee",
"Donghun Lee",
"Jin-Woo Park",
"Hyeonsik Cheong",
"Hu Young Jeong",
"Hong-Gyu Park",
"Gwan-Hyoung Lee",
"Chul-Ho Lee"
],
"corpus_id": 232368471,
"doc_id": "232368471",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Atomic layer confined multiple quantum wells enabled by monolithic bandgap engineering of transition metal dichalcogenides",
"venue": "Science Advances",
"year": 2021
},
{
"abstract": "Band engineering of two dimensional transition metal dichalcogenides (2D TMDCs) is of great significance with regard to both fundamental exploration and practical application. Here we report on a study of the band evolution of monolayer and bilayer TMDCs (WS2, WSe2, and MoS2) under vertical electric fields. Our results show that the electric field has a negligible influence on the bandgaps of monolayer TMDCs. For bilayer TMDCs, our results show that their intralayer direct bandgaps are also immune to the electric field. However, the indirect bandgaps of bilayer TMDCs can be effectively tuned by a vertical electric field. Interestingly, we find that the field tunability of the bandgap in bilayer WSe2 is much larger than those in bilayer WS2 and MoS2.Band engineering of two dimensional transition metal dichalcogenides (2D TMDCs) is of great significance with regard to both fundamental exploration and practical application. Here we report on a study of the band evolution of monolayer and bilayer TMDCs (WS2, WSe2, and MoS2) under vertical electric fields. Our results show that the electric field has a negligible influence on the bandgaps of monolayer TMDCs. For bilayer TMDCs, our results show that their intralayer direct bandgaps are also immune to the electric field. However, the indirect bandgaps of bilayer TMDCs can be effectively tuned by a vertical electric field. Interestingly, we find that the field tunability of the bandgap in bilayer WSe2 is much larger than those in bilayer WS2 and MoS2.",
"author_names": [
"Peng Chen",
"Cai Cheng",
"Cheng Shen",
"Jinshan Zhang",
"Shuang Wu",
"Xiaobo Lu",
"Shuopei Wang",
"Luojun Du",
"Kenji Watanabe",
"Takashi Taniguchi",
"Jiatao Sun",
"Rong Yang",
"Dongxia Shi",
"Kaihui Liu",
"Sheng Meng",
"Guangyu Zhang"
],
"corpus_id": 202150956,
"doc_id": "202150956",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Band evolution of two dimensional transition metal dichalcogenides under electric fields",
"venue": "Applied Physics Letters",
"year": 2019
},
{
"abstract": "Abstract In this work, the structural, electronic and optical properties of monolayer and bilayer of boron doped C3N are investigated by means of density functional theory based first principles calculations. Our results show that with increasing the B dopant concentration from 3.1% to 12.5% in the hexagonal pattern, an indirect to direct band gap (0.8 eV) transition occurs. Furthermore, we study the effect of electric field and strain on the B doped C3N bilayer (B C3N@2L) It is shown that by increasing E field strength from 0.1 to 0.6V/A, the band gap displays almost a linear decreasing trend, while for the 0.6V/A, we find dual narrow band gap with of 50 meV (in parallel E field) and 0.4 eV (in antiparallel E field) Our results reveal that in plane and out of plane strains can modulate the band gap and band edge positions of the B C3N@2L. Overall, we predict that B C3N@2L is a new platform for the study of novel physical properties in layered two dimensional materials (2DM) which may provide new opportunities to realize high speed low dissipation devices.",
"author_names": [
"Asadollah Bafekry",
"Mehmet Yagmurcukardes",
"Masoud Shahrokhi",
"Mitra Ghergherehchi"
],
"corpus_id": 224893872,
"doc_id": "224893872",
"n_citations": 35,
"n_key_citations": 0,
"score": 0,
"title": "Electro optical properties of monolayer and bilayer boron doped C3N: Tunable electronic structure via strain engineering and electric field",
"venue": "",
"year": 2020
},
{
"abstract": "Using first principles calculations we systematically investigate the atomic, electronic and magnetic properties of novel two dimensional materials (2DM) with a stoichiometry C$_{3}$N which has recently been synthesized. We investigate how the number of layers affect the electronic properties by considering monolayer, bilayer and trilayer structures, with different stacking of the layers. We find that a transition from semiconducting to metallic character occurs which could offer potential applications in future nanoelectronic devices. We also study the affect of width of C$_{3}$N nanoribbons, as well as the radius and length of C$_{3}$N nanotubes, on the atomic, electronic and magnetic properties. Our results show that these properties can be modified depending on these dimensions, and depend markedly on the nature of the edge states. Functionalization of the nanostructures by the adsorption of H adatoms is found induce metallic, half metallic, semiconducting and ferromagnetic behavior, which offers an approach to tailor the properties, as can the application of strain. Our calculations give insight into this new family of C$_{3}$N nanostructures, which reveal unusual electronic and magnetic properties, and may have great potential in applications such as sensors, electronics and optoelectronic at the nanoscale.",
"author_names": [
"Asadollah Bafekry",
"Catherine Stampfl",
"S Farjami Shayesteh"
],
"corpus_id": 207965962,
"doc_id": "207965962",
"n_citations": 28,
"n_key_citations": 0,
"score": 0,
"title": "A first principles study of C3N nanostructures: Control and engineering of the electronic and magnetic properties of nanosheets, tubes and ribbons.",
"venue": "Chemphyschem a European journal of chemical physics and physical chemistry",
"year": 2019
},
{
"abstract": "Abstract In this contribution, using DFT calculations, we take systematic insights into the modified structural and electronic properties of two dimensional (2D) blue phosphorus (blueP)/graphene like (g C3N) heterostructure via an external vertical strain. First, four types of representative stacking configurations are considered, i.e. I, II, III and IV pattern, respectively. The calculated results indicate that the III configuration behaves more energetically, structurally and dynamic stable, under which it is chosen for the following calculations. The lattice constants of the individual blueP and g C3N monolayers, along with their calculated band gaps, are consistent with the previous reported works, supporting the reliability of our theoretical models and computational details. Besides, the relatively large difference in the work function (WF) between the isolated blueP and C3N monolayers illustrates a charge transfer from g C3N to blueP layer, in good accordance to the analysis of charge density difference and Mulliken atomic population of 0.16 e. A built in electric field (Eint) has been formed due to the charge transfer at the interface region, which can efficiently hinder the recombination of photongenerated electron hole pairs, suggesting its significant application prospect in novel optoelectronic devices. A type II band alignment is presented for the blueP/g C3N heterostructure, demonstrating it great significance for the application in photoelectronic materials. Furthermore, tunable interlayer distances from 2.2 to 5.0 A are employed to obtain modulated electronic properties for the blueP/g C3N heterostructure. Interestingly, there occurs a semiconductor to metal transition when D larger than 4.6 A, indicating its promising application in the field of electronics and nano electronics. Moreover, tailored work functions can also be acquired by changing the interlayer distance. These findings together predict significant potential for the blueP/g C3N heterostructure with tunable interlayer distances applied as next generation nanoelectronic and optoelectronic devices, along with a photocatalyst.",
"author_names": [
"Xusheng Gao",
"Yanqing Shen",
"Yanyan Ma",
"Shengyao Wu",
"Zhongxiang Zhou"
],
"corpus_id": 140074223,
"doc_id": "140074223",
"n_citations": 16,
"n_key_citations": 0,
"score": 0,
"title": "First principles insights into efficient band gap engineering of the blue phosphorus/g C3N bilayer heterostructure via an external vertical strain",
"venue": "Applied Surface Science",
"year": 2019
},
{
"abstract": "In this paper, we report a comprehensive modeling and simulation study of constructing hybrid layered materials by alternately stacking MoS2 and WSe2 monolayers. Such hybrid MoS2/WSe2 hetero multilayers exhibited direct bandgap semiconductor characteristics with bandgap energy (E g) in a range of 0.45 0.55 eV at room temperature, very attractive for optoelectronics (wavelength range 2.5 2.75 mm) based on thicker two dimensional (2D) materials. It was also found that the interlayer distance has a significant impact on the electronic properties of the hetero multilayers, for example a five orders of magnitude change in the conductance was observed. Three material phases, direct bandgap semiconductor, indirect bandgap semiconductor, and metal were observed in MoS2/WSe2 hetero multilayers, as the interlayer distance decreased from its relaxed (i.e. equilibrium) value of about 6.73 A down to 5.50 A, representing a vertical pressure of about 0.8 GPa for the bilayer and 1.5 GPa for the trilayer. Such new hybrid layered materials are very interesting for future nanoelectronic pressure sensor and nanophotonic applications. This study describes a new approach to explore and engineer the construction and application of tunable 2D semiconductors.",
"author_names": [
"Kunming Gu",
"Sheng Yu",
"Kwesi Eshun",
"Haiwen Yuan",
"Huixian Ye",
"Jiao-ning Tang",
"Dimitris E Ioannou",
"Changshi Xiao",
"Hui Wang",
"Qiliang Li"
],
"corpus_id": 42123415,
"doc_id": "42123415",
"n_citations": 5,
"n_key_citations": 0,
"score": 0,
"title": "Two dimensional hybrid layered materials: strain engineering on the band structure of MoS2/WSe2 hetero multilayers.",
"venue": "Nanotechnology",
"year": 2017
},
{
"abstract": "Two Dimensional (2D) materials such as graphene, Transition Metal Dichalcogenides (TMDs) and Metal Monochalcogenides (MMs) are the next generation of smart devices because of their outstanding novel properties. Monolayer (one molecule thick. of famous TMDs such as MoS2, MoSe2, WS2 and WSe2 exhibit phenomenal physical properties including but not limited to low energy direct bandgap and large piezoelectric responses. These have made them potential candidates for cutting edge electronic and mechanical devices such as novel transistors and PN junctions, on chip energy storage and piezoelectric devices which could be applied in smart sensors and actuators technologies. Additionally, reversible structural phase transition in these materials from semiconducting phase (1H) to metallic phase (1T' as a function of strain, provide compelling physics which facilitates new era of sophisticated flexoelectric devices, novel switches and a giant leap in new regime of transistors. One iconic characteristics of monolayer 2D materials is their incredible stretchability which allows them to be subjected to several percent strains before yielding. In this thesis I provide facile techniques based on polymer encapsulation to apply several percent (6.5% controllable, non destructive and reproducible strains. This is the highest reproducible strain reported so far. Then I show our experimental techniques and object detection algorithm to verify the amount of strain. These followed up by device fabrication techniques as well as in depth polarized and unpolarized Raman spectroscopy. Then, I show interesting physics of monolayer and bilayer TMDs under strain and how their photoluminescence behaviors change under tensile and compressive strains. Monolayers of TMDs and MMs exhibit 1 10 larger piezoelectric coefficients comparing to bulk piezo materials. These surprising characteristics together with being able to apply large range strains, opens a new avenue of piezoelectricity with enormous magnitudes higher than those commercially available. Further on 2D materials, I show our transport experiments on doped and pristine graphene micro devices and unveil the discoveries of magneto conductance behaviors. To complete, we present our computerized techniques and experimental platforms to make these 2D materials.",
"author_names": [
"Abdollah Motmaen Dadgar"
],
"corpus_id": 139409000,
"doc_id": "139409000",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Strain Engineering, Quantum Transport and Synthesis of Atomically thin Two dimensional Materials",
"venue": "",
"year": 2017
},
{
"abstract": "The application of strain to semiconductors allows for controlled modification of their band structure. This principle is employed for the manufacturing of devices ranging from high performance transistors to solid state lasers. Traditionally, strain is typically achieved via growth on lattice mismatched substrates. For two dimensional (2D) semiconductors, this is not feasible as they typically do not interact epitaxially with the substrate. Here, we demonstrate controlled strain engineering of 2D semiconductors during synthesis by utilizing the thermal coefficient of expansion mismatch between the substrate and semiconductor. Using WSe2 as a model system, we demonstrate stable built in strains ranging from 1% tensile to 0.2% compressive on substrates with different thermal coefficient of expansion. Consequently, we observe a dramatic modulation of the band structure, manifested by a strain driven indirect to direct bandgap transition and brightening of the dark exciton in bilayer and monolayer WSe2, respectively. The growth method developed here should enable flexibility in design of more sophisticated devices based on 2D materials.Strain engineering is an essential tool for modifying local electronic properties in silicon based electronics. Here, Ahn et al. demonstrate control of biaxial strain in two dimensional materials based on the growth substrate, enabling more complex low dimensional electronics.",
"author_names": [
"Geun Ho Ahn",
"Matin Amani",
"Haider Imad Rasool",
"Der-Hsien Lien",
"James P Mastandrea",
"Joel W Ager Iii",
"Madan Dubey",
"Daryl C Chrzan",
"Andrew M Minor",
"Ali Javey"
],
"corpus_id": 3634374,
"doc_id": "3634374",
"n_citations": 137,
"n_key_citations": 0,
"score": 0,
"title": "Strain engineered growth of two dimensional materials",
"venue": "Nature Communications",
"year": 2017
}
] |
Semiconductor nanocrystals as fluorescent biological labels | [
{
"abstract": "Semiconductor nanocrystals were prepared for use as fluorescent probes in biological staining and diagnostics. Compared with conventional fluorophores, the nanocrystals have a narrow, tunable, symmetric emission spectrum and are photochemically stable. The advantages of the broad, continuous excitation spectrum were demonstrated in a dual emission, single excitation labeling experiment on mouse fibroblasts. These nanocrystal probes are thus complementary and in some cases may be superior to existing fluorophores.",
"author_names": [
"Marcel P Bruchez",
"Mario M Moronne",
"Pi Gin",
"Shimon Weiss",
"A Paul Alivisatos"
],
"corpus_id": 11971433,
"doc_id": "11971433",
"n_citations": 7199,
"n_key_citations": 65,
"score": 1,
"title": "Semiconductor nanocrystals as fluorescent biological labels.",
"venue": "Science",
"year": 1998
},
{
"abstract": "To investing the applying value of the novel fluorescent probe semiconductor quantum dot in immunofluorescence assay.We detected the expression of HSP70 in renal cell carcinoma tissure sections with semiconductor quantum dot(QD605)or conventional organic dye FITC as fluorescent labels,compared image difference and photostability.All labeling signals of quantum dot were found to be more specific and brighter than those of FITC.Moreover,the quantum dot exhibited exceptional photostability during continuous illumination for 1 h by a 488 nm laser.semiconductor quantum dot as fluorescent probe can offer substantial advantages over exsiting fluorophores in IFA for detecting tumor biomarkers.",
"author_names": [
"Yang Huan"
],
"corpus_id": 101775472,
"doc_id": "101775472",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "Semiconductor nanocrystals as fluorescent biological labels for detecting the expression of HSP70 in renal cell carcinoma tissure",
"venue": "",
"year": 2006
},
{
"abstract": "Quantum dots are superior to dye molecules in many aspects from size tunable fluorescence and resistance to photobleaching and they have thus been widely used in biology as fluorescent probes. However, the cytotoxicity of some quantum dots limits their use in biological systems, and exploiting green nanoparticles with low cytotoxicity has become one major concern in this field. Silicon carbide, one well known power electronic semiconductor material, is considered one of the best biocompatible materials, especially to blood. In addition, it has superior properties such as low density, high hardness, high strength, and chemical inertness. In recent years, much effort has been made to synthesize nanocrystalline SiC and study its photoluminescence (PL) properties. Some synthesized SiC nanostructures showed emission in the blue to UV range with their properties depending sensitively on the fabrication method and even on specific experiments. Although some variations have been reported, in general the observed emissions can be ascribed to some surface or defect states in the SiC nanostructures. However, owing to their relatively large size, low emission intensity, lack of controlled synthesis, and variable optical properties, these interconnected SiC nanostructures can hardly be used as fluorescent biological labels. Kassiba and co workers synthesized SiC nanoparticles with diameters of tens of nanometers",
"author_names": [
"Jiyang Fan",
"Hongxia Li",
"Jingyin Jiang",
"Leo K -Y So",
"Yun Wah Lam",
"Paul K Chu"
],
"corpus_id": 27132288,
"doc_id": "27132288",
"n_citations": 158,
"n_key_citations": 3,
"score": 0,
"title": "3C SiC nanocrystals as fluorescent biological labels.",
"venue": "Small",
"year": 2008
},
{
"abstract": "In this paper, we report the development of rod shaped semiconductor nanocrystals (quantum rods) as fluorescent biological labels. Water soluble biocompatible quantum rods have been prepared by surface silanization and applied for nonspecific cell tracking as well as specific cellular targeting. Quantum rods are brighter single molecule probes as compared to quantum dots. They have many potential applications as biological labels in situations where their properties offer advantages over quantum dots.",
"author_names": [
"Aihua Fu",
"Weiwei Gu",
"Benjamin Boussert",
"Kristie J Koski",
"Daniele Gerion",
"Liberato Manna",
"Mark A Le Gros",
"Carolyn A Larabell",
"A Paul Alivisatos"
],
"corpus_id": 8787527,
"doc_id": "8787527",
"n_citations": 160,
"n_key_citations": 0,
"score": 0,
"title": "Semiconductor quantum rods as single molecule fluorescent biological labels.",
"venue": "Nano letters",
"year": 2007
},
{
"abstract": "Detection of single, fluorescently labeled biomolecules is providing a powerful approach to measuring molecular transport, biomolecular interactions, and localization in biological systems. Because the biological molecules of interest rarely exhibit sufficient intrinsic fluorescence to allow observation of individual molecules, they are usually labeled with fluorescent dye molecules, fluorescent proteins, semiconductor nanocrystals or quantum dots, or fluorescently doped silica or polymer nanospheres to allow their detection. Differences in the photophysical and spectral properties of different labels allow one to identify individual molecules by distinguishing their corresponding labels. A simple approach to measuring fluorescence spectra of individual fluorescent labels can be implemented in a standard wide field fluorescence microscope, where a grating or prism is incorporated into the path from the microscope to an imaging detector to disperse the emission spectrum. In this work, principal components and cluster analysis are applied to the identification of fluorescence spectra from single fluorescent labels, with statistical tests of the classification results. Spectra are determined from diffracted images of fluorescent nanospheres labels, where emission maxima are separated by less than 20 nm, and of single dye molecule labels with 30 nm separation. Clusters of points in an eigenvector representation of the spectra correctly classify known labels (both nanospheres and single molecules) and unambiguously identify unknown labels in mixtures.",
"author_names": [
"Emily C Heider",
"Moussa Barhoum",
"Eric M Peterson",
"Jonathan J Schaefer",
"Joel M Harris"
],
"corpus_id": 22880936,
"doc_id": "22880936",
"n_citations": 13,
"n_key_citations": 0,
"score": 0,
"title": "Identification of Single Fluorescent Labels Using Spectroscopic Microscopy",
"venue": "Applied spectroscopy",
"year": 2010
},
{
"abstract": "In this Article, we study the development of semiconductor nanocrystals (quantum dots of average diameter less than 2 nm) directly conjugated to a transporter protein human serum albumin (HSA) as fluorescent biological labels. Forster resonance energy transfer (FRET) from the amino acid tryptophan (Trp214) to quantum dot in HSA is monitored to follow the local and global changes in the protein structure during thermal unfolding and refolding processes. This study is likely to attract widespread attention as a powerful tool for the study of protein folding.",
"author_names": [
"Rupa Sarkar",
"S Shankara Narayanan",
"Lars-Olof Palsson",
"Fernando B Dias",
"Andrew P Monkman",
"Samir Kumar Pal"
],
"corpus_id": 33772851,
"doc_id": "33772851",
"n_citations": 29,
"n_key_citations": 0,
"score": 0,
"title": "Direct conjugation of semiconductor nanocrystals to a globular protein to study protein folding intermediates.",
"venue": "The journal of physical chemistry. B",
"year": 2007
},
{
"abstract": "In recent years, semiconductor nanocrystal quantum dots havegarnered the spotlight as an important new class of biological labelingtool. Withoptical properties superior to conventional organicfluorophores from many aspects, such as high photostability andmultiplexing capability, quantum dots have been applied in a variety ofadvanced imaging applications. This dissertation research goes along withlarge amount of research efforts in this field, while focusing on thedesign and development of new nanoprobes from semiconductor nanocrystalsthat are aimed for useful imaging or sensing applications not possiblewith quantum dots alone. Specifically speaking, two strategies have beenapplied. In one, we have taken advantage of the increasing capability ofmanipulating the shape of semiconductor nanocrystals by developingsemiconductor quantum rods as fluorescent biological labels. In theother, we have assembled quantum dots and gold nanocrystals into discretenanostructures using DNA. The background information and synthesis,surface manipulation, property characterization and applications of thesenew nanoprobes in a few biological experiments are detailed in thedissertation.",
"author_names": [
"Aihua Fu"
],
"corpus_id": 137191406,
"doc_id": "137191406",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Developing New Nanoprobes from Semiconductor Nanocrystals",
"venue": "",
"year": 2006
},
{
"abstract": "Recent advances in nanomaterials have produced a new class of fluorescent labels by conjugating semiconductor quantum dots with biorecognition molecules. These nanometer sized conjugates are water soluble and biocompatible, and provide important advantages over organic dyes and lanthanide probes. In particular, the emission wavelength of quantum dot nanocrystals can be continuously tuned by changing the particle size, and a single light source can be used for simultaneous excitation of all different sized dots. High quality dots are also highly stable against photobleaching and have narrow, symmetric emission spectra. These novel optical properties render quantum dots ideal fluorophores for ultrasensitive, multicolor, and multiplexing applications in molecular biotechnology and bioengineering.",
"author_names": [
"Warren C W Chan",
"Dustin J Maxwell",
"Xiaohu Gao",
"Robert E Bailey",
"Mingyong Han",
"Shuming Nie"
],
"corpus_id": 22031373,
"doc_id": "22031373",
"n_citations": 1853,
"n_key_citations": 21,
"score": 0,
"title": "Luminescent quantum dots for multiplexed biological detection and imaging.",
"venue": "Current opinion in biotechnology",
"year": 2002
},
{
"abstract": "The use of semiconductor nanocrystals (quantum dots) as fluorescent labels for multiphoton microscopy enables multicolor imaging in demanding biological environments such as living tissue. We characterized water soluble cadmium selenide zinc sulfide quantum dots for multiphoton imaging in live animals. These fluorescent probes have two photon action cross sections as high as 47,000 Goeppert Mayer units, by far the largest of any label used in multiphoton microscopy. We visualized quantum dots dynamically through the skin of living mice, in capillaries hundreds of micrometers deep. We found no evidence of blinking (fluorescence intermittency) in solution on nanosecond to millisecond time scales.",
"author_names": [
"Daniel R Larson",
"Warren R Zipfel",
"Rebecca M E Williams",
"Stephen Clark",
"Marcel P Bruchez",
"Frank W Wise",
"Watt W Webb"
],
"corpus_id": 7968711,
"doc_id": "7968711",
"n_citations": 2036,
"n_key_citations": 24,
"score": 0,
"title": "Water Soluble Quantum Dots for Multiphoton Fluorescence Imaging in Vivo",
"venue": "Science",
"year": 2003
},
{
"abstract": "Robust and bright light emitters, semiconductor nanocrystals [quantum dots (QDs) have been adopted as a new class of fluorescent labels. Six years after the first experiments of their uses in biological applications, there have been dramatic improvements in understanding surface chemistry, biocompatibility, and targeting specificity. Many studies have shown the great potential of using quantum dots as new probes in vitro and in vivo. This review summarizes the recent advances of quantum dot usage at the cellular level, including immunolabeling, cell tracking, in situ hybridization, FRET, in vivo imaging, and other related technologies. Limitations and potential future uses of quantum dot probes are also discussed.",
"author_names": [
"A Paul Alivisatos",
"Weiwei Gu",
"Carolyn A Larabell"
],
"corpus_id": 29090697,
"doc_id": "29090697",
"n_citations": 1195,
"n_key_citations": 21,
"score": 0,
"title": "Quantum dots as cellular probes.",
"venue": "Annual review of biomedical engineering",
"year": 2005
}
] |
Control of Wafer Scanners: Methods and Developments | [
{
"abstract": "In this tutorial paper, control design aspects of wafer scanners used in the semiconductor industry will be highlighted. At the same time, challenges for control design development as to meet the ever increasing demands on accuracy and speed are presented. Mechatronic systems that will be discussed are: (a) the light source needed to generate the ultraviolet light that is used for wafer exposure, (b) the optical and metrology systems needed for accurate measurement and imaging, and (c) the reticle and wafer stage systems needed for accurate and fast positioning. The control challenges associated with these systems mainly involve dealing with: (a) rejection of high frequency aliased disturbances, (b) large scale or fast updated (state) reconstruction, (c) vibration control and isolation in view of structural vibrations and disturbances, (d) inherent design tradeoffs like Bode's sensitivity integral and gain phase relationships, (e) multivariable plant identification of (quasi static) deformations and structural dynamics for point of interest control, and (f) thermal modelling, model reduction, and the control of (local) time varying deformation. Results will be discussed using representative examples.",
"author_names": [
"Marcel Francois Heertjes",
"Hans Butler",
"N J Dirkx",
"Stan van der Meulen",
"R Ahlawat",
"K O'Brien",
"James Simonelli",
"Kuo-Tai Teng",
"Yuanyuan Zhao"
],
"corpus_id": 220888607,
"doc_id": "220888607",
"n_citations": 1,
"n_key_citations": 0,
"score": 1,
"title": "Control of Wafer Scanners: Methods and Developments",
"venue": "2020 American Control Conference (ACC)",
"year": 2020
},
{
"abstract": "With decreasing CDOF (Critical Depth Of Focus) for 20/14nm technology and beyond, focus errors are becoming increasingly critical for on product performance. Current on product focus control techniques in high volume manufacturing are limited; It is difficult to define measurable focus error and optimize focus response on product with existing methods due to lack of credible focus measurement methodologies. Next to developments in imaging and focus control capability of scanners and general tool stability maintenance, on product focus control improvements are also required to meet on product imaging specifications. In this paper, we discuss focus monitoring, wafer (edge) fingerprint correction and on product focus budget analysis through diffraction based focus (DBF) measurement methodology. Several examples will be presented showing better focus response and control on product wafers. Also, a method will be discussed for a focus interlock automation system on product for a high volume manufacturing (HVM) environment.",
"author_names": [
"Young Ki Kim",
"Yen-Jen Chen",
"Xueli Hao",
"Pavan Samudrala",
"Juan-Manuel Gomez",
"Mark O Mahoney",
"Ferhad Kamalizadeh",
"Justin K Hanson",
"Shawn Lee",
"Ye Tian"
],
"corpus_id": 124583196,
"doc_id": "124583196",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Focus control enhancement and on product focus response analysis methodology",
"venue": "SPIE Advanced Lithography",
"year": 2016
},
{
"abstract": "The introduction of advanced technology nodes in deep UV (DUV) lithography (litho) involving multiple litho steps, has tightened the wafer on product overlay specifications [1] The industry trend already pushes the overlay requirements to the sub nanometer regime (and so the mask registration requirements tightens as well) In the most general view, wafer on product overlay errors are a combination of intra field (within field) and inter field (field to field) errors. A given litho layer intra field overlay error includes several systematic sources, such as scanner lens to lens residuals and mask writer residuals. Parallel to the nodes and litho techniques advancing, the ability to accurately measure overlay at high lateral resolution have being successfully introduced, both at wafer and mask side. The recent developments in scanner technology improved the ability to control intra field overlay at high order across the exposure field. However, this is still in several millimeter lateral resolution control ability at its best, leaving residual errors in the sub millimeter to few millimeter regime without the ability to further suppress them to the target specification, nevertheless, not to the sub nanometer magnitude. In this work, we have empirically evaluated the ZEISS state of the art mask tuning solution named ForTune ERC (Enhanced Registration Control) This solution is based on laser processing of the mask bulk by the ZEISS ForTune tool. It allows to suppress few nanometer overlay residuals (post the scanner best can do) down to deep sub nanometer, all even at sub millimeter sampling resolution (x1 wafer level) and low to high residuals modulating frequency. For the sake of this study, we have used a dual image mask to form one overlay signature at wafer side. Two wafers have been exposed prior to the laser based tuning of the mask bulk; the wafers overlay error was measured and used as an initial overlay problem to begin with. A second exposure of two additional wafers was performed post the problem solving by the ERC model and the consequent mask laser based tuning. The pre/post wafers were then compared to examine the improvement in overlay at wafer side. CD uniformity (CDU) data has been collected as well, to confirm no degradation in CDU due to the ForTune ERC process. The combination of this advanced method of intra field control with high order correction per exposure (CPE) by the scanner, provides an efficient co optimized solution to tightly control the overlay of existing and future nodes at DUV litho.",
"author_names": [
"Avi Cohen",
"P Leray",
"Eren Canga",
"Vladimir Dmitriev",
"Kujan Gorhad",
"Yael Sufrin"
],
"corpus_id": 139534599,
"doc_id": "139534599",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Enhanced wafer overlay residuals control; deep sub nanometer at sub millimeter lateral resolution",
"venue": "Advanced Lithography",
"year": 2019
},
{
"abstract": "The introduction of advanced technology nodes in deep UV (DUV) lithography (litho) involving multiple litho steps, has tightened the wafer on product overlay specifications [1] The industry trend already pushes the overlay requirements to the sub nanometer regime (and so the mask registration requirements tightens as well) In the most general view, wafer on product overlay errors are a combination of intra field (within field) and inter field (field to field) errors. A given litho layer intra field overlay error includes several systematic sources, such as scanner lens to lens residuals and mask writer residuals. Parallel to the nodes and litho techniques advancing, the ability to accurately measure overlay at high lateral resolution have being successfully introduced, both at wafer and mask side. The recent developments in scanner technology improved the ability to control intra field overlay at high order across the exposure field. However, this is still in several millimeter lateral resolution control ability at its best, leaving residual errors in the sub millimeter to few millimeter regime without the ability to further suppress them to the target specification, nevertheless, not to the sub nanometer magnitude. In this work, we have empirically evaluated the ZEISS state of the art mask tuning solution named ForTune ERC (Enhanced Registration Control) This solution is based on laser processing of the mask bulk by the ZEISS ForTune tool. It allows to suppress few nanometer overlay residuals (post the scanner best can do) down to deep sub nanometer, all even at sub millimeter sampling resolution (x1 wafer level) and low to high residuals modulating frequency. For the sake of this study, we have used a dual image mask to form one overlay signature at wafer side. Two wafers have been exposed prior to the laser based tuning of the mask bulk; the wafers overlay error was measured and used as an initial overlay problem to begin with. A second exposure of two additional wafers was performed post the problem solving by the ERC model and the consequent mask laser based tuning. The pre/post wafers were then compared to examine the improvement in overlay at wafer side. CD uniformity (CDU) data has been collected as well, to confirm no degradation in CDU due to the ForTune ERC process. The combination of this advanced method of intra field control with high order correction per exposure (CPE) by the scanner, provides an efficient co optimized solution to tightly control the overlay of existing and future nodes at DUV litho.",
"author_names": [
"Yael Sufrin",
"P Leray",
"Eren Canga",
"Avi Cohen",
"Vladimir Dmitriev",
"Kujan Gorhad"
],
"corpus_id": 202559015,
"doc_id": "202559015",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Enhanced wafer overlay residuals control: deep sub nanometer at sub millimeter lateral resolution",
"venue": "European Mask and Lithography Conference",
"year": 2019
},
{
"abstract": "Advances in photolithography are one of the key driving factors in the continuing expansion in capacity and decrease in cost of semiconductors. Extending this trend into the future necessitates the development of next generation lithography technologies in order to overcome the fundamental challenges of improving critical dimension and overlay control, and lowering the total cost of ownership. As feature sizes become smaller and smaller, performance requirements for wafer scanner machines will become more stringent; with regards to motion control, requirements for the wafer stage include sub nanometer positioning precision under high scan velocities and accelerations. Advanced control algorithms are needed to meet these requirements in the face of disturbances such as vibrations, noise, force ripple and friction, as well as model uncertainty.This dissertation focuses on using the repetitiveness of the stage's motion in the photolithography process to improve control precision. Similar to many manufacturing processes, the step and scan motion used to expose a wafer is very repetitive, on a die to die and also wafer to wafer level. By using data gathered from past runs, the control effort for future runs may be improved, thereby exploiting the repetitiveness of the process to increase control precision.In this research, \\textit{iterative learning control} (ILC) and \\textit{iterative feedback tuning} (IFT) were applied to reduce tracking error of the wafer stage. In ILC, a feedforward control signal for the system is incrementally adjusted to achieve better tracking performance using error signals from previous runs. ILC is an attractive method for high precision control because of its simplicity and data based nature. In this research, ILC algorithm design specifically for attenuating high frequency vibrations is investigated. Through careful design of the ILC update law, fast learning convergence and small final error is achieved. One drawback of ILC is that a feedforward signal learned through ILC is only applicable to the training trajectory; learning must be restarted when the trajectory is changed. A method is presented for making ILC results applicable to any trajectory within a class of scan trajectories; this is accomplished by using ILC as a training method for feedforward signal patterns. In IFT, controller parameters are fine tuned incrementally using only data collected in experimental runs. IFT is applied to tune fixed structure feedforward, feedback, and force ripple compensator controllers. The performance of IFT is also compared with ILC in the context of iterative methods for designing feedforward control. All results are verified through computer simulations and experiments done on a wafer stage testbed system.",
"author_names": [
"Hoday Stearns"
],
"corpus_id": 107772701,
"doc_id": "107772701",
"n_citations": 5,
"n_key_citations": 0,
"score": 0,
"title": "Iterative Methods for High Precision Motion Control with Application to a Wafer Scanner System",
"venue": "",
"year": 2011
},
{
"abstract": "This thesis presents the design and control techniques of a device for managing the inertial loads on photoreticle of lithography scanners. Reticle slip, resulting from large inertial loads, is a factor limiting the throughput and accuracy of the lithography scanners. Our reticle assist device completely eliminates reticle slip by carrying 96% of the inertial loads. The primary contributions of this thesis include the design and implementation of a practical high force density reticle assist device, the development of a novel charge controlled power amplifier with DC hysteresis compensation, and the development of a sensorless control method. A lithography scanner exposes a wafer by sweeping a slit of light passing through a reticle. The scanner controls the motion of the reticle and the wafer. The reticlestage moves the photoreticle. To avoid deforming the reticle, it is held using a vacuum clamp. Each line scan consists of acceleration at the ends of the line and a constantspeed motion in the middle of the line, where exposure occurs. If the reticle's inertial force approaches or exceeds the clamp's limit, nanometer level pre sliding slip or sliding slip will occur. The assist device carries the inertial load by exerting a feedforward force on the reticle's edge. The device retracts back during the sensitive exposure interval to avoid disturbing the reticle. The reticle is at the heart of the scanner, where disturbances directly affect the printing accuracy. Our reticle assist device consists of an approach mechanism and a piezoelectric stack actuator. The approach mechanism positions the actuator 1 mm from the reticle edge. The actuator, with 15 mm range, extends to push on the reticle. We have developed control techniques to enable high precision high bandwidth force compensation without using any sensors. We have also developed a novel charge controlled amplifier with a more robust feedback circuit and a method for hysteresis compensation at DC. These technologies were key to achieving high bandwidth high precision sensorless force control. When tested with a trapezoidal force profile with 6400 N/s rate and 60 N peak force, the device canceled 96% of the inertial force. 3 Thesis Supervisor: David L. Trumper Title: Professor of Mechanical Engineering",
"author_names": [
"Darya Amin-Shahidi"
],
"corpus_id": 113035248,
"doc_id": "113035248",
"n_citations": 4,
"n_key_citations": 1,
"score": 0,
"title": "Design and control of a self sensing piezoelectric reticle assist device",
"venue": "",
"year": 2013
},
{
"abstract": "In high tech industry (sub)nanometre precision motion control is essential. For example wafer scanners, used for production of integrated circuits, have to provide (sub)nanometre precision positioning whilst satisfying challenging requirements on speed at the same time. It is in demanding cases like this that different requirements begin to conflict with each other. A fundamental trade off between robustness and performance exists as an inevitable result of the waterbed effect in linear control. PID controllers, which have been an industry standard for many years, do not satisfy the ever increasing demands. In this MSc thesis a novel reset control synthesis method is proposed: CRONE reset control, which combines a robust fractional CRONE controller (Commande Robuste d'Ordre Non Entier) with non linear reset control to overcome waterbed effect. In CRONE control, robustness is achieved against gain deviations by creation of constant phase behaviour around bandwidth with the use of fractional operators. The use of fractional operators also introduces more freedom in shaping the open loop frequency response, allowing fractional factors in Bode's gain phase relation. However, waterbed effect remains, which motivates introduction of non linear reset control in the CRONE design. In reset control, controller states are reset when the error between output and reference signal is zero. In frequency domain, using describing function analysis it is predicted that reset filters generate less phase lag for similar gain behaviour. For instance, a reset integrator gives a phase lag of about 38 degrees, which is 52 degrees less compared to the linear integrator. This allows for relief from Bode's gain phase relation, breaking aforementioned trade offs. In the new controller design, reset phase advantage is approximated using describing function analysis and used to achieve better open loop shape. New design rules for CRONE reset control have been developed in this thesis. Three different reset control strategies have been investigated: integrator reset, lag reset and lead lag/lag lead reset. For these controllers, a two degree of freedom non linearity tuning CRONE reset control structure has been developed. This control structure has been implemented digitally within a MATLAB/Simulink environment on a Lorentz actuated (dual) precision stage via a real time dSPACE DS1103 controller interface. For the implemented controllers sufficient quadratic stability has been shown using the Hb condition. It has been shown that simulated frequency responses using describing function correspond well to experimental identified frequency responses. Moreover, frequency domain measurements have shown that better performance for CRONE reset control can be achieved for same phase margin compared to linear CRONE. Relief from both waterbed effect and Bode's gain phase relation has been accomplished. Furthermore, for the same bandwidth, average noise reduction between 1.79dB and 3.93dB has been attained at a number of distinct frequencies above bandwidth. In the fine stage separately and also in dual stage configuration, tracking of a fourth order input shaped reference signal (with second order and fourth order feedforward respectively) showed improvement in CRONE reset control compared to linear CRONE. In the dual stage configuration, after decoupling fine stage and coarse stage, tracking performance of a linear CRONE controller has been compared to a CRONE reset controller. In both cases the same linear CRONE 2 controller with a bandwidth of 80 Hz and phase margin of 50deg was applied to the coarse stage. On the fine stage a CRONE 1 lag lead controller was applied with a bandwidth of 150 Hz and a phase margin of 55deg. RMS error for a triangular scanning wave with maximal acceleration of 0.25 m/s2 and maximal velocity of 75 mm/s over a stroke of 2 cm, was reduced from 929.8 nm to 443.7 nm.",
"author_names": [
"Linda Chen"
],
"corpus_id": 116462345,
"doc_id": "116462345",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Development of CRONE reset control",
"venue": "",
"year": 2017
},
{
"abstract": "Embedded control is a key product technology differentiator for many high tech industries, including ASML. The strong increase in complexity of embedded control systems, combined with the occurrence of late changes in control requirements, results in many timing performance problems showing up only during the integration phase. The fallout of this is extremely costly design iterations, severely threatening the time to market and time to quality constraints. This paper reports on the industrial application at ASML of the Y chart method to attack this problem. Through the largely automated construction of executable models of a wafer scanner's mechatronics control application and platform, ASML was able to obtain high level overview early on in the development process. The system wide insight in timing bottlenecks gained this way resulted in more than a dozen improvement proposals yielding significant performance gains. These insights also led to a new development roadmap of the mechatronics control execution platform.",
"author_names": [
"Jeroen Voeten",
"Teun Hendriks",
"Bart D Theelen",
"J Schuddemat",
"W Tabingh Suermondt",
"J Gemei",
"Kees C Kotterink",
"C van Huet"
],
"corpus_id": 17870999,
"doc_id": "17870999",
"n_citations": 10,
"n_key_citations": 1,
"score": 0,
"title": "Predicting Timing Performance of Advanced Mechatronics Control Systems",
"venue": "2011 IEEE 35th Annual Computer Software and Applications Conference Workshops",
"year": 2011
},
{
"abstract": "The integration of on product diffraction based focus (DBF) capability into the majority of immersion lithography layers in leading edge logic manufacturing has enabled new applications targeted towards improving cycle time and yield. A CD based detection method is the process of record (POR) for excursion detection. The drawback of this method is increased cycle time and limited sampling due to CD SEM metrology capacity constraints. The DBFbased method allows the addition of focus metrology samples to the existing overlay measurements on the integrated metrology (IM) system. The result enables the addition of measured focus to the SPC system, allowing a faster excursion detection method. For focus targeting, the current method involves using a dedicated focus exposure matrix (FEM) on all scanners, resulting in lengthy analysis times and uncertainty in the best focus. The DBF method allows the measurement to occur on the IM system, on a regular production wafer, and at the same time as the exposure. This results in a cycle time gain as well as a less subjective determination of best focus. A third application aims to use the novel onproduct focus metrology data in order to apply per exposure focus corrections to the scanner. These corrections are particularly effective at the edge of the wafer, where systematic layer dependent effects can be removed using DBFbased scanner feedback. This paper will discuss the development of a methodology to accomplish each of these applications in a high volume production environment. The new focus metrology method, sampling schemes, feedback mechanisms and analysis methods lead to improved focus control, as well as earlier detection of failures.",
"author_names": [
"Ben F Noyes",
"Babak Mokaberi",
"D H Bolton",
"Chen Li",
"Ashwin Palande",
"Kevin Park",
"M J Noot",
"Marc Kea"
],
"corpus_id": 124008530,
"doc_id": "124008530",
"n_citations": 5,
"n_key_citations": 0,
"score": 0,
"title": "Applications of on product diffraction based focus metrology in logic high volume manufacturing",
"venue": "SPIE Advanced Lithography",
"year": 2016
},
{
"abstract": "BACKGROUND Randomised trials have shown that aspirin reduces the short term risk of recurrent colorectal adenomas in patients with a history of adenomas or cancer, but large trials have shown no effect in primary prevention of colorectal cancer during 10 years' follow up. However, the delay from the early development of adenoma to presentation with cancer is at least 10 years. We aimed to assess the longer term effect of aspirin on the incidence of cancers. METHODS We studied the effect of aspirin in two large randomised trials with reliable post trial follow up for more than 20 years: the British Doctors Aspirin Trial (N=5139, two thirds allocated 500 mg aspirin for 5 years, a third to open control) and UK TIA Aspirin Trial (N=2449, two thirds allocated 300 mg or 1200 mg aspirin for 1 7 years, a third placebo control) We also did a systematic review of all relevant observational studies to establish whether associations were consistent with the results of the randomised trials and, if so, what could be concluded about the likely effects of dose and regularity of aspirin use, other non steroidal anti inflammatory drugs (NSAID) and the effect of patient characteristics. RESULTS In the randomised trials, allocation to aspirin reduced the incidence of colorectal cancer (pooled HR 0.74, 95% CI 0.56 0.97, p=0.02 overall; 0.63, 0.47 0.85, p=0.002 if allocated aspirin for 5 years or more) However, this effect was only seen after a latency of 10 years (years 0 9: 0.92, 0.56 1.49, p=0.73; years 10 19: 0.60, 0.42 0.87, p=0.007) was dependent on duration of scheduled trial treatment and compliance, and was greatest 10 14 years after randomisation in patients who had had scheduled trial treatment of 5 years or more (0.37, 0.20 0.70, p=0.002; 0.26, 0.12 0.56, p=0.0002, if compliant) No significant effect on incidence of non colorectal cancers was recorded (1.01, 0.88 1.16, p=0.87) In 19 case control studies (20 815 cases) and 11 cohort studies (1 136 110 individuals) regular use of aspirin or NSAID was consistently associated with a reduced risk of colorectal cancer, especially after use for 10 years or more, with no difference between aspirin and other NSAIDs, or in relation to age, sex, race, or family history, site or aggressiveness of cancer, or any reduction in apparent effect with use for 20 years or more. However, a consistent association was only seen with use of 300 mg or more of aspirin a day, with diminished and inconsistent results for lower or less frequent doses. INTERPRETATION Use of 300 mg or more of aspirin a day for about 5 years is effective in primary prevention of colorectal cancer in randomised controlled trials, with a latency of about 10 years, which is consistent with findings from observational studies. Long term follow up is required from other randomised trials to establish the effects of lower or less frequent doses of aspirin.",
"author_names": [
"Enrico Flossmann",
"Peter M Rothwell"
],
"corpus_id": 35887713,
"doc_id": "35887713",
"n_citations": 582,
"n_key_citations": 8,
"score": 0,
"title": "Effect of aspirin on long term risk of colorectal cancer: consistent evidence from randomised and observational studies",
"venue": "The Lancet",
"year": 2007
}
] |
Ultra-large-scale syntheses of monodisperse nanocrystals | [
{
"abstract": "The development of nanocrystals has been intensively pursued, not only for their fundamental scientific interest, but also for many technological applications1,2,3. The synthesis of monodisperse nanocrystals (size variation <5% is of key importance, because the properties of these nanocrystals depend strongly on their dimensions. For example, the colour sharpness of semiconductor nanocrystal based optical devices is strongly dependent on the uniformity of the nanocrystals3,4,5,6, and monodisperse magnetic nanocrystals are critical for the next generation multi terabit magnetic storage media7,8,9. For these monodisperse nanocrystals to be used, an economical mass production method needs to be developed. Unfortunately, however, in most syntheses reported so far, only sub gram quantities of monodisperse nanocrystals were produced. Uniform sized nanocrystals of CdSe (refs 10,11) and Au (refs 12,13) have been produced using colloidal chemical synthetic procedures. In addition, monodisperse magnetic nanocrystals such as Fe (refs 14,15) Co (refs 16 18) g Fe2O3 (refs 19,20) and Fe3O4 (refs 21,22) have been synthesized by using various synthetic methods23. Here, we report on the ultra large scale synthesis of monodisperse nanocrystals using inexpensive and non toxic metal salts as reactants. We were able to synthesize as much as 40 g of monodisperse nanocrystals in a single reaction, without a size sorting process. Moreover, the particle size could be controlled simply by varying the experimental conditions. The current synthetic procedure is very general and nanocrystals of many transition metal oxides were successfully synthesized using a very similar procedure.",
"author_names": [
"Jongnam Park",
"Kwang Jun An",
"Yosun Hwang",
"Je-Guen Park",
"H J Noh",
"Jae-Young Kim",
"Jae-hoon Park",
"Nong-Moon Hwang",
"Taeghwan Hyeon"
],
"corpus_id": 38000870,
"doc_id": "38000870",
"n_citations": 3116,
"n_key_citations": 54,
"score": 1,
"title": "Ultra large scale syntheses of monodisperse nanocrystals",
"venue": "Nature materials",
"year": 2004
},
{
"abstract": "A rational synthetic method is developed to produce monodisperse metal sulfide nanocrystals (NCs) in organic nonpolar solutions by using (NH(4)(2)S as a sulfide precursor. (NH(4)(2)S is stabilized in an organic primary amine solution and exhibits high reactivity toward metal complexes. This novel technique exhibits wide applicability for organic phase metal sulfide NC synthesis: a large variety of monodisperse NCs have been synthesized, including Cu(2)S, CdS, SnS, ZnS, MnS, Ag(2)S, and Bi(2)S(3) The stoichiometric reactions between (NH(4)(2)S and metal salts afford high conversion yields, and large scale production of monodisperse NCs (more than 30 g) can be synthesized in a single reaction. The high reactivity of (NH(4)(2)S enables low temperature <100 degC) syntheses, and the air stable materials (such as CdS NCs) can be produced in air. Moreover, this low temperature technique can be used to produce small size NCs which are difficult to be synthesized by the conventional high temperature methods, such as sub 5 nm Ag(2)S and Bi(2)S(3) quantum dots.",
"author_names": [
"Haitao Zhang",
"Byung-Ryool Hyun",
"Frank W Wise",
"Richard D Robinson"
],
"corpus_id": 24234635,
"doc_id": "24234635",
"n_citations": 75,
"n_key_citations": 0,
"score": 0,
"title": "A generic method for rational scalable synthesis of monodisperse metal sulfide nanocrystals.",
"venue": "Nano letters",
"year": 2012
},
{
"abstract": "Magnetite (Fe3O4) nanocrystals (MNCs) are among the most studied magnetic nanomaterials, and many reports of solution phase synthesis of monodisperse MNCs have been published. However, lack of reproducibility of MNC synthesis is a persistent problem, and the keys to producing monodisperse MNCs remain elusive. Here, we define and explore synthesis parameters in this system thoroughly to reveal their effects on the product MNCs. We demonstrate the essential role of benzaldehyde and benzyl benzoate produced by oxidation of benzyl ether, the solvent typically used for MNC synthesis, in producing monodisperse MNCs. This insight allowed us to develop stable formulas for producing monodisperse MNCs and propose a model to rationalize MNC size and shape evolution. Solvent polarity controls the MNC size, while short ligands shift the morphology from octahedral to cubic. We demonstrate preparation of specific assemblies with these MNCs. This standardized and reproducible synthesis of MNCs of well controlled size, shape, and magnetic properties demonstrates a rational approach to stabilizing and expanding existing protocols for nanocrystal syntheses and may drive practical advances including enhanced MRI contrast, higher catalytic selectivity, and more accurate magnetic targeting.",
"author_names": [
"Liang Qiao",
"Zheng Fu",
"Ji Li",
"John Ghosen",
"Ming Zeng",
"Jonathan F Stebbins",
"Paras N Prasad",
"Mark T Swihart"
],
"corpus_id": 206711743,
"doc_id": "206711743",
"n_citations": 58,
"n_key_citations": 0,
"score": 0,
"title": "Standardizing Size and Shape Controlled Synthesis of Monodisperse Magnetite (Fe3O4) Nanocrystals by Identifying and Exploiting Effects of Organic Impurities.",
"venue": "ACS nano",
"year": 2017
},
{
"abstract": "Abstract Single phase cubic BaLnF 5 (Ln La Lu, Y) nanocrystals are successfully synthesized via a common facile solvothermal route using oleic acid as surfactant. It is found that the smaller the Ln 3+ radius, the easier to precipitate the undesired BaLn 2 F 8 phase in the reaction system along with the formation of BaLnF 5 In this case, the appropriate reaction temperature is required to obtain single BaLnF 5 nanophase. Measurements on the up conversion emission behaviors of the Yb 3+ /Er 3+ (Tm 3+ BaLaF 5 and Yb 3+ /Er 3+ (Tm 3+ BaLuF 5 nanocrystals demonstrate that BaLnF 5 are desirable up conversion hosts. In addition, the Ce 3+ /Ln 3+ (Ln Tb, Eu, Dy, Sm) BaGdF 5 nanocrystals are found exhibiting multicolor down conversion emissions.",
"author_names": [
"Lei Lei",
"Daqin Chen",
"Feng Huang",
"Yunlong Yu",
"Yuansheng Wang"
],
"corpus_id": 95288043,
"doc_id": "95288043",
"n_citations": 18,
"n_key_citations": 0,
"score": 0,
"title": "Syntheses and optical properties of monodisperse BaLnF5 (Ln La Lu, Y) nanocrystals",
"venue": "",
"year": 2012
},
{
"abstract": "Spinel ferrite nanocrystals (NCs) have shown great promise for a wide variety of electromagnetic and medical applications. In this work, the AC magnetic properties of nonstoichiometric manganese and cobalt ferrites (MxFe3 xO4, M Mn, Co) NCs are systematically studied as a function of composition. Samples of very similar average size and shape, but different Mn to Fe and Co to Fe ratios are prepared to study the effect of composition. Conventional syntheses are combined with a size selective precipitation method using oleic acid as an antisolvent yielding nearly monodisperse samples. DC and AC magnetic measurements shows that introducing Co to the ferrite crystal increases the blocking temperatures and magnetic anisotropies of the nanocrystals with corresponding shifts in AC magnetic susceptibilities, while manganese ferrites are relatively insensitive to the variation in compositions as size and shape dominate over crystal anisotropy. The systematic AC magnetic characterizations of superparamagnetic Mnx.",
"author_names": [
"Dichen Li",
"Hongseok Yun",
"Benjamin T Diroll",
"Vicky V T Doan-Nguyen",
"James Makoto Kikkawa",
"Christopher B Murray"
],
"corpus_id": 102052446,
"doc_id": "102052446",
"n_citations": 26,
"n_key_citations": 0,
"score": 0,
"title": "Synthesis and Size Selective Precipitation of Monodisperse Nonstoichiometric MxFe3 xO4 (M Mn, Co) Nanocrystals and Their DC and AC Magnetic Properties",
"venue": "",
"year": 2016
},
{
"abstract": "Abstract Solution phase syntheses and size selective separation methods to prepare semiconductor and metal nanocrystals, tunable in size from ~1 to 20 nm and monodisperse to =5% are presented. Preparation of monodisperse samples enables systematic characterization of the structural, electronic, and optical properties of materials as they evolve from molecular to bulk in the nanometer size range. Sample uniformity makes it possible to manipulate nanocrystals into close packed, glassy, and ordered nanocrystal assemblies (superlattices, colloidal crystals, supercrystals) Rigorous structural characterization is critical to understanding the electronic and optical properties of both nanocrystals and their assemblies. At inter particle separations 5 100 A, dipole dipole interactions lead to energy transfer between neighboring nanocrystals, and electronic tunneling between proximal nanocrystals gives rise to dark and photoconductivity. At separations <5 A, exchange interactions cause otherwise insulating ass.",
"author_names": [
"Christopher B Murray",
"Cherie R Kagan",
"Moungi G Bawendi"
],
"corpus_id": 53589018,
"doc_id": "53589018",
"n_citations": 3300,
"n_key_citations": 27,
"score": 0,
"title": "Synthesis and Characterization of Monodisperse Nanocrystals and Close Packed Nanocrystal Assemblies",
"venue": "",
"year": 2000
},
{
"abstract": "The title nanocrystals are solvothermally synthesized from mixtures of Ln(NO3)3 (Ln: La Lu, Y) Ba(NO3)2, NH4F, NaOH, EtOH, oleic acid, and H2O (autoclave, 180 degC, 24 h)",
"author_names": [
"Lei Lei",
"Daqin Chen",
"Feng Huang",
"Yunlong Yu"
],
"corpus_id": 197188513,
"doc_id": "197188513",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Syntheses and Optical Properties of Monodisperse BaLnF5 (Ln: La Lu, Y) Nanocrystals.",
"venue": "",
"year": 2012
},
{
"abstract": "A novel facile approach, sol solvothermal process, is reported here for syntheses of nearly monodisperse inorganic nanocrystals (NCs) such as elementary metals, simple metal oxides, composite oxides, and selenides by using inexpensive metal salts and environmental friendly solvents as reactants without a further size selection treatment. The results revealed that mean diameter of the synthetic NCs measured by Dynamic Light Scattering (DLS) was consistent with the observed size by Field emission Scanning Electron Microscopy (FESEM) and Transmission Electron Microscopy (TEM) images, demonstrating the agglomeration free feature of the nanosized crystals. Moreover, the particle size and morphology of the synthetic NCs could be effectively controlled under various appropriate sets of experimental conditions.",
"author_names": [
"Sheng Yao",
"Xuchen Lu",
"Xiaohui Li",
"Tengjiao Ou"
],
"corpus_id": 20040519,
"doc_id": "20040519",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "A facile approach for syntheses of nearly monodisperse nanocrystals: sol solvothermal process.",
"venue": "Journal of nanoscience and nanotechnology",
"year": 2009
},
{
"abstract": "AbstractA facile strategy using cheap and readily available precursors has been successfully developed for the synthesis of rare earth doped hexagonal phase NaYF4 nanocrystals with uniform shape and small particle size as well as strong photoluminescence. Due to their optical properties and good biocompatibility, these multicolor nanocrystals were successfully used as a bio tag for cancer cell imaging. This novel synthetic method should also be capable of extension to the synthesis of other fluoride nanocrystals such as YF3 and LaF3.",
"author_names": [
"Mingliang Deng",
"Yingxin Ma",
"Sheng Huang",
"Gaofei Hu",
"Leyu Wang"
],
"corpus_id": 94838565,
"doc_id": "94838565",
"n_citations": 94,
"n_key_citations": 0,
"score": 0,
"title": "Monodisperse upconversion NaYF4 nanocrystals: Syntheses and bioapplications",
"venue": "",
"year": 2011
},
{
"abstract": "Monodisperse PbS nanocrystals with controlled spherical, octahedral, and cubic shapes were synthesized via simple thermal decomposition of lead oleate complex precursors by simply changing the synthetic procedure and the Pb and S precursor concentrations. Spherical nanocrystals with mixed facets exposed and octahedral nanocrystals with {111} facets exposed were synthesized through a \"hot injection\" process. Cubic nanocrystals with {100} facets exposed were prepared via a \"heating up\" growth process. The three types of monodisperse PbS nanocrystals showed shape and facet dependent photoresponse behaviors. The thin film of cubic PbS nanocrystals with {100} facets exposed exhibited the largest current enhancement (91.3% after irradiation as compared with the other two shapes. Meanwhile, the results of density functional theory calculation confirmed that the photoexcited electrons can be driven in the {100} facets with mixed Pb/S atoms through s bonding consisting of the overlapping s(Pb 6s) p(S 3p) orbitals. This significantly shortens the carrier transfer distance and reduces the carrier recombination. The present facet engineering strategy can be extended to the other semiconducting nanocrystal syntheses and solar energy conversion applications.",
"author_names": [
"Chenyang Zha",
"Cheng Ji",
"Junjie Zhang",
"Liming Shen",
"Xiaoyan Zhang",
"Shuai Dong",
"Ningzhong Bao"
],
"corpus_id": 102384571,
"doc_id": "102384571",
"n_citations": 12,
"n_key_citations": 0,
"score": 0,
"title": "Facet engineering of monodisperse PbS nanocrystals with shape and facet dependent photoresponse activity",
"venue": "",
"year": 2016
}
] |
Artificial intelligence and economic growth | [
{
"abstract": "This paper examines the potential impact of artificial intelligence (A.I. on economic growth. We model A.I. as the latest form of automation, a broader process dating back more than 200 years. Electricity, internal combustion engines, and semiconductors facilitated automation in the last century, but A.I. now seems poised to automate many tasks once thought to be out of reach, from driving cars to making medical recommendations and beyond. How will this affect economic growth and the division of income between labor and capital? What about the potential emergence of \"singularities\" and \"superintelligence,\" concepts that animate many discussions in the machine intelligence community? How will the linkages between A.I. and growth be mediated by firm level considerations, including organization and market structure? The goal throughout is to refine a set of critical questions about A.I. and economic growth and to contribute to shaping an agenda for the field. One theme that emerges is based on Baumol's \"cost disease\" insight: growth may be constrained not by what we are good at but rather by what is essential and yet hard to improve.",
"author_names": [
"Philippe Aghion",
"Benjamin F Jones",
"Charles I Jones"
],
"corpus_id": 26299957,
"doc_id": "26299957",
"n_citations": 146,
"n_key_citations": 12,
"score": 1,
"title": "Artificial Intelligence and Economic Growth",
"venue": "",
"year": 2017
},
{
"abstract": "This paper describes the use of five machine learning methods for predicting economic growth based on a countryaEUR(tm)s attributes and presents a comparison of their prediction accuracy. The methods used are four neural network (NN) methods with different activation functions, and eXtreme Gradient Boosting (XGBoost) Their performance is compared in terms of their ability to predict the economic growth rate using three measures (prediction accuracy rate, area under the curve (AUC) value, and F score) The results obtained can be summarized as follows: 1) XGBoost outperforms the NNs in terms of prediction accuracy and F score for original data; 2) data standardization enhances the reliability of NNs, improving their prediction accuracy, AUC value, and F score; 3) XGBoost has smaller standard deviation of prediction accuracy rate than that of NNs; and 4) \"Political institution\" \"Investment and its composition\" \"Colonial history\" and \"Trade\" are important factors for cross country economic growth.",
"author_names": [
"Shigeyuki Hamori",
"Takahiro Kume"
],
"corpus_id": 217065814,
"doc_id": "217065814",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Artificial Intelligence And Economic Growth",
"venue": "",
"year": 2018
},
{
"abstract": "",
"author_names": [
"Michael McAleer"
],
"corpus_id": 210172221,
"doc_id": "210172221",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Artificial Intelligence and Economic Growth*",
"venue": "",
"year": 2018
},
{
"abstract": "Artificial Intelligence (AI) is performing an increasingly significant role in everyday life as it has the potential to increase the efficiency of productivity and boost the economy. While the technology world is advancing, the mortality rate and the fertility rate of people are declining. These demographic trends have urged many countries to pay a concern to the apparent problems associated with the aging society like the shrinking proportion of the working age population, which in turn can bring about the decline in productivity and the national economic slow down. Hence, we aim to investigate the relationship among investment in AI, Aging society, and Economic growth in three advanced countries, namely China, Japan, and the U.S. using the Copula based simultaneous equations model. The results obtained from the model can conform to our hypothesis only in the case of China and Japan. However, the result of the U.S. is deviated from the hypothesis but challenging.",
"author_names": [
"Kantika Khanthawithoon",
"Paravee Maneejuk",
"Woraphon Yamaka"
],
"corpus_id": 224858921,
"doc_id": "224858921",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Analyzing the Relationship Among Aging Society, Investment in Artificial Intelligence and Economic Growth",
"venue": "",
"year": 2020
},
{
"abstract": "The economic impact of Articial Intelligence (AI) is studied using a (semi) endogenous growth model with two novel features. First, the task approach from labor economics is reformulated and integrated into a growth model. Second, the standard representative household assumption is rejected, so that aggregate demand restrictions can be introduced. With these novel features it is shown that (i) AI automation can decrease the share of labor income no matter the size of the elasticity of substitution between AI and labor, and (ii) when this elasticity is high, AI will unambiguously reduce aggregate demand and slow down GDP growth, even in the face of the positive technology shock that AI entails. If the elasticity of substitution is low, then GDP, productivity and wage growth may however still slow down, because the economy will then fail to benefit from the supply side driven capacity expansion potential that AI can deliver. The model can thus explain why advanced countries tend to experience, despite much AI hype, the simultaneous existence of rather high employment with stagnating wages, productivity, and GDP.",
"author_names": [
"Thomas Gries",
"Wim Naude"
],
"corpus_id": 221817016,
"doc_id": "221817016",
"n_citations": 5,
"n_key_citations": 1,
"score": 0,
"title": "Artificial Intelligence, Income Distribution and Economic Growth",
"venue": "",
"year": 2020
},
{
"abstract": "The economic impact of Artificial Intelligence (AI) is studied using a (semi) endogenous growth model with two novel features. First, the task approach from labor economics is reformulated and integrated into a growth model. Second, the standard representative household assumption is rejected, so that aggregate demand restrictions can be introduced. With these novel features it is shown that (i) AI automation can decrease the share of labor income no matter the size of the elasticity of substitution between AI and labor, and (ii) when this elasticity is high, AI will unambiguously reduce aggregate demand and slow down GDP growth, even in the face of the positive technology shock that AI entails. If the elasticity of substitution is low, then GDP, productivity and wage growth may however still slow down, because the economy will then fail to benefit from the supply side driven capacity expansion potential that AI can deliver. The model can thus explain why advanced countries tend to experience, despite much AI hype, the simultaneous existence of rather high employment with stagnating wages, productivity, and GDP.",
"author_names": [
"Wim Naude"
],
"corpus_id": 221368215,
"doc_id": "221368215",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "www.econstor.eu Artificial Intelligence, Income Distribution and Economic Growth",
"venue": "",
"year": 2020
},
{
"abstract": "",
"author_names": [
"Patrick Francois"
],
"corpus_id": 196004780,
"doc_id": "196004780",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Comment on \"Artificial Intelligence and Economic Growth\"",
"venue": "",
"year": 2018
},
{
"abstract": "Abstract Artificial intelligence as a factor of competitiveness growth is beginning to be widely used by leading companies today. The potential for introduction of artificial intelligence into the national economy system is enormous and cannot be limited to individual companies. First of all, it is the possibility of strategic planning on the scale of the entire economy, that is, the search for optimal models of sectoral balance sheets, building target indicators for large businesses, forecasting of aggregate demand and supply, optimization of the monetary crediting system, etc. Thus, introduction of strategic planning based on artificial intelligence into the national economy system, would build a model of extended reproduction, without distortions between different sectors of the economy, and thus implement a model of its sustainable, crisis free growth.",
"author_names": [
"Rafael Envarovich Abdulov"
],
"corpus_id": 219144591,
"doc_id": "219144591",
"n_citations": 5,
"n_key_citations": 0,
"score": 0,
"title": "Artificial Intelligence as an Important Factor of Sustainable and Crisis Free Economic Growth",
"venue": "",
"year": 2020
},
{
"abstract": "The economic impact of Artificial Intelligence (AI) is studied using a (semi) endogenous growth model with two novel features. First, the task approach from labor economics is reformulated and integrated into a growth model. Second, the standard represen tative household assumption is rejected, so that aggregate demand restrictions can be introduced. With these novel features it is shown that (i) AI automation can decrease the share of labor income no matter the size of the elasticity of substitution between AI and labor, and (ii) when this elasticity is high, AI will unambiguously reduce aggre gate demand and slow down GDP growth, even in the face of the positive technology shock that AI entails. If the elasticity of substitution is low, then GDP, productivity and wage growth may however still slow down, because the economy will then fail to benefit from the supply side driven capacity expansion potential that AI can deliver. The model can thus explain why advanced countries tend to experience, despite much AI hype, the simultaneous existence of rather high employment with stagnating wages, productivity, and GDP.",
"author_names": [
"Thomas Gries",
"Wim Naude"
],
"corpus_id": 229271754,
"doc_id": "229271754",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Artificial Intelligence in Economic Growth: Modelling the Dynamic Impacts of Automation on income distribution and growth",
"venue": "",
"year": 2020
},
{
"abstract": "This study presents a new hybrid algorithm for forecasting economic growth using indicators of knowledge based economy (KBE) The algorithm consists of three steps, namely preprocessing, processing, and postprocessing. Preprocessing consists of principal component analysis and reproduction algorithm, which are used to decrease the number of variables and increase the volume of data. Economic growth is predicted during processing using multilayer perceptron (MLP) adaptive neuro fuzzy inferences system, and gene expression programming (GEP) The variables are added separately to the process. The best model is selected during the postprocessing step to forecast economic growth. GEP model is used to forecast unique indicators. The last step involves substitution of forecasted indicators in the best model. In this study, the KBE indicators of Iran from 1993 to 2013 are predicted in the processing step. The MLP model is used, which includes four indicators, namely technological foundation, structure of trained manpower, export and trademark, and employee. Indicators are also forecasted using the GEP model between 2013 and 2020. The results are used to estimate economic growth between forecasting periods. A self organizing map is used to recognize relationships between variables. The results show the efficiency of the algorithm in multivariate forecasting.",
"author_names": [
"Mohsen Ahmadi",
"Saeid Jafarzadeh-Ghoushchi",
"Rahim Taghizadeh",
"Abbas Sharifi"
],
"corpus_id": 199491627,
"doc_id": "199491627",
"n_citations": 21,
"n_key_citations": 1,
"score": 0,
"title": "Presentation of a new hybrid approach for forecasting economic growth using artificial intelligence approaches",
"venue": "Neural Computing and Applications",
"year": 2019
}
] |
Qualcomm and monopoly | [
{
"abstract": "\\noindent The Chinese competition authority announced a sanction against Qualcomm, a leading semiconductor manufacturer in the United States. This study investigates whether Qualcomm's pricing strategy limited competition with its rivals. The study estimated two demand functions for handsets and integrated circuit (IC) chips, as well as the marginal cost of smartphones. It then factored in the price of IC chips. Based on the estimated prices of chips and demand parameters, the study identified the competitive relationship regarding the IC chips at the product level. I found followings; the cost of smartphone handset that installed Qualcomm's chipset is lower than that installed its rivals' products. Meanwhile, Qualcomm's chip generates a higher willingness to pay via transactions with increasing numbers of handset assemblers. Qualcomm did not commit vertical foreclosures since its product is not exclusive, and increased number of customers and WTP and higher prices of their products. However, it committed horizontal foreclosures, as evident from the pricing of the license fee, where Qualcomm limits competition by raising the cost for rivals; this observation is consistent with the authority's judgment. This anti competition conduct is most severe in the CDMA2000 market in China.",
"author_names": [
"Mariko Watanabe"
],
"corpus_id": 233763893,
"doc_id": "233763893",
"n_citations": 0,
"n_key_citations": 0,
"score": 1,
"title": "Horizontal Foreclosure With Vertically Shared Large Value: Qualcomm's License Fee Contracts and Anti Monopoly Decisions of Competition in the Smartphone Integrated Circuits Market of China, 2011 2014",
"venue": "",
"year": 2020
},
{
"abstract": "It is the range of behavioral remedies agreed to that may well have the greatest long term significance. James F. Rill James Kress (Baker Botts)",
"author_names": [
"James F Rill",
"James Walter Kress"
],
"corpus_id": 153203749,
"doc_id": "153203749",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "The Application of ChinaaEUR(tm)s Anti Monopoly Law to Essential Patent Licensing: The NDRC/QUALCOMM Action",
"venue": "",
"year": 2015
},
{
"abstract": "On February 10, 2015, as one of the global antitrust enforcement powerhouses by now, the National Development and Reform Commission of PRC \"NDRC\" issued its sanction decision against the US.",
"author_names": [
"Ken Dai",
"Jet Zhisong Deng"
],
"corpus_id": 159622794,
"doc_id": "159622794",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "The Chinese NDRC applies rigorously the Anti monopoly Law and fines a compagny for abuse of dominant position (Qualcomm)",
"venue": "",
"year": 2015
},
{
"abstract": "Prohibition of unfair pricing is an area that has seen a growing number of enforcement activities in China. Unfair pricing, also called excessive pricing in some cases, is ruled under Article 17, Paragraph 1, of the Anti Monopoly Law \"AML\" whereby dominant firms are prohibited to \"sell commodities at unfairly high prices or buy commodities at unfairly low prices.\" In this chapter, we will introduce the relevant legal framework and provide a review of recent typical cases. The major cases include the investigation against Qualcomm Incorporated \"Qualcomm\" by the National Development and Reform Commission \"NDRC\" and the landmark decision issued by the Shenzhen Intermediate People's Court in Huawei v. InterDigital. Both cases are related to licensing patents that are essential to standards. There are also several rulings in the markets of certain essential input products such as natural gas pipelines and essential drugs. Given the role of the Chinese economy in the world, a review of anti monopoly enforcement in this field would be helpful to practitioners and researchers.",
"author_names": [
"Xiaowen Fu",
"Heng Ju"
],
"corpus_id": 169634958,
"doc_id": "169634958",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Anti monopoly Cases on Unfair Pricing in China",
"venue": "",
"year": 2018
},
{
"abstract": "THE EU'S RECENT ANTITRUST FINES against several leading international tech and platform firms are startling: Google was fined $5.1 billion for alleged tying and exclusivity arrangements related to pre installed search and $2.7 billion for allegedly \"favoring\" its own comparison shopping service; Qualcomm was fined $1.22 billion for allegedly paying Apple not to buy chips from rivals; and Intel was fined $1.3 billion (which was set aside by the EU Court of Justice) based on the claim that it abused loyalty rebates. But why are these firms penalized in the EU for dominance \"abuses,\" yet they go unscathed in the United States for the same or similar practices? Some in the business community view these divergent outcomes as \"techlash\" outright frontal attacks on U.S. innovation and success; others attribute it to differences in enforcement systems and objectives, including the EU's continuing effort to build a discrimination free \"internal market\" among Member States. In the midst of these dramatically different outcomes, both jurisdictions, at least publicly, continue to seek convergence on monopoly/dominance law and policy to the extent feasible.1 The goal of this article is two fold: first, to provide a summary of where full or partial convergence has occurred; second, and perhaps more importantly, to detail the procedural and substantive areas of continued divergence.",
"author_names": [],
"corpus_id": 213177809,
"doc_id": "213177809",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Why the Atlantic Divide on Monopoly Dominance Law and Enforcement Is So Difficult to Bridge",
"venue": "",
"year": 2018
},
{
"abstract": "Will the U.S. International Trade Commission (ITC) or the Antitrust Division set policy on monopoly and innovation? I submit this memorandum to the Antitrust Division to pose this question, which arises from the ITC's serious misapplication of antitrust law and economics in Investigation No. 337 TA 1065, Certain Mobile Electronic Devices and Radio Frequency and Processing Components Thereof (the 1065 Investigation) I was an expert economic witness for Qualcomm in this patent infringement dispute with Apple. I explain in this memorandum why it is my opinion that the administrative law judge (ALJ) in the 1065 Investigation reached findings that conflict with controlling American antitrust jurisprudence. Those errors concern (1) the incorrect inference of monopoly power from market share alone and (2) the incorrect view that Schumpeterian competition across successive generations of monopoly cannot deliver innovation and lower quality adjusted prices. The ALJ's findings drive a wedge between the Antitrust Division and the ITC on how properly to use economic principles to diagnose monopoly power. As the quality of administrative adjudication deteriorates at the ITC, patent holders engaged in global disputes over licensing or infringement can choose to litigate their multijurisdictional disputes before highly sophisticated tribunals in other countries. Given what the 1065 Investigation reveals about how far the ITC's economic sophistication on monopoly and innovation lags behind that of the Antitrust Division, why would any patent holder having the choice litigate before the ITC rather than a court in London or Germany or China?",
"author_names": [
"J Gregory Sidak"
],
"corpus_id": 204502792,
"doc_id": "204502792",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Memorandum: Will the International Trade Commission or the Antitrust Division Set Policy on Monopoly and Innovation?",
"venue": "",
"year": 2018
},
{
"abstract": "On January 17, 2017, the U.S. Federal Trade Commission (FTC) filed a lawsuit against Qualcomm Incorporated based on a \"monopoly broth\" or course of conduct theory for alleged monopoly maintenance in certain narrowly defined baseband processor markets. The vote to file the complaint was 2 1 over the dissent of now Acting Chairman Maureen Ohlhausen, who described it as \"an enforcement action based on a flawed legal theory (including a standalone Section 5 count) that lacks economic and evidentiary support, that was brought on the eve of a new presidential administration, and that, by its mere issuance, will undermine U.S. intellectual property rights in Asia and worldwide.\" In a jurisdiction on the other side of the globe, the Korean Fair Trade Commission (KFTC) had issued an administrative decision against Qualcomm on December 28, 2016, concluding that the company employed an \"unfair business model\" with respect to the licensing of its 2G (CDMA) 3G (WCDMA) and 4G (LTE) standard essential patents (SEPs) and the sale of its baseband processors, and imposed global portfolio wide remedies and a fine of KRW 1.03 trillion (approx. US $853 million) This article provides a legal and economic comparative analysis of the FTC's complaint and the KFTC's decision, highlighting the fundamental differences between the two and setting forth some of the main economic and legal problems with each. As an initial matter, it is important to bear in mind that the FTC's complaint is not a decision, but rather a set of allegations filed in court to initiate the court's resolution of the issues. Meanwhile, Qualcomm has stated that it will appeal the KFTC's administrative decision, and has requested a stay from the Seoul Central District Court. With respect to the substantive allegations, there are some similarities in the two cases but the main theories of harm differ significantly. For example, the KFTC concluded that Qualcomm possesses dominance in 2G, 3G, and 4G technologies: \"As SEPs cannot be replaced by other technologies, a SEP holder gains complete monopolistic power by holding even a single SEP,\" while the FTC limited its market power allegations to CDMA baseband processors and premium LTE baseband processors. Unlike the KFTC's decision, the FTC's complaint contains no allegation that Qualcomm engaged in unlawful tying or bundling by licensing on a portfolio bases, nor does the FTC allege that Qualcomm violated U.S. antitrust laws by allegedly requiring royalty free cross licenses. To the extent that any other competition agency is relying upon the FTC's complaint to state a theory of harm with respect to SEP licensing practices, it would be well advised to read the complaint carefully. If a foreign agency is seeking FTC endorsement of any particular theory, it would be wise to reserve judgment until at least the appointment of new FTC Commissioners and, if the agency does not then withdraw the complaint, until the court has ruled on the FTC's ambiguous and highly controversial theories of harm.",
"author_names": [
"Koren W Wong-Ervin",
"Douglas H Ginsburg",
"Anne Layne-Farrar",
"Scott Robins",
"Ariel Slonim"
],
"corpus_id": 168893097,
"doc_id": "168893097",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "A Comparative and Economic Analysis of the U.S. FTC's Complaint and the Korea FTC's Decision Against Qualcomm",
"venue": "",
"year": 2017
},
{
"abstract": "The Chinese Anti Monopoly Law (AML) has attracted much attention in recent years. There have been accusations of protectionism and of the AML being used to target foreign companies. Against this backdrop, the investigation by the National Development and Reform Commission (NDRC) against Qualcomm over the latter's licensing practices was especially controversial. This was particularly so because China has long complained about the high licensing fees its domestic manufacturers have to pay to foreign patentees. And Qualcomm is a major licensor of communications technologies and earns a very considerable amount of licensing revenue in China. Qualcomm was eventually slapped the largest fine in the history of Chinese AML enforcement and subject to a number of behavioural remedies. The question arises as to whether the NDRC decision was a poorly reasoned protectionist venture or was in fact consistent with sound competition law principles. This article attempts to answer this question by critically evaluating the reasoning of the decision. It finds that even though the NDRC reached the correct conclusion on some of the claims, the analysis and the reasoning leave much to be desired. K E Y W O R D S China, Qualcomm, patents, no challenge clauses, package licenses, royalty free grantbacks J E L C L A S S I F I C A T I O N S K21 I I N T R O D U C T I O N Since the passage of the Anti Monopoly Law (AML) in China in 2007, there has been considerable attention on the treatment of intellectual property rights under the AML. Article 55 of the AML indicates that the lawful exercise of intellectual property rights will be respected by the AML whereas abuse of such rights will be prohibited. The notion of abuse is of course not self explanatory and its explication must await enforcement by the enforcement authorities and court decisions. In the Thomas K Cheng, Associate Professor, Faculty of Law, University of Hong Kong. Email: [email protected] VC The Author 2016. Published by Oxford University Press. All rights reserved. For permissions, please e mail: [email protected] 1 Journal of Antitrust Enforcement, 2016, 0, 1 24 doi: 10.1093/jaenfo/jnw005 Article Journal of Antitrust Enforcement Advance Access published May 11, 2016 by gest on July 7, 2016 http:/antitxfordjournals.org/ D ow nladed from initial few years of AML enforcement, there was a relative lack of enforcement action and cases involving the exercise of intellectual property rights. The first prominent AML case involving intellectual property rights was probably Huawei v Interdigital, a case which began in the Shenzhen Intermediate People's Court and was eventually appealed to the Guangdong High People's Court. The case concerned Interdigital's alleged violation of its FRAND obligations pertaining to the licensing of its patents over telecommunications technology. Even though this case did attract considerable attention at the time, the most prominent AML case involving intellectual property rights thus far is no doubt the investigation by the National Development and Reform Commission (NDRC) against the US technology giant Qualcomm. This article critically evaluates the NDRC decision. It will do so in light of both established Chinese competition law principles, as gleaned from the various laws, regulations, and guidelines, and where appropriate, case law, and economic arguments. Economic arguments are especially important for analysing patent licensing practices in the current Chinese context. Given the bare bone nature of many of the issued regulations and guidelines and the dearth of case law given the relatively short history of enforcement, existing Chinese competition law jurisprudence does not offer much guidance on patent licensing practices. Many of the issues decided by the NDRC in this case are sui generis under Chinese law. Therefore, economic arguments will provide an important yardstick for judging the soundness of the NDRC's decision. I I M A R K E T D E F I N I T I O N A N D A S S E S S M E N T O F M A R K E T P O W E R The NDRC began its investigation of Qualcomm's licensing practices in November 2013. The announcement immediately garnered much attention as this was the first investigation by a PRC enforcement authority against a foreign technology company, and a very prominent at that. The investigation proceeded throughout 2014, with multiple rounds of negotiation between Qualcomm and the NDRC. The NDRC finally handed down its decision on 9 February 2015, imposing a fine of RMB6.088 billion (approximately USD975 million) and a host of behavioural remedies. 1 Huawei Technology v Interdigital Technology, Judicial Opinions of China, 7 March 2014 (Shenzhen Interm People's Ct, 9 January 2014) (hereinafter 'Huawei Shenzhen' 2 Huawei Technology v Interdigital Technology, Westlaw, 7 March 2014, (Guangdong High People's Ct, 21 October 2013) (hereinafter 'Huawei Guangdong' 3 Huawei v Interdigital (n 2) 1. 4 National Development and Reform Commission Administrative Penalty Decision [2015] No 1, 9 February 2015 (hereinafter 'Qualcomm Decision' 5 Supantha Mukherjee and Neha Alawadhi, 'China Probe May be Aimed at Qualcomm's 4G Royalties' Reuters (26 November 2013) accessed 27 April 2016; Spencer E Ante and Don Clark, 'Qualcomm Faces Probe in China Tied to Antimonopoly Law' Wall Street Journal (26 November 2013) accessed 27 April 2016. 6 Kevin Yao and Matthew Miller, 'China Accuses Qualcomm of Overcharging, Abusing Dominance' Reuters (19 February 2014) accessed 27 April 2016; Phil Goldstein, 'Qualcomm Could be Nearing Settlement of Chinese Antitrust Investigation' FierceWireless (22 August 2014) accessed 27 April 2016. 7 Qualcomm Decision (n 4) s 3(2) 2 Journal of Antitrust Enforcement by gest on July 7, 2016 http:/antitxfordjournals.org/ D ow nladed from Market definition The NDRC decision alleges that Qualcomm abused its market dominance in a number of technology related markets, including the licensing of CDMA, WCDMA, and LTE wireless communications standard essential patents (hereinafter 'wireless SEPs' and the sale of CDMA, WCDMA, and LTE wireless communications terminal baseband chips (hereinafter 'baseband chips' The NDRC defines the relevant markets as those for the licensing of CDMA, WCDMA, and LTE SEPs and the sale of CDMA, WCDMA, and LTE baseband chips. The NDRC substantiates its market definition regarding SEP licensing on the following grounds. First, the NDRC argues that there is little scope for substitution between different technologies. There are very high costs for substitution between different wireless communications technology standards for telecom network operators, wireless communications terminal manufacturers, and baseband chip producers. They need to make substantial investments to construct networks or develop products that are compliant with the specific wireless communications technology standards. Therefore, the switching costs for the network operators and the baseband chip producers are very high. Meanwhile, the technical need for substitution is low as different wireless communications technologies essentially perform the same functions. Moreover, there is significant lockin effect for network operators. When a telecom network operator upgrades its infrastructure to a new generation of a particular technology standard, there is a general requirement that the wireless communication terminals support previous generations of the same technology standard. This is to ensure that customers who have not switched to the latest generation of the technology standard can continue to use the services provided by the network operator. Therefore, substitution between different technology standards in reality is highly unlikely. Having established the lack of substitutability between different technology standards, the NDRC proceeds to illustrate the importance of SEPs in the implementation of a particular technology standard. The NDRC defines a separate relevant product market for each wireless SEP license. Once a patent has been incorporated into a standard, it becomes unique and non substitutable. Wireless communications terminal manufacturers must obtain a license to every patent incorporated in a standard in order to produce products that would be compliant with the relevant technology standard. The NDRC argues that there is little demand side substitution because each wireless SEP is indispensable to the production of specific wireless communications terminals. The absence of any wireless SEP would render the terminal noncompliant with the technology standard and useless in the eyes of the customers. The NDRC also argues that there is little scope for supply side substitution because after incorporation into a technology standard, each wireless SEP is unique and irreplaceable. Lastly, the NDRC concludes that due to Qualcomm's licensing practices, the relevant geographic market is an aggregate of countries or regional markets for those wireless SEPs held by Qualcomm. 8 ibid s 1(1) and (2)",
"author_names": [
"Thomas K Cheng"
],
"corpus_id": 56220743,
"doc_id": "56220743",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "The PRC NDRC Case against Qualcomm: A Misguided Venture or Justified Enforcement of Competition Law?",
"venue": "",
"year": 2016
},
{
"abstract": "China's National Development and Reform Commission (NDRC) issued its first antitrust administrative sanction decision relating to SEP licensing in 2015, finding that Qualcomm had abused its dominant position in the CDMA, WCDMA and LTE wireless communication standard essential patents (SEPs) licensing market and baseband chip sale market by: (a) charging unfairly excessive royalties, (b) unreasonably bundling SEPs licensing with non SEPs, and (c) making the sale of baseband chips conditional upon the buyer signing a patent license agreement with a patent no challenge clause and other unfair clauses. As a result, Qualcomm was ordered to cease its abusive acts and was fined RMB 6.088 billion (approx. USD 975 million) the severest ever imposed by the NDRC or any other Chinese Anti Monopoly Enforcement Authorities (AMEAs) under its Anti Monopoly Law (AML) This article provides a structured description of the NDRC's findings, explores the NDRC's two step approach of \"the rebuttable assumed dominance\" for SEPs and its flexible antitrust approach to correct Qualcomm's SEPs package licensing practice, and lastly comments on the commercial and industrial significance of this decision in China and beyond.",
"author_names": [
"Yanbing Li"
],
"corpus_id": 167984746,
"doc_id": "167984746",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Antitrust Correction for Qualcomm's SEPs Package Licensing and Its Flexibility in China",
"venue": "",
"year": 2016
},
{
"abstract": "On March 2, 2015, China's National Development and Reform Commission \"NDRC\" the agency responsible for investigating price related violations of China's Anti Monopoly Law (the \"AML\" published a.",
"author_names": [
"Cunzhen Huang",
"Maurits Dolmans",
"Stephan Barthelmess",
"Anita Ng",
"Tara Tavernia"
],
"corpus_id": 169777141,
"doc_id": "169777141",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "The China's NDRC imposes changes in licensing practices (Qualcomm)",
"venue": "",
"year": 2015
}
] |
Light field imaging | [
{
"abstract": "The core of any optical imaging system is a photodetector. Whether it is film or a semiconductor chip in a camera, or indeed the retina in an eye, conventional photodetectors are designed to absorb most of the incident light and record a projected two dimensional (2D) distribution of light from a scene. The intensity distribution of light from 3D objects, however, can be described by a 4D light field, so optical imaging systems that can acquire higher dimensions of optical information are highly desirable 1 3 Here, we report a proof of concept light field imaging scheme using transparent graphene photodetector stacks. On a transparent substrate we fabricate a photodetector using graphene as the light sensing layer, the conducting channel layer, the gate layer and interconnects, enabling sensitive light detection and high transparency at the same time. This technology opens up the possibility of developing sensor arrays that can be stacked along the light path, enabling entirely new configurations of optical imaging devices. We experimentally demonstrate depth ranging using a double stack of transparent detectors and develop a method for computational reconstruction of a 4D light field from a single exposure that can be applied following the successful fabrication of dense 2D transparent sensor arrays. A highly transparent photodetector using graphene as the light sensing layer, conducting channel layer, gate layer and interconnects enables new approaches for light field photodetection and imaging involving simultaneous detection across multiple focal planes.",
"author_names": [
"Miao-Bin Lien",
"Che-Hung Liu",
"Il Yong Chun",
"Saiprasad Ravishankar",
"Hung Nien",
"Minmin Zhou",
"Jeffrey A Fessler",
"Zhaohui Zhong",
"Theodore B Norris"
],
"corpus_id": 212800824,
"doc_id": "212800824",
"n_citations": 23,
"n_key_citations": 1,
"score": 1,
"title": "Ranging and light field imaging with transparent photodetectors",
"venue": "",
"year": 2020
},
{
"abstract": "A light field camera captures both the intensity and the direction of incoming light1 5. This enables a user to refocus pictures and afterwards reconstruct information on the depth of field. Research on light field imaging can be divided into two components: acquisition and rendering. Microlens arrays have been used for acquisition, but obtaining broadband achromatic images with no spherical aberration remains challenging. Here, we describe a metalens array made of gallium nitride (GaN) nanoantennas6 that can be used to capture light field information and demonstrate a full colour light field camera devoid of chromatic aberration. The metalens array contains an array of 60 x 60 metalenses with diameters of 21.65 mm. The camera has a diffraction limited resolution of 1.95 mm under white light illumination. The depth of every object in the scene can be reconstructed slice by slice from a series of rendered images with different depths of focus. Full colour, achromatic light field cameras could find applications in a variety of fields such as robotic vision, self driving vehicles and virtual and augmented reality.A metalens array of GaN nanoantennas is used to make a full colour achromatic light field camera.",
"author_names": [
"Vin-Cent Su",
"Shuming Wang",
"Mu Ku Chen",
"Jia-Wern Chen",
"Ji Chen",
"Yi-Teng Huang",
"Jung-Hsi Wang",
"Zhenlin Wang",
"Din Ping Tsai"
],
"corpus_id": 58550872,
"doc_id": "58550872",
"n_citations": 167,
"n_key_citations": 1,
"score": 1,
"title": "Achromatic metalens array for full colour light field imaging",
"venue": "Nature Nanotechnology",
"year": 2019
},
{
"abstract": "Light field microscopy through bare optical fiber bundles paves the way for depth resolved fluorescence microendoscopy. Optical fiber bundle microendoscopes are widely used for visualizing hard to reach areas of the human body. These ultrathin devices often forgo tunable focusing optics because of size constraints and are therefore limited to two dimensional (2D) imaging modalities. Ideally, microendoscopes would record 3D information for accurate clinical and biological interpretation, without bulky optomechanical parts. Here, we demonstrate that the optical fiber bundles commonly used in microendoscopy are inherently sensitive to depth information. We use the mode structure within fiber bundle cores to extract the spatio angular description of captured light rays the light field enabling digital refocusing, stereo visualization, and surface and depth mapping of microscopic scenes at the distal fiber tip. Our work opens a route for minimally invasive clinical microendoscopy using standard bare fiber bundle probes. Unlike coherent 3D multimode fiber imaging techniques, our incoherent approach is single shot and resilient to fiber bending, making it attractive for clinical adoption.",
"author_names": [
"A Orth",
"Martin Ploschner",
"Emma R Wilson",
"I S Maksymov",
"Brant C Gibson"
],
"corpus_id": 134234315,
"doc_id": "134234315",
"n_citations": 22,
"n_key_citations": 1,
"score": 0,
"title": "Optical fiber bundles: Ultra slim light field imaging probes",
"venue": "Science Advances",
"year": 2019
},
{
"abstract": "Recently, intensive research on microlens array (MLA) was undertaken, which involved intertwining with the light field imaging in order to obtain four dimensional information. Although several fabrication approaches for MLA attempted to achieve high density and precision geometry, further progress is necessary. In this study, we present a cost effective fabrication strategy for geometrically tunable polymer MLA with extremely low sag height ~3 mm) through improved MEMS wet etching process. Additionally, we assemble a hand crafted light field camera by integrating the elaborately customized MLA with a commercial digital camera. Finally, we demonstrate representative light field imaging features including refocusing and all in focusing image from a single exposure.",
"author_names": [
"Hyun Myung Kim",
"Min Seok Kim",
"Gil Ju Lee",
"Young Jin Yoo",
"Young Min Song"
],
"corpus_id": 80616364,
"doc_id": "80616364",
"n_citations": 15,
"n_key_citations": 1,
"score": 0,
"title": "Large area fabrication of engineered microlens array with low sag height for light field imaging.",
"venue": "Optics express",
"year": 2019
},
{
"abstract": "Abstract Light field imaging, which is one of the noncontact flame measurement methods, can capture and record multiangle radiative intensity information of a flame through a single shot. After the postprocessing and integration of the flame information, the three dimensional reconstruction of the temperature and radiative properties can be achieved. However, the diversity and universality of the reconstruction parameters make the reconstruction process complex and redundant. Therefore, the order of priority in which the radiative properties (attenuation coefficient, scattering albedo, and scattering phase function) of a flame influence light field imaging should be analyzed by simulation. This study aims to simplify the reconstruction process by simulating the light field imaging of nonuniform temperature distribution using a previously developed multifocus plenoptic camera model. In addition, a quality evaluation system is established to quantitatively analyze the optical influence of different radiative properties in the flame medium on the light field imaging process. The following conclusions are drawn by analyzing the aperture image of the flame with different radiative properties: (1) The attenuation coefficient should be the first priority for the reconstruction of the radiative characteristic parameters of the flame. (2) The scattering albedo should be the next consideration for ensuring high reconstruction precision. (3) For the scattering phase function, the only consideration is whether it is more affected by either isotropy or anisotropy.",
"author_names": [
"Tianchan Li",
"Su-ning Li",
"Yuan Yuan",
"Fuqiang Wang",
"Heping Tan"
],
"corpus_id": 126289504,
"doc_id": "126289504",
"n_citations": 31,
"n_key_citations": 0,
"score": 0,
"title": "Light field imaging analysis of flame radiative properties based on Monte Carlo method",
"venue": "",
"year": 2018
},
{
"abstract": "Limited angular resolution has become the main bottleneck of microlens based plenoptic cameras towards practical vision applications. Existing view synthesis methods mainly break the task into two steps, i.e. depth estimating and view warping, which are usually inefficient and produce artifacts over depth ambiguities. In this paper, an end to end deep learning framework is proposed to solve these problems by exploring Pseudo 4DCNN. Specifically, 2D strided convolutions operated on stacked EPIs and detail restoration 3D CNNs connected with angular conversion are assembled to build the Pseudo 4DCNN. The key advantage is to efficiently synthesize dense 4D light fields from a sparse set of input views. The learning framework is well formulated as an entirely trainable problem, and all the weights can be recursively updated with standard backpropagation. The proposed framework is compared with state of the art approaches on both genuine and synthetic light field databases, which achieves significant improvements of both image quality +2 dB higher) and computational efficiency (over 10X faster) Furthermore, the proposed framework shows good performances in real world applications such as biometrics and depth estimation.",
"author_names": [
"Yunlong Wang",
"Fei Liu",
"Zilei Wang",
"Guangqi Hou",
"Zhenan Sun",
"Tieniu Tan"
],
"corpus_id": 52961722,
"doc_id": "52961722",
"n_citations": 52,
"n_key_citations": 4,
"score": 1,
"title": "End to End View Synthesis for Light Field Imaging with Pseudo 4DCNN",
"venue": "ECCV",
"year": 2018
},
{
"abstract": "Light field imaging is a crucial and straightforward way of measuring and analyzing surrounding light worlds. In this paper, a dual polarized light field imaging micro system based on a twisted nematic liquid crystal microlens array (TN LCMLA) for direct three dimensional (3D) observation is fabricated and demonstrated. The prototyped camera has been constructed by integrating a TN LCMLA with a common CMOS sensor array. By switching the working state of the TN LCMLA, two orthogonally polarized light field images can be remapped through the functioned imaging sensors. The imaging micro system in conjunction with the electric optical microstructure can be used to perform polarization and light field imaging, simultaneously. Compared with conventional plenoptic cameras using liquid crystal microlens array, the polarization independent light field images with a high image quality can be obtained in the arbitrary polarization state selected. We experimentally demonstrate characters including a relatively wide operation range in the manipulation of incident beams and the multiple imaging modes, such as conventional two dimensional imaging, light field imaging, and polarization imaging. Considering the obvious features of the TN LCMLA, such as very low power consumption, providing multiple imaging modes mentioned, simple and low cost manufacturing, the imaging micro system integrated with this kind of liquid crystal microstructure driven electrically presents the potential capability of directly observing a 3D object in typical scattering media.",
"author_names": [
"Zhaowei Xin",
"Dong Wei",
"Xingwang Xie",
"Mingce Chen",
"Xinyu Zhang",
"Jing Liao",
"Haiwei Wang",
"Changsheng Xie"
],
"corpus_id": 3643051,
"doc_id": "3643051",
"n_citations": 22,
"n_key_citations": 0,
"score": 0,
"title": "Dual polarized light field imaging micro system via a liquid crystal microlens array for direct three dimensional observation.",
"venue": "Optics express",
"year": 2018
},
{
"abstract": "We propose a new type of lensless camera enabling light field imaging for focusing after image capture and show its feasibilities with some prototyping. The camera basically consists only of an image sensor and Fresnel zone aperture (FZA) Point sources making up the subjects to be captured cast overlapping shadows of the FZA on the sensor, which result in overlapping straight moire fringes due to multiplication of another virtual FZA in the computer. The fringes generate a captured image by two dimensional fast Fourier transform. Refocusing is possible by adjusting the size of the virtual FZA. We found this imaging principle is quite analogous to a coherent hologram. Not only the functions of still cameras but also of video cameras are confirmed experimentally by using the prototyped cameras.",
"author_names": [
"Takeshi Shimano",
"Yusuke Nakamura",
"Kazuyuki Tajima",
"Mayu Sao",
"Taku Hoshizawa"
],
"corpus_id": 14025208,
"doc_id": "14025208",
"n_citations": 26,
"n_key_citations": 1,
"score": 0,
"title": "Lensless light field imaging with Fresnel zone aperture: quasi coherent coding.",
"venue": "Applied optics",
"year": 2018
},
{
"abstract": "Abstract A microlens array (MLA) is a key optical element for four dimensional light field analysis in a light field camera. MLA manufacturing errors affect light field imaging, but their effects, especially on the physical imaging process and image degradation mechanism, have not entirely been studied. In this paper, we develop a manufacturing error model for MLA and quantitatively analyze raw images and refocused images using image quality evaluation indexes. The results indicate that manufacturing errors cause changes in image features including brightness, resolution, and spot position. The image features undergo changes and the degree of degradation caused by different error types showed great differences.",
"author_names": [
"Su Li",
"Yuan Yuan",
"Li Bin",
"Fuqiang Wang",
"Heping Tan"
],
"corpus_id": 125723384,
"doc_id": "125723384",
"n_citations": 14,
"n_key_citations": 0,
"score": 0,
"title": "Influence of microlens array manufacturing errors on light field imaging",
"venue": "",
"year": 2018
},
{
"abstract": "A major challenge in neuroscience is to sample large scale neuronal activity at high speed and resolution. While calcium (Ca2+ imaging allows high resolution optical read out of neuronal activity, it remains challenging to sample large scale activity at high speed, as most available imaging microscopes provide a trade off between speed and the size of the acquisition volume. One promising method that avoids the trade off between the acquisition rate and volume size is light field microscopy in which the full 3D profile of an object is imaged simultaneously, thereby offering high speed at the cost of reduced spatial resolution. Here we introduce speckle light field microscopy (speckle LFM) which utilizes speckle based structured illumination to enhance spatial resolution. Using speckle LFM we demonstrate brain wide recording of neuronal activity in larval zebrafish at 10 Hz volume rate and at 1.4 times higher resolution compared to conventional light field microscopy and with suppressed background fluorescence. In addition to improving resolution of spatial structure, we show that the increased resolution reduces spurious signal crosstalk between neighboring neurons.",
"author_names": [
"Michael Taylor",
"Tobias Nobauer",
"Alejandro Javier Pernia-Andrade",
"Friederike Schlumm",
"Alipasha Vaziri"
],
"corpus_id": 139877202,
"doc_id": "139877202",
"n_citations": 33,
"n_key_citations": 0,
"score": 0,
"title": "Brain wide 3D light field imaging of neuronal activity with speckle enhanced resolution",
"venue": "",
"year": 2018
}
] |
artificial intelligence operating system | [
{
"abstract": "The rapid advancement in artificial intelligence, semiconductor and electronic technology, software engineering and programming techniques as well as hardware interfaces and communication has raised the demand for advancement in operating system. In this article we have studied the classical and current operating systems and have proposed the advanced components such as new user interfaces, advanced human to machine interfaces, communication, artificial intelligence and advanced hardware technology etc. which are necessary for next generation operating systems.",
"author_names": [
"Abdul Waheed",
"",
"H F Khan"
],
"corpus_id": 212114258,
"doc_id": "212114258",
"n_citations": 0,
"n_key_citations": 0,
"score": 1,
"title": "Artificial Intelligence in Operating System",
"venue": "CSAI",
"year": 2019
},
{
"abstract": "The paper proposes flexible system that is based on Robot Operating System framework for integration of 3D computer vision and artificial intelligence algorithms with industrial robots for automation of industrial tasks. The system provides flexibility of 3D computer vision hardware and industrial robot components, allowing to test different hardware with small software changes. The experimental system consisting of Kinect V2 RGB+Depth camera and Universal Robots UR5 robot was set up. In experimental setup the pick and place task was implemented where randomly organized two types of objects (tubes and cans) where picked from the container and sorted in two separate containers. Average full cycle time for the task was measured to be 19.675 s.",
"author_names": [
"Janis Arents",
"Ricards Cacurs",
"Modris Greitans"
],
"corpus_id": 54078235,
"doc_id": "54078235",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Integration of Computervision and Artificial Intelligence Subsystems with Robot Operating System Based Motion Planning for Industrial Robots",
"venue": "Automatic Control and Computer Sciences",
"year": 2018
},
{
"abstract": "Abstract Wind energy is an increasing concern for wind farm administrators. Effective wind energy potential analysis and accurate forecasting can reduce the operating cost of wind farms. However, many previous studies have been restricted to analyses of wind energy potential analysis and wind speed forecasting, which may result in poor decisions and inaccurate power scheduling for wind farms. This study develops a wind energy decision system based on swarm intelligence optimization and data preprocessing, which includes two modules: wind energy potential analysis and wind speed forecasting. In the wind energy potential analysis module, the parameters of the Weibull distribution are optimized by a multiple swarm intelligence optimization algorithm, which can provide better wind energy assessment results. In the wind speed forecasting module, the data preprocessing method can effectively eliminate the noise of the original wind speed time series, maintain the characteristics of the wind speed data, and improve the accuracy of the forecasting model. The numerical results show that the wind energy decision system not only provides an effective wind energy assessment, but can also satisfactorily approximate the actual wind speed forecasting. Therefore, it can serve as an effective tool for wind farm management and decision making.",
"author_names": [
"Xuejing Zhao",
"Chen Wang",
"Jinxia Su",
"Jianzhou Wang"
],
"corpus_id": 116532001,
"doc_id": "116532001",
"n_citations": 69,
"n_key_citations": 0,
"score": 0,
"title": "Research and application based on the swarm intelligence algorithm and artificial intelligence for wind farm decision system",
"venue": "Renewable Energy",
"year": 2019
},
{
"abstract": "It is remarkable that several hydrological parameters have a significant effect on the reservoir operation. Therefore, operating the reservoir system is complex issue due to existing the nonlinearity hydrological variables. Hence, determining modern model has high ability in handling reservoir operation is crucial. The present study developed artificial intelligence model, called Shark Machine Learning Algorithm (SMLA) to provide optimal operational rules. The major objective for the proposed model is minimizing the deficit volume between water releases and the irrigation water demand. The current study compared the performance of the SML model with popular evolutionary computing methods, namely Particle Swarm Optimization (PSO) and Genetic Algorithm (GA) The proposed models have been utilized of finding the optimal policies to operate Timah Tasoh Dam, which is located in Malaysia. The study utilized considerable statistical indicators to explore the efficiency of the models. The simulation period showed that SMLA approach outperforms both of conventional algorithms. The SMLA attained high Reliability and Resilience (Rel. 0.98% Res. 50% and minimum Vulnerability (Vul. 21.9 of demand) It is demonstrated that shark machine learning algorithm would be a promising tool in handling the long term optimization problem in operation a reservoir system.",
"author_names": [
"Mohammed Falah Allawi",
"Othman Jaafar",
"Mohammad Ehteram",
"Firdaus Mohamad Hamzah",
"Ahmed El-Shafie"
],
"corpus_id": 158854230,
"doc_id": "158854230",
"n_citations": 16,
"n_key_citations": 1,
"score": 0,
"title": "Synchronizing Artificial Intelligence Models for Operating the Dam and Reservoir System",
"venue": "Water Resources Management",
"year": 2018
},
{
"abstract": "In this work, four artificial intelligence (AI) techniques, based on Artificial Neural Networks, Support Vector Machine (SVM) and Regression Tree Ensembles, were used to estimate the operating temperature of photovoltaic (PV) modules (TPV) The models' input parameters correspond to experimental measurements of environmental (solar radiation, ambient temperature, relative humidity, wind speed, and wind direction) and operational (power output and tracking system) variables. Several AI models were trained and statistically compared with the measured data using a computational methodology that determines the performance and accuracy of the AI technique. Finally, a global sensitivity analysis was conducted to identify the ability of each technique to reflect the physical coherence of the phenomenon that is under study. It is reported that the four techniques can provide an estimate having a precision of about 93% On the other hand, the sensitivity analysis demonstrates that all the models cannot correctly",
"author_names": [
"O May Tzuc",
"Ali Bassam",
"Paul Erick Mendez-Monroy",
"Israel Sanchez Dominguez"
],
"corpus_id": 116542436,
"doc_id": "116542436",
"n_citations": 9,
"n_key_citations": 0,
"score": 0,
"title": "Estimation of the operating temperature of photovoltaic modules using artificial intelligence techniques and global sensitivity analysis: A comparative approach",
"venue": "",
"year": 2018
},
{
"abstract": "Scheduling is one of the classic problems in real time systems. In real time adaptive applications, the implementation of some sort of run time intelligence is required, in order to build real time intelligent systems capable of operating adequately in dynamic and complex environments. The incorporation of artificial intelligence planning techniques in a real time architecture allows the on line reaction to external and internal unexpected events. In this work a layered architecture integrating real time scheduling and artificial intelligence planning techniques has been designed, in order to implement a real time scheduler with capability to perform effectively in these scenarios. This multi level scheduler has been implemented and evaluated in a simulated information access system destined to broadcast information to mobile users. Results show that incorporation of artificial intelligence to the real time scheduler improves the performance, adaptiveness and responsiveness of the system.",
"author_names": [
"Jesus Fernandez-Conde",
"Pedro Manuel Cuenca-Jimenez",
"Rafael Toledo-Moreo"
],
"corpus_id": 152283728,
"doc_id": "152283728",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Improving Scheduling Performance of a Real Time System by Incorporation of an Artificial Intelligence Planner",
"venue": "IWINAC",
"year": 2019
},
{
"abstract": "",
"author_names": [
"Shreyovardhana Samethanahalli Kote"
],
"corpus_id": 63419217,
"doc_id": "63419217",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Additions to the operating system concepts animations library and artificial intelligence concepts animations library",
"venue": "",
"year": 2015
},
{
"abstract": "This paper proposes a new methodology for automated design of power electronic systems realized through the use of artificial intelligence. Existing approaches do not consider the system's reliability as a performance metric or are limited to reliability evaluation for a certain fixed set of design parameters. The method proposed in this paper establishes a functional relationship between design parameters and reliability metrics, and uses them as the basis for optimal design. The first step in this new framework is to create a nonparametric surrogate model of the power converter that can quickly map the variables characterizing the operating conditions (e.g. ambient temperature and irradiation) and design parameters (e.g. switching frequency and dc link voltage) into variables characterizing the thermal stress of a converter (e.g. mean temperature and temperature variation of its devices) This step can be carried out by training a dedicated artificial neural network (ANN) either on experimental or simulation data. The resulting network is named as \\text{ANN}_{1} and can be deployed as an accurate surrogate converter model. This model can then be used to quickly map the yearly mission profile into a thermal stress profile of any selected device for a large set of design parameter values. The resulting data is then used to train \\text{ANN}_{2} which becomes an overall system representation that explicitly maps the design parameters into a yearly lifetime consumption. To verify the proposed methodology, \\text{ANN}_{2} is deployed in conjunction with the standard converter design tools on an exemplary grid connected PV converter case study. This study showed how to find the optimal balance between the reliability and output filter size in the system with respect to several design constraints. This paper is also accompanied by a comprehensive dataset that was used for training the ANNs.",
"author_names": [
"Tomislav Dragicevic",
"Patrick William Wheeler",
"Frede Blaabjerg"
],
"corpus_id": 116390072,
"doc_id": "116390072",
"n_citations": 44,
"n_key_citations": 2,
"score": 0,
"title": "Artificial Intelligence Aided Automated Design for Reliability of Power Electronic Systems",
"venue": "IEEE Transactions on Power Electronics",
"year": 2019
},
{
"abstract": "The zoo is a local facility where some wild or exotic animals are placed in a fence. The main significance of the zoo is to provide educational and animal conservation functions, and secondly to provide public viewing and entertainment. Animal care and management in the zoo is almost open all year round. Its basic tasks include accommodation, breeding, health care, and medical care etc. Because there are nearly hundreds, thousands, or even ten thousands animals with different body shape and characteristics in the zoo that need to be cared for and managed, animal administrators must be skilled in various tools and real time control the condition of all animals, resulting in the heavy workload of the animal administrators and the huge operating expenses of the zoo. Therefore, it is necessary to find ways to reduce the workload of the animal administrators, but also to immediately control the current state of the animals, while saving animal care and management expenses. This study proposes a development architecture for the intelligent animal management system based on the Internet of Things (IoT) and artificial intelligence (AI) Its main purpose is to automate some tedious procedures for caring animals through the IoT and AI to help animal administrators to take care of them and manage them more systematically.",
"author_names": [
"Yu-Huei Cheng"
],
"corpus_id": 85499227,
"doc_id": "85499227",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "A Development Architecture for the Intelligent Animal Care and Management System Based on the Internet of Things and Artificial Intelligence",
"venue": "2019 International Conference on Artificial Intelligence in Information and Communication (ICAIIC)",
"year": 2019
},
{
"abstract": "Purpose To evaluate the use of artificial intelligence (AI) to shorten digital breast tomosynthesis (DBT) reading time while maintaining or improving accuracy. Materials and Methods A deep learning AI system was developed to identify suspicious soft tissue and calcified lesions in DBT images. A reader study compared the performance of 24 radiologists (13 of whom were breast subspecialists) reading 260 DBT examinations (including 65 cancer cases) both with and without AI. Readings occurred in two sessions separated by at least 4 weeks. Area under the receiver operating characteristic curve (AUC) reading time, sensitivity, specificity, and recall rate were evaluated with statistical methods for multireader, multicase studies. Results Radiologist performance for the detection of malignant lesions, measured by mean AUC, increased 0.057 with the use of AI (95% confidence interval [CI] 0.028, 0.087; P .01) from 0.795 without AI to 0.852 with AI. Reading time decreased 52.7% (95% CI: 41.8% 61.5% P .01) from 64.1 seconds without to 30.4 seconds with AI. Sensitivity increased from 77.0% without AI to 85.0% with AI (8.0% 95% CI: 2.6% 13.4% P .01) specificity increased from 62.7% without to 69.6% with AI (6.9% 95% CI: 3.0% 10.8% noninferiority P .01) and recall rate for noncancers decreased from 38.0% without to 30.9% with AI (7.2% 95% CI: 3.1% 11.2% noninferiority P .01) Conclusion The concurrent use of an accurate DBT AI system was found to improve cancer detection efficacy in a reader study that demonstrated increases in AUC, sensitivity, and specificity and a reduction in recall rate and reading time.(c) RSNA, 2019See also the commentary by Hsu and Hoyt in this issue.",
"author_names": [
"Emily F Conant",
"Alicia Y Toledano",
"Senthil Periaswamy",
"Sergei V Fotin",
"Jonathan Go",
"Justin E Boatsman",
"Jeffrey W Hoffmeister"
],
"corpus_id": 201136459,
"doc_id": "201136459",
"n_citations": 40,
"n_key_citations": 2,
"score": 0,
"title": "Improving Accuracy and Efficiency with Concurrent Use of Artificial Intelligence for Digital Breast Tomosynthesis.",
"venue": "Radiology. Artificial intelligence",
"year": 2019
}
] |
University of Bremen | [
{
"abstract": "Strain engineering vanadium dioxide thin films is one way to alter this material's characteristic first order transition from semiconductor to metal. In this study we extend the exploitable strain",
"author_names": [
"Simon Fischer",
"Jon-Olaf Krisponeit",
"Michael Foerster",
"Lucia Aballe",
"Jens Falta",
"Jan Ingo Flege"
],
"corpus_id": 212414626,
"doc_id": "212414626",
"n_citations": 6,
"n_key_citations": 0,
"score": 1,
"title": "Massively Strained VO2 Thin Film Growth on RuO2",
"venue": "",
"year": 2020
},
{
"abstract": "We have investigated the toroidal analog of ellipsoidal shells of matter, which are of great significance in Astrophysics. The exact formula for the gravitational potential \\Psi(R,Z) of a shell with a circular section at the pole of toroidal coordinates is first established. It depends on the mass of the shell, its main radius and axis ratio $e$ (i.e. core to main radius ratio) and involves the product of the complete elliptic integrals of the first and second kinds. Next, we show that successive partial derivatives \\partial^{n +m} \\Psi/\\partial_{R^n} \\partial_{Z^m} are also accessible by analytical means at that singular point, thereby enabling the expansion of the interior potential as a bivariate series. Then, we have generated approximations at orders $0$ $1$ $2$ and $3$ corresponding to increasing accuracy. Numerical experiments confirm the great reliability of the approach, in particular for small to moderate axis ratios $e^2 \\lesssim 0.1$ typically) In contrast with the ellipsoidal case (Newton's theorem) the potential is not uniform inside the shell cavity as a consequence of the curvature. We explain how to construct the interior potential of toroidal shells with a thick edge (i.e. tubes) and how a core stratification can be accounted for. This is a new step towards the full description of the gravitating potential and forces of tori and rings. Applications also concern electrically charged systems, and thus go beyond the context of gravitation.",
"author_names": [
"Jean-Marc Hur'e",
"Audrey Trova",
"Vladim R Karas",
"CNRSUniv Bordeaux",
"",
"University of Bremen",
"Center of Applied Space Technology",
"Microgravity Germany",
"A -M Dumont Astronomical Institute",
"Academy of Sciences",
"Czech Republic"
],
"corpus_id": 146121223,
"doc_id": "146121223",
"n_citations": 1,
"n_key_citations": 1,
"score": 0,
"title": "Interior potential of a toroidal shell from pole values",
"venue": "Monthly Notices of the Royal Astronomical Society",
"year": 2019
},
{
"abstract": "Real time electron microscopy observation on morphological changes in gold nanostructures deposited on Si (1?0?0) surfaces as a function of annealing temperatures has been reported. Two types of interfaces with silicon substrates were used prior to gold thin film deposition: (i) without native oxide and on ultra clean reconstructed Si surfaces and (ii) with native oxide covered Si surfaces. For ?2.0?nm thick Au films deposited on reconstructed Si (1?0?0) surfaces using the molecular beam epitaxy method under ultra high vacuum conditions, aligned four fold symmetric nanogold silicide structures formed at relatively lower temperatures (compared with the one with native oxide at the interface) For this system, 82% of the nanostructures were found to be nanorectangle like structures with an average length of ?27?nm and aspect ratio of 1.13 at ?700??C. For ?5.0?nm thick Au films deposited on Si (1?0?0) surface with native oxide at the interface, the formation of a rectangular structure was observed at higher temperatures ?850??C) At these high temperatures, desorption of gold silicide followed the symmetry of the substrate. Native oxide at the interface was found to act like a barrier for the inter diffusion phenomena. Structural characterization was carried out using advanced electron microscopy methods.",
"author_names": [
"Ashutosh Rath",
"Jatis Kumar Dash",
"Raghavendra Rao Juluri",
"Andreas Rosenauer",
"P V Satyam Institute of Physics",
"Sachivalaya Marg",
"India Department of Physics",
"University of Bremen",
""
],
"corpus_id": 118403212,
"doc_id": "118403212",
"n_citations": 22,
"n_key_citations": 0,
"score": 0,
"title": "Temperature dependent electron microscopy study of Au thin films on Si (1 0 0) with and without a native oxide layer as barrier at the interface",
"venue": "",
"year": 2011
},
{
"abstract": "We report on the formation of oriented gold nanostructures on Si(100) substrate by annealing procedures in low vacuum (10 2 mbar) and at high temperature (975 degC) Various thicknesses of gold films have been deposited with SiOx (using high vacuum thermal evaporation) and without SiOx (using molecular beam epitaxy) at the interface on Si(100) Electron microscopy measurements were performed to determine the morphology, orientation of the structures and the nature of oxide layer. Interfacial oxide layer, low vacuum and high temperature annealing conditions are found to be necessary to grow oriented gold structures. These gold structures can be transferred by simple scratching method.",
"author_names": [
"Ashutosh Rath",
"Jatis Kumar Dash",
"Raghavendra Rao Juluri",
"Andreas Rosenauer",
"Marcos Schoewalter",
"P V Satyam Institute of Physics",
"Sachivalaya Marg",
"",
"India Department of Physics",
"University of Bremen"
],
"corpus_id": 98704881,
"doc_id": "98704881",
"n_citations": 14,
"n_key_citations": 1,
"score": 0,
"title": "Growth of oriented Au nanostructures: Role of oxide at the interface",
"venue": "",
"year": 2012
},
{
"abstract": "We report on the phase separation in Au Ge system leading to the formation of lobe lobe (bi lobed) Au Ge nanostructures under ultra high vacuum (UHV) conditions (3 x 10 10 mbar) on clean Si(100) surfaces. For this study, 2.0 nm thick Au samples were grown on the substrate surface by molecular beam epitaxy. Thermal annealing was carried out inside the UHV chamber at temperature 500 degC and following this, nearly square shaped AuxSi1 x nano structures of average length 48 nm were formed. A 2 nm Ge film was further deposited on the above surface while the substrate was kept at a temperature of 500 degC. Well ordered Au Ge nanostructures where Au and Ge residing side by side (lobe lobe structures) were formed. In our systematic studies, we show that, gold silicide nanoalloy formation at the substrate (Si) surface is necessary for forming phase separated Au Ge bilobed nanostructures. These results show that the Au Ge bonding is unstable in nature. Electron microscopy (TEM, STEM EDS, SEM) studies were carrie.",
"author_names": [
"Ashutosh Rath",
"Jatis Kumar Dash",
"Raghavendra Rao Juluri",
"Marco Schowalter",
"K N Mueller",
"Andreas Rosenauer",
"P V Satyam Institute of Physics",
"Sachivalaya Marg",
"India Department of Physics",
"University of Bremen",
""
],
"corpus_id": 73653673,
"doc_id": "73653673",
"n_citations": 6,
"n_key_citations": 0,
"score": 0,
"title": "Nano scale phase separation in Au Ge system on ultra clean Si(100) surfaces",
"venue": "",
"year": 2012
},
{
"abstract": "Two questions on the topology of compact energy surfaces of natural two degrees of freedom Hamiltonian systems in a magnetic field are discussed. We show that the topology of this 3 manifold (if it is not a unit tangent bundle) is uniquely determined by the Euler characteristic of the accessible region in configuration space. In this class of 3 manifolds for most cases there does not",
"author_names": [
"Alexey Bolsinov",
"Holger R Dullin",
"Andrea E Schweitzer Ohio State University",
"University of Bremen",
""
],
"corpus_id": 15778505,
"doc_id": "15778505",
"n_citations": 18,
"n_key_citations": 1,
"score": 0,
"title": "Topology of energy surfaces and existence of transversal Poincare sections",
"venue": "",
"year": 1996
},
{
"abstract": "\"Inquiry based learning\" is set to be promoted as a trendy concept. Numerous institutions of higher learning are involved in projects relating to inquiry based learning and declare it a distinguishing feature of their teaching. This applies in particular to research intensive universities. What does inquiry based learning mean for higher education development? Is inquiry based learning suited to serve as the strategic orientation of the entire institution? And how can this be implemented beyond a guiding principle and mere announcements at an institute of higher learning? How can the greatest possible number of actors be involved in these processes? And what should be taken into consideration in so doing? The following article addresses these questions and refers by way of example to activities and experiences in the profile development for inquiry based learning at the University of Bremen.",
"author_names": [
"Margrit E Kaufmann",
"Heidi Schelhowe"
],
"corpus_id": 150508009,
"doc_id": "150508009",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Inquiry Based Learning as a Teaching Profile at Institutions of Higher Learning The Example of the University of Bremen",
"venue": "",
"year": 2019
},
{
"abstract": "The Geosciences Collection of the University of Bremen is a midsize collection of estimated about 800,000 specimens that are housed at the Faculty of Geosciences of Bremen University, focused on the field of paleontology. Nevertheless, the collections include more than 300,000 individual neontological specimens; most of these are gastropods, a focal point that fits well into the mollusk focus of the paleontology collection. Among the recent gastropods, the most important part are species of the unranked clade Stylommatophora, terrestrial gastropods comprising about one third of the total number of specimens in the collection. The gastropod collection contains originals to the nineteenth century collections of Borcherding, Gerhard von dem Busch, Hartmann, Jantzen, and Schmacker among others, including important historical collections of achatinellids from Hawaii and clausilids from China. A few hundred types or potential types are registered in the database; to illustrate the research potencies, some type material is presented exemplarily. Technically, the material and the collection are in an excellent condition, and thus preconditions for scientific revisions are excellent. A permanent preservation and curation are ensured by a contract between the Ubersee Museum, the institution formerly holding these city owned collections, and the University of Bremen. As a consequence the collection has a good staffing today, including one technical assistant and one scientist handling collection affairs. The lively exhibition projects include major exceptional exhibits on inland water vessel and shopping centers, with the conceptional focus to present zoological specimens in comparison with fossil representatives with respect to constructional morphology, evolution, and diversity.",
"author_names": [
"Jens Lehmann"
],
"corpus_id": 134742945,
"doc_id": "134742945",
"n_citations": 2,
"n_key_citations": 1,
"score": 0,
"title": "BREMEN: Geosciences Collection of the University of Bremen: The Recent Mollusk Compilation",
"venue": "",
"year": 2018
},
{
"abstract": "The Geosciences Collection of the University of Bremen is a mid size collection of estimated about 800,000 specimens that are housed at the Faculty of Geosciences of the University of Bremen. It is focused on the field of research in paleontology. The collections include more than 500,000 individual fossils, most of these are ammonoids, a focus that is flanked by the mollusk focus of the neontological collection comprising many type specimens. The ammonoid focus is developed fairly recently as well as a very comprising research collection on the Lower Cretaceous (lower Aptian) of North Germany. The research focus of the collection is furthermore underlined by various new collections mainly from the Cretaceous of England, France, North Africa, North America and Tibet. Nevertheless the collection includes important historical material. Examples are the type of the Baltic amber tick Ixodes succineus, a topotype of the largest ammonoid species recorded, Parapuzosia seppenradensis from the late Cretaceous of North Germany, and a complete skeleton of a giant deer, Megaloceros giganteus, from the late Pleistocene of Ireland. Technically, the material and the collection are in an excellent condition today and thus precondition for the further scientific development is very well. The collection is also housing an established working group of the geoscientific department of the university intensively incorporating student education. A long lasting preservation and curation is ensured by a contract between the Ubersee Museum, the institution formerly holding these city owned collections, and the University of Bremen. As a consequence the collection has a good staffing today. Permanent positions include one technical assistant and one scientist handling collection affairs. The lively exhibition projects comprise exceptional exhibits on inland water vessel and shopping centers, with a conceptional focus to present aspects of evolution, diversity and constructional morphology.",
"author_names": [
"Jens Lehmann"
],
"corpus_id": 134595340,
"doc_id": "134595340",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "BREMEN: The Paleontological Research Collection of the Geosciences Collection of the University of Bremen",
"venue": "",
"year": 2018
},
{
"abstract": "The Zoological Collection of the University of Bremen is a very young collection of arthropod and vertebrate material collected in different ecological research projects between 1960 and 2010. Carabid and staphylinid beetle and spider communities of bogs and salt marshes are the greatest part of the collections which includes time series within different habitats and documented communities of formerly undisturbed bogs. Other arthropod groups are included as far as pitfall traps will get them. As climate change was one aspect of most salt marsh projects, the material was collected as baseline documents for further studies.",
"author_names": [
"Hans Konrad Nettmann"
],
"corpus_id": 134954324,
"doc_id": "134954324",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "BREMEN: Zoological Collection of the University of Bremen",
"venue": "",
"year": 2018
}
] |
There is plenty of Room aT the top | [
{
"abstract": "From bottom to top The doubling of the number of transistors on a chip every 2 years, a seemly inevitable trend that has been called Moore's law, has contributed immensely to improvements in computer performance. However, silicon based transistors cannot get much smaller than they are today, and other approaches should be explored to keep performance growing. Leiserson et al. review recent examples and argue that the most promising place to look is at the top of the computing stack, where improvements in software, algorithms, and hardware architecture can bring the much needed boost. Science, this issue p. eaam9744 BACKGROUND Improvements in computing power can claim a large share of the credit for many of the things that we take for granted in our modern lives: cellphones that are more powerful than room sized computers from 25 years ago, internet access for nearly half the world, and drug discoveries enabled by powerful supercomputers. Society has come to rely on computers whose performance increases exponentially over time. Much of the improvement in computer performance comes from decades of miniaturization of computer components, a trend that was foreseen by the Nobel Prize winning physicist Richard Feynman in his 1959 address, \"There's Plenty of Room at the Bottom,\" to the American Physical Society. In 1975, Intel founder Gordon Moore predicted the regularity of this miniaturization trend, now called Moore's law, which, until recently, doubled the number of transistors on computer chips every 2 years. Unfortunately, semiconductor miniaturization is running out of steam as a viable way to grow computer performance there isn't much more room at the \"Bottom.\" If growth in computing power stalls, practically all industries will face challenges to their productivity. Nevertheless, opportunities for growth in computing performance will still be available, especially at the \"Top\" of the computing technology stack: software, algorithms, and hardware architecture. ADVANCES Software can be made more efficient by performance engineering: restructuring software to make it run faster. Performance engineering can remove inefficiencies in programs, known as software bloat, arising from traditional software development strategies that aim to minimize an application's development time rather than the time it takes to run. Performance engineering can also tailor software to the hardware on which it runs, for example, to take advantage of parallel processors and vector units. Algorithms offer more efficient ways to solve problems. Indeed, since the late 1970s, the time to solve the maximum flow problem improved nearly as much from algorithmic advances as from hardware speedups. But progress on a given algorithmic problem occurs unevenly and sporadically and must ultimately face diminishing returns. As such, we see the biggest benefits coming from algorithms for new problem domains (e.g. machine learning) and from developing new theoretical machine models that better reflect emerging hardware. Hardware architectures can be streamlined for instance, through processor simplification, where a complex processing core is replaced with a simpler core that requires fewer transistors. The freed up transistor budget can then be redeployed in other ways for example, by increasing the number of processor cores running in parallel, which can lead to large efficiency gains for problems that can exploit parallelism. Another form of streamlining is domain specialization, where hardware is customized for a particular application domain. This type of specialization jettisons processor functionality that is not needed for the domain. It can also allow more customization to the specific characteristics of the domain, for instance, by decreasing floating point precision for machine learning applications. In the post Moore era, performance improvements from software, algorithms, and hardware architecture will increasingly require concurrent changes across other levels of the stack. These changes will be easier to implement, from engineering management and economic points of view, if they occur within big system components: reusable software with typically more than a million lines of code or hardware of comparable complexity. When a single organization or company controls a big component, modularity can be more easily reengineered to obtain performance gains. Moreover, costs and benefits can be pooled so that important but costly changes in one part of the big component can be justified by benefits elsewhere in the same component. OUTLOOK As miniaturization wanes, the silicon fabrication improvements at the Bottom will no longer provide the predictable, broad based gains in computer performance that society has enjoyed for more than 50 years. Software performance engineering, development of algorithms, and hardware streamlining at the Top can continue to make computer applications faster in the post Moore era. Unlike the historical gains at the Bottom, however, gains at the Top will be opportunistic, uneven, and sporadic. Moreover, they will be subject to diminishing returns as specific computations become better explored. Performance gains after Moore's law ends. In the post Moore era, improvements in computing power will increasingly come from technologies at the \"Top\" of the computing stack, not from those at the \"Bottom\" reversing the historical trend. CREDIT: N. CARY/SCIENCE The miniaturization of semiconductor transistors has driven the growth in computer performance for more than 50 years. As miniaturization approaches its limits, bringing an end to Moore's law, performance gains will need to come from software, algorithms, and hardware. We refer to these technologies as the \"Top\" of the computing stack to distinguish them from the traditional technologies at the \"Bottom\" semiconductor physics and silicon fabrication technology. In the post Moore era, the Top will provide substantial performance gains, but these gains will be opportunistic, uneven, and sporadic, and they will suffer from the law of diminishing returns. Big system components offer a promising context for tackling the challenges of working at the Top.",
"author_names": [
"Charles E Leiserson",
"Neil C Thompson",
"Joel S Emer",
"Bradley C Kuszmaul",
"Butler W Lampson",
"Daniel S Sanchez",
"Tao B Schardl"
],
"corpus_id": 219312581,
"doc_id": "219312581",
"n_citations": 53,
"n_key_citations": 1,
"score": 1,
"title": "There's plenty of room at the Top: What will drive computer performance after Moore's law?",
"venue": "Science",
"year": 2020
},
{
"abstract": "Abstract Richard Feynman's famous words, \"there's plenty of room at the bottom,\" referred to the opportunities for revolutionizing the electronics industry by developing the science and technology of how to manufacture very small components (sub micron to nanometer sized features) [1] In additive manufacturing (AM) the opposite may be true: There's plenty of room at the top. AM's strength is in the low volume production of complex components, which is demanded in big industries such as oil and gas, construction, tooling, wind and water turbines, and infrastructure. Is AM's 'big' future in the manufacture of large structures? This special issue surveys the technical challenges and enormous opportunities associated with large scale additive manufacturing while discussing the materials, processes and applications that are enabled by scaling to much larger structures, much faster speeds and lower manufacturing costs. The authors believe that, if Professor Feynman was alive, he'd say \"There's plenty of room at the top.\"",
"author_names": [
"L J Love",
"Brian K Post",
"Mark W Noakes",
"Andrzej Nycz",
"Vlastimil Kunc"
],
"corpus_id": 229460631,
"doc_id": "229460631",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "There's plenty of room at the top",
"venue": "",
"year": 2020
},
{
"abstract": "The virtuous cycle of integrated circuit technology advancement has been sustained for over 50 years, resulting in the proliferation of information technology (IT) with dramatic economic and social impact. Although there is still some \"room at the bottom\" today to manipulate and control matter at ever smaller scales, physics and economics limit the benefits of further transistor scaling. This paper discusses how the computational functionality and energy efficiency of microchips can be enhanced by integrating MEM switches on top of transistors, to sustain the IT revolution.",
"author_names": [
"Tsu-Jae King Liu",
"Urmita Sikder",
"Kimihiko Kato",
"Vladimir M Stojanovic"
],
"corpus_id": 11431828,
"doc_id": "11431828",
"n_citations": 16,
"n_key_citations": 0,
"score": 0,
"title": "There's plenty of room at the top",
"venue": "2017 IEEE 30th International Conference on Micro Electro Mechanical Systems (MEMS)",
"year": 2017
},
{
"abstract": "Channelling chemical intuition to conquer larger scales.",
"author_names": [
"Charles E Leiserson",
"Neil C Thompson",
"Joel S Emer",
"Bradley C Kuszmaul",
"Butler W Lampson",
"Daniel S Sanchez",
"Tao B Schardl"
],
"corpus_id": 199449173,
"doc_id": "199449173",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "There's plenty of room at the top",
"venue": "Nature Nanotechnology",
"year": 2019
},
{
"abstract": "",
"author_names": [
"Stephanie Jane Richards Howard",
"Kathy Jo Wetter"
],
"corpus_id": 217165920,
"doc_id": "217165920",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Nanotechnology and Geopolitics: There's Plenty of Room at the Top",
"venue": "",
"year": 2018
},
{
"abstract": "There is a growing realization that the expected fault rates and energy dissipation stemming from increases in CMOS integration will lead to the abandonment of traditional system reliability in favor of approaches that offer reliability to hardware induced errors across the application, runtime support, architecture, device and integrated circuit (IC) layers. Commercial stakeholders of multimedia stream processing (MSP) applications, such as information retrieval, stream mining systems, and high throughput image and video processing systems already feel the strain of inadequate system level scaling and robustness under the always increasing user demand. While such applications can tolerate certain imprecision in their results, today's MSP systems do not support a systematic way to exploit this aspect for cross layer system resilience. However, research is currently emerging that attempts to utilize the error tolerant nature of MSP applications for this purpose. This is achieved by modifications to all layers of the system stack, from algorithms and software to the architecture and device layer, and even the IC digital logic synthesis itself. Unlike conventional processing that aims for worst case performance and accuracy guarantees, error tolerant MSP attempts to provide guarantees for the expected performance and accuracy. In this paper we review recent advances in this field from an MSP and a system (layer by layer) perspective, and attempt to foresee some of the components of future cross layer error tolerant system design that may influence the multimedia and the general computing landscape within the next ten years.",
"author_names": [
"Yiannis Andreopoulos"
],
"corpus_id": 14432032,
"doc_id": "14432032",
"n_citations": 10,
"n_key_citations": 0,
"score": 0,
"title": "Error Tolerant Multimedia Stream Processing: There's Plenty of Room at the Top (of the System Stack)",
"venue": "IEEE Transactions on Multimedia",
"year": 2013
},
{
"abstract": "Due to the possibility of nanotechnology becoming the next general purpose technology, generating considerable socio economic benefits, it has become popular with policy makers and academics around the world, and led to massive investments in national R&D programmes. While in some cases nanotechnology is still in its early stages of research, in many others it has already resulted in innovations within many disparate sectors. This is important because in today's knowledge based economy, innovation is a dominant factor, quite radically influencing economic performance of firms, regions, and states alike emphasizing a growing need for a strong, coherent, and up to date policy support. From an innovation systems perspective this thesis looks at the rapidly growing field of nanotechnology in the Czech Republic, exploring the innovation policy of the Czech Republic, barriers to innovation, and the extent to which policy addresses these barriers. An innovation survey within Czech nano companies has been carried out in order to zoom in on some of the strengths and weaknesses of the policy support. (Less)",
"author_names": [
"Stepan Bartl"
],
"corpus_id": 56189327,
"doc_id": "56189327",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "There's plenty of room at the bottom but is there room at the top? Nanotechnology in the Czech Republic: policy and barriers to innnovation",
"venue": "",
"year": 2013
},
{
"abstract": "Microchip manufacturers have developed a tremendous skill in depositing materials at the nanometer scale. One can wonder why this skill is predominantly used to develop new generations of memory and signal processing chips. The answer lies in the economic rationale behind Moore's Law,1 implying that straightforward miniaturization is the most predictable and effective means of improving the microchip value. But today, the end of traditional scaling is in sight. According to the authoritative ITRS Roadmap,2 the miniaturization of CMOS, DRAM and flash memory technologies will end around the year 2018, at which point disruptive approaches will become necessary to further decrease the cost per function in these types of microchips. The community therefore has embarked on a massive parallel search for new technologies, employing the same microtechnology skill set, but introducing novel device architectures and novel materials. Examples are subthermal switches3 and magnetic memories such as the STT MRAM,4 among many. Innovation in microchip technology can also involve the addition of new functionality to the chip, often denominated as \"more than Moore\" When a microchip goes beyond the traditional functions of data storage, computation and electrical communication, it may become more valuable. Usually this requires modifications to the microchip fabrication process. Such modifications are reviewed in this chapter. We will first discuss the physical location where new functions can be added to a microchip, and which considerations may lead to the above IC approach. Then, the boundary conditions for post processing are sketched. A variety of example microsystems is subsequently reviewed, followed by the conclusions.",
"author_names": [
"Jurriaan Schmitz"
],
"corpus_id": 114623395,
"doc_id": "114623395",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Microchip post processing: There is plenty of room at the top",
"venue": "",
"year": 2013
},
{
"abstract": "",
"author_names": [
"Paul J Chirik"
],
"corpus_id": 27878917,
"doc_id": "27878917",
"n_citations": 11,
"n_key_citations": 0,
"score": 0,
"title": "An FeVI nitride: there is plenty of room at the top!",
"venue": "Angewandte Chemie",
"year": 2006
},
{
"abstract": "",
"author_names": [
"Paul J Chirik"
],
"corpus_id": 197343667,
"doc_id": "197343667",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "An Fe(VI) Nitride: There Is Plenty of Room at the Top",
"venue": "",
"year": 2007
}
] |
Preparation of silicon dioxide nanowires | [
{
"abstract": "The present invention provides a kind of silicon nanowires tunneling field effect transistor and preparation method thereof, including step:1)One SOI substrate, including bottom silicon, oxygen buried layer and top layer silicon are provided;2)The top silicon layer is thinned and forms silicon dioxide layer in surface;3)Silicon nanowires raceway groove, source region and drain region are formed using photoetching process and wet corrosion technique;4)Protective layer is formed in the side of the source region, the week side of boss in drain region and silicon nanowires raceway groove, the opposite side of the silicon nanowires raceway groove is corroded using wet corrosion technique, forms the silicon nanowires raceway groove with triangular section;5)Oxide layer is formed in the silicon nanowires channel surface;6)Form source region and drain region;7)Make source electrode and drain electrode.The silicon nanowires of the present invention is based on top down methods, is realized and made using silicon nitride sidewall protection and TMAH anisotropy etch stop, technical process is simple, and controllability is strong, and completely compatible with existing semiconductor technology, cost is relatively low, suitable for industrial production.",
"author_names": [
""
],
"corpus_id": 223662107,
"doc_id": "223662107",
"n_citations": 0,
"n_key_citations": 0,
"score": 1,
"title": "A kind of silicon nanowires tunneling field effect transistor and preparation method thereof",
"venue": "",
"year": 2014
},
{
"abstract": "Silicon nanowires were synthesized from nanometer silicon dioxide powder under potentiostatic electrolysis at 1.20 V(vs Pt reference) for 4 h in molten CaCl2 at 900 .The morphology,structure and chemical composition of the samples prepared by electroreduction method were characterized by field emission scanning electron microscopy(FE SEM),High resolution transmission electron microscopy(HRTEM) coupled with electron energy dispersive spectroscopy(EDS),laser Raman and X ray diffraction(XRD).The results revealed that silicon nanowires were crystalline with a diamond cubic structure,the diameter was distributed from 50 nm to 80 nm and the length was generally several micrometers.The formed nanowires basically consisted of silicon monocrystalline and amorphous oxide sheath.",
"author_names": [
"Yang Juan"
],
"corpus_id": 100808934,
"doc_id": "100808934",
"n_citations": 3,
"n_key_citations": 0,
"score": 1,
"title": "Preparation of Silicon Nanowires by Electrochemical Method",
"venue": "",
"year": 2009
},
{
"abstract": "Silicon carbide nanowires were synthesized by mixing formaldehyde resin carbon and nanometer silicon dioxide(atomic Si/C ratio, 1:1) under cell voltage of 2.0 V in molten CaCl2at 900 The morphology,structure and chemical composition of the samples prepared by electro reduction method were characterized by field emission scanning electron microscopy(FE SEM) transmission electronic microscope(TEM) Highresolution transmission electron microscopy(HRTEM) coupled with electron energy dispersive spectroscopy(EDS) X ray diffraction(XRD) and laser Raman spectroscopy. The results reveal that silicon carbide nanowires are crystalline with a cube structure, the diameter is distributed from 4 nm to 13 nm and the length is generally several micrometers. Two broad photoluminescence(PL) peaks at the center wavelength of about 415 nm and 534nm were observed at room temperature. The formation mechanism of the SiC nanowires is also discussed.",
"author_names": [
"Zhao Chun"
],
"corpus_id": 99607169,
"doc_id": "99607169",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Preparation of SiC Nanowires by Direct Electro reduction of SiO_2/C Pellets in Molten Salt",
"venue": "",
"year": 2013
},
{
"abstract": "The invention relates to a method for preparing silicon nanowires, belonging to the nanophase material preparation technical field. The method comprises the following steps that: a silicon chip is washed and shaken by acetone and alcohol and is processed by an acid cleaning liquid and a No.1 standard cleaning solution; a silicon dioxide spheres solution with a weight percentage density between 0.02 and 2.0 percent is added on the silicon chip surface which is washed off in the step one drop by drop by a micro pipette, the processed silicon chip is placed in air to air naturally; the silicon chip with silicon dioxide sphere arrays is annealed for one to three hours at a temperature of between 900 and 1000 DEG C; afterwards, the silicon chip is put into a diluent hydrofluoric acid solution to be eroded for 2 to 20 minutes so that the diameters of the spheres become small; an Ag film with a thickness between 20 and 80nm is deposited on the silicon chip surface by the plating technique or the vacuum evaporating technique; and a sample deposited with the Ag film is dipped into the hydrofluoric acid and hydrogen peroxide etching solution (or ferric nitrate) to be eroded for 4 to 100 minutes. The preparation method can rapidly prepare a large area of silicon nanowire arrays, thereby being suitable for the large scale industrial production.",
"author_names": [
""
],
"corpus_id": 139160910,
"doc_id": "139160910",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Preparation of silicon nanowire array",
"venue": "",
"year": 2008
},
{
"abstract": "The invention relates to a preparation method of a silicon nanowire fence device, comprising the following steps: providing an SOI (Silicon On Insulator) substrate; successively forming a silicon dioxide buffer layer and a silicon nitride layer; defining an active area; carrying out local oxidation treatment on a silicon film out of the active area to cause the oxidized silicon film to form a beak structure on the edge of the active area; removing the silicon nitride layer and the silicon dioxide buffer layer; photoetching to define protective source leakage, and etching the silicon film to form a groove; forming silicon nanowires on the silicon film below the beak structure; etching silicon dioxide formed after the silicon film is subjected to local oxidation treatment and burying parts of oxide layers to cause the silicon nanowires to hang in the air; oxidizing a grid to form a grid medium layer surrounding the silicon nanowires; depositing polysilicon to form a fence; and finishing the common CMOS (Complementary Metal Oxide Semiconductors) technologies of injecting source leakage, depositing a silicon dioxide isolated layer, etching contact holes, mutually connecting and leading out metal and the like to form the silicon nanowire fence device. In the preparation method of the silicon nanowire fence device, the silicon nanowire can be simply prepared while one time photoetching is reduced, manufacture cost is reduced, and the technology difficulty is lowered.",
"author_names": [
""
],
"corpus_id": 139418188,
"doc_id": "139418188",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Preparation method of silicon nanowire fence device",
"venue": "",
"year": 2010
},
{
"abstract": "SiC nanowires have been synthesized by microwave vacuum heating method at 1480degC, using silicon powders, silica dioxide powders and artificial graphite as raw materials. SEM, TEM and XRD were employed to investigate the micro structure of obtained specimens. It was shown that b SiC can be directly synthesized directly without any catalyst on the basis of the vapor solid (VS) growth mechanism. The obtained specimens exhibited various morphologies and sizes, due to the differences in the reaction temperatures and the distribution of components in the crucible. Products existed in upper crucible were bright green and more pure, mainly consisting of nanorods with a diameter of about 150nm and some SiC micro crystals. At the same time, the surface oxidation phenomenon was not obvious. As to the grey green products existed in other zone, lots of SiC/SiO2 coaxial nanowires with a diameter around 20 50 nm (the thickness of SiO2 surface layer was about 2nm) were successfully obtained. Besides, there also remained some un reacted graphite and silica dioxide. The excitation light with wavelength of 240nm was used to test the photoluminescence properties of the products. Results showed that both of the SiC nanorods and SiC/SiO2 coaxial nanowires exhibited a strong broad photoluminescence peak at wavelength of about 390nm, displaying a higher degree of blue shift in comparison to the reported luminescence results of b SiC nanomaterials.",
"author_names": [
"Shan Huang",
"Ji Gang Wang",
"Song Liu",
"Yue Zhang",
"Liu Qian",
"Jie Liang"
],
"corpus_id": 109522404,
"doc_id": "109522404",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Study on the Preparation and Micro Structural Characterization of SiC Nanowires Obtained by Direct Microwave Heating",
"venue": "",
"year": 2013
},
{
"abstract": "SiC/SiOx coaxial nanowires were rapidly synthesized via direct microwave irradiation in low vacuum atmosphere. During the preparation process, only graphite, silicon, silicon dioxide powders were used as raw materials and iron containing substance was employed as catalyst. Comprehensive characterizations were employed to investigate the microstructure of the products. The results showed that a great quantity of coaxial nanowires with uniform sizes and high aspect ratio had been successfully achieved. The coaxial nanowires consist of a silicon oxide (SiOx) shell and a b phase silicon carbide (b SiC) core that exhibited in special tube brush like. In additional, nearly all the products were achieved in the statement of pure SiC/SiOx coaxial nanowires without the existence of metallic catalyst, indicating that the self removal of iron (Fe) catalyst should be occurred during the synthesis process. Photoluminescence (PL) spectral analysis result indicated that such novel SiC/SiOx coaxial nanowires exhibited significant blue shift. Besides, the measurement results of field emission (FE) demonstrated that the SiC/SiOx coaxial nanowires had ultralow turn on field and threshold field with values of 0.2 and 2.1 V/mm, respectively. The hetero junction structure formed between SiOx shell and SiC core, lots of emission sites, as well as clear tips of the nanowires were applied to explain the excellent FE properties.",
"author_names": [
"Qing Zhou",
"Yongzhi Yu",
"Shan Huang",
"Jiang Meng",
"Jigang Wang"
],
"corpus_id": 136262865,
"doc_id": "136262865",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Field emission property of self purification SiC/SiOx coaxial nanowires synthesized via direct microwave irradiation using iron containing catalyst",
"venue": "Electronic Materials Letters",
"year": 2017
},
{
"abstract": "Abstract Electrochemical deposition allows the preparation of ZnO nanostructures with precisely controlled morphology and properties, by finely tuning the process parameters. ZnO nanowires were deposited onto gold substrates by electrodeposition from a low concentration zinc nitrate bath. Photolithography was employed for patterning interdigitated electrode systems onto silicon/silicon dioxide substrates and ZnO electrodeposition lead to wires connected to each other by bridging neighboring interdigits allowing electronic transport characterization. Optical measurements, i.e. reflection and photoluminescence spectroscopy, were performed and the results were correlated to electronic transport data. We found that we deal with a system for which one can apply a model of space charge limited currents with different traps energy distribution as a consequence of electrodeposition rate. Current versus temperature measurements show different behavior for lower and higher range of temperatures. Such nanowires, fabricated and contacted in a straightforward way, allow a wide area of applications ranging from conductometric bio or chemo sensors to optoelectronic devices.",
"author_names": [
"Elena Matei",
"Andreea Costas",
"Camelia Florica",
"Monica Enculescu",
"Ioana Pintilie",
"Lucia Pintilie",
"Ionut Enculescu"
],
"corpus_id": 97544895,
"doc_id": "97544895",
"n_citations": 9,
"n_key_citations": 0,
"score": 0,
"title": "Electrical properties of templateless electrodeposited ZnO nanowires",
"venue": "",
"year": 2016
},
{
"abstract": "Abstract Bulk quantity amorphous silica nanowires (SiONWs) have been synthesized by carbothermal reduction reaction between silicon dioxide and active carbons. Transmission electron microscopy (TEM) image shows the formation of the nanowires at a diameter of 60 110 nm and a length up to hundreds micrometers. Besides most smooth surface polyp shaped nanowires, two other forms of nanowires, named amoeba shaped and frog egg shaped nanowires, have also been observed. The nanowires can emit stable and high brightness blue light at 435 nm (2.85 eV) under excitation at 260 nm (4.77 eV) The formation of the nanowires into different shapes may be explained by the vapor liquid solid (VLS) mechanism.",
"author_names": [
"Xingcai Wu",
"W H Song",
"Ke Yu Wang",
"T Hu",
"B R Zhao",
"Yan Sun",
"Jiaju Du"
],
"corpus_id": 94470043,
"doc_id": "94470043",
"n_citations": 150,
"n_key_citations": 2,
"score": 0,
"title": "Preparation and photoluminescence properties of amorphous silica nanowires",
"venue": "",
"year": 2001
},
{
"abstract": "The present invention discloses a method for manufacturing a laminated structure SiGe nanowire, the method comprising: alternating SiGe epitaxial germanium thin film single crystal substrate surface, and the surface of the germanium thin film and silicon germanium film is subjected to photolithography and etching, to obtain silicon germanium germanium line structure; oxidizing the obtained silicon germanium germanium line structure under pure oxygen or a mixed gas atmosphere containing oxygen, the use of germanium to germanium different oxidation rate of silicon germanium preferential oxidation germanium dioxide, while maintaining the silicon germanium is not oxidized; and chemical etching method for removing germanium dioxide, germanium, silicon nanowire obtained stacked structure. Method for fabricating SiGe nanowire structures of the present invention provides, having a large area growth, the process is simple, and the preparation of controlled diameter nanowires low cost.",
"author_names": [
""
],
"corpus_id": 105760868,
"doc_id": "105760868",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Method for manufacturing a silicon germanium nanowire stacked structure",
"venue": "",
"year": 2013
}
] |
muti peak detection in acquring gps | [
{
"abstract": "Power consumption of Global Positioning System (GPS) acquisition is a great challenge for energy constrained applications. In this work, a metric named acquisition mean computation overhead is proposed to measure the energy cost. A novel multi peak double dwell (MP/DD) acquisition method for GPS weak signal is proposed. It adopts multi peak correlation results as candidates in the first acquisition dwell and selects the largest one as the final acquisition result in the second acquisition dwell. Theoretical analysis and numerical simulation are presented in detail. Meanwhile, the very large scale integration (VLSI) implementation of coarse and fine grained acquisition engines applied to the proposed method is done. The detection probability and acquisition mean computation overhead are simulated using the Monte Carlo method, and its mean acquisition power is tested with an actual chip. We fabricated the GPS signal acquisition engine with a 40 nm complementary metal oxide semiconductor (CMOS) process. The simulation results demonstrate that detection probability is promoted from 18% to 67% when signal power is equal to 23 dB/Hz. The acquisition mean computation overhead is reduced greatly by 64% Measurement results show that the energy consumption of this design is only 21.5% of the conventional double dwell/maximum (DD/MAX) method.",
"author_names": [
"Zhen Wang",
"Hengfeng Zhang",
"Min Wang",
"Xinning Liu",
"Y Zhuang",
"Hao Cai",
"Jun Yang",
"Longxing Shi"
],
"corpus_id": 54736502,
"doc_id": "54736502",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "Multi Peak Double Dwell GPS Weak Signal Acquisition Method and VLSI Implementation for Energy Constrained Applications",
"venue": "",
"year": 2018
},
{
"abstract": "This article proposes a global navigation satellite system (GNSS) spoofing detection and classification technique for single antenna receivers. We formulate an optimization problem at the baseband correlator domain by using the Least Absolute Shrinkage and Selection Operator (LASSO) We model correlator tap outputs of the received signal to form a dictionary of triangle shaped functions and leverage sparse signal processing to choose a decomposition of shifted matching triangles from said dictionary. The optimal solution of this minimization problem discriminates the presence of a potential spoofing attack peak by observing a decomposition of two different code phase values (authentic and spoofed) in a sparse vector output. We use a threshold to mitigate false alarms. Furthermore, we present a variation of the minimization problem by enhancing the dictionary to a higher resolution of shifted triangles. The proposed technique can be implemented as an advanced fine acquisition monitoring tool to aid in the tracking loops for spoofing mitigation. In our experiments, we are able to distinguish authentic and spoofer peaks from synthetic data simulations and from a real dataset, namely, the Texas spoofing test battery. The proposed method achieves 0.3% detection error rate for a spoofer attack in nominal signal to noise ratio conditions and an authentic over spoofer power of 3 dB.",
"author_names": [
"Erick Schmidt",
"Nikolaos Gatsis",
"David Akopian"
],
"corpus_id": 216641765,
"doc_id": "216641765",
"n_citations": 5,
"n_key_citations": 0,
"score": 0,
"title": "A GPS Spoofing Detection and Classification Correlator Based Technique Using the LASSO",
"venue": "IEEE Transactions on Aerospace and Electronic Systems",
"year": 2020
},
{
"abstract": "Through the growing usage of Global Positioning System (GPS) for civilian applications, healthcare of the system has special importance. However, according to the characteristics of the GPS signals, there is a possibility of interferences on GPS signals. Among all distorting factors, spoofing is more deceitful, because the civil receiver is not able to distinguish the counterfeit signal from the genuine signal. In recent years, many efforts have been made to deal with spoofing. We studied recognition of the clear certainty of a spoofed condition in this work, which focuses on Signal Quality Monitoring (SQM) method. In an effort to troubleshoot the previous metrics and methods, we have introduced a new metric for interference detection that investigate both of in phase and quadrature components of correlation outputs also use parameters associated with the main peak in proper form. As a consequence of simultaneous evaluation of phase and amplitude of GPS signal, the proposed metric is more reliable and average of detection accuracy has increased from 1.3 (related to previous metrics) to 4.8.",
"author_names": [
"A Farhadi",
"M Moazedi",
"Mohammad Reza Mosavi",
"Ali Sadr"
],
"corpus_id": 43612338,
"doc_id": "43612338",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "A Novel Ratio Phase Metric of Signal Quality Monitoring for Real Time Detection of GPS Interference",
"venue": "Wirel. Pers. Commun.",
"year": 2017
},
{
"abstract": "Due to the low received power, the signals of global positioning system (GPS) are too sensitive to intentional and unintentional radio frequency interference (RFI) Many safety applications relayed on GPS shall be protected from RFI, and interference detection and localization become an important technique to keep them work validly. However, Weak RFI is usually hampered by in band GPS signals which cause undesired correlation peaks when Time Difference of Arrival (TDOA) and other interference detections are applied. The RFI detection performance gets even worse with GPS multipath signals received. In this paper, a subspace projection method is proposed to remove GPS signals. Unlike existing methods, the projection subspace here is directly constructed by the signature waveform (SW) estimation of GPS signals, and it brings a great improvement on weak interference detection in GPS multipath environment. Simulation results show that the proposed method could mitigate GPS signals effectively in multipath environment, and it also improves the probability of interference detection with low jammer to noise ratio (JNR)",
"author_names": [
"Xi Hong",
"Ning Chang",
"Wenjie Wang",
"Qinye Yin"
],
"corpus_id": 67874672,
"doc_id": "67874672",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Weak Interference Detection Improvement in GPS Multipath Environment",
"venue": "2018 14th IEEE International Conference on Signal Processing (ICSP)",
"year": 2018
},
{
"abstract": "In the presence of spoofing signal the GPS signal having the same PRN with the spoofer is hard to be acquired since the power of spoofing signal is usually stronger than that of GPS signal. If a spoofing signal exists for the same PRN, there are double peaks in two dimensional space of frequency and code phase in acquisition stage. Using double peak information it is possible to detect spoofing signal and acquire GPS information through separate channel tracking. In this paper we introduce an anti spoofing method using double peak detection, and thus can acquire GPS navigation data after two channel tracking for the same PRN as the spoofing signal.",
"author_names": [
"Keum-Cheol Kwon",
"Cheol-Kwan Yang",
"Duk-Sun Shim"
],
"corpus_id": 109066269,
"doc_id": "109066269",
"n_citations": 2,
"n_key_citations": 0,
"score": 1,
"title": "Anti Spoofing Method Using Double Peak Detection in the Two Dimensional C/A Code Search Space",
"venue": "",
"year": 2013
},
{
"abstract": "Abstract Traffic peak period detection is one key issue in ITS research area, which can afford time information for traffic flow guidance. Classical methods devote themselves to detect the peak period of road segmentations and small road network areas. Namely, these methods focus on traffic peak period detection in small space scale. However, the traffic peak periods of road segmentations and small road network areas cannot present the traffic peak periods of the whole city. In fact, the traffic peak periods of the whole city are more important for the traffic administration department. To solve this problem, a new method for detecting traffic peak periods of the whole city is proposed, which is based on the traffic index cloud maps. Experimental results on the GPS data show that the proposed method can recognize the traffic peak periods in a large space scale accurately.",
"author_names": [
"Yuni Li",
"Jianli Xiao"
],
"corpus_id": 212877521,
"doc_id": "212877521",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Traffic peak period detection using traffic index cloud maps",
"venue": "",
"year": 2020
},
{
"abstract": "This work proposes a novel sparsity based decomposition method for the correlator output signals in GPS receivers capable of detecting spoofing attacks. We model complex correlator outputs of the received signal to form a dictionary of triangle shaped replicas and employ a sparsity technique that selects potential matching triangle replicas from said dictionary. We formulate an optimization problem at the receiver correlator domain by using the Least Absolute Shrinkage and Selection Operator (LASSO) to find sparse code phase peaks where such triangle shaped delays are located. The optimal solution of this optimization technique discriminates two different code phase values as authentic and spoofed peaks in a sparse vector output. We use a threshold to mitigate false alarms. Additionally, we present an expansion of the model by enhancing the dictionary to a collection of shifted triangles with higher resolution. Our experiments are able to discriminate authentic and spoofer peaks from synthetic GPS like simulations. We also test our method on a real dataset, namely the Texas Spoofing Test Battery (TEXBAT) Our method achieves less than 1% detection error rate (DER) in nominal signal to noise ratio (SNR) conditions.",
"author_names": [
"Erick Schmidt",
"Nikolaos Gatsis",
"David Akopian"
],
"corpus_id": 219592751,
"doc_id": "219592751",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "High Resolution Correlator Based Detection of GPS Spoofing Attacks Using the LASSO",
"venue": "2020 IEEE/ION Position, Location and Navigation Symposium (PLANS)",
"year": 2020
},
{
"abstract": "BackgroundA GPS/acoustic (GPS/A) geodetic observation technique allows us to determine far offshore plate motion in order to understand the mechanism of megathrust earthquakes. In this technique, the distance between a sea surface platform and seafloor transponders is estimated using the two way traveltimes (TWT) of acoustic signals. TWTs are determined by maximizing the cross correlation coefficient between the transmitted and returned signals. However, this analysis caused significantly wrong detection of TWT when the correlogram has an enlarged secondary envelope due to the enlarged amplitude of multiple signals depending on the relative spatial geometry between the ship and the transponder. The handled manual rereading of thousands of correlograms to obtain correct TWTs needs enormous time, and human errors may cause. To prevent these difficulties, an automated TWT determination procedure is valid to process numerous GPS/A data efficiently not only without human errors but also with high precision.Proposed methodsWe developed automated methods for precisely analyzing GPS/A data. Method 1: The maximum peak in the observed correlogram is read, and a synthetic correlogram is then subtracted from the observation. Then, the same operation is applied to the subtracted waveform. This procedure is iterated until the correlation coefficient lowers than a pre defined threshold. A true traveltime is defined as the fastest traveltime during the iterations. Method 2: The observed correlograms are divided into several groups based on their similarity through cluster analysis, and a master waveform in each group is selected. Then, the traveltime residual between the maximum and true peaks in the master waveform is manually evaluated. The obtained residual is employed as the correction value for each slave waveform. Further, we employed a seismic data projection to visually inspect the reliability of obtained results.ResultsWe confirmed that both new methods accurately correct misreadings in the current method, which amount to 0.4 0.8 ms roughly corresponding to 30 60 cm difference in the slant range.ConclusionsThus, the proposed algorithms significantly improve the estimation of the transponder location. Further analyses are required to determine the arbitrary threshold values and to construct fully automated algorithms.",
"author_names": [
"Ryosuke Azuma",
"Fumiaki Tomita",
"Takeshi Iinuma",
"M Kido",
"Ryota Hino"
],
"corpus_id": 56435415,
"doc_id": "56435415",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Development and examination of new algorithms of traveltime detection in GPS/acoustic geodetic data for precise and automated analysis",
"venue": "Earth, Planets and Space",
"year": 2016
},
{
"abstract": "Spoofing that emulates authentic signals to coerce target receivers into false navigation solutions is a severe threat to many applications based on Global Positioning System (GPS) In order to forge a reasonable navigation solution, a spoofer generally has to mimic signals of several GPS satellites simultaneously. In view of the fact that GPS signals originated from a single transmitter would essentially experience an identical wireless channel to a receiver, a GPS spoofing detection scheme is proposed based on comparing the multipath signature of received signals. More specifically, the delay and gain ratio between multiple paths of a wireless channel that cannot be masked by spoofing signals are exploited. The delays between the strongest and other correlation peaks associated with each satellite are first evaluated. A correlation peak with the same delay for different satellites and closest to the strongest peak is then selected and its ratio to the strongest peak is calculated. With an identical wireless channel, the ratios corresponding to different satellites would be highly correlated. In contrast, ratios of authentic satellite signals that experience independent channels would be independent of each other. GPS spoofing can therefore be detected. The proposed design has been validated by simulations.",
"author_names": [
"Hao Li",
"Xianbin Wang"
],
"corpus_id": 12231783,
"doc_id": "12231783",
"n_citations": 5,
"n_key_citations": 0,
"score": 0,
"title": "Detection of GPS spoofing through signal multipath signature analysis",
"venue": "2016 IEEE Canadian Conference on Electrical and Computer Engineering (CCECE)",
"year": 2016
},
{
"abstract": "The development of a technique for GPS acoustic (GPS/A) geodetic observations has enabled us to understand the slip distribution of the 2011 Tohoku oki earthquake. However, there remains an issue with the precision of GPS/A measurement is still lower by two orders of magnitude than that of on land GPS measurement due to problems in observations and data processing methods. In this study, we focus on the problem for determination of traveltimes of acoustic signals obtained from GPS/A measurement. The conventional approach for determining the two way traveltime of observed acoustic signals is to determine the maximum peak of the cross correlation waveforms between the transmitted and returned signals. However, the maximum peak often differs from the true peak due to the distortion in the correlation waveform which depends on the relative spatial geometry of the ship and station. These misread traveltimes have been re read manually so far. Such procedure is no longer applicable for processing vast array of data obtained at newly installed over 20 GPS/A stations after the 2011 Tohoku oki earthquake. The aim of this study is to develop fully automated algorithms for analyzing GPS/A data with high precision. We introduce here two algorithms. 1) We read the maximum peak in the observed correlogram and then deconvolve it by the synthetic correlogram. Then, we apply the same operation to the deconvolved waveform. This procedure is iterated until the correlation coefficient decreases lower than a pre defined threshold. A true traveltime is defined as the fastest traveltime during the iterations. 2) We classify the observed correlograms into several groups based on their similarity through cluster analyses and choose a master waveform in each group. Then evaluate the traveltime residual between the maximum peak and the true peak in the observed correlogram. Thus obtained residual is applied as the correction value of each clustered group. We also use a seismic data analysis tool to visually inspect whether above algorithms work properly. We confirmed that the both new methods properly correct for misreadings in the current method, which sometimes amount to several hundred micro seconds. This corresponds roughly to a 0.3 m difference in the slant range. Therefore, with the new algorithms, significant improvement in the estimation of the station location is expected. However, both methods have to be assigned an arbitrary value as a threshold. Further analyses are needed to determine arbitrary threshold values and to construct fully automated algorithms.",
"author_names": [
"Ryosuke Azuma",
"Fumiaki Tomita",
"Takeshi Iinuma",
"Ryota Hino",
"M Kido",
"Hiromi Fujimoto",
"Yukihito Osada",
"Yusaku Ohta",
"Ikuko Wada"
],
"corpus_id": 56164691,
"doc_id": "56164691",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Development and examination of new methods for traveltime detection in GPS/A geodetic data to high precise and automatic",
"venue": "",
"year": 2014
}
] |
Wang, X.; Maeda, ; Thomas, A.; Takanabe, ; Xin, ; , ; Domen, ; Antonietti, M. | [
{
"abstract": "The production of hydrogen from water using a catalyst and solar energy is an ideal future energy source, independent of fossil reserves. For an economical use of water and solar energy, catalysts that are sufficiently efficient, stable, inexpensive and capable of harvesting light are required. Here, we show that an abundant material, polymeric carbon nitride, can produce hydrogen from water under visible light irradiation in the presence of a sacrificial donor. Contrary to other conducting polymer semiconductors, carbon nitride is chemically and thermally stable and does not rely on complicated device manufacturing. The results represent an important first step towards photosynthesis in general where artificial conjugated polymer semiconductors can be used as energy transducers.",
"author_names": [
"Xinchen Wang",
"Kazuhiko Maeda",
"Arne Thomas",
"Kazuhiro Takanabe",
"Gang Xin",
"Johan M Carlsson",
"Kazunari Domen",
"Markus Antonietti"
],
"corpus_id": 205402078,
"doc_id": "205402078",
"n_citations": 7190,
"n_key_citations": 46,
"score": 1,
"title": "A metal free polymeric photocatalyst for hydrogen production from water under visible light.",
"venue": "Nature materials",
"year": 2009
},
{
"abstract": "This paper presents results of searches for the electroweak production of supersymmetric particles in models with compressed mass spectra. The searches use 139 fb( 1) of root s 13 TeV proton prot",
"author_names": [
"Georges Aad",
"A Kupco",
"Samuel Nathan Webb",
"T Dreyer",
"Yufeng Wang",
"Karl Jakobs",
"Brian Le",
"M Spousta",
"M Cobal",
"Peilong Wang",
"S Schmitt",
"Jaroslava Schovancova",
"A Bassalat",
"Matej Melo",
"M Shapiro",
"G Tarna",
"S Zimmermann",
"T Eifert",
"L Rehnisch",
"S Kuday",
"M Sioli",
"Holger Herr",
"N Bruscino",
"J Huston",
"T Sumida",
"Steven Hugh Robertson",
"R Goncalo",
"A A Snesarev",
"L La Rotonda",
"Dirk Duschinger",
"James Oscar Thomas",
"E Carquin",
"Y W Y Ng",
"S Crepe-Renaudin",
"J A Parsons",
"W K Balunas",
"Yu A Tikhonov",
"J A Aparisi Pozo",
"C Mwewa",
"David Harry Miller",
"A A Ivina",
"P Mastrandrea",
"Jan-Ulf Mjoernmark",
"W A Leight",
"Auke-Pieter Colijn",
"Liaoshan Shi",
"Michael E Nelson",
"Karel Cerny",
"N Warrack",
"Hans Krueger",
"J Ocariz",
"Markus Nordberg",
"C Weber",
"Daniela Bortoletto",
"A J Lankford",
"Stefan Tapprogge",
"Y F Hu",
"F Parodi",
"T Masubuchi",
"D E Ferreira Lima",
"T J LeCompte",
"Shenjian Jammy Chen",
"Souad Batlamous",
"Tim Martin",
"J Poveda",
"C Roda",
"Tuan Nguyen Manh",
"M Ouchrif",
"Krzysztof Korcyl",
"T Lyubushkina",
"C Grefe",
"P Tipton",
"F F Klitzner",
"Alberto Valero",
"T Kishimoto",
"K Kawagoe",
"H Bachacou",
"Alfonso Policicchio",
"Franziska Iltzsche Speiser",
"S Zambito",
"S N Karpov",
"P Strizenec",
"Christopher Michael Lester",
"S Ketabchi Haghighat",
"Jose Garcia Navarro",
"Shuzhou Zhang",
"B Di Micco",
"Stefan Koperny",
"L Schaefer",
"C Bertella",
"Ph Schwemling",
"F Rizatdinova",
"E Meoni",
"T R Holmes",
"I G Sanderswood",
"Zhi Zheng",
"Z Hubacek",
"C Doglioni",
"Andrew Ferrante",
"L Vigani",
"Abhishek Nag",
"Pa Malecki",
"S R Maschek",
"J Stark",
"E V Yatsenko",
"P Gessinger-Befurt",
"M Kuze",
"B H Hooberman",
"S Carra",
"K Pachal",
"David Costanzo",
"M J Fenton",
"Jesse Liu",
"Alexei Klimentov",
"Simone Pagan Griso",
"Ilias Panagoulias",
"T B Huffman",
"Hongbin Liu",
"T Kuhl",
"G Gustavino",
"Mateusz Dyndal",
"F An",
"M Antonelli",
"B Malaescu",
"D K Abhayasinghe",
"K Grimm",
"D Zanzi",
"Sundeep Singh",
"Michael Glenn Eggleston",
"V R Bailey",
"A Ezhilov",
"D P Yallup",
"A Bellerive",
"J Masik",
"Alena Loesle",
"L Adamek",
"L Barak",
"Dominique Godin",
"Giuseppe Iacobucci",
"Evgeny Shulga",
"B Gorini",
"J Heilman",
"D Zhong",
"John Butler",
"H Fox",
"S Grancagnolo",
"Hok Chuen Cheng",
"Christopher Andrew Garner",
"S A Olivares Pino",
"N Madysa",
"Gregory Hallewell",
"L Franconi",
"L A Horyn",
"D Fassouliotis",
"Joshua Wyatt Smith",
"Alessandro Tricoli",
"M Dumancic",
"H Iwasaki",
"M Kuna",
"Michele Faucci Giannelli",
"B Stapf",
"T Cao",
"Michela Paganini",
"V Ellajosyula",
"I Pogrebnyak",
"E Petit",
"E Antipov",
"J Faltova",
"Yu Him Justin Chiu",
"Wen Guo",
"Stewart Patrick Swift",
"E Lipeles",
"A Ducourthial",
"Benedikt Bergmann",
"Sebastian Artz",
"M J Oreglia",
"E Drechsler",
"K Einsweiler",
"Fernando Monticelli",
"S Giagu",
"E Kneringer",
"B Freund",
"H Duran Yildiz",
"Daniel Whiteson",
"K Shaw",
"Yingchun Zhu",
"Nicolo Vladi Biesuz",
"J Terron",
"D S Nielsen",
"M Ghasemi Bostanabad",
"V Castillo Gimenez",
"T Barillari",
"T J Neep",
"Francesco Peri",
"P J Clark",
"K Vorobev",
"Julius Hrivnac",
"Martin Barisits",
"Takuto Kunigo",
"Alexander A Grillo",
"Stefano Camarda",
"T Dias Do Vale",
"R Kopeliansky",
"M Swiatlowski",
"N P Konstantinidis",
"Osamu Jinnouchi",
"Hartmut Sadrozinski",
"Vassili Kazanin",
"E L Barberio",
"J Pacalt",
"Kerstin Tackmann",
"Dorel Pietreanu",
"A Khanov",
"Yuya Kano",
"D Camarero Munoz",
"J Zahreddine",
"M R Sutton",
"Yohei Noguchi",
"L Zivkovic",
"L Dell'Asta",
"Veronica Wallangen",
"K Abeling",
"M G Vincter",
"G Herten",
"V Nikolaenko",
"D Kirchmeier",
"C C Chau",
"Alessandro Di Girolamo",
"Nicola Abraham",
"Markus Elsing",
"Cong Geng",
"K Mochizuki",
"A Di Ciaccio",
"B Burghgrave",
"A N Fray",
"P Massarotti",
"Lorenzo Rossini",
"Sina Baluch Bahrasemani",
"C J McNicol",
"G Di Gregorio",
"F Corriveau",
"K Tariq",
"G R Gonzalvo Rodriguez",
"I Bloch",
"Karel Smolek",
"R Brenner",
"P S Ott",
"P Tas",
"Ana Rosario Cueto Gomez",
"G Marceca",
"B A Petersen",
"V Solovyev",
"B Haney",
"S Gonzalez-Sevilla",
"Chase Shimmin",
"P Schacht",
"Nikola Lazar Whallon",
"Andrea Negri",
"S M Farrington",
"Michael F Ziolkowski",
"Vladimir Cindro",
"P Sommer",
"A A Minaenko",
"Shunsuke Honda",
"X Ruan",
"Pasquale Fabrizio Salvatore",
"M Franklin",
"B Mansoulie",
"Yang Qin",
"Gorm Galster",
"Charles Leggett",
"J W Cowley",
"P Buchholz",
"K Zoch",
"Zuzana Blenessy",
"Claudio Ferretti",
"D Biedermann",
"J Kroll",
"E M Shrif",
"Z Uysal",
"A Behera",
"Hector de la Torre",
"C E Leitgeb",
"F Tresoldi",
"Siinn Che",
"Susumu Oda",
"Christian A Gutschow",
"Masa-hiko Saito",
"J Stupak",
"D P Mungo",
"Joost Vossebeld",
"Joshua Moss",
"Monica Dunford",
"Robin Middleton",
"A B Kowalewska",
"Kyungeon Choi",
"S Harkusha",
"Puja Saha",
"Julia Hrdinka",
"Rainer Roehrig",
"Hiroshi Sakamoto",
"E Hansen",
"Matt Zhang",
"A J Bailey",
"M Biglietti",
"Samuel David Jones",
"T Jakoubek",
"L Marcoccia",
"Simon H Connell",
"Alessandra Doria",
"Hoang Dai Nghia Nguyen",
"Matthias Danninger",
"C Blocker",
"S Istin",
"E W Varnes",
"Jorn Dines Hansen",
"M Ghneimat",
"G Iakovidis",
"Attilio Picazio",
"C J Treado",
"G Jarlskog",
"Koichi Nagai",
"Wainer Vandelli",
"Tae Hyoun Park",
"Alessandro De Salvo",
"A Kourkoumeli-Charalampidi",
"Yang Heng",
"F Sohns",
"P B Shatalov",
"Y Smirnov",
"Stephanie Majewski",
"K Sliwa",
"Jesus Guerrero Rojas",
"Philip Bechtle",
"Miguel C N Fiolhais",
"F H Phillips",
"Fumiaki Ito",
"F Ukegawa",
"T Guillemin",
"E Winkels",
"J J Kempster",
"Aishik Ghosh",
"Shuo Han",
"I Maznas",
"M Wobisch",
"K Augsten",
"Jean-pierre Ochoa",
"M Vicente Barreto Pinto",
"E Le Guirriec",
"N L Belyaev",
"A Ryzhov",
"D Moreno",
"G Usai",
"P O Deviveiros",
"Mahran Shehade",
"Marcel Stanitzki",
"L J Wilkins",
"Barry King",
"A Pacheco Pages",
"M Begel",
"G T Forcolin",
"Young-kee Kim",
"L Morvaj",
"C D Burton",
"Michele Weber",
"T Heim",
"A Rej",
"K Belotskiy",
"V W S Wong",
"Shuaiyan Kang",
"C Agheorghiesei",
"H A Pacey",
"R M D Carney",
"R Jansky",
"A Kotsokechagia",
"A Undrus",
"B L Stamas",
"Michael William O'Keefe",
"Julia Mariana Iturbe Ponce",
"D Boscherini",
"C G Zhu",
"D R Tovey",
"N Semprini-Cesari",
"P Fassnacht",
"K D Finelli",
"B Brickwedde",
"A Matic",
"Claire David",
"Lukasz Zwalinski",
"M J Alconada Verzini",
"R Kukla",
"T J Stevenson",
"Jie Yu",
"D Boerner",
"Lukas Heinrich",
"G Rovelli",
"C Troncon",
"Francesco Guescini",
"J A Garcia Pascual",
"Chunhui Chen",
"Andrea Rodriguez Perez",
"S Menke",
"I Van Vulpen",
"E Shabalina",
"G Unal",
"Robert W Gardner",
"A Fehr",
"Yingxue Zhang",
"Masahiro Morii",
"Andrea Sciandra",
"T Kosek",
"Zhiqin Zhang",
"S Xella",
"R Iguchi",
"Tai-hua Lin",
"L Flores",
"Gabriele Chiodini",
"Alessandro Caltabiano",
"Jun Guo",
"Li Zhi",
"J L Gonski",
"Andrea Gabrielli",
"E Akilli",
"T Klapdor-Kleingrothaus",
"O M Kind",
"R D Schamberger",
"Ariel Schwartzman",
"L Aperio Bella",
"Frederik Ruehr",
"A R Weidberg",
"Are Sivertsen Traeet",
"L Mijovic",
"H Potti",
"S Snyder",
"U Blumenschein",
"Peter Maettig",
"M Javurkova",
"Emre Celebi",
"E Tzovara",
"M Tasevsky",
"F Pasquali",
"Carlos Solans",
"J Kvita",
"S Heim",
"V Boisvert",
"Benjamin William Allen",
"Tomohiro Yamazaki",
"Robert Francis Hunter",
"Stefano Veneziano",
"M Zaazoua",
"Yoji Hasegawa",
"Y Takubo",
"M Huhtinen",
"A E Kiryunin",
"Andrew Beddall",
"N Kimura",
"S Amoroso",
"L Barranco Navarro",
"L Serkin",
"Dengfeng Zhang",
"I Gkialas",
"S Yu Smirnov",
"M Haleem",
"D Froidevaux",
"Babar Ali",
"Feng Lyu",
"Dmitry Emeliyanov",
"Andrej Filipcic",
"S Kuehn",
"Mario Lassnig",
"T Pauly",
"Andreas Redelbach",
"Antonia Struebig",
"Isabel Nitsche",
"B K Wosiek",
"Yizhou Liu",
"Sara Strandberg",
"A N Karyukhin",
"Y Delabat Diaz",
"A Mizukami",
"L Pontecorvo",
"A Jinaru",
"Liang Li",
"T Lenz",
"John Michael Butterworth",
"Andreas Korn",
"M Ridel",
"K Todome",
"Geoffrey Mullier",
"A Kurova",
"E Rossi",
"Kun Liu",
"A Ouraou",
"A Dudarev",
"Kazuhiko Hara",
"M P Heath",
"Shota Suzuki",
"Tomoyuki Saito",
"C C Ohm",
"N Ozturk",
"M Khader",
"L Cerrito",
"R Astalos",
"J Barkeloo",
"H G Wilkens",
"Eram Rizvi",
"E Gramstad",
"Tim Jones",
"Vaclav Vrba",
"T Hryn'ova",
"L K Gladilin",
"M Ronzani",
"E Yigitbasi",
"S Ye",
"Yasuhiro Makida",
"A P Pereira Peixoto",
"C Alpigiani",
"Eleonora Rossi",
"O Stelzer-Chilton",
"N W Shaikh",
"T Zenis",
"Georgios Stavropoulos",
"I Riu",
"F Cardillo",
"K K Gan",
"T Swirski",
"K E Kennedy",
"T Harenberg",
"S Henkelmann",
"Guy Rosin",
"Marco Scodeggio",
"F Derue",
"M Maerker",
"Steffen Staerz",
"Tulin Varol Mete",
"K Brendlinger",
"G D'amen",
"Alexander Melzer",
"S Terzo",
"George Victor Andrei",
"E M Lobodzinska",
"J Tojo",
"E B F G Knoops",
"Michael Tuts",
"E Skorda",
"J H Lindon",
"K Cheung",
"Daniele De Pedis",
"A Giannini",
"L D'Eramo",
"David Philip John Lack",
"O Bessidskaia Bylund",
"Zulit Paola Arrubarrena Tame",
"Eric Buschmann",
"G Panizzo",
"C Nellist",
"M C Hodgkinson",
"M Alhroob",
"A J Barr",
"D Varouchas",
"J C -L Tseng",
"H P Beck",
"Katharine Leney",
"Jinlong Zhang",
"F Becherer",
"Joseph William Carter",
"Paolo Camarri",
"Maia Mosidze",
"S Dysch",
"R Staszewski",
"Roger Felipe Naranjo Garcia",
"Elena Rocco",
"A Milov",
"Vincenzo Izzo",
"L Pizzimento",
"J M Izen",
"J P Rutherfoord",
"Lianliang Ma",
"Harry Lyons",
"O Kuchinskaia",
"Z J Grout",
"Reiner Hauser",
"G Avolio",
"J K R Meshreki",
"G Alimonti",
"Yi-lin Yang",
"D J Mahon",
"T Kawamoto",
"Leonardo Merola",
"C Wanotayaroj",
"T Berry",
"P Sabatini",
"C Debenedetti",
"Yun Sang Chow",
"Raimund Stroehmer",
"A Ferrari",
"T M Liss",
"D Dodsworth",
"Marie Christine Lanfermann",
"Luc Goossens",
"M Vos",
"Ph Schune",
"Jike Wang",
"M Tomoto",
"Shaun Roe",
"N Proklova",
"M Aoki",
"Y Nagasaka",
"Christopher John Young",
"M Geisen",
"Gabriele Sabato",
"P A Gorbounov",
"P Moschovakos",
"R M Jacobs",
"Valentina Maria Martina Cairo",
"G Chiarelli",
"S Hellesund",
"C Bakalis",
"J Dopke",
"I Hinchliffe",
"R Bi",
"A V Akimov",
"Stavros Maltezos",
"Armin Nairz",
"Jens Weingarten",
"Hulin Wang",
"S Amor dos Santos",
"Paul de Jong",
"Marco Valente",
"S Heer",
"Serena Palazzo",
"A T Vermeulen",
"D Ferrere",
"I Carli",
"Sofia Chouridou",
"H Oide",
"C Luci",
"M Bindi",
"S Palestini",
"Thomas Naumann",
"O Kuprash",
"Thomas Lohse",
"Shigeru Odaka",
"R Narayan",
"Philipp Jonathan Losel",
"Rosanna Ignazzi",
"M G Alviggi",
"L S Kaplan",
"A Liblong",
"A A Myers",
"Tony Affolder",
"B Martin dit Latour",
"J E Pilcher",
"G Salamanna",
"Takahiro Fusayasu",
"A Ventura",
"Dan Shaked",
"Songkyo Lee",
"Anna Kaczmarska",
"Karl Heinz Hiller",
"J A Kremer",
"M Wielers",
"Graeme Andrew Stewart",
"Yichen Li",
"A Lapertosa",
"L Tompkins",
"G Rybkin",
"B Tong",
"D Orestano",
"M Iodice",
"Nico Giangiacomi",
"G Halladjian",
"J R Dandoy",
"Carmen Garcia",
"H L Russell",
"M I Gostkin",
"J D Mellenthin",
"C Santoni",
"A M Soukharev",
"Andrew Washbrook",
"Lawrence Lee",
"Kevin De Vasconcelos Corga",
"D Levin",
"Ava Chloe Audrey Lee",
"S Jezequel",
"R Yuan",
"K Grevtsov",
"Pierre Petroff",
"P Tornambe",
"R Kowalewski",
"S Simsek",
"Maria Roberta Devesa",
"C M Hawkes",
"D Turgeman",
"V Hedberg",
"Christopher Meyer",
"Yaquan Fang",
"S Turchikhin",
"F Prokoshin",
"A Soffer",
"R Tanaka",
"Masahiro Tanaka",
"A D Pilkington",
"Arnulf Quadt",
"A L Maslennikov",
"S Higashino",
"S Gargiulo",
"B Stelzer",
"T Poulsen",
"E J Schioppa",
"F Anulli",
"Alessandro Rocchi",
"A Lucotte",
"Katharina Maria Ecker",
"Laura Gonella",
"E Fullana Torregrosa",
"Stefan Simion",
"K Tokushuku",
"C Kahra",
"Pippa S Wells",
"M Fanti",
"R Simoniello",
"Kosuke Takeda",
"J Kendrick",
"Rima El Kosseifi",
"Tobias Golling",
"A Pathak",
"Hai Jiang",
"P H Hansen",
"K Lohwasser",
"E K U Gross",
"Rainer Bartoldus",
"I Naryshkin",
"S V Zeissner",
"U Klein",
"Herman ten Kate",
"Y Enari",
"V Kouskoura",
"L Carminati",
"Weiming Yao",
"Haoshuang Ji",
"Jovan Mitrevski",
"Serban Constantinescu",
"Carlos Garcia Argos",
"Bojan Hiti",
"S M Mazza",
"V Polychronakos",
"R Poggi",
"P Pasuwan",
"F Ellinghaus",
"M Kobel",
"Oliver Kortner",
"Robin L Hayes",
"Steven Goldfarb",
"Takafumi Kodama",
"V S Lang",
"E Ladygin",
"Joao Victor da Fonseca Pinto",
"Arwa A E Bannoura",
"Juan Pedro Araque Espinosa",
"Michael Adersberger",
"Simone Campana",
"L Meng",
"J Mamuzic",
"I N Aleksandrov",
"G Introzzi",
"J Kirk",
"Luis Hervas",
"M Janus",
"Martin Stegler",
"Alberto Annovi",
"P Staroba",
"M Mikestikova",
"T A Kharlamova",
"E F McDonald",
"Jian Cong Zeng",
"Graham Richard Lee",
"F Alonso",
"Tomas Blazek",
"C P Hays",
"Mikel Eukeni Pozo Astigarraga",
"C Sawyer",
"R E Owen",
"Pawel Bruckman de Renstrom",
"I A Bertram",
"Dmitriy Maximov",
"Andreas Reiss",
"C Lacasta",
"Manuel Neumann",
"P Iengo",
"J Elmsheuser",
"P Morettini",
"X Ai",
"L F Oleiro Seabra",
"Kyle Cranmer",
"D Giugni",
"K Lin",
"Guy Koren",
"A Aggarwal",
"V Canale",
"J C Schmoeckel",
"P Teixeira-Dias",
"Alexander S Basan",
"S Falke",
"Mengran Li",
"Helena Lefebvre",
"S Pataraia",
"Ioannis Xiotidis",
"Shih-Chieh Hsu",
"D Schaefer",
"F Pastore",
"I M Snyder",
"A Laurier",
"Krzysztof W Wozniak",
"N P Readioff",
"C Antel",
"Stefan Erich Von Buddenbrock",
"Joern Christian Lange",
"Sandro De Cecco",
"A Alfonsi",
"M C Kruse",
"Alfred Goshaw",
"J I Djuvsland",
"S Biondi",
"Felix Rauscher",
"D Pudzha",
"J Donini",
"Bernhard Meirose",
"R Hankache",
"Pierfrancesco Butti",
"Thomas Peiffer",
"Y Nakahama",
"J F Laporte",
"P Calfayan",
"N A Asbah",
"Oleg Meshkov",
"C Alexa",
"B Reynolds",
"Thomas Dennis Powell",
"S Trincaz-Duvoid",
"C Varni",
"Zhongyukun Xu",
"T P Calvet",
"C Gray",
"S Protopopescu",
"F Cerutti",
"I Koletsou",
"G Mancini",
"C Schmitt",
"Bo Li Liu",
"S Rajagopalan",
"J Bracinik",
"S D Lawlor",
"M Boonekamp",
"Marcel Weirich",
"M Sandhoff",
"Antonio Ereditato",
"Tim Herrmann",
"Thanawat Asawatavonvanich",
"A Gomes",
"D Kelsey",
"W C Fisher",
"A Held",
"Amir Farbin",
"M Rotaru",
"T Flick",
"M Raymond",
"A M Burger",
"Matthew Glenn Kurth",
"F Braren",
"Horst Severini",
"Aidan Grummer",
"A Farilla",
"M Sahinsoy",
"Carlos Josue Buxo Vazquez",
"P Balek",
"S Adorni",
"Bertrand Laforge",
"Eitan Gozani",
"J L Nagle",
"L Valery",
"N Morange",
"H Santos",
"Richard Bates",
"H Ye",
"J H Rawling",
"P Johansson",
"M Spangenberg",
"V Sinetckii",
"S B Menary",
"J D Chapman",
"R Goncalves Gama",
"S Hyrych",
"Jonathan Shlomi",
"S Barsov",
"M Della Pietra",
"Farkhad Khalil-zada",
"Mario Sannino",
"S Akatsuka",
"Riccardo Maria Bianchi",
"Luiz Pereira Caloba",
"E Monnier",
"Jose Salt",
"A Messina",
"K Zhukov",
"U Mallik",
"Alison Lister",
"M Pedersen",
"S Sottocornola",
"A Montalbano",
"E Nagy",
"Rui Zhang",
"M R Yexley",
"L Pascual Dominguez",
"Matthew Henry Klein",
"C Leonidopoulos",
"D S Bhattacharya",
"Lluis M Mir",
"Laura Perini",
"D Sammel",
"G Callea",
"Umar Gul",
"X Lou",
"A T H Arce",
"A Miucci",
"G I Dyckes",
"J Machado Miguens",
"Miaoran Lu",
"D Madaffari",
"C Gemme",
"Bart Hommels",
"S Leone",
"Marc-Andre Pleier",
"V Chiarella",
"Peter William Phillips",
"Haicheng Wang",
"M Przybycien",
"Cigdem Issever",
"Petr Hamal",
"A Trofymov",
"C Grieco",
"O Rohne",
"J R Bensinger",
"A Marzin",
"A Bruni",
"T Farooque",
"Tomas Komarek",
"B Dong",
"Klaus Desch",
"J A Frost",
"Cecile Deterre",
"Christian Weiser",
"A Buckley",
"G Unel",
"C Kato",
"E L Gkougkousis",
"Michael Phipps",
"Giovanni Marchiori",
"M D Werner",
"S Willocq",
"F L Castillo",
"R A Mina",
"M Ikeno",
"M E Vasile",
"Christian Johnson",
"F Miano",
"O Sidiropoulou",
"Marco Battaglia",
"P Klimek",
"M K Ayoub",
"Yanlin Liu",
"I Chiu",
"Y Afik",
"V Morisbak",
"C W Kalderon",
"C E Pandini",
"N Brahimi",
"E Kajomovitz",
"Allan G Clark",
"Heinz Pernegger",
"Russell James Turner",
"Zhijun Liang",
"D M Rauch",
"F A Di Bello",
"H Wolters",
"Zhiyuan Li",
"Dan Andrei Ciubotaru",
"I Vivarelli",
"F Nechansky",
"Madalyn Ann McKay",
"Ian Brock",
"Frank Fiedler",
"Gang Zhang",
"J Adelman",
"A Bogdanchikov",
"T Dai",
"Carlos Miguel Vergel Infante",
"G Gaycken",
"Edgar Kellermann",
"W Taylor",
"N Zhou",
"Koji Terashi",
"D Della Volpe",
"L Duflot",
"B M Flierl",
"S Yu Sivoklokov",
"M Faraj",
"Ester Ricci",
"P C F Glaysher",
"T Buanes",
"V Zhulanov",
"Klaus A Hamacher",
"J Del Peso",
"Alessandro Polini",
"Tomas Davidek",
"Maosen Zhou",
"Zhen Yan",
"E Torro Pastor",
"L Rustige",
"T Trefzger",
"G Bruni",
"B Batool",
"G Cowan",
"A Armstrong",
"A Zhemchugov",
"Francisco Anuar Arduh",
"Dmitry Golubkov",
"D Vazquez Furelos",
"Carolina Michel Robles Gajardo",
"B Ravina",
"M Dobre",
"S J Hillier",
"Susumu Terada",
"D Robinson",
"O E Vickey Boeriu",
"Norbert Wermes",
"J Love",
"F Neuhaus",
"A A Geanta",
"Thomas Beermann",
"S Hellman",
"M T Dova",
"H Jivan",
"Chi Wing Ng",
"Yicheng Guo",
"E Yu Soldatov",
"W S Chan",
"A Kotwal",
"Patrick Bryant",
"P Jacka",
"Koji Sato",
"P Urquijo",
"V Kartvelishvili",
"L Massa",
"Alevtina Shmeleva",
"M Safdari",
"B S Peralva",
"C Valderanis",
"J Jejelava",
"S J Haywood",
"F Thiele",
"O Estrada Pastor",
"D Lellouch",
"S Schaepe",
"Olaf Nackenhorst",
"A Ambler",
"S Francescato",
"A Hrynevich",
"Rodney Walker",
"S Argyropoulos",
"D Salvatore",
"H Okawa",
"A D'onofrio",
"M P Casado",
"L Di Ciaccio",
"R Rosten",
"F Deliot",
"C Lampoudis",
"K F Di Petrillo",
"B S Dziedzic",
"Chen Zhou",
"Jean-Pierre Meyer",
"A Canesse",
"Attilio Andreazza",
"K Prokofiev",
"Marco Bruschi",
"Sebastian Mergelmeyer",
"Ivan Lopez Paz",
"Salvador Marti i Garcia",
"Yi Jiang",
"I M Trigger",
"K O'Connor",
"J R Pater",
"Joseph Peter Kinghorn-taenzer",
"Monika Mittal",
"S Falciano",
"Matthias Schott",
"J K Anders",
"L Beresford",
"Farida Fassi",
"S Yacoob",
"Francesco Lacava",
"G F Tartarelli",
"M Hrabovsky",
"Juraj Smiesko",
"A Wolf",
"M Khandoga",
"K E Varvell",
"Farid Ould-Saada",
"Libor Nozka",
"C Wiel",
"Siyuan Sun",
"R Soualah",
"G Giugliarelli",
"Wenhao Xu",
"O Igonkina",
"Timothee Theveneaux-Pelzer",
"C Tosciri",
"J Albert",
"C F Anders",
"A T Watson",
"Yasuyuki Okumura",
"Reda Tafirout",
"N Calace",
"L J Bergsten",
"R Di Nardo",
"G Sciolla",
"Christian Luedtke",
"M Reale",
"M Svatos",
"Daniel Rodriguez Rodriguez",
"K Lantzsch",
"A M Cooper-Sarkar",
"A Dimitrievska",
"H J Lubatti",
"Dhiman Chakraborty",
"M Errenst",
"B A Schumm",
"M Goblirsch-Kolb",
"P Zhao",
"R A Linck",
"L Iconomidou-Fayard",
"Dario Barberis",
"Yanwen Liu",
"Tomas Javurek",
"Kentaro Uno",
"M Romano",
"C R Kilby",
"William Brooks",
"Nils Krumnack",
"L Guan",
"S R Paredes Saenz",
"P Vokac",
"Pedro Jorge",
"B S Acharya",
"John Hill",
"Ahmed Tarek Abouelfadl Mohamed",
"C Adam Bourdarios",
"Renat Sadykov",
"O S AbouZeid",
"R Polifka",
"G Pownall",
"H El Jarrari",
"Keith Baker",
"Qi Li",
"P Loch",
"Xiang-Ting Meng",
"F Safai Tehrani",
"Weimin Song",
"A E Dumitriu",
"Hong Ma",
"Heather M Gray",
"Michael Strauss",
"J Schaeffer",
"B Safarzadeh Samani",
"E A Starchenko",
"F Backman",
"Yuji Minegishi",
"B Brau",
"T Vickey",
"P D Thompson",
"S E Tzamarias",
"E J W Moyse",
"J P Biswal",
"Jorn Grosse-Knetter",
"Tina Sfiligoj",
"A Ogrodnik",
"Joseph Patton",
"K Yorita",
"Yanyun Duan",
"B Moser",
"A Straessner",
"E J Gallas",
"E Cheremushkina",
"M F Daneri",
"Andrea Baroncelli",
"C D Booth",
"E Thomson",
"S Gurbuz",
"W Kozanecki",
"S Calvente Lopez",
"S N Santpur",
"Wei Ding",
"M H Genest",
"Oxana Smirnova",
"Bjarne Stugu",
"A Trzupek",
"Kevin Hildebrand",
"M Gouighri",
"A K Kvam",
"E Tolley",
"F Jeanneau",
"Sau Lan Wu",
"V Herget",
"Stefan Rave",
"N A Styles",
"S J Sekula",
"N Lehmann",
"A Laudrain",
"Susanne Kersten",
"L A Thomsen",
"N Smirnov",
"J-B de Vivie de Regie",
"Ryuichi Sawada",
"Dai Kobayashi",
"Y Shimogama",
"M Lefebvre",
"Ondrej Penc",
"Alexandra Tudorache",
"Mario Campanelli",
"N S Dann",
"Yoichi Ninomiya",
"C Becot",
"D Krauss",
"D Lacour",
"Markus Schumacher",
"Saverio D'Auria",
"D Tsybychev",
"P Bartos",
"D Du",
"Lucia Castillo Garcia",
"H Herde",
"A C Dudder",
"I Siral",
"Andreas Kugel",
"Andrej Gorisek",
"M Alvarez Estevez",
"Andrew Aukerman",
"A Mehta",
"B Achkar",
"Manuel Silva",
"Ian Peter Joseph Shipsey",
"Vincent Garonne",
"A Duperrin",
"A Durglishvili",
"A Emerman",
"B Lund-Jensen",
"Maike Christina Hansen",
"C M Gee",
"Ligang Xia",
"T Nobe",
"Konstantinos Papageorgiou",
"A Perrevoort",
"Silvia Resconi",
"L Truong",
"Shlomit Tarem",
"Craig Buttar",
"J Geisen",
"M Nessi",
"N Huseynov",
"S Mohapatra",
"Claude Leroy",
"Patrick Moriishi Freeman",
"M F Watson",
"T Scanlon",
"J T P Burr",
"Mario Martinez Perez",
"A S Mete",
"D Derendarz",
"S Tokar",
"K H Mankinen",
"F Krieter",
"K Beloborodov",
"J A Valls Ferrer",
"Shawn Patrick Mc Kee",
"B Roland",
"Pavel Tsiareshka",
"N I Zimine",
"H J Moss",
"Wen Yi Song",
"You Zhou",
"C Gwenlan",
"Weitao Wang",
"Juergen Dr Thomas",
"H Wahlberg",
"S V Peleganchuk",
"K Gregersen",
"Jiang-Xing Chen",
"Thomas Daniel Weston",
"R B Nickerson",
"J Wollrath",
"S Angelidakis",
"Peter Jenni",
"J Crane",
"C Moreno Martinez",
"S Sevova",
"A Warburton",
"A Blue",
"H M Borecka-Bielska",
"P Reznicek",
"Hicham Atmani",
"D C O'Neil",
"G Darbo",
"X Chu",
"N E Pettersson",
"Marco Rimoldi",
"H Kurashige",
"H Hamdaoui",
"V A Bednyakov",
"J L Oliver",
"A V Vaniachine",
"P Bokan",
"J Urban",
"R Takashima",
"H C Beck",
"F Barreiro",
"D Sperlich",
"F Hinterkeuser",
"H Abreu",
"Michael Huebner",
"Jerome Samarati",
"Boyang Li",
"M Kaneda",
"J M Hays",
"M V Chizhov",
"V Fabiani",
"Santiago Gonzalez de la Hoz",
"Yang Gao",
"Alessandra Ciocio",
"H Mildner",
"Yanhui Ma",
"T Nitta",
"Xiangyang Ju",
"R Gugel",
"Chenliang Wang",
"S Valentinetti",
"C Feng",
"P Horn",
"F Petrucci",
"F Vazeille",
"M J R Olsson",
"T S Lau",
"B Heinemann",
"Shih-Chang Lee",
"Fabrizio Alfonsi",
"Klaus Moenig",
"V D'Amico",
"S Tisserant",
"V A Mitsou",
"A Camplani",
"M Hamer",
"K A Johns",
"Kazuki Yajima",
"Ondrej Hladik",
"Krisztian Peters",
"Simon Schmitz",
"D A DeMarco",
"E Cheu",
"Uli Schaefer",
"Dmitri Dedovich",
"Philippe Farthouat",
"L Helary",
"Alan Kahn",
"Albert Olariu",
"R A Creager",
"A Sidoti",
"Reina Coromoto Camacho Toro",
"Horst Oberlack",
"Toyonobu Okuyama",
"U Landgraf",
"A S Bell",
"M Schioppa",
"Ziyu Guo",
"He-sheng Chen",
"A Buzatu",
"M Marcisovsky",
"Ming-chung Chu",
"Jingbo Ye",
"H S Hayward",
"T G Zorbas",
"Ioulian Budagov",
"Jurgen Beringer",
"E M Farina",
"A Sahu",
"Hugh H Williams",
"Albert Francis Casha",
"L Rinaldi",
"D M S Sultan",
"S Bressler",
"Giovanna Lehmann Miotto",
"C Papadatos",
"P Czodrowski",
"A L Steinhebel",
"D Cinca",
"T R Van Daalen",
"K Iordanidou",
"F Dittus",
"L Henkelmann",
"S Hassani",
"S Popa",
"Juan Antonio Aguilar Saavedra",
"Aaron White",
"V Croft",
"Eunchong Kim",
"B Ngair",
"M Livan",
"T Djobava",
"T Holm",
"Massimo Corradi",
"Ladislav Chytka",
"C Klein",
"G Gagliardi",
"P A Delsart",
"A I Mincer",
"David Rousseau",
"I Sykora",
"M Grandi",
"Alexander L Read",
"Enrico Tassi",
"Iwona Grabowska-Bold",
"Peter Love",
"S Alderweireldt",
"Jorge Andres Lopez Lopez",
"T Pham",
"H Arnold",
"E Cavallaro",
"Remco Castelijn",
"Yesenia Hernandez Jimenez",
"M Hance",
"L Portales",
"L Longo",
"Markus Atkinson",
"Paul Harrison",
"P Murin",
"E Bergeaas Kuutmann",
"Konstantinos Kordas",
"Yoichi Ikegami",
"P Conde Muino",
"H Otono",
"Johannes Erdmann",
"S Kortner",
"A Lipniacka",
"G Brooijmans",
"E Nibigira",
"S A Cetin",
"C Rizzi",
"V S Bobrovnikov",
"G Spigo",
"Fabrizio Trovato",
"A K Morley",
"J Montejo Berlingen",
"S Guindon",
"N Andari",
"L Schoeffel",
"A Hadef",
"D A Millar",
"Sascha Caron",
"J Hejbal",
"M LeBlanc",
"A S Drobac",
"A Marantis",
"F Sauerburger",
"R Zou",
"Felix Buehrer",
"Marumi Kado",
"C Bittrich",
"Anne Kathrin Becker",
"Nicolas Maximilian Koehler",
"M Talby",
"J-F Arguin",
"S Richter",
"Benedict Allbrooke",
"Pierre Savard",
"A Bethani",
"Cheuk Yee Lo",
"Marcin Wolter",
"F Bauer",
"David Berge",
"Sergei Malyukov",
"T Ekelof",
"Eduardo Valdes Santurio",
"Federico Scutti",
"A Petridis",
"F Dachs",
"Max Fredrik Isacson",
"D Benjamin",
"Karolos Potamianos",
"N Orlando",
"Panagiotis Gkountoumis",
"C Allaire",
"Roger L Jones",
"E Vilucchi",
"M Escalier",
"Magdalena Slawinska",
"Verena Martinez Outschoorn",
"S Bruno",
"G Gonella",
"A Vallier",
"Sarah Jones",
"Fred Luehring",
"E Pianori",
"S Sacerdoti",
"A C Martyniuk",
"M Holzbock",
"Peter John Bussey",
"M Trzebinski",
"M Cano Bret",
"N Berger",
"Huijun Zhang",
"Thomas Maier",
"Daniel Guest",
"D Hayden",
"G Duckeck",
"Matthew Craig Mondragon",
"D Duda",
"Y Rozen",
"Savannah Thais",
"Jun Gao",
"Gueorgui Chelkov",
"L Pezzotti",
"Takashi Kubota",
"M Gignac",
"Rimsky Rojas",
"J G Saraiva",
"M S Neubauer",
"Hanlin Xu",
"S Prince",
"Steven Schramm",
"M Vreeswijk",
"E Gorini",
"Jose Manoel de Seixas",
"Alexander David Sherman",
"Alexander Linus Sopio",
"Namgyun Jeong",
"M Zgubic",
"R Cherkaoui El Moursli",
"Heiko Lacker",
"Zhaoxu Xi",
"Zirui Wang",
"P Sherwood",
"A X Y Kong",
"Alison Elliot",
"Frank Siegert",
"G Brandt",
"J T Kuechler",
"Z Rurikova",
"K P Mistry",
"E S Kuwertz",
"Jaroslav Guenther",
"Yan Wing Ng",
"Joany Andreina Manjarres Ramos",
"Giovanni Calderini",
"A Juste Rozas",
"C M Helling",
"Ann Miao Wang",
"I I Tsukerman",
"Gordon T Watts",
"Hao Xu",
"M Montella",
"Benjamin P Nachman",
"Minghui Liu",
"Yutaka Okazaki",
"I R Boyko",
"Ademar Tavares Delgado",
"I K Lakomiec",
"A Adiguzel",
"S V Chekulaev",
"C L Sotiropoulou",
"S Martin-Haugh",
"Vaclav Vacek",
"T Iizawa",
"V Araujo Ferraz",
"D Bruncko",
"V O Tikhomirov",
"T M Spieker",
"J Proudfoot",
"Adam Abed Abud",
"I Dawson",
"G Piacquadio",
"Umberto De Sanctis",
"Masahiro Morinaga",
"F Fischer",
"B P Jaeger",
"P E Sidebo",
"Siqi Yang",
"Alex Zeng Wang",
"K D McLean",
"F Conventi",
"D C Abbott",
"Werner Freund",
"James H Cochran",
"A Calandri",
"W Wagner",
"J Dolejsi",
"F Dubinin",
"F Ledroit-Guillon",
"Liang Han",
"K R Schmidt-Sommerfeld",
"R Lafaye",
"Dalila Salamani",
"James Broughton",
"M Begalli",
"David Milstead",
"A R Buzykaev",
"A Ruiz-Martinez",
"R Hertenberger",
"Lianyou Shan",
"E Higon-Rodriguez",
"Alexander Zaitsev",
"V M Walbrecht",
"G Artoni",
"D M Rebuzzi",
"Anindya Ghosh",
"Yu-heng Chen",
"Samuel Silverstein",
"O Ricken",
"J Metcalfe",
"S Passaggio",
"N A Rusakovich",
"W R Cunningham",
"P Rieck",
"J A Raine",
"S Laplace",
"L J Levinson",
"S Burdin",
"Lucrezia Stella Bruni",
"Zinonas Zinonos",
"Rodrigo Araujo Pereira",
"V Cavasinni",
"D Hohn",
"Balazs Konya",
"A Mirto",
"V J Martin",
"A Tsirigotis",
"D Matakias",
"Rotem Barnea",
"Rongkun Wang",
"J Katzy",
"Andre Sopczak",
"Michael Ryan Clark",
"E Sauvan",
"J Rothberg",
"Tomasz Bold",
"J Strandberg",
"O A Ducu",
"S Dahbi",
"A Mastroberardino",
"A Leisos",
"C S Pollard",
"S Monzani",
"Xingguo Li",
"Luka Kanjir",
"I A Connelly",
"T Moskalets",
"E W Parrish",
"H Torres",
"Tohru Takeshita",
"Shuyang Hu",
"S Asai",
"Ana Maria Henriques Correia",
"E R Vandewall",
"Bing Zhou",
"A Haas",
"Bartosz Mindur",
"S Mehlhase",
"D Britzger",
"J Glatzer",
"Sergey Timoshenko",
"David Francis",
"Itsuo Nakano",
"Saleh Sultanov",
"S K Boutle",
"Katie L Whalen",
"Jianbei Liu",
"F Giuli",
"Xiaohu Sun",
"K Wraight",
"David R Wardrope",
"A Sansoni",
"J E Mdhluli",
"G Sekhniaidze",
"R Lysak",
"C Padilla Aranda",
"Matthew J Sullivan",
"R Pedro",
"M Madugoda Ralalage Don",
"Natalia Korotkova",
"S Ghasemi",
"F U Bernlochner",
"Avik Roy",
"L Adamczyk",
"S Czekierda",
"W K Di Clemente",
"I Ibragimov",
"J Nielsen",
"T Koffas",
"M Bona",
"B Stanislaus",
"H Cai",
"Randall J Sobie",
"E F Kay",
"K Dette",
"W Islam",
"I Nikolic-Audit",
"J A Mcfayden",
"B J Gallop",
"M Stamenkovic",
"Joao Guimaraes da Costa",
"G Carducci",
"C B Gwilliam",
"M Morgenstern",
"Claire Alexandra Lee",
"G S Virdee",
"Mariyan Petrov",
"D Boye",
"F Djama",
"F Sforza",
"J Bortfeldt",
"E M Asimakopoulou",
"J Leveque",
"T Barklow",
"A Sfyrla",
"Dj Sijacki",
"R Madar",
"K M Ciesla",
"Nico de Groot",
"M Milesi",
"D Ponomarenko",
"Vasilis Konstantinides",
"S Berlendis",
"E Von Toerne",
"David William Thomas",
"T P Charman",
"Laura Havener",
"Erez Etzion",
"Phillip Allport",
"Heling Zhu",
"N Ellis",
"Matteo Mario Beretta",
"J Hobbs",
"Adam J Parker",
"R Vuillermet",
"G Fanourakis",
"U Parzefall",
"T Sykora",
"Marco Aurelio Diaz",
"B Trocme",
"Da Xu",
"M Birman",
"P Grenier",
"Seog Oh",
"S Gonzalez Fernandez",
"C A Marin Tobon",
"W Y Chan",
"Harinder Singh Bawa",
"W Su",
"A Jelinskas",
"Y Coadou",
"A Basalaev",
"Wladyslaw Dabrowski",
"M T Anthony",
"E Torrence",
"Cristinel Diaconu",
"C Helsens",
"J C Honig",
"Hongbo Zhu",
"A R Chomont",
"Sw Banerjee",
"I Yeletskikh",
"D Boumediene",
"A Chitan",
"S L Lloyd",
"Stefan Schlenker",
"S Todorova-Nova",
"J Cantero",
"A Betti",
"Stanislav Pospisil",
"Peter van Gemmeren",
"Alberto Aloisio",
"A Maio",
"Ricardo Woelker",
"Sergey Kuleshov",
"V R Pascuzzi",
"Takuya Tashiro",
"Lei Zhang",
"Kenji Hamano",
"Stephen McMahon",
"A M Sickles",
"Sanghoon Lim",
"G Mchedlidze",
"William P McCormack",
"V Kitali",
"D Benchekroun",
"D A Trischuk",
"M Aleksa",
"Alexander Leopold",
"Lailin Xu",
"J A Krzysiak",
"Prajita Bhattarai",
"Ilija Vukotic",
"G Polesello",
"J Boudreau",
"Gianpaolo Carlino",
"Jannicke Pearkes",
"Maximilian Georg Herrmann",
"T Meideck",
"Matthias Wittgen",
"J Kanzaki",
"E Khramov",
"James Monk",
"G Gaudio",
"Michel Vetterli",
"Jiawei Wang",
"A S Chisholm",
"M Vanadia",
"Takahiko Kondo",
"Gerald Oakham",
"M Aliev",
"A Boveia",
"A R Cukierman",
"A Nisati",
"Charles Delporte",
"G J Bobbink",
"P Dervan",
"J S Bonilla",
"Stefania Spagnolo",
"Zhidong Zhang",
"Andreas Rummler",
"Haijun Yang",
"Douglas Davis",
"P Kluit",
"Shima Shimizu",
"Francesca Ungaro",
"Y Amaral Coutinho",
"P Wagner",
"I Nomidis",
"M Mlynarikova",
"D G Charlton",
"C Malone",
"L Adam",
"R Les",
"C Gubbels",
"M Capua",
"Christopher Ryan Anelli",
"C M Macdonald",
"P C McNamara",
"J J Heinrich",
"Kyle James Read Cormier",
"I L Gavrilenko",
"Bowen Zhang",
"Artur Cardoso Coimbra",
"Yumeng Cao",
"G Ripellino",
"V Tudorache",
"S Jiggins",
"Haiping Peng",
"S Kido",
"F Balli",
"Andrew Geoffrey Foster",
"Charles Young",
"R A Vallance",
"D Su",
"E C Graham",
"Francesco Lanni",
"K Han",
"Yan-ping Huang",
"P Bellos",
"Shunsuke Adachi",
"S Gentile",
"A S Tee",
"D Zerwas",
"G Zobernig",
"Benjamin Pearson",
"S Tapia Araya",
"Martin D Sykora",
"M Spalla",
"J E Derkaoui",
"W Lampl",
"G Aielli",
"Michael Rijssenbeek",
"H K Hadavand",
"S Cabrera Urban",
"Andreas Salzburger",
"Andrew Edward White",
"H A Gordon",
"V Vorobel",
"Paolo Calafiura",
"Adrian Bevan",
"Pavel Starovoitov",
"L Feligioni",
"V V Sulin",
"Alexander Oh",
"Shion Chen",
"A Salnikov",
"M Muskinja",
"Kaushik De",
"C Di Donato",
"L M Scyboz",
"B Chargeishvili",
"Cheng Chen",
"E E Corrigan",
"J Balz",
"T Kwan",
"M Moreno Llacer",
"Lene Kristian Bryngemark",
"A Ferrer",
"A C Schaffer",
"P Mermod",
"D Pohl",
"G Facini",
"K Reeves",
"Moritz Kiehn",
"O Bulekov",
"K S Krowpman",
"P J Hsu",
"B Dutta",
"G Bella",
"Yongsheng Gao",
"Masahiro Yamatani",
"A Rozanov",
"Tomoaki Nakamura",
"Siegfried Bethke",
"P Palni",
"Cinzia da Via",
"T Geralis",
"E Stanecka",
"G H A Viehhauser",
"R J Hawkings",
"M P Giordani",
"P R Newman",
"J B Hansen",
"Federico Meloni",
"Fan Li",
"V A Kramarenko",
"Yu-ting Shen",
"G Crosetti",
"Stefano Manzoni",
"Anthony Eric Lionti",
"A Renardi",
"Nicolo Magini",
"V V Kostyukhin",
"Peilian Liu",
"Junjie Zhu",
"Agostino Lanza",
"Nikolai Hartmann",
"Jose Luis Munoz Martinez",
"P Podberezko",
"E V Bouhova-Thacker",
"Norman Gee",
"I Pokharel",
"Katharina Behr",
"Suen Ray Hou",
"Timothy R Andeen",
"Jozsef A Toth",
"M Bomben",
"Matthew Feickert",
"Bruce Rafael Mellado Garcia",
"Nathan Allen Grieser",
"Xuefeng Chen",
"M Villa",
"L Ambroz",
"M G Foti",
"F G Gravili",
"J Wagner-Kuhr",
"P U E Onyisi",
"Ioannis Maniatis",
"Ruslan Mashinistov",
"B Macek",
"Bing Li",
"D Oliveira Damazio",
"A Peyaud",
"A Taffard",
"Stefan Stonjek",
"Tim Michael Heinz Wolf",
"Quanyin Li",
"J Jongmanns",
"D M Portillo Quintero",
"F Tsai",
"R Leitner",
"P Pani",
"Cedric Serfon",
"Matthew Berg Epland",
"Emily L Nurse",
"K Schmieden",
"B M Wynne",
"R Pezoa",
"A Seiden",
"Takashi Mitani",
"S Rodriguez Bosca",
"B J Rosser",
"F Fabbri",
"Marcelo Ayumu Nomura",
"R Hyneman",
"M L Ojeda",
"T Klingl",
"A N Tuna",
"G E Orellana",
"Marcos Vinicius Silva Oliveira",
"J Lorenz",
"Sebastian Mario Weber",
"Joergen Sjoelin",
"D R Shope",
"Qun Ouyang",
"Fabrizio Napolitano",
"A Glazov",
"S M Shaw",
"A Santra",
"J Kretzschmar",
"R Piegaia",
"Stefano Rosati",
"T Xu",
"J Dietrich",
"Gregor Kramberger",
"Tae Min Hong",
"J H Foo",
"Lydia Brenner",
"John Stakely Keller",
"Katharina Bianca Jakobi",
"Gen Kawamura",
"A Campoverde",
"J J Lozano Bahilo",
"Boping Chen",
"K Petukhova",
"D Melini",
"L Fiorini",
"Reinhild Yvonne Peters",
"S Raspopov",
"Alessandro Gabrielli",
"Kevin Thomas Bauer",
"O Brandt",
"Ke Li",
"L D Corpe",
"Janusz Chwastowski",
"B M Ciungu",
"Robert Langenberg",
"P Krieger",
"Gabriel Palacino",
"Y Abulaiti",
"A Guerguichon",
"M J Veen",
"F Schroeder",
"J Walder",
"L S Borgna",
"T P A Akesson",
"Chen-Hsun Chan",
"G Cabras",
"S Muanza",
"K Nagano",
"Anna Macchiolo",
"A Lounis",
"Namig Javadov",
"M Calvetti",
"Andrew Mark Wharton",
"G Bartolini",
"A Poley",
"L G Gagnon",
"Alan James Taylor",
"Guillermo Nicolas Hamity",
"Edisher Tskhadadze",
"L Marchese",
"P S Jackson",
"Matteo Franchini",
"A Catinaccio",
"I Korolkov",
"R Newhouse",
"I Luise",
"M S Lutz",
"N Garelli",
"K O H Vadla",
"A J Parker",
"Maurizio De Santis",
"N Scharmberg",
"David Michael South",
"C Schiavi",
"Shreya Saha",
"R Nicolaidou",
"Ren-jie Wang",
"Fabian Alexander Foerster",
"H Stenzel",
"Natalie Wieseotte",
"F Sopkova",
"Bruce Arnold Barnett",
"M Owen",
"C Bini",
"T Zakareishvili",
"M Donadelli",
"E Dreyer",
"S Morgenstern",
"A K Duncan",
"H L Snoek",
"Simona Serena Bocchetta",
"D L Briglin",
"Akanksha Vishwakarma",
"Elena V Plotnikova",
"Sebastian Grinstein",
"Michael Barnett",
"John Huth",
"S Ganguly",
"M Robin",
"M Pitt",
"Wolfgang Mader",
"Ehud Duchovni",
"A Sbrizzi",
"Pepijn Bakker",
"M Backes",
"Aidan Robson",
"W Fedorko",
"E D Mendes Gouveia",
"O Miu",
"Ghita Rahal",
"R J Atkin",
"M Guth",
"J Jimenez Pena",
"Flavia de Almeida Dias",
"Marco Delmastro",
"G Stoicea",
"Zhi Zhao",
"J F P Schouwenberg",
"D Mori",
"J J Junggeburth",
"M H Klein",
"R Mazini",
"Paul Nilsson",
"Song Ming Wang",
"S Suchek",
"Nadav Michael Tamir",
"Javier Esteban Salazar Loyola",
"Richard Nisius",
"B Cole",
"B Vachon",
"Yi Fang",
"R J Teuscher",
"H R Nindhito",
"D Bogavac",
"M Hirose",
"S Loffredo",
"Pierre Beauchemin",
"N A Gorasia",
"M Levchenko",
"J C Burzynski",
"P S Miyagawa",
"N Fomin",
"James Howarth",
"M Bedognetti",
"N Zakharchuk",
"A A Talyshev",
"O Kivernyk",
"N B Atlay",
"D C Frizzell",
"V Dao",
"L Serin",
"Tobias Bisanz",
"A Coccaro",
"Anjali Krishnan",
"Michael Dueren",
"J Maeda",
"Elias Michael Ruettinger",
"Val O'Shea",
"C O Sander",
"Mauro Citterio",
"Rebecca Hayley Pickles",
"C Hayes",
"M Lokajicek",
"Y Horii",
"C Vergis",
"S Hirose",
"D Paredes Hernandez",
"M Ishino",
"C M Delitzsch",
"J Jia",
"M Myska",
"F Beisiegel",
"Yusheng Wu",
"J Beyer",
"Mathieu Benoit",
"G Azuelos",
"M Cristinziani",
"Elena Korolkova",
"B W Whitmore",
"R Gamboa Goni",
"D V Perepelitsa",
"Junichi Tanaka",
"Adam James Bozson",
"Bill Murray",
"Kenta Uchida",
"G L Alberghi",
"Evangelos N Gazis",
"D Caforio",
"S Tsuno",
"Sebastian Andreas Merkt",
"A Kastanas",
"Andreas Sogaard",
"Z A Meadows",
"A Manousos",
"J Dickinson",
"R T Roberts",
"Giulia Giannini",
"A G Goussiou",
"I Manthos",
"Yee Chinn Yap",
"Garrett Merz",
"M M Perego",
"Jos C Vermeulen",
"Christoph Amelung",
"T J A Chevalerias",
"N Hod",
"Robert Richter",
"D Price",
"Urmila Soldevila Serrano",
"A M Lory",
"J Boyd",
"Tancredi Carli",
"J Reichert",
"J R Catmore",
"B H Smart",
"P Mogg",
"Marek Palka",
"O Biebel",
"S J Gasiorowski",
"O Sasaki",
"W D Heidorn",
"Roberto Iuppa",
"Y Yamaguchi",
"W J Fawcett",
"A Cortes-Gonzalez",
"A Bandyopadhyay",
"Antonio De Maria",
"S H Abidi",
"M K Bugge",
"Xinchou C Lou",
"F Ahmadov",
"A J Armbruster",
"M Garcia-Sciveres",
"Ralph Soeren Peter Mueller",
"C J E Suster",
"Rafael Teixeira de Lima",
"A Milic",
"Tommaso Lari",
"Cherifa Sabrina Amrouche",
"J Veatch",
"Adam Kaluza",
"Debottam Bakshi Gupta",
"Nishu Nishu",
"Akihiro Higashida",
"Chiara Meroni",
"Wen Guan",
"K Bierwagen",
"Q Buat",
"J G Panduro Vazquez",
"A M Deiana",
"Samuel Joseph Crawley",
"I Ravinovich",
"F Scuri",
"U Kruchonak",
"Changqiao Li",
"Wenxiao Wang",
"A Murrone",
"Johanna Lena Gramling",
"Sally Seidel",
"Luis Roberto Flores Castillo",
"B T Winter",
"B Parida",
"A Krasznahorkay",
"Koji Nakamura",
"F Gonnella",
"A Lleres",
"A P O'neill",
"D Pallin",
"Zakaria Chadi",
"R Poettgen",
"N Besson",
"Mario Jose Da Cunha Sargedas De Sousa",
"P G Scholer",
"R Ferrari",
"A G Myagkov",
"Lino Oscar Gerlach",
"D R Hlaluku",
"R Slovak",
"H Sandaker",
"C Rembser",
"Stefanie Todt",
"M Sessa",
"T Kono",
"S Shirabe",
"James Beacham",
"A Bocci",
"D Biswas",
"Antonio Onofre",
"A E Bolz",
"O Zenin",
"L Bellagamba",
"K K Hill",
"C Merlassino",
"P Ferrari",
"N Ilic",
"Hongxuan Li",
"K Lehmann",
"L P Rossi",
"T Kaji",
"Marija Marjanovic",
"Marcin Stolarski",
"J Olszowska",
"Yun-ju Lu",
"E Schopf",
"V Tsulaia",
"Traian Tulbure",
"A J Beddall",
"M Michetti",
"T Seiss",
"E Lytken",
"Andrii Verbytskyi",
"D R Zaripovas",
"K W Janas",
"Nicolin Govender",
"Marko Mikuz",
"M Verducci",
"Igor Mandic",
"Alice Polyxeni Morris",
"M Bosman",
"C D Sebastiani",
"Y Sano",
"Ilkay Turk Cakir",
"V P Maleev",
"M Stankaityte",
"M Pinamonti",
"Marta Losada",
"Rob Henderson",
"M Vranjes Milosavljevic",
"L Sawyer",
"M W Krasny",
"J Hoya",
"S Prell",
"M Kolb",
"C Marcon",
"V Kukhtin",
"James E Brau",
"C P Bee",
"Mogens Dam",
"Lucia Pedraza Diaz",
"Harald Stelzer",
"T C Herwig",
"Riccardo de Asmundis",
"M Klassen",
"P Steinberg",
"Andrey A Sapronov",
"M Demichev",
"T J Burch",
"Daniele Fournier",
"P Sicho",
"Gregory Barbour",
"J Roloff",
"Alessandra Forti",
"D Sampsonidis",
"Carsten Dulsen",
"Atsuhiko Ochi",
"C Sbarra",
"K Suruliz",
"Jia-nan Li",
"M D'Onofrio",
"Jafar Shojaii",
"Hadar Yosef Cohen",
"Dylan Perry Kisliuk",
"J Damp",
"B M Waugh",
"Dan Ping Huang",
"M Queitsch-Maitland",
"G Redlinger",
"H Meyer Zu Theenhausen",
"Mz Zhou",
"G Otero y Garzon",
"G R Gledhill",
"K A Looper",
"T Megy",
"W Buttinger",
"D Gillberg",
"V A Schegelsky",
"N P Hessey",
"Anum Qureshi",
"C Zeitnitz",
"Michael Duehrssen",
"K Lie",
"X Zhuang",
"Zijun Xu",
"M Negrini",
"I M Gregor",
"S Franchino",
"X Wu",
"G Ucchielli",
"William Dmitri Breaden Madden",
"R E Long",
"M J Basso",
"E Pasqualucci",
"F Veloso",
"C Vittori",
"S Groh",
"V E Ozcan",
"L Masetti",
"Daniel James Lewis",
"Sergey P Denisov",
"Antonio Zoccoli",
"T R V Billoud",
"J Assahsah",
"A Fell",
"M Vozak",
"Alexander Fenyuk",
"A Puri",
"M Robles Manzano",
"E Banas",
"Henrik Oppen",
"Francesco M Follega",
"P T Koenig",
"Gerald Eigen",
"I Hristova",
"A Cheplakov",
"Y Benhammou",
"M Lavorgna",
"Kristian Bjoerke",
"Christopher Blake Martin",
"Vadim Gratchev",
"A Schulte",
"E E Khoda",
"S Lai",
"Tadej Novak",
"N Benekos",
"Andreas Hoecker",
"Miguel Villaplana Perez",
"M Renda",
"A E Barton",
"A Cerri",
"Patrick Puzo",
"H Son",
"Vivek Jain",
"M Vandenbroucke",
"Nicola Venturi",
"Maria Ines Abreu Juliao Ochoa De Castro",
"Massimo Lazzaroni",
"V Cavaliere",
"E B Diehl",
"Stefan Gadatsch",
"Jan-Hendrik Arling",
"David Calvet",
"Antonella De Santo",
"Alexander Sydorenko",
"G Barone",
"J Ferrando",
"P Gaspar",
"M Bauce",
"T R Wyatt",
"James Pinfold",
"M Wessels",
"N Viaux Maira",
"Z M Schillaci",
"B Abbott",
"J D Little",
"L N Smirnova",
"J Ouellette",
"O V Solovyanov",
"Hao Liu",
"A Kamenshchikov",
"K E Schleicher",
"Ariella Cattai",
"F Cirotto",
"Rui Wang",
"Patricia Ward",
"William Trischuk",
"D M Handl",
"Keisuke Yoshihara",
"V Lyubushkin",
"Huajie Cheng",
"Tomomi Kawaguchi",
"S Demers",
"F Lasagni Manghi",
"Anatoly V Borisov",
"R T Turra",
"Qing Wang",
"K Kawade",
"K Al Khoury",
"Sarah Williams",
"Zach Marshall",
"A Knue",
"A Gavrilyuk",
"I A Minashvili",
"N L Woods",
"Tadashi Maeno",
"S D Worm",
"Fairouz Malek",
"H Nanjo",
"Halina Abramowicz",
"Xueyao Zhang",
"J M P Pasner",
"Gregorio Bernardi",
"T Lagouri",
"Ruchi Gupta",
"Hubert Kroha",
"T G McCarthy",
"A S Kozhin",
"K Maj",
"D Delgove",
"K K Heidegger",
"Stephen Albert Weber",
"Hongtao Yang",
"J J Teoh",
"Douglas M Gingrich",
"T Klioutchnikova",
"M Scornajenghi",
"Volker Buescher",
"M Schernau",
"Valerio Vercesi",
"Jonathan Jamieson",
"Andreas Honle",
"R Brock",
"Antonio Gaudiello",
"Balthasar Schachtner",
"K Bouaouda",
"Tomio Kobayashi",
"Elzbieta Richter-Was",
"Stefano De Castro",
"M Barbero",
"Rinat Fakhrutdinov",
"Alexander Mann",
"G Maccarrone",
"Sigve Haug",
"M Cavalli-Sforza",
"G Zemaityte",
"C J Potter",
"Fuquan Wang",
"Benoit Lefebvre",
"A Dattagupta",
"S Perrella",
"S Diez Cornell",
"Sourav Sen",
"W S Ahmed",
"J A Sabater Iglesias",
"A G Kharlamov",
"D Sampsonidou",
"Hans-Christian Schultz-Coulon",
"Tetsuro Mashimo",
"Yuji Yamazaki",
"P Berta",
"M P J Landon",
"K G Tomiwa",
"C A Gottardo",
"Jue Chen",
"C Clement",
"F Hubaut",
"Christopher Grud",
"E B Rye",
"L L Kurchaninov",
"A Bingul",
"D Iliadis",
"A V Anisenkov",
"Y Tu",
"Richard Batley",
"R Keeler",
"J Khubua",
"C Escobar",
"S Nemecek",
"J C Rivera Vergara",
"Zdenek Dolezal",
"M Vogel",
"Lorenzo Paolozzi",
"Karsten Koeneke",
"Frank Filthaut",
"G Borissov",
"Amal Vaidya",
"Tony Doyle",
"C Guyot",
"Gerardo Alexis Vasquez Arenas",
"Laurent Chevalier",
"Michael Kagan",
"Veronika Magerl",
"B Liberti",
"Michal Racko",
"Paola Giannetti",
"Liv Antje Mari Wiik",
"Zvi Hirsh Citron",
"O Cakir",
"Francesco La Ruffa",
"D P C Sankey",
"T Moa",
"L Poggioli",
"Bartlomiej Zabinski",
"I N Potrap",
"J Llorente Merino",
"Gavin Hesketh",
"Geoffrey N Taylor",
"Mercedes Minano",
"D Cieri",
"J Fuster",
"C Arcangeletti",
"F Costanza",
"F J Munoz Sanchez",
"Ahmed Hasib",
"Chiao-ying Lin",
"Emily Marie Duffield",
"Marcus De Beurs",
"A Romaniouk",
"D Krasnopevtsev",
"S Parajuli",
"Liqing Zhang",
"J -F Grivaz",
"Alberto Stabile",
"Stefan Kluth",
"Yi Fei Han",
"Carlos Sandoval Usme",
"Giora Mikenberg",
"M Saimpert",
"R Leone",
"S Gkaitatzis",
"M D M Capeans Garrido",
"A Dubreuil",
"Evgenii Baldin",
"Mikhail Mineev",
"R S Orr",
"S Oerdek",
"R Schwienhorst",
"P M Gravila",
"N Makovec",
"B Rottler",
"Sergei V Chekanov",
"G Gilles",
"D Zieminska",
"Augusto Santiago Cerqueira",
"R Kehoe",
"G E Gallardo",
"M Bahmani",
"K Bachas",
"Javier Sanchez",
"V Tsiskaridze",
"Wei Wang",
"Konstantinos Nikolopoulos",
"S Calvet",
"G A Popeneciu",
"I Fleck",
"M Havranek",
"C Haber",
"O Majersky",
"E Reynolds",
"Troels C Petersen",
"Luciano Manhaes de Andrade Filho",
"O Kepka",
"B Galhardo",
"Maximilian Hils",
"Azzah Aziz Alshehri",
"Francesco Ragusa",
"M Pettee",
"Haifeng Li",
"Stephen Gibson",
"D Reikher",
"Olympia Dartsi",
"D Ta",
"Roberto Cardarelli",
"O J Winston",
"Yoshinobu Unno",
"A Koulouris",
"T J Khoo",
"A Gongadze",
"Robert McPherson",
"Giordon Stark",
"R Wolff",
"D Muenstermann",
"O Arnaez",
"J D Shahinian",
"B Yabsley",
"F Cormier",
"Francesco Crescioli",
"Andrea Dell'Acqua",
"E C Hanson",
"P Y Nechaeva",
"T Vazquez Schroeder",
"R E Ticse Torres",
"X Xiao",
"G Navarro",
"S W Ferguson",
"C Glasman",
"R Stroynowski",
"T Rashid",
"R Di Sipio",
"A M Rodriguez Vera",
"Wolfgang Walkowiak",
"Tigran Mkrtchyan",
"C Goy",
"A Khodinov",
"Theodoros Alexopoulos",
"P Seema",
"P Gadow",
"C Bernius",
"L Cerda Alberich",
"Daniel Joseph Antrim",
"Rui Miguel Faisca Rodrigues Pereira",
"P Bagnaia",
"R Vari",
"C D Burgard",
"T A Schwarz",
"V S Martoiu",
"Debarati Roy",
"Q Hu",
"R Moles-Valls",
"Christophe Deutsch",
"T Dado",
"A Cervelli",
"Thomas Daubney",
"N Yamaguchi",
"A Yamamoto",
"D Vannicola",
"P Francavilla",
"A Bitadze",
"Yakov Petrovich Kulinich",
"L Jeanty",
"D Scheirich",
"David Britton",
"A A Solodkov",
"P Skubic",
"S George",
"Tianyi Yang",
"K Kroeninger",
"A Paramonov",
"E Sideras Haddad",
"M J Kareem",
"C Petridou",
"V Pleskot",
"W M Barbe",
"C Fukunaga",
"L Fayard",
"O Novgorodova",
"A Rimoldi",
"Y Kulchitsky",
"M A B Do Vale",
"N J Kang",
"M Rybar",
"C Agapopoulou",
"M Primavera",
"K Ntekas",
"D Cameron",
"I Wingerter-Seez",
"F Huegging",
"Nuno Filipe Castro",
"M Feng",
"T Wengler",
"K Karava",
"D Kar",
"J Qian",
"J Jovicevic",
"D Amidei",
"S Balaji",
"Xuan Yang",
"Ketevi Adikle Assamagan",
"Jiayi Chen",
"Zihao Jiang",
"E P Takeva",
"H Kagan",
"H Evans",
"I A Cioara",
"N Nikiforou",
"S Rettie",
"Johann Collot",
"Sabine Lammers",
"B Giacobbe",
"A Angerami",
"J Chudoba",
"D M Strom",
"Andrea Formica",
"D Schaile",
"Yoshiji Yasu",
"Vladimir Smakhtin",
"M Dubovsky",
"S D Walker",
"Xiaoguang Yue",
"C Anastopoulos",
"James A Mueller",
"Ulf Fredrik Mikael Martensson",
"G Gessner",
"M Spina",
"J D Bossio Sola",
"E Zaffaroni",
"Torre J Wenaus",
"David M Malon",
"J Haley",
"F Spano",
"E D Resseguie",
"E J Thorpe",
"S Bentvelsen",
"Abhishek Sharma",
"Christopher Willis",
"M A L Leite",
"Nobuo Matsuzawa",
"A F Webb",
"Wouter Verkerke",
"V Vecchio",
"S Shrestha",
"D Hohov",
"S Meehan",
"Evangelos Kourlitis",
"C Wiglesworth",
"P F Giraud",
"Borut Paul Kersevan",
"S Wenig",
"Anthony Salvucci",
"O L Rezanova",
"Bingxuan Liu",
"Fabricio Andres Jimenez Morales",
"F He",
"B T Carlson",
"P Pralavorio",
"V Ippolito",
"Konrad Kleinknecht",
"M C Stockton",
"Tobias Kupfer",
"F Winklmeier",
"Laura Fabbri",
"Andres Ramirez Morales",
"C Camincher",
"Luca Martinelli",
"L Roos",
"Matej Kocian",
"M Testa",
"D P Spiteri",
"M N Agaras",
"C Dallapiccola",
"A Schoening",
"J Maurer",
"P Sinervo",
"R Stamen",
"S A Stucci",
"R Novotny",
"M Shimojima",
"L M Veloce",
"Gabriel Demontigny",
"P J Falke",
"A Sanchez Pineda",
"D Lynn",
"S Wahdan",
"E Brost",
"M J White",
"B D Seidlitz",
"Andrzej Olszewski",
"N Vranjes",
"Lamberto Luminari",
"D Duvnjak",
"P Kodys",
"Y Tayalati",
"G Zacharis",
"Abdeslam Hoummada",
"P Fleischmann",
"Christian Bohm",
"Piotr Janus",
"T Adye",
"Shu Li",
"Cheng Guang Zhu",
"A Lopez Solis",
"P Gutierrez",
"D Hirschbuehl",
"N Lorenzo Martinez",
"Y A Kurochkin",
"Shan Jin",
"G Mornacchi",
"J T Baines",
"L K Schildgen",
"Adriaan Koenig",
"Tristan Beau",
"L Asquith",
"Maria Smizanska",
"C P A Roland",
"Mattias Ellert",
"J Dingfelder",
"F Schenck",
"T Cuhadar Donszelmann",
"Z M Karpova",
"Othmane Rifki",
"R Ospanov",
"Gunnar Jakel",
"N Rompotis",
"A Soloshenko",
"J Myers",
"Carmen Maidantchik",
"E M Freundlich",
"M Rescigno",
"K Ran",
"O L Fedin",
"W H Hopkins",
"Peng Huo",
"W Wiedenmann",
"K Hanagaki",
"M Shiyakova",
"Mason Proffitt",
"M Tsopoulou",
"M J Shochet",
"E Lancon",
"K Krizka",
"P A Erland",
"Maria Jose C Costa",
"A Smykiewicz",
"Jonathan David Long",
"C Kourkoumelis",
"Jana Schaarschmidt",
"R Bielski"
],
"corpus_id": 214115594,
"doc_id": "214115594",
"n_citations": 69,
"n_key_citations": 6,
"score": 0,
"title": "Searches for electroweak production of supersymmetric particles with compressed mass spectra in s 13 TeV pp collisions with the ATLAS detector",
"venue": "",
"year": 2020
},
{
"abstract": "A search for Higgs boson pair production via vector boson fusion (VBF) in the b\\overline{b}b\\overline{b} final state is carried out with the ATLAS experiment using 126 fb$ 1} of proton proton collision data delivered at \\sqrt{s} 13 TeV by the Large Hadron Collider. This search is sensitive to VBF production of additional heavy bosons that may decay into Higgs boson pairs, and in a non resonant topology it can constrain the quartic coupling between the Higgs bosons and vector bosons. No significant excess relative to the Standard Model expectation is observed, and limits on the production cross section are set at the 95% confidence level for a heavy scalar resonance in the context of an extended Higgs sector, and for non resonant Higgs boson pair production. Interpretation in terms of the coupling between a Higgs boson pair and two vector bosons is also provided: coupling values normalised to the Standard Model expectation of k$_{2V} 2.90 are excluded at the 95% confidence level in data.[graphic not available: see fulltext]",
"author_names": [
"Georges Aad",
"A Kupco",
"Samuel Nathan Webb",
"T Dreyer",
"Yufeng Wang",
"Karl Jakobs",
"Brian Le",
"M Spousta",
"M Cobal",
"Peilong Wang",
"S Schmitt",
"Jaroslava Schovancova",
"A Bassalat",
"Matej Melo",
"M Shapiro",
"G Tarna",
"S Zimmermann",
"T Eifert",
"L Rehnisch",
"S Kuday",
"F Legger",
"M Sioli",
"Holger Herr",
"N Bruscino",
"Filippo Maria Giorgi",
"J Huston",
"T Sumida",
"Steven Hugh Robertson",
"R Goncalo",
"A A Snesarev",
"L La Rotonda",
"Dirk Duschinger",
"James Oscar Thomas",
"E Carquin",
"Y W Y Ng",
"S Crepe-Renaudin",
"J A Parsons",
"W K Balunas",
"Yu A Tikhonov",
"M K Ayoub",
"J A Aparisi Pozo",
"C Mwewa",
"David Harry Miller",
"A A Ivina",
"P Mastrandrea",
"Jan-Ulf Mjoernmark",
"W A Leight",
"Auke-Pieter Colijn",
"Liaoshan Shi",
"Michael E Nelson",
"Karel Cerny",
"N Warrack",
"Hans Krueger",
"J Ocariz",
"Markus Nordberg",
"C Weber",
"Daniela Bortoletto",
"A J Lankford",
"N B Sinev",
"Stefan Tapprogge",
"Y F Hu",
"F Parodi",
"T Masubuchi",
"D E Ferreira Lima",
"T J LeCompte",
"Shenjian Jammy Chen",
"Souad Batlamous",
"Tim Martin",
"J Poveda",
"C Roda",
"Tuan Nguyen Manh",
"M Ouchrif",
"Krzysztof Korcyl",
"T Lyubushkina",
"C Grefe",
"P Tipton",
"F F Klitzner",
"Alberto Valero",
"T Kishimoto",
"K Kawagoe",
"H Bachacou",
"Alfonso Policicchio",
"Franziska Iltzsche Speiser",
"S Zambito",
"S N Karpov",
"P Strizenec",
"Christopher Michael Lester",
"D W Casper",
"S Ketabchi Haghighat",
"Jose Garcia Navarro",
"B Di Micco",
"Stefan Koperny",
"L Schaefer",
"C Bertella",
"Ph Schwemling",
"M Vos",
"E Meoni",
"T R Holmes",
"I G Sanderswood",
"Zhi Zheng",
"Z Hubacek",
"C Doglioni",
"Andrew Ferrante",
"L Vigani",
"Abhishek Nag",
"A S Boldyrev",
"S R Maschek",
"J Stark",
"E V Yatsenko",
"P Gessinger-Befurt",
"M Kuze",
"B H Hooberman",
"S Carra",
"K Pachal",
"David Costanzo",
"M J Fenton",
"Jesse Liu",
"Alexei Klimentov",
"Simone Pagan Griso",
"Ilias Panagoulias",
"T B Huffman",
"Hongbin Liu",
"T Kuhl",
"G Gustavino",
"Mateusz Dyndal",
"F An",
"M Antonelli",
"B Malaescu",
"D K Abhayasinghe",
"K Grimm",
"D Zanzi",
"Michael Glenn Eggleston",
"V R Bailey",
"A Ezhilov",
"D P Yallup",
"A Bellerive",
"J Masik",
"Alena Loesle",
"L Adamek",
"L Barak",
"Dominique Godin",
"Giuseppe Iacobucci",
"Evgeny Shulga",
"B Gorini",
"J Heilman",
"D Zhong",
"John Butler",
"H Fox",
"S Grancagnolo",
"Hok Chuen Cheng",
"S A Olivares Pino",
"N Madysa",
"Kevin Matthew Black",
"Gregory Hallewell",
"L Franconi",
"L A Horyn",
"D Fassouliotis",
"Joshua Wyatt Smith",
"Alessandro Tricoli",
"M Dumancic",
"H Iwasaki",
"M Kuna",
"Michele Faucci Giannelli",
"B Stapf",
"T Cao",
"Michela Paganini",
"V Ellajosyula",
"I Pogrebnyak",
"E Petit",
"E Antipov",
"J Faltova",
"Yu Him Justin Chiu",
"Wen Guo",
"Stewart Patrick Swift",
"E Lipeles",
"A Ducourthial",
"Benedikt Bergmann",
"Sebastian Artz",
"M J Oreglia",
"E Drechsler",
"K Einsweiler",
"Fernando Monticelli",
"S Giagu",
"E Kneringer",
"B Freund",
"H Duran Yildiz",
"Daniel Whiteson",
"Alastair Dewhurst",
"K Shaw",
"Yingchun Zhu",
"Nicolo Vladi Biesuz",
"J Terron",
"D S Nielsen",
"M Ghasemi Bostanabad",
"Stephanie Pui Yan Yuen",
"V Castillo Gimenez",
"T Barillari",
"T J Neep",
"Francesco Peri",
"P J Clark",
"S Schier",
"K Vorobev",
"Julius Hrivnac",
"Martin Barisits",
"Takuto Kunigo",
"Alexander A Grillo",
"Stefano Camarda",
"T Dias Do Vale",
"R Kopeliansky",
"M Swiatlowski",
"N P Konstantinidis",
"Osamu Jinnouchi",
"Hartmut Sadrozinski",
"Vassili Kazanin",
"E L Barberio",
"J Pacalt",
"Kerstin Tackmann",
"Dorel Pietreanu",
"Richard Kass",
"Yuya Kano",
"D Camarero Munoz",
"J Zahreddine",
"M R Sutton",
"Yohei Noguchi",
"L Zivkovic",
"L Dell'Asta",
"Veronica Wallangen",
"K Abeling",
"M G Vincter",
"G Herten",
"V Nikolaenko",
"D Kirchmeier",
"C C Chau",
"Alessandro Di Girolamo",
"Nicola Abraham",
"Markus Elsing",
"Cong Geng",
"K Mochizuki",
"A Di Ciaccio",
"B Burghgrave",
"A N Fray",
"P Massarotti",
"Lorenzo Rossini",
"Sina Baluch Bahrasemani",
"C J McNicol",
"G Di Gregorio",
"F Corriveau",
"K Tariq",
"G R Gonzalvo Rodriguez",
"I Bloch",
"Karel Smolek",
"R Brenner",
"P S Ott",
"P Tas",
"Ana Rosario Cueto Gomez",
"G Marceca",
"B A Petersen",
"V Solovyev",
"B Haney",
"S Gonzalez-Sevilla",
"Chase Shimmin",
"P Schacht",
"Nikola Lazar Whallon",
"Andrea Negri",
"S M Farrington",
"Michael F Ziolkowski",
"Vladimir Cindro",
"P Sommer",
"A A Minaenko",
"Shunsuke Honda",
"X Ruan",
"Pasquale Fabrizio Salvatore",
"M Franklin",
"B Mansoulie",
"Yang Qin",
"Gorm Galster",
"Charles Leggett",
"J W Cowley",
"P Buchholz",
"K Zoch",
"Zuzana Blenessy",
"Claudio Ferretti",
"D Biedermann",
"J Kroll",
"E M Shrif",
"Z Uysal",
"A Behera",
"Hector de la Torre",
"C E Leitgeb",
"F Tresoldi",
"Siinn Che",
"Susumu Oda",
"Christian A Gutschow",
"Masa-hiko Saito",
"Francesco Lo Sterzo",
"D P Mungo",
"Joost Vossebeld",
"Joshua Moss",
"Monica Dunford",
"Robin Middleton",
"A B Kowalewska",
"Kyungeon Choi",
"S Harkusha",
"Puja Saha",
"Julia Hrdinka",
"Rainer Roehrig",
"Hiroshi Sakamoto",
"E Hansen",
"Matt Zhang",
"A J Bailey",
"M Biglietti",
"Samuel David Jones",
"T Jakoubek",
"L Marcoccia",
"Simon H Connell",
"Alessandra Doria",
"Hoang Dai Nghia Nguyen",
"Matthias Danninger",
"C Blocker",
"S Istin",
"E W Varnes",
"Jorn Dines Hansen",
"E Torrence",
"G Iakovidis",
"Attilio Picazio",
"C J Treado",
"G Jarlskog",
"Koichi Nagai",
"Yi Chen",
"Wainer Vandelli",
"Tae Hyoun Park",
"Alessandro De Salvo",
"A Kourkoumeli-Charalampidi",
"Yang Heng",
"F Sohns",
"P B Shatalov",
"Y Smirnov",
"Stephanie Majewski",
"K Sliwa",
"Jesus Guerrero Rojas",
"Philip Bechtle",
"Miguel C N Fiolhais",
"F H Phillips",
"Fumiaki Ito",
"F Ukegawa",
"Alejandro Alonso",
"T Guillemin",
"E Winkels",
"J J Kempster",
"Aishik Ghosh",
"Shuo Han",
"I Maznas",
"M Wobisch",
"K Augsten",
"Jean-pierre Ochoa",
"M Vicente Barreto Pinto",
"E Le Guirriec",
"N L Belyaev",
"A Ryzhov",
"D Moreno",
"G Usai",
"P O Deviveiros",
"Mahran Shehade",
"Marcel Stanitzki",
"L J Wilkins",
"Barry King",
"A Pacheco Pages",
"M Begel",
"G T Forcolin",
"A I Mincer",
"Yongsung Kim",
"L Morvaj",
"C D Burton",
"Michele Weber",
"T Heim",
"A Rej",
"K Belotskiy",
"A M Soukharev",
"Jihyun Jeong",
"C Agheorghiesei",
"H A Pacey",
"R M D Carney",
"Annabelle Julia Chuinard",
"R Jansky",
"A Kotsokechagia",
"A Undrus",
"B L Stamas",
"Michael William O'Keefe",
"Julia Mariana Iturbe Ponce",
"D Boscherini",
"C G Zhu",
"D R Tovey",
"N Semprini-Cesari",
"P Fassnacht",
"K D Finelli",
"B Brickwedde",
"A Matic",
"Claire David",
"Lukasz Zwalinski",
"M J Alconada Verzini",
"R Kukla",
"T J Stevenson",
"Jie Yu",
"D Boerner",
"C Galea",
"Lukas Heinrich",
"G Rovelli",
"C Troncon",
"Francesco Guescini",
"D Denysiuk",
"J A Garcia Pascual",
"Mohamed El Kacimi",
"Chunhui Chen",
"Andrea Rodriguez Perez",
"S Menke",
"I Van Vulpen",
"E Shabalina",
"G Unal",
"Robert W Gardner",
"A Fehr",
"Yanning Zhang",
"N Norjoharuddeen",
"Masahiro Morii",
"Andrea Sciandra",
"T Kosek",
"Zhiqin Zhang",
"Didier Alexandre",
"K Sekhon",
"R Iguchi",
"Tai-hua Lin",
"L Flores",
"Gabriele Chiodini",
"Alessandro Caltabiano",
"Jun Guo",
"Li Zhi",
"J L Gonski",
"Andrea Gabrielli",
"E Akilli",
"T Klapdor-Kleingrothaus",
"O M Kind",
"R D Schamberger",
"Ariel Schwartzman",
"L Aperio Bella",
"Frederik Ruehr",
"A R Weidberg",
"Are Sivertsen Traeet",
"L Mijovic",
"H Potti",
"S Snyder",
"U Blumenschein",
"R Konoplich",
"Peter Maettig",
"M Javurkova",
"Emre Celebi",
"Friedrich Hoenig",
"E Tzovara",
"M Tasevsky",
"F Pasquali",
"Carlos Solans",
"J Kvita",
"S Heim",
"V Boisvert",
"Benjamin William Allen",
"Giuseppe Lerner",
"Robert Francis Hunter",
"Stefano Veneziano",
"M Zaazoua",
"Yoji Hasegawa",
"Y Takubo",
"M Huhtinen",
"A E Kiryunin",
"Andrew Beddall",
"N Kimura",
"S Amoroso",
"L Barranco Navarro",
"L Serkin",
"E Simioni",
"Dengfeng Zhang",
"I Gkialas",
"S Yu Smirnov",
"M Haleem",
"D Froidevaux",
"Babar Ali",
"Feng Lyu",
"Dmitry Emeliyanov",
"Andrej Filipcic",
"S Kuehn",
"Mario Lassnig",
"T Pauly",
"Andreas Redelbach",
"Antonia Struebig",
"Isabel Nitsche",
"B K Wosiek",
"Yizhou Liu",
"Sara Strandberg",
"A N Karyukhin",
"Y Delabat Diaz",
"A Mizukami",
"L Pontecorvo",
"A Jinaru",
"Liang Li",
"Teresa Lenz",
"John Michael Butterworth",
"S H Stark",
"Andreas Korn",
"M Ridel",
"K Todome",
"Geoffrey Mullier",
"A Kurova",
"E Rossi",
"Kun Liu",
"A Ouraou",
"A Dudarev",
"Kazuhiko Hara",
"Giorgi Arabidze",
"R Caminal Armadans",
"M P Heath",
"Shota Suzuki",
"Tomoyuki Saito",
"C C Ohm",
"J T Shank",
"N Ozturk",
"M Khader",
"L Cerrito",
"R Astalos",
"J Barkeloo",
"H G Wilkens",
"Eram Rizvi",
"E Gramstad",
"Tim Jones",
"Vaclav Vrba",
"T Hryn'ova",
"L K Gladilin",
"M Ronzani",
"E Yigitbasi",
"S Ye",
"Yasuhiro Makida",
"A P Pereira Peixoto",
"C Alpigiani",
"Eleonora Rossi",
"O Stelzer-Chilton",
"N W Shaikh",
"T Zenis",
"Georgios Stavropoulos",
"I Riu",
"F Cardillo",
"K K Gan",
"T Swirski",
"K E Kennedy",
"T Harenberg",
"S Henkelmann",
"Guy Rosin",
"Marco Scodeggio",
"F Derue",
"M Maerker",
"Steffen Staerz",
"Tulin Varol Mete",
"K Brendlinger",
"G D'amen",
"Alexander Melzer",
"S Terzo",
"George Victor Andrei",
"E M Lobodzinska",
"J Tojo",
"E B F G Knoops",
"Michael Tuts",
"E Skorda",
"J H Lindon",
"K Cheung",
"Daniele De Pedis",
"A Giannini",
"L D'Eramo",
"S Schaepe",
"David Philip John Lack",
"O Bessidskaia Bylund",
"Zulit Paola Arrubarrena Tame",
"Eric Buschmann",
"L Heelan",
"G Panizzo",
"C Nellist",
"M C Hodgkinson",
"M Alhroob",
"A J Barr",
"D Varouchas",
"J C -L Tseng",
"H P Beck",
"Katharine Leney",
"Jinlong Zhang",
"F Becherer",
"Joseph William Carter",
"Paolo Camarri",
"Maia Mosidze",
"S Dysch",
"R Staszewski",
"Roger Felipe Naranjo Garcia",
"Elena Rocco",
"A Milov",
"Vincenzo Izzo",
"L Pizzimento",
"J M Izen",
"J P Rutherfoord",
"Pa Malecki",
"Lianliang Ma",
"Harry Lyons",
"O Kuchinskaia",
"Z J Grout",
"Reiner Hauser",
"G Avolio",
"J K R Meshreki",
"G Alimonti",
"Yi-lin Yang",
"D J Mahon",
"T Kawamoto",
"Leonardo Merola",
"C Wanotayaroj",
"T Berry",
"P Sabatini",
"C Debenedetti",
"Yun Sang Chow",
"L Colasurdo",
"Raimund Stroehmer",
"A Ferrari",
"T M Liss",
"D Dodsworth",
"Marie Christine Lanfermann",
"Luc Goossens",
"Ph Schune",
"Jian Wang",
"M Tomoto",
"Shaun Roe",
"N Proklova",
"M Aoki",
"Y Nagasaka",
"Christopher John Young",
"M Geisen",
"Gabriele Sabato",
"P A Gorbounov",
"P Moschovakos",
"R M Jacobs",
"Christian Heinz",
"Valentina Maria Martina Cairo",
"G Chiarelli",
"S Hellesund",
"C Bakalis",
"J Dopke",
"I Hinchliffe",
"R Bi",
"A V Akimov",
"Stavros Maltezos",
"Armin Nairz",
"Jens Weingarten",
"Hulin Wang",
"S Amor dos Santos",
"Paul de Jong",
"Marco Valente",
"S Heer",
"Serena Palazzo",
"A T Vermeulen",
"D Ferrere",
"I Carli",
"Sofia Chouridou",
"H Oide",
"C Luci",
"M Bindi",
"S Palestini",
"Thomas Naumann",
"O Kuprash",
"Thomas Lohse",
"Jelena Krstic",
"A Shcherbakova",
"Shigeru Odaka",
"R Narayan",
"Philipp Jonathan Losel",
"Rosanna Ignazzi",
"M G Alviggi",
"L S Kaplan",
"A Liblong",
"Masahiro Tanaka",
"Tony Affolder",
"B Martin dit Latour",
"J E Pilcher",
"G Salamanna",
"Takahiro Fusayasu",
"A Ventura",
"Dan Shaked",
"Songkyo Lee",
"Anna Kaczmarska",
"Karl Heinz Hiller",
"Tomas Davidek",
"M Wielers",
"Graeme Andrew Stewart",
"Yichen Li",
"A Lapertosa",
"L Tompkins",
"G Rybkin",
"B Tong",
"D Orestano",
"M Iodice",
"Nico Giangiacomi",
"G Halladjian",
"J R Dandoy",
"Carmen Garcia",
"H L Russell",
"M I Gostkin",
"J D Mellenthin",
"C Santoni",
"A La Rosa",
"Andrew Washbrook",
"Lawrence Lee",
"Kevin De Vasconcelos Corga",
"D Levin",
"Ava Chloe Audrey Lee",
"S Jezequel",
"R Yuan",
"K Grevtsov",
"Pierre Petroff",
"P Tornambe",
"R Kowalewski",
"S Simsek",
"Maria Roberta Devesa",
"C M Hawkes",
"D Turgeman",
"V Hedberg",
"Christopher Meyer",
"Yaquan Fang",
"S Turchikhin",
"F Prokoshin",
"A Soffer",
"R Tanaka",
"A D Pilkington",
"Arnulf Quadt",
"A L Maslennikov",
"S Higashino",
"S Gargiulo",
"B Stelzer",
"T Poulsen",
"E J Schioppa",
"F Anulli",
"Alessandro Rocchi",
"A Lucotte",
"Katharina Maria Ecker",
"Laura Gonella",
"E Fullana Torregrosa",
"Stefan Simion",
"K Tokushuku",
"C Kahra",
"Pippa S Wells",
"M Fanti",
"R Simoniello",
"Kosuke Takeda",
"J Kendrick",
"Rima El Kosseifi",
"Tobias Golling",
"A Pathak",
"Hai Jiang",
"P H Hansen",
"K Lohwasser",
"E K U Gross",
"Yongke Zhao",
"Rainer Bartoldus",
"I Naryshkin",
"S V Zeissner",
"U Klein",
"Herman ten Kate",
"Y Enari",
"V Kouskoura",
"L Carminati",
"Weiming Yao",
"Haoshuang Ji",
"Jovan Mitrevski",
"Serban Constantinescu",
"Carlos Garcia Argos",
"Bojan Hiti",
"S M Mazza",
"V Polychronakos",
"R Poggi",
"P Pasuwan",
"F Ellinghaus",
"M Kobel",
"Oliver Kortner",
"Robin L Hayes",
"Steven Goldfarb",
"J G Vasquez",
"V S Lang",
"E Ladygin",
"Joao Victor da Fonseca Pinto",
"Arwa A E Bannoura",
"Juan Pedro Araque Espinosa",
"Michael Adersberger",
"Simone Campana",
"L Meng",
"J Mamuzic",
"I N Aleksandrov",
"G Introzzi",
"J Kirk",
"Luis Hervas",
"M Janus",
"Martin Stegler",
"Alberto Annovi",
"P Staroba",
"M Mikestikova",
"T A Kharlamova",
"E F McDonald",
"Jian Cong Zeng",
"Steven Andrew Farrell",
"F Alonso",
"Tomas Blazek",
"C P Hays",
"Mikel Eukeni Pozo Astigarraga",
"C Sawyer",
"R E Owen",
"Pawel Bruckman de Renstrom",
"I A Bertram",
"Dmitriy Maximov",
"Andreas Reiss",
"C Lacasta",
"Manuel Neumann",
"P Iengo",
"J Elmsheuser",
"P Morettini",
"X Ai",
"Adam Maxwell Azoulay",
"L F Oleiro Seabra",
"Kyle Cranmer",
"D Giugni",
"K Lin",
"Guy Koren",
"A Aggarwal",
"V Canale",
"J C Schmoeckel",
"P Teixeira-Dias",
"S Falke",
"Mengran Li",
"Alexander S Sakharov",
"Helena Lefebvre",
"S Pataraia",
"Ioannis Xiotidis",
"Shih-Chieh Hsu",
"D Schaefer",
"F Pastore",
"I M Snyder",
"A Laurier",
"Krzysztof W Wozniak",
"N P Readioff",
"C Antel",
"Stefan Erich Von Buddenbrock",
"Joern Christian Lange",
"Sandro De Cecco",
"A Alfonsi",
"M C Kruse",
"Alfred Goshaw",
"J I Djuvsland",
"S Biondi",
"Felix Rauscher",
"D Pudzha",
"J Donini",
"Bernhard Meirose",
"R Hankache",
"Pierfrancesco Butti",
"Thomas Peiffer",
"Y Nakahama",
"James Robinson",
"J F Laporte",
"P Calfayan",
"N A Asbah",
"Oleg Meshkov",
"C Alexa",
"Thomas Dennis Powell",
"S Trincaz-Duvoid",
"C Varni",
"Z Xu",
"T P Calvet",
"C Gray",
"S Protopopescu",
"F Cerutti",
"I Koletsou",
"G Mancini",
"C Schmitt",
"Bo Li Liu",
"Sarah Louise Barnes",
"J Bracinik",
"S D Lawlor",
"M Boonekamp",
"M Del Gaudio",
"M Sandhoff",
"Antonio Ereditato",
"Tim Herrmann",
"A Gomes",
"D Kelsey",
"W C Fisher",
"Natalia Kondrashova",
"Amir Farbin",
"M Rotaru",
"T Flick",
"M Raymond",
"A M Burger",
"Matthew Glenn Kurth",
"F Braren",
"Horst Severini",
"Aidan Grummer",
"A Farilla",
"M Sahinsoy",
"Carlos Josue Buxo Vazquez",
"P Balek",
"S Adorni",
"Bertrand Laforge",
"Eitan Gozani",
"J L Nagle",
"Michael Ughetto",
"L Valery",
"N Morange",
"H Santos",
"Richard Bates",
"J H Rawling",
"P Johansson",
"M Spangenberg",
"V Sinetckii",
"S B Menary",
"J D Chapman",
"R Goncalves Gama",
"S Hyrych",
"Jonathan Shlomi",
"S Barsov",
"M Della Pietra",
"Farkhad Khalil-zada",
"Mario Sannino",
"S Akatsuka",
"Riccardo Maria Bianchi",
"Luiz Pereira Caloba",
"E Monnier",
"Jose Salt",
"A Messina",
"K Zhukov",
"U Mallik",
"Alison Lister",
"M Pedersen",
"S Sottocornola",
"A Montalbano",
"E Nagy",
"Rui Zhang",
"M R Yexley",
"L Pascual Dominguez",
"Matthew Henry Klein",
"Peter Nemethy",
"C Leonidopoulos",
"D Alvarez Piqueras",
"D S Bhattacharya",
"Lluis M Mir",
"Laura Perini",
"D Sammel",
"G Callea",
"Umar Gul",
"X Lou",
"A T H Arce",
"A Miucci",
"G I Dyckes",
"Daiki Yamaguchi",
"J Machado Miguens",
"Miaoran Lu",
"D Madaffari",
"E Fumagalli",
"C Gemme",
"Bart Hommels",
"S Leone",
"Marc-Andre Pleier",
"V Chiarella",
"Peter William Phillips",
"Haicheng Wang",
"M Przybycien",
"Cigdem Issever",
"Petr Hamal",
"A Trofymov",
"C Grieco",
"O Rohne",
"J R Bensinger",
"A Marzin",
"A Bruni",
"T Farooque",
"Tomas Komarek",
"Alan M Litke",
"B Dong",
"Klaus Desch",
"J A Frost",
"Cecile Deterre",
"Christian Weiser",
"Andy Buckley",
"G Unel",
"C Kato",
"E L Gkougkousis",
"Michael Phipps",
"Giovanni Marchiori",
"M D Werner",
"S Willocq",
"F L Castillo",
"R A Mina",
"M Ikeno",
"M E Vasile",
"Christian Johnson",
"F Miano",
"O Sidiropoulou",
"Marco Battaglia",
"P Klimek",
"D Di Valentino",
"Yanlin Liu",
"I Chiu",
"Y Afik",
"V Morisbak",
"C W Kalderon",
"C E Pandini",
"N Brahimi",
"E Kajomovitz",
"Andrew G Clark",
"Heinz Pernegger",
"Russell James Turner",
"Zhijun Liang",
"D M Rauch",
"F A Di Bello",
"H Wolters",
"F Rizatdinova",
"Dan Andrei Ciubotaru",
"I Vivarelli",
"F Nechansky",
"Madalyn Ann McKay",
"Ian Brock",
"Frank Fiedler",
"Gang Zhang",
"J Adelman",
"A Bogdanchikov",
"T Dai",
"Carlos Miguel Vergel Infante",
"G Gaycken",
"Edgar Kellermann",
"W Taylor",
"N Zhou",
"Koji Terashi",
"D Della Volpe",
"L Duflot",
"B M Flierl",
"S Yu Sivoklokov",
"M Faraj",
"Ester Ricci",
"P C F Glaysher",
"T Buanes",
"V Zhulanov",
"Klaus A Hamacher",
"J Del Peso",
"Alessandro Polini",
"J A Kremer",
"Maosen Zhou",
"Zhen Yan",
"E Torro Pastor",
"L Rustige",
"T Trefzger",
"G Bruni",
"B Batool",
"G Cowan",
"A Armstrong",
"A Zhemchugov",
"Francisco Anuar Arduh",
"Dmitry Golubkov",
"D Vazquez Furelos",
"Carolina Michel Robles Gajardo",
"B Ravina",
"M Dobre",
"R Avramidou",
"S J Hillier",
"Susumu Terada",
"D Robinson",
"O E Vickey Boeriu",
"Norbert Wermes",
"J Love",
"A A Geanta",
"Thomas Beermann",
"S Hellman",
"M T Dova",
"H Jivan",
"M F Watson",
"Yicheng Guo",
"E Yu Soldatov",
"W S Chan",
"A Kotwal",
"Patrick Bryant",
"P Jacka",
"Koji Sato",
"P Urquijo",
"V Kartvelishvili",
"L Massa",
"Alevtina Shmeleva",
"B S Peralva",
"C Valderanis",
"J Jejelava",
"S J Haywood",
"F Thiele",
"O Estrada Pastor",
"D Lellouch",
"Geoffrey Henry Herbert",
"Olaf Nackenhorst",
"G P Gach",
"A Ambler",
"S Francescato",
"A Hrynevich",
"Rodney Walker",
"S Argyropoulos",
"D Salvatore",
"H Okawa",
"A D'onofrio",
"M P Casado",
"L Di Ciaccio",
"R Rosten",
"F Deliot",
"C Lampoudis",
"K F Di Petrillo",
"B S Dziedzic",
"Chen Zhou",
"Jean-Pierre Meyer",
"A Canesse",
"Attilio Andreazza",
"K Prokofiev",
"Marco Bruschi",
"Sebastian Mergelmeyer",
"Ivan Lopez Paz",
"Salvador Marti i Garcia",
"Yi Jiang",
"I M Trigger",
"K O'Connor",
"J R Pater",
"Joseph Peter Kinghorn-taenzer",
"Monika Mittal",
"S Falciano",
"Matthias Schott",
"J K Anders",
"L Beresford",
"Farida Fassi",
"S Yacoob",
"Francesco Lacava",
"G F Tartarelli",
"M Hrabovsky",
"Juraj Smiesko",
"A Wolf",
"Ikuo Ueda",
"M Khandoga",
"K E Varvell",
"Farid Ould-Saada",
"Libor Nozka",
"Siyuan Sun",
"R Soualah",
"G Giugliarelli",
"Wenhao Xu",
"O Igonkina",
"Timothee Theveneaux-Pelzer",
"C Tosciri",
"A S Maevskiy",
"J Albert",
"C F Anders",
"A T Watson",
"Yasuyuki Okumura",
"Reda Tafirout",
"N Calace",
"L J Bergsten",
"R Di Nardo",
"G Sciolla",
"Christian Luedtke",
"M Reale",
"M Svatos",
"Daniel Rodriguez Rodriguez",
"K Lantzsch",
"A M Cooper-Sarkar",
"A Dimitrievska",
"H J Lubatti",
"Dhiman Chakraborty",
"M Errenst",
"B A Schumm",
"D Krasnopevtsev",
"P Zhao",
"R A Linck",
"L Iconomidou-Fayard",
"Dario Barberis",
"Yanwen Liu",
"Tomas Javurek",
"Kentaro Uno",
"M Romano",
"C R Kilby",
"William Brooks",
"Nils Krumnack",
"L Guan",
"S R Paredes Saenz",
"P Vokac",
"Pedro Jorge",
"B S Acharya",
"John Hill",
"Ahmed Tarek Abouelfadl Mohamed",
"C Adam Bourdarios",
"Renat Sadykov",
"O S AbouZeid",
"R Polifka",
"G Pownall",
"H El Jarrari",
"Keith Baker",
"Qi Li",
"P Loch",
"Xiang-Ting Meng",
"F Safai Tehrani",
"Weimin Song",
"Cheng Wang",
"A E Dumitriu",
"Hong Ma",
"Heather M Gray",
"Michael Strauss",
"J Schaeffer",
"M Nagel",
"B Safarzadeh Samani",
"A Held",
"E A Starchenko",
"A Khanov",
"Yuji Minegishi",
"B Brau",
"T Vickey",
"P D Thompson",
"S E Tzamarias",
"E J W Moyse",
"J P Biswal",
"Jorn Grosse-Knetter",
"Tina Sfiligoj",
"Petar Kevin Rados",
"A Ogrodnik",
"K Yorita",
"M D M Capeans Garrido",
"B Moser",
"A Straessner",
"E J Gallas",
"E Cheremushkina",
"M F Daneri",
"Andrea Baroncelli",
"C D Booth",
"E Thomson",
"S Gurbuz",
"W Kozanecki",
"S Calvente Lopez",
"S N Santpur",
"Wei Ding",
"M H Genest",
"Oxana Smirnova",
"Bjarne Stugu",
"A Trzupek",
"Kevin Hildebrand",
"M Gouighri",
"A K Kvam",
"E Tolley",
"F Jeanneau",
"Sau Lan Wu",
"V Herget",
"Stefan Rave",
"N A Styles",
"S J Sekula",
"N Lehmann",
"A Laudrain",
"Susanne Kersten",
"L A Thomsen",
"N Smirnov",
"J-B de Vivie de Regie",
"Ryuichi Sawada",
"Dai Kobayashi",
"Y Shimogama",
"M Lefebvre",
"Ondrej Penc",
"Alexandra Tudorache",
"Mario Campanelli",
"N S Dann",
"Yoichi Ninomiya",
"C Becot",
"Tomasz Bold",
"D Lacour",
"Markus Schumacher",
"Saverio D'Auria",
"D Tsybychev",
"P Bartos",
"D Du",
"H Herde",
"A C Dudder",
"I Siral",
"Andreas Kugel",
"Andrej Gorisek",
"M Alvarez Estevez",
"Ankita Mehta",
"B Achkar",
"Manuel Silva",
"Ian Peter Joseph Shipsey",
"Vincent Garonne",
"A Duperrin",
"A Durglishvili",
"A Emerman",
"B Lund-Jensen",
"F Backman",
"Maike Christina Hansen",
"C M Gee",
"Ligang Xia",
"T Nobe",
"Konstantinos Papageorgiou",
"G Polesello",
"Silvia Resconi",
"L Truong",
"Shlomit Tarem",
"Craig Buttar",
"J Geisen",
"M Nessi",
"N Huseynov",
"S Mohapatra",
"Claude Leroy",
"Patrick Moriishi Freeman",
"Stephen Kam-wah Chan",
"T Scanlon",
"J T P Burr",
"Mario Martinez Perez",
"A S Mete",
"D Derendarz",
"S Tokar",
"K H Mankinen",
"F Krieter",
"K Beloborodov",
"J A Valls Ferrer",
"Shawn Patrick Mc Kee",
"Pavel Tsiareshka",
"N I Zimine",
"H J Moss",
"Wen Yi Song",
"You Zhou",
"C Gwenlan",
"Weitao Wang",
"Juergen Dr Thomas",
"H Wahlberg",
"S V Peleganchuk",
"K Gregersen",
"Jiang-Xing Chen",
"Thomas Daniel Weston",
"R B Nickerson",
"J Wollrath",
"S Angelidakis",
"Peter Jenni",
"J Crane",
"C Moreno Martinez",
"M Bajic",
"A Warburton",
"A Blue",
"H M Borecka-Bielska",
"P Reznicek",
"Hicham Atmani",
"D C O'Neil",
"G Darbo",
"X Chu",
"N E Pettersson",
"Marco Rimoldi",
"H Kurashige",
"H Hamdaoui",
"V A Bednyakov",
"J L Oliver",
"A V Vaniachine",
"P Bokan",
"J Urban",
"R Takashima",
"H C Beck",
"F Barreiro",
"D Sperlich",
"F Hinterkeuser",
"H Abreu",
"Michael Huebner",
"Jerome Samarati",
"Boyang Li",
"M Kaneda",
"J M Hays",
"M V Chizhov",
"V Fabiani",
"Santiago Gonzalez de la Hoz",
"Yongqi Gao",
"Alessandra Ciocio",
"H Mildner",
"Yanhui Ma",
"T Nitta",
"Xiangyang Ju",
"R Gugel",
"Chenliang Wang",
"S Valentinetti",
"C Feng",
"P Horn",
"F Petrucci",
"F Vazeille",
"M J R Olsson",
"T S Lau",
"B Heinemann",
"Shih-Chang Lee",
"Fabrizio Alfonsi",
"Klaus Moenig",
"V D'Amico",
"S Tisserant",
"V A Mitsou",
"Jin Wang",
"A Camplani",
"K A Johns",
"Kazuki Yajima",
"Ondrej Hladik",
"Krisztian Peters",
"Simon Schmitz",
"D A DeMarco",
"J Caudron",
"E Cheu",
"Uli Schaefer",
"Dmitri Dedovich",
"Philippe Farthouat",
"L Helary",
"Alan Kahn",
"Albert Olariu",
"R A Creager",
"A Sidoti",
"Reina Coromoto Camacho Toro",
"Horst Oberlack",
"Toyonobu Okuyama",
"U Landgraf",
"A S Bell",
"M Schioppa",
"Ziyu Guo",
"Hucheng Chen",
"A Buzatu",
"M Marcisovsky",
"Ming-chung Chu",
"Jingbo Ye",
"H S Hayward",
"T G Zorbas",
"Ioulian Budagov",
"Juerg Beringer",
"E M Farina",
"A Sahu",
"Hugh H Williams",
"Albert Francis Casha",
"L Rinaldi",
"D M S Sultan",
"S Bressler",
"Giovanna Lehmann Miotto",
"C Papadatos",
"P Czodrowski",
"Elias Coniavitis",
"A L Steinhebel",
"D Cinca",
"T R Van Daalen",
"K Iordanidou",
"F Dittus",
"Michal Racko",
"S Hassani",
"S Popa",
"Maurice Becker",
"Juan Antonio Aguilar Saavedra",
"M Lisovyi",
"Aaron White",
"V Croft",
"B Ngair",
"M Livan",
"T Djobava",
"F Ceradini",
"Massimo Corradi",
"Ladislav Chytka",
"G Gagliardi",
"P A Delsart",
"Nacim Haddad",
"David Rousseau",
"I Sykora",
"M Grandi",
"Alexander L Read",
"Enrico Tassi",
"Iwona Grabowska-Bold",
"Peter Love",
"S Alderweireldt",
"Jorge Andres Lopez Lopez",
"T Pham",
"H Arnold",
"E Cavallaro",
"Remco Castelijn",
"Yesenia Hernandez Jimenez",
"M Hance",
"L Portales",
"L Longo",
"Markus Atkinson",
"Paul Harrison",
"P Murin",
"Helio Takai",
"E Bergeaas Kuutmann",
"M Trovatelli",
"Konstantinos Kordas",
"Yoichi Ikegami",
"P Conde Muino",
"H Otono",
"Johannes Erdmann",
"S Kortner",
"A Lipniacka",
"G Brooijmans",
"E Nibigira",
"S A Cetin",
"C Rizzi",
"V S Bobrovnikov",
"G Spigo",
"Fabrizio Trovato",
"A K Morley",
"J Montejo Berlingen",
"S Guindon",
"N Andari",
"L Schoeffel",
"A Hadef",
"D A Millar",
"Sascha Caron",
"J Hejbal",
"M LeBlanc",
"A S Drobac",
"A Marantis",
"F Sauerburger",
"R Zou",
"Felix Buehrer",
"Marumi Kado",
"C Bittrich",
"Anne Kathrin Becker",
"Nicolas Maximilian Koehler",
"M Talby",
"J-F Arguin",
"S Richter",
"Benedict Allbrooke",
"Pierre Savard",
"A Bethani",
"Cheuk Yee Lo",
"Marcin Wolter",
"F Bauer",
"David Berge",
"M A Chelstowska",
"Sergei Malyukov",
"T Ekelof",
"Eduardo Valdes Santurio",
"Federico Scutti",
"A Petridis",
"Max Fredrik Isacson",
"D Benjamin",
"Karolos Potamianos",
"N Orlando",
"Panagiotis Gkountoumis",
"C Allaire",
"Roger L Jones",
"E Vilucchi",
"M Escalier",
"Magdalena Slawinska",
"Verena Martinez Outschoorn",
"S Bruno",
"G Gonella",
"A Vallier",
"Sarah Jones",
"Fred Luehring",
"E Pianori",
"S Sacerdoti",
"A C Martyniuk",
"M Holzbock",
"L Kashif",
"M Trzebinski",
"M Cano Bret",
"N Berger",
"Huijun Zhang",
"Thomas Maier",
"Daniel Guest",
"D Hayden",
"G Duckeck",
"Matthew Craig Mondragon",
"D Duda",
"Y Rozen",
"Savannah Thais",
"Jun Gao",
"Gueorgui Chelkov",
"L Pezzotti",
"Takashi Kubota",
"M Gignac",
"Rimsky Rojas",
"J G Saraiva",
"M S Neubauer",
"Hanlin Xu",
"S Prince",
"Steven Schramm",
"M Vreeswijk",
"E Gorini",
"Jose Manoel de Seixas",
"Alexander David Sherman",
"Namgyun Jeong",
"M Zgubic",
"R Cherkaoui El Moursli",
"Heiko Lacker",
"Zhaoxu Xi",
"Zirui Wang",
"P Sherwood",
"Shima Shimizu",
"Alison Elliot",
"Frank Siegert",
"G Brandt",
"J T Kuechler",
"Z Rurikova",
"K P Mistry",
"E S Kuwertz",
"Jaroslav Guenther",
"Yan Wing Ng",
"Joany Andreina Manjarres Ramos",
"Natascha Savic",
"Giovanni Calderini",
"A Juste Rozas",
"U Schnoor",
"C M Helling",
"Ann Miao Wang",
"I I Tsukerman",
"Gordon T Watts",
"M Montella",
"Benjamin P Nachman",
"Minghui Liu",
"Yutaka Okazaki",
"I R Boyko",
"Ademar Tavares Delgado",
"D Goujdami",
"A Adiguzel",
"S V Chekulaev",
"C L Sotiropoulou",
"S Martin-Haugh",
"Vaclav Vacek",
"T Iizawa",
"V Araujo Ferraz",
"D Bruncko",
"V O Tikhomirov",
"T M Spieker",
"J Proudfoot",
"Adam Abed Abud",
"I Dawson",
"G Piacquadio",
"Umberto De Sanctis",
"Masahiro Morinaga",
"F Fischer",
"B P Jaeger",
"P E Sidebo",
"K D McLean",
"F Conventi",
"D C Abbott",
"Werner Freund",
"James H Cochran",
"A Calandri",
"W Wagner",
"J Dolejsi",
"F Dubinin",
"F Ledroit-Guillon",
"Liang Han",
"K R Schmidt-Sommerfeld",
"R Lafaye",
"Dalila Salamani",
"James Broughton",
"M Begalli",
"David Milstead",
"A R Buzykaev",
"A Ruiz-Martinez",
"R Hertenberger",
"Lianyou Shan",
"E Higon-Rodriguez",
"Alexander Zaitsev",
"V M Walbrecht",
"G Artoni",
"D M Rebuzzi",
"Anindya Ghosh",
"Yu-heng Chen",
"Samuel Silverstein",
"O Ricken",
"J Metcalfe",
"S Passaggio",
"N A Rusakovich",
"W R Cunningham",
"P Rieck",
"J A Raine",
"S Laplace",
"L J Levinson",
"S Burdin",
"Lucrezia Stella Bruni",
"Zinonas Zinonos",
"Rodrigo Araujo Pereira",
"V Cavasinni",
"D Hohn",
"Balazs Konya",
"A Mirto",
"V J Martin",
"A Tsirigotis",
"D Matakias",
"Rotem Barnea",
"Rongkun Wang",
"E Hill",
"J Katzy",
"Andre Sopczak",
"Michael Ryan Clark",
"E Sauvan",
"J Rothberg",
"D Krauss",
"J Strandberg",
"O A Ducu",
"S Dahbi",
"A Mastroberardino",
"A Leisos",
"C S Pollard",
"S Monzani",
"Xingguo Li",
"Luka Kanjir",
"I A Connelly",
"David Michael South",
"E W Parrish",
"H Torres",
"Tohru Takeshita",
"Shuyang Hu",
"S Asai",
"Ana Maria Henriques Correia",
"E R Vandewall",
"Bing Zhou",
"A Haas",
"Bartosz Mindur",
"S Mehlhase",
"D Britzger",
"J Glatzer",
"Sergey Timoshenko",
"T Koi",
"David Francis",
"Itsuo Nakano",
"Saleh Sultanov",
"S K Boutle",
"Katie L Whalen",
"Jun-ming Liu",
"F Giuli",
"Xiaohu Sun",
"K Wraight",
"David R Wardrope",
"A Sansoni",
"J E Mdhluli",
"G Sekhniaidze",
"R Lysak",
"C Padilla Aranda",
"Ryan Christopher Edgar",
"R Pedro",
"Vladimir Smakhtin",
"Natalia Korotkova",
"S Ghasemi",
"F U Bernlochner",
"Avik Roy",
"L Adamczyk",
"S Czekierda",
"W K Di Clemente",
"I Ibragimov",
"J Nielsen",
"T Koffas",
"M Bona",
"B Stanislaus",
"V W S Wong",
"H Cai",
"Randall J Sobie",
"E F Kay",
"K Dette",
"W Islam",
"I Nikolic-Audit",
"J A Mcfayden",
"B J Gallop",
"M Stamenkovic",
"Joao Guimaraes da Costa",
"G Carducci",
"M Morgenstern",
"Claire Alexandra Lee",
"G S Virdee",
"Mariyan Petrov",
"D Boye",
"F Djama",
"F Sforza",
"J Bortfeldt",
"E M Asimakopoulou",
"J Leveque",
"T Barklow",
"A Sfyrla",
"Dj Sijacki",
"R Madar",
"K M Ciesla",
"Nico de Groot",
"M Milesi",
"D Ponomarenko",
"Vasilis Konstantinides",
"S Berlendis",
"E Von Toerne",
"David William Thomas",
"T P Charman",
"Laura Havener",
"Erez Etzion",
"Phillip Allport",
"Heling Zhu",
"N Ellis",
"Matteo Mario Beretta",
"J Hobbs",
"Adam J Parker",
"R Vuillermet",
"G Fanourakis",
"U Parzefall",
"T Sykora",
"Marco Aurelio Diaz",
"B Trocme",
"Da Xu",
"M Birman",
"P Grenier",
"Seog Oh",
"C A Marin Tobon",
"W Y Chan",
"Harinder Singh Bawa",
"W Davey",
"A Jelinskas",
"Y Coadou",
"A Basalaev",
"Wladyslaw Dabrowski",
"M T Anthony",
"Cristinel Diaconu",
"C Helsens",
"J C Honig",
"Hongbo Zhu",
"A R Chomont",
"Sw Banerjee",
"I Yeletskikh",
"D Boumediene",
"N P Dang",
"A Chitan",
"S L Lloyd",
"Stefan Schlenker",
"S Todorova-Nova",
"J Cantero",
"A Betti",
"Stanislav Pospisil",
"Peter van Gemmeren",
"Alberto Aloisio",
"Graham Richard Lee",
"Ricardo Woelker",
"Sergey Kuleshov",
"V R Pascuzzi",
"Takuya Tashiro",
"Lei Zhang",
"Kenji Hamano",
"Stephen McMahon",
"A M Sickles",
"Sanghoon Lim",
"G Mchedlidze",
"William P McCormack",
"V Kitali",
"D Benchekroun",
"D A Trischuk",
"M Aleksa",
"Alexander Leopold",
"Lailin Xu",
"J A Krzysiak",
"Prajita Bhattarai",
"Ilija Vukotic",
"J Boudreau",
"Gianpaolo Carlino",
"Maximilian Georg Herrmann",
"T Meideck",
"Matthias Wittgen",
"J Kanzaki",
"E Khramov",
"James Monk",
"G Gaudio",
"Michel Vetterli",
"Jiawei Wang",
"A S Chisholm",
"M Vanadia",
"Takahiko Kondo",
"B Lenzi",
"M Aliev",
"A Boveia",
"A R Cukierman",
"A Nisati",
"V A Kantserov",
"Charles Delporte",
"G J Bobbink",
"P Dervan",
"J S Bonilla",
"Stefania Spagnolo",
"Zhidong Zhang",
"Andreas Rummler",
"Haijun Yang",
"Douglas Davis",
"P Kluit",
"Y Amaral Coutinho",
"P Wagner",
"I Nomidis",
"Andre Marc Hupe",
"M Mlynarikova",
"D G Charlton",
"C Malone",
"L Adam",
"R Les",
"M Capua",
"Christopher Ryan Anelli",
"C M Macdonald",
"P C McNamara",
"Matthew J Sullivan",
"J J Heinrich",
"Kyle James Read Cormier",
"I L Gavrilenko",
"Bowen Zhang",
"Artur Cardoso Coimbra",
"Yumeng Cao",
"G Ripellino",
"V Tudorache",
"S Jiggins",
"Hai-ping Peng",
"S Kido",
"F Balli",
"Andrew Geoffrey Foster",
"Charles Young",
"R A Vallance",
"D Su",
"E C Graham",
"Francesco Lanni",
"K Han",
"Yan-ping Huang",
"P Bellos",
"Shunsuke Adachi",
"S Gentile",
"A S Tee",
"D Zerwas",
"G Zobernig",
"Benjamin Pearson",
"S Tapia Araya",
"Martin D Sykora",
"M Spalla",
"J E Derkaoui",
"W Lampl",
"G Aielli",
"Michael Rijssenbeek",
"H K Hadavand",
"S Cabrera Urban",
"Andreas Salzburger",
"Andrew White",
"H A Gordon",
"V Vorobel",
"Paolo Calafiura",
"Adrian Bevan",
"Pavel Starovoitov",
"L Feligioni",
"V V Sulin",
"Alexander Oh",
"Saijie Chen",
"A Salnikov",
"M Muskinja",
"Kaushik De",
"Fabian Wilk",
"C Di Donato",
"L M Scyboz",
"B Chargeishvili",
"Cheng Chen",
"E E Corrigan",
"J Balz",
"T Kwan",
"M Moreno Llacer",
"Lene Kristian Bryngemark",
"A Ferrer",
"A C Schaffer",
"P Mermod",
"D Pohl",
"G Facini",
"K Reeves",
"Moritz Kiehn",
"O Bulekov",
"K S Krowpman",
"P J Hsu",
"B Dutta",
"G Bella",
"Yongsheng Gao",
"Masahiro Yamatani",
"Alexandre Rozanov",
"Tomoaki Nakamura",
"Siegfried Bethke",
"Cinzia da Via",
"T Geralis",
"E Stanecka",
"G H A Viehhauser",
"R J Hawkings",
"M P Giordani",
"P R Newman",
"J B Hansen",
"Federico Meloni",
"Fan Li",
"V A Kramarenko",
"Yu-ting Shen",
"G Crosetti",
"Stefano Manzoni",
"Anthony Eric Lionti",
"Nicolo Magini",
"V V Kostyukhin",
"Peilian Liu",
"Junjie Zhu",
"Agostino Lanza",
"Nikolai Hartmann",
"Jose Luis Munoz Martinez",
"P Podberezko",
"E V Bouhova-Thacker",
"Norman Gee",
"I Pokharel",
"Katharina Behr",
"Suen Ray Hou",
"Timothy R Andeen",
"Jozsef A Toth",
"M Bomben",
"Matthew Feickert",
"Bruce Rafael Mellado Garcia",
"Nathan Allen Grieser",
"Xin Chen",
"M Villa",
"L Ambroz",
"M G Foti",
"F G Gravili",
"J Wagner-Kuhr",
"P U E Onyisi",
"Ioannis Maniatis",
"Ruslan Mashinistov",
"B Macek",
"Bing Li",
"D Oliveira Damazio",
"A Peyaud",
"A Taffard",
"Stefan Stonjek",
"Tim Michael Heinz Wolf",
"Quanyin Li",
"J Jongmanns",
"D M Portillo Quintero",
"F Tsai",
"R Leitner",
"P Pani",
"Cedric Serfon",
"Matthew Berg Epland",
"Emily L Nurse",
"K Schmieden",
"B M Wynne",
"R Pezoa",
"A Seiden",
"Takashi Mitani",
"S Rodriguez Bosca",
"B J Rosser",
"F Fabbri",
"Marcelo Ayumu Nomura",
"R Hyneman",
"M L Ojeda",
"T Klingl",
"A N Tuna",
"G E Orellana",
"Marcos Vinicius Silva Oliveira",
"J Lorenz",
"Sebastian Mario Weber",
"Joergen Sjoelin",
"D R Shope",
"Qun Ouyang",
"Fabrizio Napolitano",
"A Glazov",
"S M Shaw",
"A Maio",
"A Santra",
"J Kretzschmar",
"R Piegaia",
"Stefano Rosati",
"Steven Patrick Alkire",
"T Xu",
"J Dietrich",
"Gregor Kramberger",
"Tae Min Hong",
"J H Foo",
"Lydia Brenner",
"John Stakely Keller",
"Katharina Bianca Jakobi",
"Gen Kawamura",
"A Campoverde",
"J J Lozano Bahilo",
"Boping Chen",
"K Petukhova",
"D Melini",
"L Fiorini",
"Reinhild Yvonne Peters",
"S Raspopov",
"Alessandro Gabrielli",
"Kevin Thomas Bauer",
"O Brandt",
"Ke Li",
"L D Corpe",
"Janusz Chwastowski",
"B M Ciungu",
"Robert Langenberg",
"P Krieger",
"Gabriel Palacino",
"Y Abulaiti",
"A Guerguichon",
"M J Veen",
"F Schroeder",
"J Walder",
"T P A Akesson",
"G Cabras",
"S Muanza",
"C Kozakai",
"K Nagano",
"Anna Macchiolo",
"A Lounis",
"Namig Javadov",
"M Calvetti",
"Andrew Mark Wharton",
"G Bartolini",
"A Poley",
"L G Gagnon",
"Alan James Taylor",
"Guillermo Nicolas Hamity",
"Edisher Tskhadadze",
"L Marchese",
"P S Jackson",
"Matteo Franchini",
"A Catinaccio",
"I Korolkov",
"I Luise",
"M S Lutz",
"N Garelli",
"K O H Vadla",
"Andrew M Parker",
"Maurizio De Santis",
"N Scharmberg",
"Rob Roy MacGregor Fletcher",
"C Schiavi",
"Shreya Saha",
"R Nicolaidou",
"S Shirabe",
"Ren-jie Wang",
"Fabian Alexander Foerster",
"H Stenzel",
"Natalie Wieseotte",
"F Sopkova",
"Bruce Arnold Barnett",
"M Owen",
"C Bini",
"T Zakareishvili",
"M Donadelli",
"E Dreyer",
"S Morgenstern",
"A K Duncan",
"H L Snoek",
"Simona Serena Bocchetta",
"D L Briglin",
"Akanksha Vishwakarma",
"Elena V Plotnikova",
"Sebastian Grinstein",
"Michael Barnett",
"John Huth",
"S Ganguly",
"M Robin",
"M Pitt",
"Wolfgang Mader",
"Ehud Duchovni",
"A Sbrizzi",
"Pepijn Bakker",
"M Backes",
"Aidan Robson",
"W Fedorko",
"E D Mendes Gouveia",
"O Miu",
"Ghita Rahal",
"R J Atkin",
"M Guth",
"J Jimenez Pena",
"Flavia de Almeida Dias",
"Marco Delmastro",
"G Stoicea",
"Zhi Zhao",
"J F P Schouwenberg",
"D Mori",
"J J Junggeburth",
"M H Klein",
"R Mazini",
"Paul Nilsson",
"Sean J Wang",
"S Suchek",
"Nadav Michael Tamir",
"Javier Esteban Salazar Loyola",
"Richard Nisius",
"B Cole",
"B Vachon",
"Yi Fang",
"R J Teuscher",
"Xanthe Hoad",
"H R Nindhito",
"D Bogavac",
"M Hirose",
"S Loffredo",
"Pierre Beauchemin",
"Francesca Ungaro",
"M Levchenko",
"J C Burzynski",
"P S Miyagawa",
"N Fomin",
"James Howarth",
"M Bedognetti",
"N Zakharchuk",
"A A Talyshev",
"O Kivernyk",
"N B Atlay",
"D C Frizzell",
"V Dao",
"K Jon-And",
"L Serin",
"Tobias Bisanz",
"A Coccaro",
"Anjali Krishnan",
"Michael Dueren",
"Stephan Hageboeck",
"J Maeda",
"Elias Michael Ruettinger",
"Val O'Shea",
"C O Sander",
"Mauro Citterio",
"Rebecca Hayley Pickles",
"C Hayes",
"M Lokajicek",
"Y Horii",
"C Vergis",
"S Hirose",
"D Paredes Hernandez",
"M Ishino",
"C M Delitzsch",
"J Jia",
"M Myska",
"F Beisiegel",
"Yusheng Wu",
"J Beyer",
"Mathieu Benoit",
"G Azuelos",
"M Cristinziani",
"Elena Korolkova",
"B W Whitmore",
"R Gamboa Goni",
"D V Perepelitsa",
"Junichi Tanaka",
"Adam James Bozson",
"Bill Murray",
"Kenta Uchida",
"G L Alberghi",
"Evangelos N Gazis",
"D Caforio",
"S Tsuno",
"Sebastian Andreas Merkt",
"A Kastanas",
"Andreas Sogaard",
"Z A Meadows",
"A Manousos",
"J Dickinson",
"R T Roberts",
"Giulia Giannini",
"A G Goussiou",
"I Manthos",
"Yee Chinn Yap",
"M M Perego",
"Jos C Vermeulen",
"Christoph Amelung",
"T J A Chevalerias",
"N Hod",
"Robert Richter",
"D Price",
"Urmila Soldevila Serrano",
"T Moskalets",
"J Boyd",
"Tancredi Carli",
"J Reichert",
"J R Catmore",
"B H Smart",
"P Mogg",
"Marek Palka",
"O Biebel",
"C B Gwilliam",
"O Sasaki",
"W D Heidorn",
"Roberto Iuppa",
"Y Yamaguchi",
"W J Fawcett",
"A Cortes-Gonzalez",
"A Bandyopadhyay",
"Antonio De Maria",
"S H Abidi",
"M K Bugge",
"Xinchou C Lou",
"F Ahmadov",
"A J Armbruster",
"M Garcia-Sciveres",
"S Rajagopalan",
"Ralph Soeren Peter Mueller",
"C J E Suster",
"Rafael Teixeira de Lima",
"A Milic",
"Tommaso Lari",
"Cherifa Sabrina Amrouche",
"J Veatch",
"Adam Kaluza",
"Debottam Bakshi Gupta",
"Nishu Nishu",
"Akihiro Higashida",
"Chiara Meroni",
"Wen Guan",
"K Bierwagen",
"Jochen Meyer",
"Q Buat",
"J G Panduro Vazquez",
"A M Deiana",
"Samuel Joseph Crawley",
"I Ravinovich",
"F Scuri",
"U Kruchonak",
"Changqiao Li",
"Wenxiao Wang",
"A Murrone",
"Johanna Lena Gramling",
"Sally Seidel",
"Luis Roberto Flores Castillo",
"B T Winter",
"B Parida",
"A Krasznahorkay",
"Gerald Oakham",
"Koji Nakamura",
"F Gonnella",
"A Lleres",
"A P O'neill",
"D Pallin",
"Zakaria Chadi",
"R Poettgen",
"N Besson",
"Mario Jose Da Cunha Sargedas De Sousa",
"P G Scholer",
"R Ferrari",
"A G Myagkov",
"Lino Oscar Gerlach",
"D R Hlaluku",
"R Slovak",
"H Sandaker",
"C Rembser",
"Stefanie Todt",
"M Sessa",
"T Kono",
"Jens Janssen",
"James Beacham",
"A Bocci",
"D Biswas",
"Antonio Onofre",
"A E Bolz",
"O Zenin",
"L Bellagamba",
"K K Hill",
"C Merlassino",
"P Ferrari",
"N Ilic",
"Hongxuan Li",
"K Lehmann",
"L P Rossi",
"T Kaji",
"Marija Marjanovic",
"Marcin Stolarski",
"J Olszowska",
"Yun-ju Lu",
"Stan Thompson",
"E Schopf",
"V Tsulaia",
"Traian Tulbure",
"A J Beddall",
"M Michetti",
"T Seiss",
"E Lytken",
"Andrii Verbytskyi",
"D R Zaripovas",
"K W Janas",
"Nicolin Govender",
"Marko Mikuz",
"M Verducci",
"Igor Mandic",
"Alice Polyxeni Morris",
"M Bosman",
"C D Sebastiani",
"Y Sano",
"John Alison",
"Ilkay Turk Cakir",
"V P Maleev",
"M Stankaityte",
"M Pinamonti",
"Marta Losada",
"Rob Henderson",
"M Vranjes Milosavljevic",
"L Sawyer",
"M W Krasny",
"J Hoya",
"S Prell",
"M Kolb",
"C Marcon",
"V Kukhtin",
"James E Brau",
"C P Bee",
"Mogens Dam",
"Lucia Pedraza Diaz",
"Harald Stelzer",
"T C Herwig",
"Riccardo de Asmundis",
"M Klassen",
"E J Feng",
"P Steinberg",
"Andrey A Sapronov",
"M Demichev",
"T J Burch",
"Daniele Fournier",
"P Sicho",
"Gregory Barbour",
"J Roloff",
"Alessandra Forti",
"D Sampsonidis",
"Carsten Dulsen",
"Atsuhiko Ochi",
"C Sbarra",
"K Suruliz",
"Jing-sheng Li",
"M D'Onofrio",
"Jafar Shojaii",
"Hadar Yosef Cohen",
"Dylan Perry Kisliuk",
"J Damp",
"B M Waugh",
"Dan Ping Huang",
"M Queitsch-Maitland",
"G Redlinger",
"H Meyer Zu Theenhausen",
"Mz Zhou",
"G Otero y Garzon",
"G R Gledhill",
"K A Looper",
"T Megy",
"W Buttinger",
"D Gillberg",
"V A Schegelsky",
"N P Hessey",
"Anum Qureshi",
"C Zeitnitz",
"Michael Duehrssen",
"K Lie",
"X Zhuang",
"Zijun Xu",
"Marcel Weirich",
"M Negrini",
"I M Gregor",
"S Franchino",
"X Wu",
"G Ucchielli",
"William Dmitri Breaden Madden",
"Peter John Bussey",
"M J Basso",
"E Pasqualucci",
"F Veloso",
"C Vittori",
"S Groh",
"V E Ozcan",
"L Masetti",
"Daniel James Lewis",
"Sergey P Denisov",
"Antonio Zoccoli",
"T R V Billoud",
"J Assahsah",
"A Fell",
"M Vozak",
"Alexander Fenyuk",
"A Puri",
"E Banas",
"Henrik Oppen",
"Francesco M Follega",
"P T Koenig",
"Gerald Eigen",
"I Hristova",
"A Cheplakov",
"Y Benhammou",
"M Lavorgna",
"Kristian Bjoerke",
"Christopher Blake Martin",
"Vadim Gratchev",
"A Schulte",
"E E Khoda",
"S Lai",
"Tadej Novak",
"N Benekos",
"Andreas Hoecker",
"Miguel Villaplana Perez",
"M Renda",
"A E Barton",
"A Cerri",
"Patrick Puzo",
"H Son",
"Vivek Jain",
"Nicola Venturi",
"Maria Ines Abreu Juliao Ochoa De Castro",
"Massimo Lazzaroni",
"V Cavaliere",
"E B Diehl",
"Stefan Gadatsch",
"Jan-Hendrik Arling",
"David Calvet",
"Antonella De Santo",
"Alexander Sydorenko",
"Yicong Huang",
"G Barone",
"J Ferrando",
"M Bauce",
"T R Wyatt",
"James Pinfold",
"John A Wilson",
"M Wessels",
"N Viaux Maira",
"Z M Schillaci",
"B Abbott",
"J D Little",
"L N Smirnova",
"J Ouellette",
"O V Solovyanov",
"Hao Liu",
"A Kamenshchikov",
"K E Schleicher",
"Ariella Cattai",
"F Cirotto",
"Rui Wang",
"Patricia Ward",
"William Trischuk",
"D M Handl",
"Keisuke Yoshihara",
"V Lyubushkin",
"Huajie Cheng",
"Tomomi Kawaguchi",
"S Demers",
"F Lasagni Manghi",
"Anatoly V Borisov",
"R T Turra",
"Qing Wang",
"K Kawade",
"K Al Khoury",
"Sarah Williams",
"Zach Marshall",
"A Knue",
"A Gavrilyuk",
"I A Minashvili",
"N L Woods",
"Tadashi Maeno",
"S D Worm",
"Fairouz Malek",
"H Nanjo",
"Halina Abramowicz",
"Xueyao Zhang",
"J M P Pasner",
"Gregorio Bernardi",
"T Lagouri",
"Ruchi Gupta",
"Hubert Kroha",
"T G McCarthy",
"A S Kozhin",
"K Maj",
"D Delgove",
"K K Heidegger",
"Stephen Albert Weber",
"Hongtao Yang",
"J J Teoh",
"Douglas M Gingrich",
"T Klioutchnikova",
"M Scornajenghi",
"Volker Buescher",
"M Schernau",
"Valerio Vercesi",
"Jonathan Jamieson",
"Andreas Honle",
"R Brock",
"Antonio Gaudiello",
"Balthasar Schachtner",
"K Bouaouda",
"Tomio Kobayashi",
"Elzbieta Richter-Was",
"Stefano De Castro",
"M Barbero",
"Rinat Fakhrutdinov",
"Alexander Mann",
"G Maccarrone",
"Sigve Haug",
"M Cavalli-Sforza",
"G Zemaityte",
"C J Potter",
"Fuquan Wang",
"Benoit Lefebvre",
"A Dattagupta",
"S Perrella",
"S Diez Cornell",
"Sourav Sen",
"W S Ahmed",
"J A Sabater Iglesias",
"A G Kharlamov",
"D Sampsonidou",
"Hans-Christian Schultz-Coulon",
"Tetsuro Mashimo",
"Yuji Yamazaki",
"P Berta",
"M P J Landon",
"K G Tomiwa",
"C A Gottardo",
"Jue Chen",
"C Clement",
"F Hubaut",
"Christopher Grud",
"E B Rye",
"L L Kurchaninov",
"A Bingul",
"D Iliadis",
"A V Anisenkov",
"Y Tu",
"Richard Batley",
"R Keeler",
"J Khubua",
"C Escobar",
"S Nemecek",
"J C Rivera Vergara",
"Zdenek Dolezal",
"M Vogel",
"Lorenzo Paolozzi",
"Karsten Koeneke",
"Frank Filthaut",
"G Borissov",
"Amal Vaidya",
"Tony Doyle",
"C Guyot",
"Gerardo Alexis Vasquez Arenas",
"Laurent Chevalier",
"Michael Kagan",
"Veronika Magerl",
"B Liberti",
"Paola Giannetti",
"Liv Antje Mari Wiik",
"Zvi Hirsh Citron",
"O Cakir",
"Francesco La Ruffa",
"Tomohiro Yamazaki",
"D P C Sankey",
"T Moa",
"L Poggioli",
"Bartlomiej Zabinski",
"I N Potrap",
"R E Long",
"J Llorente Merino",
"Gavin Hesketh",
"Geoffrey N Taylor",
"Mercedes Minano",
"D Cieri",
"J Fuster",
"C Arcangeletti",
"F Costanza",
"F J Munoz Sanchez",
"Ahmed Hasib",
"Chiao-ying Lin",
"Emily Marie Duffield",
"Marcus De Beurs",
"A Romaniouk",
"M Goblirsch-Kolb",
"S Parajuli",
"Liming Zhang",
"J -F Grivaz",
"Alberto Stabile",
"Stefan Kluth",
"Yi Fei Han",
"Carlos Sandoval Usme",
"Giora Mikenberg",
"M Saimpert",
"R Leone",
"S Gkaitatzis",
"Yanyun Duan",
"A Dubreuil",
"Evgenii Baldin",
"Mikhail Mineev",
"R S Orr",
"S Oerdek",
"R Schwienhorst",
"P M Gravila",
"N Makovec",
"Sergei V Chekanov",
"G Gilles",
"D Zieminska",
"Augusto Santiago Cerqueira",
"R Kehoe",
"G E Gallardo",
"M Bahmani",
"K Bachas",
"Javier Sanchez",
"V Tsiskaridze",
"Wei Wang",
"Konstantinos Nikolopoulos",
"S Calvet",
"G A Popeneciu",
"I Fleck",
"M Havranek",
"C Haber",
"O Majersky",
"E Reynolds",
"Troels C Petersen",
"Luciano Manhaes de Andrade Filho",
"O Kepka",
"B Galhardo",
"Maximilian Hils",
"Azzah Aziz Alshehri",
"Francesco Ragusa",
"M Pettee",
"Haifeng Li",
"Stephen Gibson",
"D Reikher",
"Olympia Dartsi",
"D Ta",
"Roberto Cardarelli",
"O J Winston",
"Yoshinobu Unno",
"A Koulouris",
"T J Khoo",
"A Gongadze",
"Robert McPherson",
"Giordon Stark",
"R Wolff",
"D Muenstermann",
"O Arnaez",
"J D Shahinian",
"B Yabsley",
"K Rosbach",
"F Cormier",
"Francesco Crescioli",
"Andrea Dell'Acqua",
"E C Hanson",
"P Y Nechaeva",
"T Vazquez Schroeder",
"R E Ticse Torres",
"X Xiao",
"G Navarro",
"Siqi Yang",
"C Glasman",
"R Stroynowski",
"T Rashid",
"R Di Sipio",
"A M Rodriguez Vera",
"Wolfgang Walkowiak",
"Tigran Mkrtchyan",
"C Goy",
"A Khodinov",
"Theodoros Alexopoulos",
"P Seema",
"P Gadow",
"C Bernius",
"L Cerda Alberich",
"Daniel Joseph Antrim",
"Rui Miguel Faisca Rodrigues Pereira",
"P Bagnaia",
"R Vari",
"C D Burgard",
"T A Schwarz",
"V S Martoiu",
"Steven J Lee",
"J Stupak",
"Debarati Roy",
"Q Hu",
"R Moles-Valls",
"Christophe Deutsch",
"T Dado",
"A Cervelli",
"Thomas Daubney",
"A Yamamoto",
"D Vannicola",
"P Francavilla",
"A Bitadze",
"Yakov Petrovich Kulinich",
"L Jeanty",
"D Scheirich",
"David Britton",
"A A Solodkov",
"P Skubic",
"S George",
"K Kroeninger",
"A Paramonov",
"E Sideras Haddad",
"M J Kareem",
"C Petridou",
"V Pleskot",
"W M Barbe",
"C Fukunaga",
"L Fayard",
"O Novgorodova",
"A Rimoldi",
"Y Kulchitsky",
"M A B Do Vale",
"M Rybar",
"C Agapopoulou",
"M Primavera",
"K Ntekas",
"D Cameron",
"I Wingerter-Seez",
"F Huegging",
"Nuno Filipe Castro",
"M Feng",
"T Wengler",
"K Karava",
"D Kar",
"J Qian",
"J Jovicevic",
"D Amidei",
"S Balaji",
"Xuan Yang",
"Ketevi Adikle Assamagan",
"Zihao Jiang",
"E P Takeva",
"H Kagan",
"H Evans",
"I A Cioara",
"N Nikiforou",
"S Rettie",
"Johann Collot",
"Sabine Lammers",
"B Giacobbe",
"A Angerami",
"J Chudoba",
"D Strom",
"Andrea Formica",
"D Schaile",
"Yoshiji Yasu",
"M Dubovsky",
"S D Walker",
"Xiaoguang Yue",
"C Anastopoulos",
"James A Mueller",
"Ulf Fredrik Mikael Martensson",
"G Gessner",
"M Spina",
"J D Bossio Sola",
"Zineb El Idrissi",
"E Zaffaroni",
"Torre J Wenaus",
"David M Malon",
"J Haley",
"F Spano",
"E D Resseguie",
"E J Thorpe",
"S Bentvelsen",
"Abhishek Sharma",
"Christopher Willis",
"M A L Leite",
"A F Webb",
"Wouter Verkerke",
"V Vecchio",
"S Shrestha",
"D Hohov",
"S Meehan",
"Evangelos Kourlitis",
"C Wiglesworth",
"P F Giraud",
"Borut Paul Kersevan",
"S Wenig",
"Anthony Salvucci",
"O L Rezanova",
"Bingxuan Liu",
"Fabricio Andres Jimenez Morales",
"F He",
"B T Carlson",
"P Pralavorio",
"V Ippolito",
"Konrad Kleinknecht",
"M C Stockton",
"Tobias Kupfer",
"F Winklmeier",
"Laura Fabbri",
"Andres Ramirez Morales",
"C Camincher",
"Luca Martinelli",
"L Roos",
"Matej Kocian",
"M Testa",
"D P Spiteri",
"M N Agaras",
"C Dallapiccola",
"A Schoening",
"R Spighi",
"J Maurer",
"P Sinervo",
"S Xella",
"R Stamen",
"S A Stucci",
"R Novotny",
"M Shimojima",
"L M Veloce",
"Gabriel Demontigny",
"P J Falke",
"A Sanchez Pineda",
"D Lynn",
"S Wahdan",
"E Brost",
"M J White",
"B D Seidlitz",
"Andrzej Olszewski",
"N Vranjes",
"Lamberto Luminari",
"D Duvnjak",
"P Kodys",
"Y Tayalati",
"G Zacharis",
"Abdeslam Hoummada",
"P Fleischmann",
"Christian Bohm",
"Piotr Janus",
"T Adye",
"Shu Li",
"Cheng Guang Zhu",
"A Lopez Solis",
"P Gutierrez",
"D Hirschbuehl",
"N Lorenzo Martinez",
"Y A Kurochkin",
"Shan Jin",
"G Mornacchi",
"J T Baines",
"L K Schildgen",
"Adriaan Koenig",
"Tristan Beau",
"L Asquith",
"Maria Smizanska",
"C P A Roland",
"Mattias Ellert",
"J Dingfelder",
"F Schenck",
"T Cuhadar Donszelmann",
"Z M Karpova",
"Othmane Rifki",
"R Ospanov",
"Gunnar Jakel",
"N Rompotis",
"A Soloshenko",
"J Myers",
"Carmen Maidantchik",
"E M Freundlich",
"M Rescigno",
"K Ran",
"O L Fedin",
"W H Hopkins",
"Peng Huo",
"W Wiedenmann",
"K Hanagaki",
"M Shiyakova",
"Mason Proffitt",
"M Tsopoulou",
"M J Shochet",
"E Lancon",
"K Krizka",
"Maria Jose C Costa",
"A Smykiewicz",
"Jonathan David Long",
"C Kourkoumelis",
"Jana Schaarschmidt",
"R Bielski"
],
"corpus_id": 225616982,
"doc_id": "225616982",
"n_citations": 37,
"n_key_citations": 0,
"score": 0,
"title": "Search for the HH b\\overline{b}b\\overline{b} process via vector boson fusion production using proton proton collisions at \\sqrt{s} 13 TeV with the ATLAS detector",
"venue": "",
"year": 2020
},
{
"abstract": "A search for supersymmetry through the pair production of electroweakinos with mass splittings near the electroweak scale and decaying via on shell W and Z bosons is presented for a three lepton fi",
"author_names": [
"Georges Aad",
"A Kupco",
"Samuel Nathan Webb",
"T Dreyer",
"Yufeng Wang",
"Karl Jakobs",
"Brian Le",
"M Spousta",
"M Cobal",
"Peilong Wang",
"S Schmitt",
"Jaroslava Schovancova",
"A Bassalat",
"Matej Melo",
"M Shapiro",
"G Tarna",
"S Zimmermann",
"T Eifert",
"L Rehnisch",
"S Kuday",
"F Legger",
"M Sioli",
"L Cerrito",
"N Bruscino",
"Filippo Maria Giorgi",
"J Huston",
"T Sumida",
"Steven Hugh Robertson",
"R Goncalo",
"A A Snesarev",
"L La Rotonda",
"Dirk Duschinger",
"James Oscar Thomas",
"E Carquin",
"Y W Y Ng",
"S Crepe-Renaudin",
"J A Parsons",
"W K Balunas",
"Yu A Tikhonov",
"M K Ayoub",
"J A Aparisi Pozo",
"C Mwewa",
"David Harry Miller",
"A A Ivina",
"P Mastrandrea",
"Jan-Ulf Mjoernmark",
"W A Leight",
"Auke-Pieter Colijn",
"Liaoshan Shi",
"Michael E Nelson",
"Karel Cerny",
"N Warrack",
"Hans Krueger",
"J Ocariz",
"Markus Nordberg",
"C Weber",
"Daniela Bortoletto",
"A J Lankford",
"N B Sinev",
"Stefan Tapprogge",
"F Parodi",
"T Masubuchi",
"D E Ferreira Lima",
"T J LeCompte",
"Shenjian Jammy Chen",
"Souad Batlamous",
"Tim Martin",
"J Poveda",
"C Roda",
"Tuan Nguyen Manh",
"M Ouchrif",
"Krzysztof Korcyl",
"T Lyubushkina",
"C Grefe",
"P Tipton",
"F F Klitzner",
"Alberto Valero",
"T Kishimoto",
"K Kawagoe",
"H Bachacou",
"Alfonso Policicchio",
"Franziska Iltzsche Speiser",
"S Zambito",
"S N Karpov",
"P Strizenec",
"Nathan Rogers Bernard",
"Christopher Michael Lester",
"D W Casper",
"S Ketabchi Haghighat",
"Jose Garcia Navarro",
"B Di Micco",
"Stefan Koperny",
"L Schaefer",
"C Bertella",
"Ph Schwemling",
"M Vos",
"E Meoni",
"T R Holmes",
"I G Sanderswood",
"Zhi Zheng",
"Z Hubacek",
"C Doglioni",
"Andrew Ferrante",
"L Vigani",
"Abhishek Nag",
"A S Boldyrev",
"S R Maschek",
"J Stark",
"E V Yatsenko",
"P Gessinger-Befurt",
"M Kuze",
"B H Hooberman",
"S Carra",
"K Pachal",
"David Costanzo",
"M J Fenton",
"Jesse Liu",
"Alexei Klimentov",
"Simone Pagan Griso",
"Ilias Panagoulias",
"T B Huffman",
"Hongbin Liu",
"T Kuhl",
"G Gustavino",
"Mateusz Dyndal",
"F An",
"M Antonelli",
"B Malaescu",
"D K Abhayasinghe",
"K Grimm",
"D Zanzi",
"Michael Glenn Eggleston",
"V R Bailey",
"A Ezhilov",
"D P Yallup",
"A Bellerive",
"J Masik",
"Alena Loesle",
"L Adamek",
"L Barak",
"Dominique Godin",
"Giuseppe Iacobucci",
"Evgeny Shulga",
"B Gorini",
"J Heilman",
"D Zhong",
"John Butler",
"H Fox",
"S Grancagnolo",
"Hok Chuen Cheng",
"S A Olivares Pino",
"N Madysa",
"Kevin Matthew Black",
"Gregory Hallewell",
"L Franconi",
"L A Horyn",
"D Fassouliotis",
"Joshua Wyatt Smith",
"Alessandro Tricoli",
"M Dumancic",
"H Iwasaki",
"M Kuna",
"Michele Faucci Giannelli",
"B Stapf",
"T Cao",
"Michela Paganini",
"V Ellajosyula",
"I Pogrebnyak",
"E Petit",
"J Faltova",
"Yu Him Justin Chiu",
"Wen Guo",
"Stewart Patrick Swift",
"E Lipeles",
"A Ducourthial",
"Benedikt Bergmann",
"Sebastian Artz",
"M J Oreglia",
"Homer Alfred Neal",
"E Drechsler",
"K Einsweiler",
"Fernando Monticelli",
"S Giagu",
"E Kneringer",
"B Freund",
"H Duran Yildiz",
"Daniel Whiteson",
"Alastair Dewhurst",
"K Shaw",
"Yingchun Zhu",
"Steffen Maeland",
"Nicolo Vladi Biesuz",
"J Terron",
"D S Nielsen",
"M Ghasemi Bostanabad",
"Stephanie Pui Yan Yuen",
"V Castillo Gimenez",
"T Barillari",
"T J Neep",
"Francesco Peri",
"P J Clark",
"S Schier",
"K Vorobev",
"Julius Hrivnac",
"Martin Barisits",
"Takuto Kunigo",
"Alexander A Grillo",
"Stefano Camarda",
"T Dias Do Vale",
"R Kopeliansky",
"M Swiatlowski",
"N P Konstantinidis",
"Osamu Jinnouchi",
"Hartmut Sadrozinski",
"Vassili Kazanin",
"E L Barberio",
"J Pacalt",
"Kerstin Tackmann",
"Dorel Pietreanu",
"Richard Kass",
"Yuya Kano",
"D Camarero Munoz",
"J Zahreddine",
"M R Sutton",
"Yohei Noguchi",
"L Zivkovic",
"L Dell'Asta",
"Veronica Wallangen",
"K Abeling",
"M G Vincter",
"G Herten",
"V Nikolaenko",
"D Kirchmeier",
"C C Chau",
"Alessandro Di Girolamo",
"Nicola Abraham",
"Markus Elsing",
"Cong Geng",
"K Mochizuki",
"A Di Ciaccio",
"B Burghgrave",
"A N Fray",
"P Massarotti",
"Lorenzo Rossini",
"Sina Baluch Bahrasemani",
"C J McNicol",
"G Di Gregorio",
"F Corriveau",
"K Tariq",
"G R Gonzalvo Rodriguez",
"I Bloch",
"Karel Smolek",
"R Brenner",
"P S Ott",
"P Tas",
"Ana Rosario Cueto Gomez",
"G Marceca",
"B A Petersen",
"V Solovyev",
"B Haney",
"S Gonzalez-Sevilla",
"Chase Shimmin",
"P Schacht",
"Nikola Lazar Whallon",
"Andrea Negri",
"S M Farrington",
"Michael F Ziolkowski",
"Vladimir Cindro",
"P Sommer",
"A A Minaenko",
"Shunsuke Honda",
"X Ruan",
"Pasquale Fabrizio Salvatore",
"M Franklin",
"B Mansoulie",
"Yang Qin",
"Gorm Galster",
"Charles Leggett",
"J W Cowley",
"P Buchholz",
"K Zoch",
"Zuzana Blenessy",
"Claudio Ferretti",
"D Biedermann",
"J Kroll",
"E M Shrif",
"Z Uysal",
"A Behera",
"Hector de la Torre",
"C E Leitgeb",
"F Tresoldi",
"Siinn Che",
"Susumu Oda",
"Christian A Gutschow",
"Masa-hiko Saito",
"Francesco Lo Sterzo",
"Joost Vossebeld",
"Joshua Moss",
"Monica Dunford",
"Robin Middleton",
"A B Kowalewska",
"Kyungeon Choi",
"S Harkusha",
"Puja Saha",
"Julia Hrdinka",
"Rainer Roehrig",
"Hiroshi Sakamoto",
"E Hansen",
"Matt Zhang",
"A J Bailey",
"M Biglietti",
"Samuel David Jones",
"T Jakoubek",
"Simon H Connell",
"Alessandra Doria",
"Hoang Dai Nghia Nguyen",
"Matthias Danninger",
"C Blocker",
"S Istin",
"E W Varnes",
"Jorn Dines Hansen",
"E Torrence",
"G Iakovidis",
"Attilio Picazio",
"C J Treado",
"G Jarlskog",
"Koichi Nagai",
"Ye Chen",
"Wainer Vandelli",
"Tae Hyoun Park",
"Alessandro De Salvo",
"A Kourkoumeli-Charalampidi",
"Yang Heng",
"F Sohns",
"P B Shatalov",
"Y Smirnov",
"Stephanie Majewski",
"K Sliwa",
"Jesus Guerrero Rojas",
"Philip Bechtle",
"Miguel C N Fiolhais",
"F H Phillips",
"Fumiaki Ito",
"F Ukegawa",
"Alejandro Alonso",
"Qing Wang",
"T Guillemin",
"E Winkels",
"J J Kempster",
"Aishik Ghosh",
"Shuo Han",
"I Maznas",
"M Wobisch",
"K Augsten",
"Jean-pierre Ochoa",
"M Vicente Barreto Pinto",
"E Le Guirriec",
"N L Belyaev",
"A Ryzhov",
"D Moreno",
"G Usai",
"P O Deviveiros",
"Mahran Shehade",
"Marcel Stanitzki",
"L J Wilkins",
"Barry King",
"A Pacheco Pages",
"M Begel",
"G T Forcolin",
"A I Mincer",
"Young-kee Kim",
"L Morvaj",
"C D Burton",
"Michele Weber",
"T Heim",
"A Rej",
"K Belotskiy",
"A M Soukharev",
"Jihyun Jeong",
"C Agheorghiesei",
"H A Pacey",
"R M D Carney",
"Annabelle Julia Chuinard",
"R Jansky",
"B L Stamas",
"Julia Mariana Iturbe Ponce",
"D Boscherini",
"D R Tovey",
"N Semprini-Cesari",
"P Fassnacht",
"K D Finelli",
"B Brickwedde",
"A Matic",
"Claire David",
"Lukasz Zwalinski",
"M J Alconada Verzini",
"R Kukla",
"T J Stevenson",
"Jie Yu",
"P Klimek",
"C Galea",
"Lukas Heinrich",
"G Rovelli",
"C Troncon",
"Francesco Guescini",
"D Denysiuk",
"J A Garcia Pascual",
"Mohamed El Kacimi",
"Chunhui Chen",
"Andrea Rodriguez Perez",
"S Menke",
"Cheng Wang",
"I Van Vulpen",
"E Shabalina",
"G Unal",
"Robert W Gardner",
"Alessandra Forti",
"Yingxue Zhang",
"N Norjoharuddeen",
"Masahiro Morii",
"Andrea Sciandra",
"T Kosek",
"Zhiqin Zhang",
"Didier Alexandre",
"K Sekhon",
"R Iguchi",
"Tai-hua Lin",
"L Flores",
"Gabriele Chiodini",
"Jun Guo",
"Li Zhi",
"J L Gonski",
"Andrea Gabrielli",
"E Akilli",
"T Klapdor-Kleingrothaus",
"O M Kind",
"R D Schamberger",
"Ariel Schwartzman",
"L Aperio Bella",
"Frederik Ruehr",
"A R Weidberg",
"Are Sivertsen Traeet",
"L Mijovic",
"H Potti",
"S Snyder",
"U Blumenschein",
"R Konoplich",
"Peter Maettig",
"M Javurkova",
"Emre Celebi",
"Friedrich Hoenig",
"E Tzovara",
"M Tasevsky",
"Carlos Solans",
"J Kvita",
"S Heim",
"V Boisvert",
"Benjamin William Allen",
"Giuseppe Lerner",
"Robert Francis Hunter",
"Stefano Veneziano",
"M Zaazoua",
"Yoji Hasegawa",
"Y Takubo",
"M Huhtinen",
"A E Kiryunin",
"Andrew Beddall",
"N Kimura",
"S Amoroso",
"L Barranco Navarro",
"L Serkin",
"E Simioni",
"Dengfeng Zhang",
"I Gkialas",
"S Yu Smirnov",
"M Haleem",
"D Froidevaux",
"Babar Ali",
"Feng Lyu",
"Dmitry Emeliyanov",
"Andrej Filipcic",
"S Kuehn",
"Mario Lassnig",
"T Pauly",
"Andreas Redelbach",
"Antonia Struebig",
"Isabel Nitsche",
"B K Wosiek",
"Yizhou Liu",
"Sara Strandberg",
"A N Karyukhin",
"Y Delabat Diaz",
"A Mizukami",
"L Pontecorvo",
"A Jinaru",
"Liang Li",
"T Lenz",
"John Michael Butterworth",
"S H Stark",
"Andreas Korn",
"M Ridel",
"K Todome",
"Geoffrey Mullier",
"A Kurova",
"E Rossi",
"Kun Liu",
"A Ouraou",
"A Dudarev",
"Kazuhiko Hara",
"Giorgi Arabidze",
"R Caminal Armadans",
"M P Heath",
"Shota Suzuki",
"Tomoyuki Saito",
"C C Ohm",
"J T Shank",
"N Ozturk",
"M Khader",
"Holger Herr",
"R Astalos",
"J Barkeloo",
"H G Wilkens",
"Eram Rizvi",
"E Gramstad",
"Tim Jones",
"Vaclav Vrba",
"T Hryn'ova",
"L K Gladilin",
"M Ronzani",
"E Yigitbasi",
"S Ye",
"Yasuhiro Makida",
"A P Pereira Peixoto",
"C Alpigiani",
"Eleonora Rossi",
"O Stelzer-Chilton",
"N W Shaikh",
"T Zenis",
"Georgios Stavropoulos",
"I Riu",
"F Cardillo",
"K K Gan",
"T Swirski",
"T Harenberg",
"S Henkelmann",
"Guy Rosin",
"Marco Scodeggio",
"F Derue",
"M Maerker",
"Steffen Staerz",
"Tulin Varol Mete",
"K Brendlinger",
"G D'amen",
"Alexander Melzer",
"S Terzo",
"George Victor Andrei",
"E M Lobodzinska",
"J Tojo",
"E B F G Knoops",
"Michael Tuts",
"E Skorda",
"J H Lindon",
"K Cheung",
"Daniele De Pedis",
"A Giannini",
"L D'Eramo",
"S Schaepe",
"David Philip John Lack",
"O Bessidskaia Bylund",
"Eric Buschmann",
"L Heelan",
"G Panizzo",
"C Nellist",
"M C Hodgkinson",
"M Alhroob",
"A J Barr",
"D Varouchas",
"J C -L Tseng",
"H P Beck",
"Katharine Leney",
"Jinlong Zhang",
"F Becherer",
"Joseph William Carter",
"Paolo Camarri",
"Maia Mosidze",
"S Dysch",
"R Staszewski",
"Roger Felipe Naranjo Garcia",
"Elena Rocco",
"A Milov",
"Vincenzo Izzo",
"L Pizzimento",
"J M Izen",
"J P Rutherfoord",
"Pa Malecki",
"Lianliang Ma",
"O Kuchinskaia",
"Z J Grout",
"Reiner Hauser",
"G Avolio",
"J K R Meshreki",
"G Alimonti",
"Yi-lin Yang",
"D J Mahon",
"T Kawamoto",
"Leonardo Merola",
"C Wanotayaroj",
"T Berry",
"P Sabatini",
"C Debenedetti",
"Yun Sang Chow",
"L Colasurdo",
"Raimund Stroehmer",
"A Ferrari",
"T M Liss",
"D Dodsworth",
"Marie Christine Lanfermann",
"Luc Goossens",
"Ph Schune",
"Jike Wang",
"M Tomoto",
"Shaun Roe",
"N Proklova",
"M Aoki",
"Y Nagasaka",
"Christopher John Young",
"M Geisen",
"Christian Johann Riegel",
"P A Gorbounov",
"P Moschovakos",
"R M Jacobs",
"Christian Heinz",
"Valentina Maria Martina Cairo",
"G Chiarelli",
"S Hellesund",
"C Bakalis",
"J Dopke",
"I Hinchliffe",
"R Bi",
"A V Akimov",
"Stavros Maltezos",
"Armin Nairz",
"Jens Weingarten",
"Hulin Wang",
"S Amor dos Santos",
"Paul de Jong",
"Marco Valente",
"S Heer",
"Serena Palazzo",
"A T Vermeulen",
"D Ferrere",
"I Carli",
"Sofia Chouridou",
"H Oide",
"C Luci",
"M Bindi",
"S Palestini",
"Thomas Naumann",
"O Kuprash",
"Thomas Lohse",
"Jelena Krstic",
"A Shcherbakova",
"Shigeru Odaka",
"R Narayan",
"Philipp Jonathan Losel",
"Rosanna Ignazzi",
"M G Alviggi",
"L S Kaplan",
"A Liblong",
"Masahiro Tanaka",
"Tony Affolder",
"B Martin dit Latour",
"J E Pilcher",
"G Salamanna",
"Takahiro Fusayasu",
"A Ventura",
"Dan Shaked",
"Anna Kaczmarska",
"Karl Heinz Hiller",
"Tomas Davidek",
"M Wielers",
"Graeme Andrew Stewart",
"Yichen Li",
"A Lapertosa",
"L Tompkins",
"G Rybkin",
"B Tong",
"D Orestano",
"M Iodice",
"Nico Giangiacomi",
"G Halladjian",
"J R Dandoy",
"Carmen Garcia",
"H L Russell",
"M I Gostkin",
"J D Mellenthin",
"C Santoni",
"A La Rosa",
"Andrew Washbrook",
"Lawrence Lee",
"Kevin De Vasconcelos Corga",
"D Levin",
"Ava Chloe Audrey Lee",
"S Jezequel",
"R Yuan",
"K Grevtsov",
"Pierre Petroff",
"P Tornambe",
"R Kowalewski",
"S Simsek",
"Maria Roberta Devesa",
"C M Hawkes",
"Justin Griffiths",
"V Hedberg",
"Christopher Meyer",
"Yaquan Fang",
"S Turchikhin",
"F Prokoshin",
"A Soffer",
"R Tanaka",
"A D Pilkington",
"Arnulf Quadt",
"A L Maslennikov",
"S Higashino",
"S Gargiulo",
"B Stelzer",
"T Poulsen",
"E J Schioppa",
"F Anulli",
"Alessandro Rocchi",
"A Lucotte",
"Katharina Maria Ecker",
"Laura Gonella",
"E Fullana Torregrosa",
"Stefan Simion",
"K Tokushuku",
"C Kahra",
"Pippa S Wells",
"M Fanti",
"R Simoniello",
"Kosuke Takeda",
"J Kendrick",
"Rima El Kosseifi",
"Tobias Golling",
"A Pathak",
"Hai Jiang",
"P H Hansen",
"K Lohwasser",
"E K U Gross",
"Yongke Zhao",
"Rainer Bartoldus",
"I Naryshkin",
"S V Zeissner",
"U Klein",
"Herman ten Kate",
"Y Enari",
"V Kouskoura",
"L Carminati",
"Weiming Yao",
"Haoshuang Ji",
"Jovan Mitrevski",
"Serban Constantinescu",
"Carlos Garcia Argos",
"Bojan Hiti",
"S M Mazza",
"V Polychronakos",
"R Poggi",
"P Pasuwan",
"F Ellinghaus",
"M Kobel",
"Oliver Kortner",
"Robin L Hayes",
"Steven Goldfarb",
"J G Vasquez",
"V S Lang",
"E Ladygin",
"Joao Victor da Fonseca Pinto",
"Arwa A E Bannoura",
"Juan Pedro Araque Espinosa",
"Michael Adersberger",
"Simone Campana",
"L Meng",
"J Mamuzic",
"I N Aleksandrov",
"G Introzzi",
"J Kirk",
"Luis Hervas",
"M Janus",
"Martin Stegler",
"Alberto Annovi",
"P Staroba",
"M Mikestikova",
"T A Kharlamova",
"E F McDonald",
"Jian Cong Zeng",
"Steven Andrew Farrell",
"F Alonso",
"Tomas Blazek",
"C P Hays",
"Mikel Eukeni Pozo Astigarraga",
"C Sawyer",
"R E Owen",
"Pawel Bruckman de Renstrom",
"I A Bertram",
"Dmitriy Maximov",
"Andreas Reiss",
"C Lacasta",
"Manuel Neumann",
"P Iengo",
"J Elmsheuser",
"P Morettini",
"X Ai",
"Adam Maxwell Azoulay",
"L F Oleiro Seabra",
"Kyle Cranmer",
"D Giugni",
"K Lin",
"Guy Koren",
"A Aggarwal",
"V Canale",
"J C Schmoeckel",
"P Teixeira-Dias",
"S Falke",
"Mengran Li",
"Alexander S Sakharov",
"S Pataraia",
"Ioannis Xiotidis",
"Shih-Chieh Hsu",
"D Schaefer",
"F Pastore",
"I M Snyder",
"A Laurier",
"Krzysztof W Wozniak",
"N P Readioff",
"C Antel",
"Stefan Erich Von Buddenbrock",
"Joern Christian Lange",
"Sandro De Cecco",
"A Alfonsi",
"M C Kruse",
"Alfred Goshaw",
"J I Djuvsland",
"S Biondi",
"Felix Rauscher",
"D Pudzha",
"J Donini",
"Bernhard Meirose",
"R Hankache",
"Pierfrancesco Butti",
"Thomas Peiffer",
"Y Nakahama",
"James Robinson",
"J F Laporte",
"P Calfayan",
"N A Asbah",
"Oleg Meshkov",
"C Alexa",
"Thomas Dennis Powell",
"S Trincaz-Duvoid",
"C Varni",
"Zhongyukun Xu",
"T P Calvet",
"C Gray",
"S Protopopescu",
"F Cerutti",
"I Koletsou",
"G Mancini",
"C Schmitt",
"Bo Li Liu",
"Sarah Louise Barnes",
"J Bracinik",
"S D Lawlor",
"M Boonekamp",
"M Del Gaudio",
"M Sandhoff",
"Antonio Ereditato",
"Tim Herrmann",
"A Gomes",
"D Kelsey",
"W C Fisher",
"Natalia Kondrashova",
"Amir Farbin",
"M Rotaru",
"T Flick",
"M Raymond",
"A M Burger",
"Matthew Glenn Kurth",
"F Braren",
"Horst Severini",
"Aidan Grummer",
"A Farilla",
"M Sahinsoy",
"P Balek",
"S Adorni",
"Bertrand Laforge",
"Eitan Gozani",
"J L Nagle",
"Michael Ughetto",
"Manuel Patrice Geisler",
"L Valery",
"N Morange",
"H Santos",
"Richard Bates",
"J H Rawling",
"P Johansson",
"M Spangenberg",
"V Sinetckii",
"S B Menary",
"J D Chapman",
"R Goncalves Gama",
"S Hyrych",
"Jonathan Shlomi",
"S Barsov",
"M Della Pietra",
"Farkhad Khalil-zada",
"Mario Sannino",
"S Akatsuka",
"Riccardo Maria Bianchi",
"Luiz Pereira Caloba",
"E Monnier",
"Jose Salt",
"A Messina",
"K Zhukov",
"U Mallik",
"Alison Lister",
"M Pedersen",
"S Sottocornola",
"A Montalbano",
"E Nagy",
"Rui Zhang",
"M R Yexley",
"L Pascual Dominguez",
"Matthew Henry Klein",
"Peter Nemethy",
"C Leonidopoulos",
"D Alvarez Piqueras",
"D S Bhattacharya",
"Lluis M Mir",
"Laura Perini",
"D Sammel",
"G Callea",
"Umar Gul",
"X Lou",
"A T H Arce",
"A Miucci",
"G I Dyckes",
"Daiki Yamaguchi",
"J Machado Miguens",
"Miaoran Lu",
"D Madaffari",
"E Fumagalli",
"C Gemme",
"Bart Hommels",
"S Leone",
"Marc-Andre Pleier",
"V Chiarella",
"Peter William Phillips",
"Haicheng Wang",
"M Przybycien",
"Cigdem Issever",
"Petr Hamal",
"A Trofymov",
"C Grieco",
"O Rohne",
"J R Bensinger",
"A Marzin",
"A Bruni",
"T Farooque",
"Tomas Komarek",
"Alan M Litke",
"B Dong",
"Klaus Desch",
"J A Frost",
"Cecile Deterre",
"Christian Weiser",
"A Buckley",
"G Unel",
"C Kato",
"E L Gkougkousis",
"Michael Phipps",
"Giovanni Marchiori",
"M D Werner",
"S Willocq",
"F L Castillo",
"R A Mina",
"M Ikeno",
"M E Vasile",
"Christian Johnson",
"F Miano",
"O Sidiropoulou",
"Marco Battaglia",
"D Di Valentino",
"Yanlin Liu",
"I Chiu",
"Y Afik",
"V Morisbak",
"C W Kalderon",
"C E Pandini",
"N Brahimi",
"E Kajomovitz",
"Allan G Clark",
"Heinz Pernegger",
"Russell James Turner",
"Zhijun Liang",
"D M Rauch",
"F A Di Bello",
"H Wolters",
"F Rizatdinova",
"Dan Andrei Ciubotaru",
"I Vivarelli",
"F Nechansky",
"Madalyn Ann McKay",
"Ian Brock",
"Frank Fiedler",
"Gang Zhang",
"J Adelman",
"A Bogdanchikov",
"T Dai",
"Carlos Miguel Vergel Infante",
"G Gaycken",
"Edgar Kellermann",
"W Taylor",
"N Zhou",
"Koji Terashi",
"D Della Volpe",
"L Duflot",
"B M Flierl",
"S Yu Sivoklokov",
"M Faraj",
"Ester Ricci",
"P C F Glaysher",
"T Buanes",
"V Zhulanov",
"Klaus A Hamacher",
"J Del Peso",
"Alessandro Polini",
"J A Kremer",
"Maosen Zhou",
"Zhen Yan",
"E Torro Pastor",
"L Rustige",
"T Trefzger",
"G Bruni",
"B Batool",
"G Cowan",
"A Armstrong",
"A Zhemchugov",
"Francisco Anuar Arduh",
"Dmitry Golubkov",
"D Vazquez Furelos",
"Carolina Michel Robles Gajardo",
"B Ravina",
"M Dobre",
"R Avramidou",
"S J Hillier",
"Susumu Terada",
"D Robinson",
"O E Vickey Boeriu",
"Norbert Wermes",
"J Love",
"Andrei Artamonov",
"A A Geanta",
"Thomas Beermann",
"S Hellman",
"M T Dova",
"H Jivan",
"M F Watson",
"Yicheng Guo",
"E Yu Soldatov",
"W S Chan",
"A Kotwal",
"Patrick Bryant",
"P Jacka",
"Koji Sato",
"P Urquijo",
"V Kartvelishvili",
"L Massa",
"Alevtina Shmeleva",
"B S Peralva",
"C Valderanis",
"J Jejelava",
"S J Haywood",
"F Thiele",
"O Estrada Pastor",
"D Lellouch",
"Geoffrey Henry Herbert",
"Olaf Nackenhorst",
"G P Gach",
"A Ambler",
"S Francescato",
"A Hrynevich",
"Rodney Walker",
"S Argyropoulos",
"D Salvatore",
"H Okawa",
"Sergey Senkin",
"A D'onofrio",
"M P Casado",
"L Di Ciaccio",
"R Rosten",
"F Deliot",
"C Lampoudis",
"K F Di Petrillo",
"B S Dziedzic",
"Chen Zhou",
"Jean-Pierre Meyer",
"A Canesse",
"Attilio Andreazza",
"K Prokofiev",
"Marco Bruschi",
"Sebastian Mergelmeyer",
"Ivan Lopez Paz",
"Salvador Marti i Garcia",
"Yi Jiang",
"I M Trigger",
"K O'Connor",
"J R Pater",
"Joseph Peter Kinghorn-taenzer",
"Monika Mittal",
"S Falciano",
"Matthias Schott",
"J K Anders",
"L Beresford",
"Farida Fassi",
"S Yacoob",
"Francesco Lacava",
"G F Tartarelli",
"M Hrabovsky",
"Juraj Smiesko",
"A Wolf",
"Ikuo Ueda",
"M Khandoga",
"K E Varvell",
"Farid Ould-Saada",
"Libor Nozka",
"Siyuan Sun",
"R Soualah",
"G Giugliarelli",
"Wenhao Xu",
"O Igonkina",
"Timothee Theveneaux-Pelzer",
"C Tosciri",
"A S Maevskiy",
"J Albert",
"C F Anders",
"A T Watson",
"Yasuyuki Okumura",
"Reda Tafirout",
"N Calace",
"L J Bergsten",
"R Di Nardo",
"G Sciolla",
"Christian Luedtke",
"M Reale",
"M Svatos",
"Daniel Rodriguez Rodriguez",
"K Lantzsch",
"A M Cooper-Sarkar",
"A Dimitrievska",
"H J Lubatti",
"Dhiman Chakraborty",
"M Errenst",
"B A Schumm",
"D Krasnopevtsev",
"P Zhao",
"R A Linck",
"L Iconomidou-Fayard",
"Dario Barberis",
"Yanwen Liu",
"Tomas Javurek",
"Kentaro Uno",
"M Romano",
"C R Kilby",
"William Brooks",
"Nils Krumnack",
"L Guan",
"S R Paredes Saenz",
"P Vokac",
"Pedro Jorge",
"B S Acharya",
"John Hill",
"Ahmed Tarek Abouelfadl Mohamed",
"C Adam Bourdarios",
"Renat Sadykov",
"O S AbouZeid",
"R Polifka",
"G Pownall",
"H El Jarrari",
"Keith Baker",
"Qi Li",
"P Loch",
"Xiang-Ting Meng",
"F Safai Tehrani",
"Weimin Song",
"Gabriele Sabato",
"A E Dumitriu",
"Hong Ma",
"Heather M Gray",
"Michael Strauss",
"J Schaeffer",
"M Nagel",
"B Safarzadeh Samani",
"A Held",
"E A Starchenko",
"A Khanov",
"Yuji Minegishi",
"B Brau",
"Benjamin Hylton Brunt",
"P D Thompson",
"S E Tzamarias",
"E J W Moyse",
"J P Biswal",
"Jorn Grosse-Knetter",
"Tina Sfiligoj",
"Petar Kevin Rados",
"A Ogrodnik",
"K Yorita",
"M D M Capeans Garrido",
"B Moser",
"A Straessner",
"E J Gallas",
"E Cheremushkina",
"M F Daneri",
"Andrea Baroncelli",
"Peter Love",
"E Thomson",
"S Gurbuz",
"W Kozanecki",
"S Calvente Lopez",
"S N Santpur",
"Wei Ding",
"M H Genest",
"Oxana Smirnova",
"Bjarne Stugu",
"A Trzupek",
"Kevin Hildebrand",
"M Gouighri",
"A K Kvam",
"E Tolley",
"F Jeanneau",
"Sau Lan Wu",
"V Herget",
"Stefan Rave",
"N A Styles",
"S J Sekula",
"N Lehmann",
"A Laudrain",
"Susanne Kersten",
"L A Thomsen",
"N Smirnov",
"J-B de Vivie de Regie",
"Ryuichi Sawada",
"Dai Kobayashi",
"Y Shimogama",
"M Lefebvre",
"Ondrej Penc",
"Alexandra Tudorache",
"Mario Campanelli",
"N S Dann",
"Yoichi Ninomiya",
"C Becot",
"Tomasz Bold",
"D Lacour",
"Markus Schumacher",
"Saverio D'Auria",
"D Tsybychev",
"P Bartos",
"Sergey Timoshenko",
"H Herde",
"A C Dudder",
"I Siral",
"Andreas Kugel",
"Andrej Gorisek",
"M Alvarez Estevez",
"A Mehta",
"B Achkar",
"Manuel Silva",
"Ian Peter Joseph Shipsey",
"Vincent Garonne",
"A Duperrin",
"A Durglishvili",
"A Emerman",
"B Lund-Jensen",
"F Backman",
"Maike Christina Hansen",
"C M Gee",
"Ligang Xia",
"T Nobe",
"Konstantinos Papageorgiou",
"G Polesello",
"Silvia Resconi",
"L Truong",
"Shlomit Tarem",
"Craig Buttar",
"J Geisen",
"M Nessi",
"N Huseynov",
"S Mohapatra",
"Claude Leroy",
"Patrick Moriishi Freeman",
"Stephen Kam-wah Chan",
"T Scanlon",
"J T P Burr",
"Mario Martinez Perez",
"A S Mete",
"D Derendarz",
"S Tokar",
"K H Mankinen",
"F Krieter",
"K Beloborodov",
"J A Valls Ferrer",
"Shawn Patrick Mc Kee",
"Pavel Tsiareshka",
"T Vickey",
"N I Zimine",
"H J Moss",
"Wen Yi Song",
"You Zhou",
"C Gwenlan",
"Weitao Wang",
"Juergen Dr Thomas",
"H Wahlberg",
"S V Peleganchuk",
"K Gregersen",
"Jiang-Xing Chen",
"Thomas Daniel Weston",
"R B Nickerson",
"J Wollrath",
"S Angelidakis",
"Peter Jenni",
"J Crane",
"C Moreno Martinez",
"M Bajic",
"A Warburton",
"A Blue",
"H M Borecka-Bielska",
"P Reznicek",
"Hicham Atmani",
"D C O'Neil",
"G Darbo",
"X Chu",
"N E Pettersson",
"Marco Rimoldi",
"H Kurashige",
"H Hamdaoui",
"V A Bednyakov",
"J L Oliver",
"A V Vaniachine",
"P Bokan",
"J Urban",
"R Takashima",
"H C Beck",
"F Barreiro",
"D Sperlich",
"F Hinterkeuser",
"H Abreu",
"Michael Huebner",
"Jerome Samarati",
"Boyang Li",
"M Kaneda",
"J M Hays",
"M V Chizhov",
"V Fabiani",
"Santiago Gonzalez de la Hoz",
"Yang Gao",
"Alessandra Ciocio",
"H Mildner",
"Yanhui Ma",
"T Nitta",
"Xiangyang Ju",
"R Gugel",
"Chenliang Wang",
"S Valentinetti",
"C Feng",
"P Horn",
"F Petrucci",
"F Vazeille",
"M J R Olsson",
"T S Lau",
"B Heinemann",
"Shih-Chang Lee",
"Fabrizio Alfonsi",
"Klaus Moenig",
"V D'Amico",
"Nima Sherafati",
"S Tisserant",
"V A Mitsou",
"Jin Wang",
"A Camplani",
"K A Johns",
"Kazuki Yajima",
"Ondrej Hladik",
"Laurent Vacavant",
"Krisztian Peters",
"Simon Schmitz",
"D A DeMarco",
"J Caudron",
"E Cheu",
"Uli Schaefer",
"Dmitri Dedovich",
"Philippe Farthouat",
"L Helary",
"R E Long",
"Albert Olariu",
"R A Creager",
"A Sidoti",
"Reina Coromoto Camacho Toro",
"Horst Oberlack",
"Toyonobu Okuyama",
"U Landgraf",
"A S Bell",
"M Schioppa",
"Ziyu Guo",
"He-sheng Chen",
"A Buzatu",
"M Marcisovsky",
"Ming-chung Chu",
"Jingbo Ye",
"H S Hayward",
"T G Zorbas",
"Ioulian Budagov",
"Jurgen Beringer",
"E M Farina",
"A Sahu",
"Hugh H Williams",
"Albert Francis Casha",
"L Rinaldi",
"D M S Sultan",
"S Bressler",
"Giovanna Lehmann Miotto",
"C Papadatos",
"P Czodrowski",
"Elias Coniavitis",
"A L Steinhebel",
"D Cinca",
"T R Van Daalen",
"K Iordanidou",
"F Dittus",
"Michal Racko",
"S Hassani",
"S Popa",
"Maurice Becker",
"Juan Antonio Aguilar Saavedra",
"M Lisovyi",
"Aaron White",
"V Croft",
"B Ngair",
"M Livan",
"T Djobava",
"F Ceradini",
"Massimo Corradi",
"Ladislav Chytka",
"G Gagliardi",
"P A Delsart",
"Nacim Haddad",
"David Rousseau",
"I Sykora",
"M Grandi",
"Alexander L Read",
"Enrico Tassi",
"Iwona Grabowska-Bold",
"Koji Nakamura",
"S Alderweireldt",
"Jorge Andres Lopez Lopez",
"T Pham",
"H Arnold",
"E Cavallaro",
"Remco Castelijn",
"Yesenia Hernandez Jimenez",
"M Hance",
"L Portales",
"L Longo",
"Markus Atkinson",
"Paul Harrison",
"P Murin",
"Helio Takai",
"E Bergeaas Kuutmann",
"M Trovatelli",
"Konstantinos Kordas",
"Yoichi Ikegami",
"P Conde Muino",
"H Otono",
"Johannes Erdmann",
"S Kortner",
"A Lipniacka",
"G Brooijmans",
"E Nibigira",
"S A Cetin",
"C Rizzi",
"V S Bobrovnikov",
"G Spigo",
"Fabrizio Trovato",
"A K Morley",
"J Montejo Berlingen",
"S Guindon",
"N Andari",
"L Schoeffel",
"A Hadef",
"D A Millar",
"Sascha Caron",
"J Hejbal",
"M LeBlanc",
"A S Drobac",
"A Marantis",
"F Sauerburger",
"R Zou",
"Felix Buehrer",
"Marumi Kado",
"C Bittrich",
"Anne Kathrin Becker",
"Nicolas Maximilian Koehler",
"M Talby",
"J-F Arguin",
"S Richter",
"Benedict Allbrooke",
"Pierre Savard",
"A Bethani",
"Cheuk Yee Lo",
"Marcin Wolter",
"F Bauer",
"David Berge",
"M A Chelstowska",
"Sergei Malyukov",
"T Ekelof",
"Eduardo Valdes Santurio",
"Federico Scutti",
"A Petridis",
"Max Fredrik Isacson",
"D Benjamin",
"Karolos Potamianos",
"N Orlando",
"Panagiotis Gkountoumis",
"C Allaire",
"Roger L Jones",
"E Vilucchi",
"M Escalier",
"Magdalena Slawinska",
"Verena Martinez Outschoorn",
"S Bruno",
"G Gonella",
"A Vallier",
"Sarah Jones",
"Fred Luehring",
"E Pianori",
"S Sacerdoti",
"A C Martyniuk",
"M Holzbock",
"L Kashif",
"M Trzebinski",
"M Cano Bret",
"N Berger",
"Huijun Zhang",
"Thomas Maier",
"Daniel Guest",
"D Hayden",
"G Duckeck",
"Matthew Craig Mondragon",
"D Duda",
"Y Rozen",
"Savannah Thais",
"Jun Gao",
"Gueorgui Chelkov",
"L Pezzotti",
"Takashi Kubota",
"M Gignac",
"J G Saraiva",
"M S Neubauer",
"Hanlin Xu",
"S Prince",
"Steven Schramm",
"M Vreeswijk",
"E Gorini",
"Jose Manoel de Seixas",
"Alexander David Sherman",
"Namgyun Jeong",
"M Zgubic",
"R Cherkaoui El Moursli",
"Heiko Lacker",
"Zhaoxu Xi",
"Zirui Wang",
"P Sherwood",
"Shima Shimizu",
"Alison Elliot",
"Frank Siegert",
"G Brandt",
"J T Kuechler",
"Z Rurikova",
"K P Mistry",
"E S Kuwertz",
"Jaroslav Guenther",
"Yan Wing Ng",
"Joany Andreina Manjarres Ramos",
"Natascha Savic",
"Giovanni Calderini",
"A Juste Rozas",
"U Schnoor",
"C M Helling",
"Ann Miao Wang",
"I I Tsukerman",
"Gordon T Watts",
"M Montella",
"Benjamin P Nachman",
"Minghui Liu",
"Yutaka Okazaki",
"I R Boyko",
"Ademar Tavares Delgado",
"D Goujdami",
"A Adiguzel",
"S V Chekulaev",
"C L Sotiropoulou",
"S Martin-Haugh",
"Vaclav Vacek",
"T Iizawa",
"V Araujo Ferraz",
"D Bruncko",
"V O Tikhomirov",
"T M Spieker",
"J Proudfoot",
"Adam Abed Abud",
"I Dawson",
"G Piacquadio",
"Umberto De Sanctis",
"Masahiro Morinaga",
"F Fischer",
"B P Jaeger",
"P E Sidebo",
"K D McLean",
"F Conventi",
"D C Abbott",
"Werner Freund",
"James H Cochran",
"A Calandri",
"W Wagner",
"J Dolejsi",
"F Dubinin",
"F Ledroit-Guillon",
"Liang Han",
"K R Schmidt-Sommerfeld",
"R Lafaye",
"Dalila Salamani",
"James Broughton",
"M Begalli",
"David Milstead",
"A R Buzykaev",
"A Ruiz-Martinez",
"R Hertenberger",
"Lianyou Shan",
"E Higon-Rodriguez",
"Alexander Zaitsev",
"V M Walbrecht",
"G Artoni",
"D M Rebuzzi",
"Anindya Ghosh",
"Yu-heng Chen",
"Samuel Silverstein",
"O Ricken",
"J Metcalfe",
"S Passaggio",
"N A Rusakovich",
"P Rieck",
"J A Raine",
"S Laplace",
"L J Levinson",
"S Burdin",
"Lucrezia Stella Bruni",
"Zinonas Zinonos",
"Rodrigo Araujo Pereira",
"V Cavasinni",
"D Hohn",
"Balazs Konya",
"A Mirto",
"V J Martin",
"A Tsirigotis",
"D Matakias",
"Rotem Barnea",
"Rongkun Wang",
"E Hill",
"J Katzy",
"Andre Sopczak",
"Michael Ryan Clark",
"E Sauvan",
"J Rothberg",
"D Krauss",
"J Strandberg",
"O A Ducu",
"S Dahbi",
"A Mastroberardino",
"A Leisos",
"C S Pollard",
"S Monzani",
"Luka Kanjir",
"I A Connelly",
"David Michael South",
"E W Parrish",
"H Torres",
"Tohru Takeshita",
"Shuyang Hu",
"S Asai",
"Ana Maria Henriques Correia",
"Bing Zhou",
"A Haas",
"Bartosz Mindur",
"S Mehlhase",
"D Britzger",
"J Glatzer",
"T Koi",
"David Francis",
"Itsuo Nakano",
"Saleh Sultanov",
"S K Boutle",
"Katie L Whalen",
"Jianbei Liu",
"F Giuli",
"Xiaohu Sun",
"K Wraight",
"David R Wardrope",
"A Sansoni",
"J E Mdhluli",
"G Sekhniaidze",
"R Lysak",
"C Padilla Aranda",
"Ryan Christopher Edgar",
"R Pedro",
"Vladimir Smakhtin",
"Natalia Korotkova",
"S Ghasemi",
"F U Bernlochner",
"Avik Roy",
"L Adamczyk",
"S Czekierda",
"W K Di Clemente",
"I Ibragimov",
"J Nielsen",
"T Koffas",
"M Bona",
"B Stanislaus",
"V W S Wong",
"H Cai",
"Randall J Sobie",
"E F Kay",
"K Dette",
"W Islam",
"I Nikolic-Audit",
"J A Mcfayden",
"B J Gallop",
"M Stamenkovic",
"Joao Guimaraes da Costa",
"G Carducci",
"M Morgenstern",
"Claire Alexandra Lee",
"G S Virdee",
"Mariyan Petrov",
"D Boye",
"F Djama",
"F Sforza",
"J Bortfeldt",
"E M Asimakopoulou",
"Yun Tian",
"J Leveque",
"T Barklow",
"A Sfyrla",
"Dj Sijacki",
"R Madar",
"K M Ciesla",
"Nico de Groot",
"M Milesi",
"D Ponomarenko",
"Vasilis Konstantinides",
"S Berlendis",
"E Von Toerne",
"David William Thomas",
"T P Charman",
"Laura Havener",
"Erez Etzion",
"Phillip Allport",
"Heling Zhu",
"N Ellis",
"Matteo Mario Beretta",
"J Hobbs",
"Adam J Parker",
"R Vuillermet",
"G Fanourakis",
"U Parzefall",
"T Sykora",
"Marco Aurelio Diaz",
"B Trocme",
"Da Xu",
"M Birman",
"P Grenier",
"Seog Oh",
"C A Marin Tobon",
"W Y Chan",
"Harinder Singh Bawa",
"W Davey",
"A Jelinskas",
"Y Coadou",
"A Basalaev",
"Wladyslaw Dabrowski",
"M T Anthony",
"Cristinel Diaconu",
"C Helsens",
"Hongbo Zhu",
"A R Chomont",
"Sw Banerjee",
"I Yeletskikh",
"D Boumediene",
"N P Dang",
"A Chitan",
"S L Lloyd",
"Stefan Schlenker",
"S Todorova-Nova",
"J Cantero",
"A Betti",
"Stanislav Pospisil",
"Peter van Gemmeren",
"Alberto Aloisio",
"Graham Richard Lee",
"Ricardo Woelker",
"Sergey Kuleshov",
"V R Pascuzzi",
"Takuya Tashiro",
"Lei Zhang",
"Kenji Hamano",
"Stephen McMahon",
"A M Sickles",
"G Mchedlidze",
"William P McCormack",
"V Kitali",
"D Benchekroun",
"A Undrus",
"M Aleksa",
"Alexander Leopold",
"Lailin Xu",
"J A Krzysiak",
"Ilija Vukotic",
"J Boudreau",
"Gianpaolo Carlino",
"Maximilian Georg Herrmann",
"T Meideck",
"Matthias Wittgen",
"J Kanzaki",
"E Khramov",
"James Monk",
"Pedro Henrique Sales De Bruin",
"G Gaudio",
"Michel Vetterli",
"A S Chisholm",
"M Vanadia",
"Takahiko Kondo",
"B Lenzi",
"J Stupak",
"A Boveia",
"A R Cukierman",
"A Nisati",
"V A Kantserov",
"Charles Delporte",
"G J Bobbink",
"P Dervan",
"J S Bonilla",
"Stefania Spagnolo",
"Zhidong Zhang",
"Andreas Rummler",
"Haijun Yang",
"Douglas Davis",
"P Kluit",
"Y Amaral Coutinho",
"P Wagner",
"I Nomidis",
"Andre Marc Hupe",
"M Mlynarikova",
"D G Charlton",
"C Malone",
"L Adam",
"R Les",
"M Capua",
"Christopher Ryan Anelli",
"C M Macdonald",
"P C McNamara",
"Matthew J Sullivan",
"J J Heinrich",
"Kyle James Read Cormier",
"I L Gavrilenko",
"Artur Cardoso Coimbra",
"Yumeng Cao",
"G Ripellino",
"V Tudorache",
"S Jiggins",
"Haiping Peng",
"S Kido",
"F Balli",
"Andrew Geoffrey Foster",
"Charles Young",
"R A Vallance",
"D Su",
"E C Graham",
"Francesco Lanni",
"K Han",
"Yan-ping Huang",
"P Bellos",
"Shunsuke Adachi",
"S Gentile",
"A S Tee",
"D Zerwas",
"G Zobernig",
"Benjamin Pearson",
"S Tapia Araya",
"Martin D Sykora",
"M Spalla",
"J E Derkaoui",
"W Lampl",
"G Aielli",
"Michael Rijssenbeek",
"H K Hadavand",
"S Cabrera Urban",
"Andreas Salzburger",
"Andrew Edward White",
"H A Gordon",
"V Vorobel",
"Paolo Calafiura",
"Adrian Bevan",
"Pavel Starovoitov",
"L Feligioni",
"V V Sulin",
"Alexander Oh",
"Shion Chen",
"A Salnikov",
"M Muskinja",
"Kaushik De",
"Fabian Wilk",
"C Di Donato",
"L M Scyboz",
"B Chargeishvili",
"Cheng Chen",
"E E Corrigan",
"J Balz",
"T Kwan",
"M Moreno Llacer",
"Lene Kristian Bryngemark",
"A Ferrer",
"A C Schaffer",
"P Mermod",
"D Pohl",
"G Facini",
"K Reeves",
"Moritz Kiehn",
"O Bulekov",
"P J Hsu",
"B Dutta",
"G Bella",
"Yongsheng Gao",
"Masahiro Yamatani",
"A Rozanov",
"Tomoaki Nakamura",
"Siegfried Bethke",
"Cinzia da Via",
"T Geralis",
"E Stanecka",
"G H A Viehhauser",
"R J Hawkings",
"M P Giordani",
"P R Newman",
"J B Hansen",
"Federico Meloni",
"Fan Li",
"V A Kramarenko",
"Yu-ting Shen",
"G Crosetti",
"Stefano Manzoni",
"Anthony Eric Lionti",
"Nicolo Magini",
"V V Kostyukhin",
"Peilian Liu",
"Junjie Zhu",
"Agostino Lanza",
"Johanna Lena Gramling",
"Nikolai Hartmann",
"Jose Luis Munoz Martinez",
"P Podberezko",
"E V Bouhova-Thacker",
"Norman Gee",
"I Pokharel",
"Katharina Behr",
"Suen Ray Hou",
"Timothy R Andeen",
"Jozsef A Toth",
"M Bomben",
"Matthew Feickert",
"Bruce Rafael Mellado Garcia",
"Nathan Allen Grieser",
"Xuefeng Chen",
"M Villa",
"L Ambroz",
"M G Foti",
"F G Gravili",
"J Wagner-Kuhr",
"P U E Onyisi",
"Ioannis Maniatis",
"Ruslan Mashinistov",
"B Macek",
"Bing Li",
"D Oliveira Damazio",
"A Peyaud",
"A Taffard",
"Stefan Stonjek",
"Tim Michael Heinz Wolf",
"Quanyin Li",
"J Jongmanns",
"D M Portillo Quintero",
"F Tsai",
"R Leitner",
"P Pani",
"Cedric Serfon",
"Matthew Berg Epland",
"Emily L Nurse",
"K Schmieden",
"B M Wynne",
"R Pezoa",
"A Seiden",
"Takashi Mitani",
"S Rodriguez Bosca",
"B J Rosser",
"F Fabbri",
"Marcelo Ayumu Nomura",
"R Hyneman",
"M L Ojeda",
"T Klingl",
"A N Tuna",
"G E Orellana",
"Marcos Vinicius Silva Oliveira",
"J Lorenz",
"Sebastian Mario Weber",
"Joergen Sjoelin",
"D R Shope",
"Qun Ouyang",
"Fabrizio Napolitano",
"A Glazov",
"S M Shaw",
"A Maio",
"A Santra",
"J Kretzschmar",
"R Piegaia",
"Stefano Rosati",
"Steven Patrick Alkire",
"T Xu",
"J Dietrich",
"Gregor Kramberger",
"Tae Min Hong",
"J H Foo",
"Lydia Brenner",
"John Stakely Keller",
"Katharina Bianca Jakobi",
"Gen Kawamura",
"A Campoverde",
"J J Lozano Bahilo",
"Boping Chen",
"K Petukhova",
"D Melini",
"L Fiorini",
"Reinhild Yvonne Peters",
"S Raspopov",
"Alessandro Gabrielli",
"Kevin Thomas Bauer",
"O Brandt",
"Ke Li",
"L D Corpe",
"Janusz Chwastowski",
"B M Ciungu",
"Robert Langenberg",
"P Krieger",
"Gabriel Palacino",
"Y Abulaiti",
"A Guerguichon",
"M J Veen",
"F Schroeder",
"J Walder",
"T P A Akesson",
"G Cabras",
"S Muanza",
"C Kozakai",
"K Nagano",
"Anna Macchiolo",
"A Lounis",
"Namig Javadov",
"M Calvetti",
"Andrew Mark Wharton",
"G Bartolini",
"A Poley",
"L G Gagnon",
"Alan James Taylor",
"Guillermo Nicolas Hamity",
"Edisher Tskhadadze",
"L Marchese",
"P S Jackson",
"Matteo Franchini",
"A Catinaccio",
"I Korolkov",
"I Luise",
"M S Lutz",
"N Garelli",
"K O H Vadla",
"A J Parker",
"Maurizio De Santis",
"N Scharmberg",
"Rob Roy MacGregor Fletcher",
"C Schiavi",
"Shreya Saha",
"R Nicolaidou",
"S Shirabe",
"Ren-jie Wang",
"Fabian Alexander Foerster",
"H Stenzel",
"Natalie Wieseotte",
"F Sopkova",
"Bruce Arnold Barnett",
"M Owen",
"C Bini",
"T Zakareishvili",
"M Donadelli",
"E Dreyer",
"S Morgenstern",
"A K Duncan",
"H L Snoek",
"Simona Serena Bocchetta",
"D L Briglin",
"Akanksha Vishwakarma",
"Elena V Plotnikova",
"Sebastian Grinstein",
"Michael Barnett",
"John Huth",
"S Ganguly",
"M Robin",
"M Pitt",
"Wolfgang Mader",
"Ehud Duchovni",
"A Sbrizzi",
"Pepijn Bakker",
"M Backes",
"Aidan Robson",
"W Fedorko",
"E D Mendes Gouveia",
"O Miu",
"Ghita Rahal",
"R J Atkin",
"M Guth",
"J Jimenez Pena",
"Flavia de Almeida Dias",
"Marco Delmastro",
"G Stoicea",
"Zhi Zhao",
"J F P Schouwenberg",
"D Mori",
"J J Junggeburth",
"M H Klein",
"R Mazini",
"Paul Nilsson",
"Song Ming Wang",
"S Suchek",
"Nadav Michael Tamir",
"Javier Esteban Salazar Loyola",
"Richard Nisius",
"B Cole",
"B Vachon",
"Yi Fang",
"R J Teuscher",
"Xanthe Hoad",
"H R Nindhito",
"D Bogavac",
"M Hirose",
"S Loffredo",
"Pierre Beauchemin",
"Francesca Ungaro",
"M Levchenko",
"J C Burzynski",
"P S Miyagawa",
"N Fomin",
"James Howarth",
"M Bedognetti",
"N Zakharchuk",
"A A Talyshev",
"O Kivernyk",
"N B Atlay",
"D C Frizzell",
"V Dao",
"K Jon-And",
"L Serin",
"Tobias Bisanz",
"A Coccaro",
"Anjali Krishnan",
"Michael Dueren",
"Stephan Hageboeck",
"J Maeda",
"Elias Michael Ruettinger",
"Val O'Shea",
"C O Sander",
"Mauro Citterio",
"Rebecca Hayley Pickles",
"C Hayes",
"M Lokajicek",
"Y Horii",
"C Vergis",
"S Hirose",
"D Paredes Hernandez",
"M Ishino",
"C M Delitzsch",
"J Jia",
"D Turgeman",
"M Myska",
"F Beisiegel",
"Yusheng Wu",
"J Beyer",
"Mathieu Benoit",
"G Azuelos",
"M Cristinziani",
"Elena Korolkova",
"B W Whitmore",
"R Gamboa Goni",
"D V Perepelitsa",
"Junichi Tanaka",
"Adam James Bozson",
"Bill Murray",
"Kenta Uchida",
"G L Alberghi",
"Evangelos N Gazis",
"D Caforio",
"S Tsuno",
"Sebastian Andreas Merkt",
"A Kastanas",
"Andreas Sogaard",
"Z A Meadows",
"A Manousos",
"J Dickinson",
"R T Roberts",
"Giulia Giannini",
"A G Goussiou",
"I Manthos",
"Yee Chinn Yap",
"M M Perego",
"Jos C Vermeulen",
"Christoph Amelung",
"T J A Chevalerias",
"N Hod",
"Robert Richter",
"D Price",
"Urmila Soldevila Serrano",
"T Moskalets",
"J Boyd",
"Tancredi Carli",
"J Reichert",
"J R Catmore",
"B H Smart",
"Sune Jakobsen",
"P Mogg",
"Marek Palka",
"O Biebel",
"C B Gwilliam",
"O Sasaki",
"W D Heidorn",
"Roberto Iuppa",
"Y Yamaguchi",
"W J Fawcett",
"A Cortes-Gonzalez",
"A Bandyopadhyay",
"Antonio De Maria",
"S H Abidi",
"M K Bugge",
"Xinchou C Lou",
"F Ahmadov",
"A J Armbruster",
"M Garcia-Sciveres",
"S Rajagopalan",
"Ralph Soeren Peter Mueller",
"C J E Suster",
"Rafael Teixeira de Lima",
"A Milic",
"Tommaso Lari",
"Cherifa Sabrina Amrouche",
"J Veatch",
"Adam Kaluza",
"Debottam Bakshi Gupta",
"Nishu Nishu",
"Akihiro Higashida",
"Chiara Meroni",
"Wen Guan",
"K Bierwagen",
"Jochen Meyer",
"Q Buat",
"J G Panduro Vazquez",
"A M Deiana",
"Samuel Joseph Crawley",
"I Ravinovich",
"F Scuri",
"U Kruchonak",
"Changqiao Li",
"Wenxiao Wang",
"A Murrone",
"D Boerner",
"Sally Seidel",
"Luis Roberto Flores Castillo",
"B T Winter",
"B Parida",
"A Krasznahorkay",
"Gerald Oakham",
"F Gonnella",
"A Lleres",
"A P O'neill",
"D Pallin",
"Zakaria Chadi",
"R Poettgen",
"N Besson",
"Mario Jose Da Cunha Sargedas De Sousa",
"P G Scholer",
"R Ferrari",
"A G Myagkov",
"Lino Oscar Gerlach",
"D R Hlaluku",
"R Slovak",
"H Sandaker",
"C Rembser",
"Stefanie Todt",
"M Sessa",
"T Kono",
"Jens Janssen",
"James Beacham",
"A Bocci",
"D Biswas",
"Antonio Onofre",
"A E Bolz",
"O Zenin",
"L Bellagamba",
"K K Hill",
"C Merlassino",
"P Ferrari",
"N Ilic",
"Hongxuan Li",
"K Lehmann",
"L P Rossi",
"T Kaji",
"Marija Marjanovic",
"Marcin Stolarski",
"J Olszowska",
"Yun-ju Lu",
"Stan Thompson",
"E Schopf",
"V Tsulaia",
"Traian Tulbure",
"A J Beddall",
"M Michetti",
"T Seiss",
"E Lytken",
"Andrii Verbytskyi",
"D R Zaripovas",
"K W Janas",
"Nicolin Govender",
"Marko Mikuz",
"M Verducci",
"Igor Mandic",
"Alice Polyxeni Morris",
"M Bosman",
"C D Sebastiani",
"Y Sano",
"John Alison",
"Ilkay Turk Cakir",
"V P Maleev",
"M Stankaityte",
"M Pinamonti",
"Marta Losada",
"Rob Henderson",
"M Vranjes Milosavljevic",
"L Sawyer",
"M W Krasny",
"J Hoya",
"S Prell",
"M Kolb",
"C Marcon",
"V Kukhtin",
"James E Brau",
"C P Bee",
"Mogens Dam",
"Lucia Pedraza Diaz",
"Harald Stelzer",
"T C Herwig",
"Riccardo de Asmundis",
"M Klassen",
"E J Feng",
"P Steinberg",
"Andrey A Sapronov",
"M Demichev",
"T J Burch",
"Daniele Fournier",
"P Sicho",
"Gregory Barbour",
"J Roloff",
"Xingguo Li",
"D Sampsonidis",
"Carsten Dulsen",
"Atsuhiko Ochi",
"C Sbarra",
"K Suruliz",
"Jia-nan Li",
"M D'Onofrio",
"Jafar Shojaii",
"Hadar Yosef Cohen",
"Dylan Perry Kisliuk",
"J Damp",
"B M Waugh",
"Dan Ping Huang",
"M Queitsch-Maitland",
"G Redlinger",
"H Meyer Zu Theenhausen",
"Mz Zhou",
"G Otero y Garzon",
"G R Gledhill",
"K A Looper",
"T Megy",
"W Buttinger",
"D Gillberg",
"V A Schegelsky",
"N P Hessey",
"Anum Qureshi",
"C Zeitnitz",
"Michael Duehrssen",
"K Lie",
"X Zhuang",
"Zijun Xu",
"Marcel Weirich",
"M Negrini",
"I M Gregor",
"S Franchino",
"X Wu",
"G Ucchielli",
"William Dmitri Breaden Madden",
"Peter John Bussey",
"M J Basso",
"E Pasqualucci",
"F Veloso",
"C Vittori",
"S Groh",
"V E Ozcan",
"L Masetti",
"Daniel James Lewis",
"Sergey P Denisov",
"Antonio Zoccoli",
"T R V Billoud",
"J Assahsah",
"A Fell",
"M Vozak",
"Alexander Fenyuk",
"A Puri",
"E Banas",
"Henrik Oppen",
"Francesco M Follega",
"P T Koenig",
"Gerald Eigen",
"I Hristova",
"A Cheplakov",
"Y Benhammou",
"M Lavorgna",
"Kristian Bjoerke",
"Christopher Blake Martin",
"Vadim Gratchev",
"A Schulte",
"E E Khoda",
"S Lai",
"Tadej Novak",
"N Benekos",
"Andreas Hoecker",
"Miguel Villaplana Perez",
"M Renda",
"A E Barton",
"A Cerri",
"Patrick Puzo",
"H Son",
"Vivek Jain",
"Nicola Venturi",
"Maria Ines Abreu Juliao Ochoa De Castro",
"Massimo Lazzaroni",
"V Cavaliere",
"E B Diehl",
"Stefan Gadatsch",
"Jan-Hendrik Arling",
"David Calvet",
"Antonella De Santo",
"Alexander Sydorenko",
"G Barone",
"J Ferrando",
"M Bauce",
"T R Wyatt",
"James Pinfold",
"John A Wilson",
"M Wessels",
"N Viaux Maira",
"Z M Schillaci",
"B Abbott",
"J D Little",
"L N Smirnova",
"J Ouellette",
"O V Solovyanov",
"Hao Liu",
"A Kamenshchikov",
"K E Schleicher",
"Ariella Cattai",
"F Cirotto",
"Rui Wang",
"Patricia Ward",
"William Trischuk",
"D M Handl",
"Keisuke Yoshihara",
"V Lyubushkin",
"Huajie Cheng",
"Tomomi Kawaguchi",
"S Demers",
"F Lasagni Manghi",
"Anatoly V Borisov",
"R T Turra",
"Francesco Rubbo",
"K Kawade",
"K Al Khoury",
"Sarah Williams",
"Zach Marshall",
"A Knue",
"A Gavrilyuk",
"I A Minashvili",
"N L Woods",
"Tadashi Maeno",
"S D Worm",
"Fairouz Malek",
"H Nanjo",
"Halina Abramowicz",
"Xueyao Zhang",
"J M P Pasner",
"Gregorio Bernardi",
"T Lagouri",
"Ruchi Gupta",
"Hubert Kroha",
"T G McCarthy",
"A S Kozhin",
"K Maj",
"D Delgove",
"K K Heidegger",
"Stephen Albert Weber",
"Hongtao Yang",
"J J Teoh",
"Douglas M Gingrich",
"T Klioutchnikova",
"M Scornajenghi",
"Volker Buescher",
"M Schernau",
"Valerio Vercesi",
"Jonathan Jamieson",
"Andreas Honle",
"R Brock",
"Antonio Gaudiello",
"Balthasar Schachtner",
"K Bouaouda",
"Tomio Kobayashi",
"Elzbieta Richter-Was",
"Stefano De Castro",
"M Barbero",
"Rinat Fakhrutdinov",
"Alexander Mann",
"G Maccarrone",
"Sigve Haug",
"M Cavalli-Sforza",
"G Zemaityte",
"C J Potter",
"Fuquan Wang",
"Benoit Lefebvre",
"A Dattagupta",
"S Perrella",
"S Diez Cornell",
"Sourav Sen",
"W S Ahmed",
"A G Kharlamov",
"D Sampsonidou",
"Hans-Christian Schultz-Coulon",
"Tetsuro Mashimo",
"Yuji Yamazaki",
"P Berta",
"M P J Landon",
"K G Tomiwa",
"C A Gottardo",
"Jue Chen",
"C Clement",
"F Hubaut",
"Christopher Grud",
"E B Rye",
"L L Kurchaninov",
"A Bingul",
"D Iliadis",
"A V Anisenkov",
"Y Tu",
"Richard Batley",
"R Keeler",
"J Khubua",
"C Escobar",
"S Nemecek",
"J C Rivera Vergara",
"Zdenek Dolezal",
"M Vogel",
"Lorenzo Paolozzi",
"Karsten Koeneke",
"Frank Filthaut",
"G Borissov",
"Amal Vaidya",
"Tony Doyle",
"C Guyot",
"Gerardo Alexis Vasquez Arenas",
"Laurent Chevalier",
"Michael Kagan",
"Veronika Magerl",
"B Liberti",
"Paola Giannetti",
"Liv Antje Mari Wiik",
"Zvi Hirsh Citron",
"O Cakir",
"Francesco La Ruffa",
"Tomohiro Yamazaki",
"D P C Sankey",
"T Moa",
"L Poggioli",
"Bartlomiej Zabinski",
"I N Potrap",
"J Llorente Merino",
"Gavin Hesketh",
"Geoffrey N Taylor",
"Mercedes Minano",
"D Cieri",
"J Fuster",
"C Arcangeletti",
"F Costanza",
"F J Munoz Sanchez",
"Ahmed Hasib",
"Chiao-ying Lin",
"Emily Marie Duffield",
"Marcus De Beurs",
"A Romaniouk",
"M Goblirsch-Kolb",
"S Parajuli",
"Liqing Zhang",
"Nikolaos Tsirintanis",
"J -F Grivaz",
"Alberto Stabile",
"Stefan Kluth",
"Yi Fei Han",
"Carlos Sandoval Usme",
"Giora Mikenberg",
"M Saimpert",
"R Leone",
"S Gkaitatzis",
"Yanyun Duan",
"A Dubreuil",
"Evgenii Baldin",
"Mikhail Mineev",
"R S Orr",
"S Oerdek",
"R Schwienhorst",
"P M Gravila",
"N Makovec",
"Sergei V Chekanov",
"G Gilles",
"D Zieminska",
"Augusto Santiago Cerqueira",
"R Kehoe",
"G E Gallardo",
"M Bahmani",
"K Bachas",
"Javier Sanchez",
"V Tsiskaridze",
"Wei Wang",
"Konstantinos Nikolopoulos",
"S Calvet",
"G A Popeneciu",
"I Fleck",
"M Havranek",
"C Haber",
"O Majersky",
"E Reynolds",
"Troels C Petersen",
"Luciano Manhaes de Andrade Filho",
"O Kepka",
"B Galhardo",
"Maximilian Hils",
"Azzah Aziz Alshehri",
"Francesco Ragusa",
"M Pettee",
"Haifeng Li",
"Stephen Gibson",
"D Reikher",
"Olympia Dartsi",
"D Ta",
"Roberto Cardarelli",
"O J Winston",
"Yoshinobu Unno",
"A Koulouris",
"T J Khoo",
"A Gongadze",
"Robert McPherson",
"Giordon Stark",
"R Wolff",
"D Muenstermann",
"O Arnaez",
"J D Shahinian",
"B Yabsley",
"K Rosbach",
"F Cormier",
"Francesco Crescioli",
"Andrea Dell'Acqua",
"E C Hanson",
"P Y Nechaeva",
"T Vazquez Schroeder",
"R E Ticse Torres",
"X Xiao",
"G Navarro",
"Siqi Yang",
"C Glasman",
"R Stroynowski",
"T Rashid",
"R Di Sipio",
"A M Rodriguez Vera",
"Wolfgang Walkowiak",
"Tigran Mkrtchyan",
"C Goy",
"A Khodinov",
"Theodoros Alexopoulos",
"P Seema",
"P Gadow",
"C Bernius",
"L Cerda Alberich",
"Daniel Joseph Antrim",
"Rui Miguel Faisca Rodrigues Pereira",
"P Bagnaia",
"R Vari",
"C D Burgard",
"T A Schwarz",
"V S Martoiu",
"Steven J Lee",
"Debarati Roy",
"Q Hu",
"R Moles-Valls",
"Christophe Deutsch",
"T Dado",
"A Cervelli",
"Thomas Daubney",
"A Yamamoto",
"D Vannicola",
"P Francavilla",
"A Bitadze",
"Yakov Petrovich Kulinich",
"L Jeanty",
"D Scheirich",
"David Britton",
"A A Solodkov",
"P Skubic",
"S George",
"K Kroeninger",
"A Paramonov",
"E Sideras Haddad",
"M J Kareem",
"C Petridou",
"V Pleskot",
"W M Barbe",
"C Fukunaga",
"L Fayard",
"O Novgorodova",
"A Rimoldi",
"Y Kulchitsky",
"M A B Do Vale",
"M Rybar",
"C Agapopoulou",
"M Primavera",
"K Ntekas",
"D Cameron",
"I Wingerter-Seez",
"F Huegging",
"Nuno Filipe Castro",
"M Feng",
"T Wengler",
"K Karava",
"D Kar",
"J Qian",
"J Jovicevic",
"D Amidei",
"S Balaji",
"Xuan Yang",
"Ketevi Adikle Assamagan",
"Zihao Jiang",
"E P Takeva",
"H Kagan",
"H Evans",
"I A Cioara",
"N Nikiforou",
"S Rettie",
"Johann Collot",
"Sabine Lammers",
"B Giacobbe",
"A Angerami",
"J Chudoba",
"D M Strom",
"Andrea Formica",
"D Schaile",
"Yoshiji Yasu",
"M Dubovsky",
"S D Walker",
"Xiaoguang Yue",
"C Anastopoulos",
"James A Mueller",
"Ulf Fredrik Mikael Martensson",
"G Gessner",
"M Spina",
"J D Bossio Sola",
"Zineb El Idrissi",
"E Zaffaroni",
"Torre J Wenaus",
"David M Malon",
"J Haley",
"F Spano",
"E D Resseguie",
"E J Thorpe",
"S Bentvelsen",
"Abhishek Sharma",
"Christopher Willis",
"M A L Leite",
"R Shang",
"A F Webb",
"Wouter Verkerke",
"V Vecchio",
"S Shrestha",
"D Hohov",
"S Meehan",
"Evangelos Kourlitis",
"C Wiglesworth",
"P F Giraud",
"Borut Paul Kersevan",
"S Wenig",
"Anthony Salvucci",
"O L Rezanova",
"Bingxuan Liu",
"Fabricio Andres Jimenez Morales",
"F He",
"B T Carlson",
"P Pralavorio",
"V Ippolito",
"Konrad Kleinknecht",
"M C Stockton",
"Tobias Kupfer",
"F Winklmeier",
"Laura Fabbri",
"Andres Ramirez Morales",
"C Camincher",
"Luca Martinelli",
"L Roos",
"Matej Kocian",
"M Testa",
"D P Spiteri",
"M N Agaras",
"C Dallapiccola",
"A Schoening",
"R Spighi",
"J Maurer",
"P Sinervo",
"S Xella",
"R Stamen",
"S A Stucci",
"R Novotny",
"M Shimojima",
"L M Veloce",
"Gabriel Demontigny",
"P J Falke",
"A Sanchez Pineda",
"D Lynn",
"S Wahdan",
"E Brost",
"M J White",
"B D Seidlitz",
"Andrzej Olszewski",
"N Vranjes",
"Lamberto Luminari",
"D Duvnjak",
"P Kodys",
"Y Tayalati",
"G Zacharis",
"Abdeslam Hoummada",
"P Fleischmann",
"Christian Bohm",
"Piotr Janus",
"T Adye",
"Shu Li",
"Cheng Guang Zhu",
"A Lopez Solis",
"P Gutierrez",
"D Hirschbuehl",
"N Lorenzo Martinez",
"Y A Kurochkin",
"Shan Jin",
"G Mornacchi",
"J T Baines",
"L K Schildgen",
"Adriaan Koenig",
"Tristan Beau",
"L Asquith",
"Maria Smizanska",
"C P A Roland",
"Mattias Ellert",
"J Dingfelder",
"F Schenck",
"T Cuhadar Donszelmann",
"Z M Karpova",
"Othmane Rifki",
"R Ospanov",
"Gunnar Jakel",
"N Rompotis",
"A Soloshenko",
"J Myers",
"Carmen Maidantchik",
"E M Freundlich",
"M Rescigno",
"K Ran",
"O L Fedin",
"W H Hopkins",
"Peng Huo",
"W Wiedenmann",
"K Hanagaki",
"M Shiyakova",
"Mason Proffitt",
"M Tsopoulou",
"M J Shochet",
"E Lancon",
"K Krizka",
"Maria Jose C Costa",
"A Smykiewicz",
"Jonathan David Long",
"C Kourkoumelis",
"Jana Schaarschmidt",
"R Bielski"
],
"corpus_id": 214081541,
"doc_id": "214081541",
"n_citations": 35,
"n_key_citations": 2,
"score": 0,
"title": "Search for chargino neutralino production with mass splittings near the electroweak scale in three lepton final states in s 13 TeV pp collisions with the ATLAS detector",
"venue": "",
"year": 2020
},
{
"abstract": "Jet substructure quantities are measured using jets groomed with the soft drop grooming procedure in dijet events from 32.9 fb 1 of pp collisions collected with the ATLAS detector at s=13 TeV. These observables are sensitive to a wide range of QCD phenomena. Some observables, such as the jet mass and opening angle between the two subjets which pass the soft drop condition, can be described by a high order (resummed) series in the strong coupling constant aS. Other observables, such as the momentum sharing between the two subjets, are nearly independent of aS. These observables can be constructed using all interacting particles or using only charged particles reconstructed in the inner tracking detectors. Track based versions of these observables are not collinear safe, but are measured more precisely, and universal nonperturbative functions can absorb the collinear singularities. The unfolded data are directly compared with QCD calculations and hadron level Monte Carlo simulations. The measurements are performed in different pseudorapidity regions, which are then used to extract quark and gluon jet shapes using the predicted quark and gluon fractions in each region. All of the parton shower and analytical calculations provide an excellent description of the data in most regions of phase space.",
"author_names": [
"Georges Aad",
"A Kupco",
"Samuel Nathan Webb",
"T Dreyer",
"Yufeng Wang",
"Karl Jakobs",
"Brian Le",
"M Spousta",
"M Cobal",
"Peilong Wang",
"S Schmitt",
"Jaroslava Schovancova",
"A Bassalat",
"Matej Melo",
"M Shapiro",
"G Tarna",
"S Zimmermann",
"T Eifert",
"L Rehnisch",
"S Kuday",
"M Sioli",
"Holger Herr",
"N Bruscino",
"J Huston",
"T Sumida",
"Steven Hugh Robertson",
"R Goncalo",
"A A Snesarev",
"L La Rotonda",
"Dirk Duschinger",
"James Oscar Thomas",
"E Carquin",
"Y W Y Ng",
"S Crepe-Renaudin",
"J A Parsons",
"W K Balunas",
"Yu A Tikhonov",
"M K Ayoub",
"J A Aparisi Pozo",
"C Mwewa",
"David Harry Miller",
"A A Ivina",
"P Mastrandrea",
"Jan-Ulf Mjoernmark",
"W A Leight",
"Auke-Pieter Colijn",
"Liaoshan Shi",
"Michael E Nelson",
"Karel Cerny",
"N Warrack",
"Hans Krueger",
"J Ocariz",
"Markus Nordberg",
"C Weber",
"Daniela Bortoletto",
"A J Lankford",
"N B Sinev",
"Stefan Tapprogge",
"Y F Hu",
"F Parodi",
"T Masubuchi",
"D E Ferreira Lima",
"T J LeCompte",
"Shenjian Jammy Chen",
"Souad Batlamous",
"Tim Martin",
"J Poveda",
"C Roda",
"Tuan Nguyen Manh",
"M Ouchrif",
"Krzysztof Korcyl",
"T Lyubushkina",
"C Grefe",
"P Tipton",
"F F Klitzner",
"Alberto Valero",
"T Kishimoto",
"K Kawagoe",
"H Bachacou",
"Alfonso Policicchio",
"Franziska Iltzsche Speiser",
"S Zambito",
"S N Karpov",
"P Strizenec",
"Christopher Michael Lester",
"D W Casper",
"S Ketabchi Haghighat",
"Jose Garcia Navarro",
"Shuzhou Zhang",
"B Di Micco",
"Stefan Koperny",
"L Schaefer",
"C Bertella",
"Ph Schwemling",
"M Vos",
"E Meoni",
"T R Holmes",
"I G Sanderswood",
"Zhi Zheng",
"Z Hubacek",
"C Doglioni",
"Andrew Ferrante",
"L Vigani",
"Abhishek Nag",
"A S Boldyrev",
"S R Maschek",
"J Stark",
"E V Yatsenko",
"P Gessinger-Befurt",
"M Kuze",
"B H Hooberman",
"S Carra",
"K Pachal",
"David Costanzo",
"M J Fenton",
"Jesse Liu",
"Alexei Klimentov",
"Simone Pagan Griso",
"Ilias Panagoulias",
"T B Huffman",
"Hongbin Liu",
"T Kuhl",
"G Gustavino",
"Mateusz Dyndal",
"F An",
"M Antonelli",
"B Malaescu",
"D K Abhayasinghe",
"K Grimm",
"D Zanzi",
"Sundeep Singh",
"Michael Glenn Eggleston",
"V R Bailey",
"A Ezhilov",
"D P Yallup",
"A Bellerive",
"J Masik",
"Alena Loesle",
"L Adamek",
"L Barak",
"Dominique Godin",
"Giuseppe Iacobucci",
"Evgeny Shulga",
"B Gorini",
"J Heilman",
"D Zhong",
"John Butler",
"H Fox",
"S Grancagnolo",
"Hok Chuen Cheng",
"Christopher Andrew Garner",
"S A Olivares Pino",
"N Madysa",
"Gregory Hallewell",
"L Franconi",
"L A Horyn",
"D Fassouliotis",
"Joshua Wyatt Smith",
"Alessandro Tricoli",
"M Dumancic",
"H Iwasaki",
"M Kuna",
"Michele Faucci Giannelli",
"B Stapf",
"T Cao",
"Michela Paganini",
"V Ellajosyula",
"I Pogrebnyak",
"E Petit",
"E Antipov",
"J Faltova",
"Yu Him Justin Chiu",
"Wen Guo",
"Stewart Patrick Swift",
"E Lipeles",
"A Ducourthial",
"Benedikt Bergmann",
"Sebastian Artz",
"M J Oreglia",
"E Drechsler",
"K Einsweiler",
"Fernando Monticelli",
"S Giagu",
"E Kneringer",
"B Freund",
"H Duran Yildiz",
"Daniel Whiteson",
"Alastair Dewhurst",
"K Shaw",
"Yingchun Zhu",
"Nicolo Vladi Biesuz",
"J Terron",
"D S Nielsen",
"M Ghasemi Bostanabad",
"Stephanie Pui Yan Yuen",
"V Castillo Gimenez",
"T Barillari",
"T J Neep",
"Francesco Peri",
"P J Clark",
"S Schier",
"K Vorobev",
"Julius Hrivnac",
"Martin Barisits",
"Takuto Kunigo",
"Alexander A Grillo",
"Stefano Camarda",
"T Dias Do Vale",
"R Kopeliansky",
"M Swiatlowski",
"N P Konstantinidis",
"Osamu Jinnouchi",
"Hartmut Sadrozinski",
"Vassili Kazanin",
"E L Barberio",
"J Pacalt",
"Kerstin Tackmann",
"Dorel Pietreanu",
"Richard Kass",
"Yuya Kano",
"D Camarero Munoz",
"J Zahreddine",
"M R Sutton",
"Yohei Noguchi",
"L Zivkovic",
"L Dell'Asta",
"Veronica Wallangen",
"K Abeling",
"M G Vincter",
"G Herten",
"V Nikolaenko",
"D Kirchmeier",
"C C Chau",
"Alessandro Di Girolamo",
"Nicola Abraham",
"Markus Elsing",
"Cong Geng",
"K Mochizuki",
"A Di Ciaccio",
"B Burghgrave",
"A N Fray",
"P Massarotti",
"Lorenzo Rossini",
"Sina Baluch Bahrasemani",
"C J McNicol",
"G Di Gregorio",
"F Corriveau",
"K Tariq",
"G R Gonzalvo Rodriguez",
"I Bloch",
"Karel Smolek",
"R Brenner",
"P S Ott",
"P Tas",
"Ana Rosario Cueto Gomez",
"G Marceca",
"B A Petersen",
"V Solovyev",
"B Haney",
"S Gonzalez-Sevilla",
"Chase Shimmin",
"P Schacht",
"Nikola Lazar Whallon",
"Andrea Negri",
"S M Farrington",
"Michael F Ziolkowski",
"Vladimir Cindro",
"P Sommer",
"A A Minaenko",
"Shunsuke Honda",
"X Ruan",
"Pasquale Fabrizio Salvatore",
"M Franklin",
"B Mansoulie",
"Yang Qin",
"Gorm Galster",
"Charles Leggett",
"J W Cowley",
"P Buchholz",
"K Zoch",
"Zuzana Blenessy",
"Claudio Ferretti",
"D Biedermann",
"J Kroll",
"E M Shrif",
"Z Uysal",
"A Behera",
"Hector de la Torre",
"C E Leitgeb",
"F Tresoldi",
"Siinn Che",
"Susumu Oda",
"Christian A Gutschow",
"Masa-hiko Saito",
"Francesco Lo Sterzo",
"D P Mungo",
"Joost Vossebeld",
"Joshua Moss",
"Monica Dunford",
"Robin Middleton",
"A B Kowalewska",
"Kyungeon Choi",
"S Harkusha",
"Puja Saha",
"Julia Hrdinka",
"Rainer Roehrig",
"Hiroshi Sakamoto",
"E Hansen",
"Matt Zhang",
"A J Bailey",
"M Biglietti",
"Samuel David Jones",
"T Jakoubek",
"L Marcoccia",
"Simon H Connell",
"Alessandra Doria",
"Hoang Dai Nghia Nguyen",
"Matthias Danninger",
"C Blocker",
"S Istin",
"E W Varnes",
"Jorn Dines Hansen",
"M Ghneimat",
"G Iakovidis",
"Attilio Picazio",
"C J Treado",
"G Jarlskog",
"Koichi Nagai",
"Wainer Vandelli",
"Tae Hyoun Park",
"Alessandro De Salvo",
"A Kourkoumeli-Charalampidi",
"Yang Heng",
"F Sohns",
"P B Shatalov",
"Y Smirnov",
"Stephanie Majewski",
"K Sliwa",
"Jesus Guerrero Rojas",
"Philip Bechtle",
"Miguel C N Fiolhais",
"F H Phillips",
"Fumiaki Ito",
"F Ukegawa",
"T Guillemin",
"E Winkels",
"J J Kempster",
"Aishik Ghosh",
"Shuo Han",
"I Maznas",
"M Wobisch",
"K Augsten",
"Jean-pierre Ochoa",
"M Vicente Barreto Pinto",
"E Le Guirriec",
"N L Belyaev",
"A Ryzhov",
"D Moreno",
"G Usai",
"P O Deviveiros",
"Mahran Shehade",
"Marcel Stanitzki",
"L J Wilkins",
"Barry King",
"A Pacheco Pages",
"M Begel",
"G T Forcolin",
"Young-kee Kim",
"L Morvaj",
"C D Burton",
"Michele Weber",
"T Heim",
"A Rej",
"K Belotskiy",
"A M Soukharev",
"Shuaiyan Kang",
"C Agheorghiesei",
"H A Pacey",
"R M D Carney",
"Annabelle Julia Chuinard",
"R Jansky",
"A Kotsokechagia",
"A Undrus",
"B L Stamas",
"Michael William O'Keefe",
"Julia Mariana Iturbe Ponce",
"D Boscherini",
"C G Zhu",
"D R Tovey",
"N Semprini-Cesari",
"P Fassnacht",
"K D Finelli",
"B Brickwedde",
"A Matic",
"Claire David",
"Lukasz Zwalinski",
"M J Alconada Verzini",
"R Kukla",
"T J Stevenson",
"Jie Yu",
"D Boerner",
"C Galea",
"Lukas Heinrich",
"G Rovelli",
"C Troncon",
"Francesco Guescini",
"J A Garcia Pascual",
"Chunhui Chen",
"Andrea Rodriguez Perez",
"S Menke",
"I Van Vulpen",
"E Shabalina",
"G Unal",
"Robert W Gardner",
"A Fehr",
"Yingxue Zhang",
"N Norjoharuddeen",
"Masahiro Morii",
"Andrea Sciandra",
"T Kosek",
"Zhiqin Zhang",
"K Sekhon",
"R Iguchi",
"Tai-hua Lin",
"L Flores",
"Gabriele Chiodini",
"Alessandro Caltabiano",
"Jun Guo",
"Li Zhi",
"J L Gonski",
"Andrea Gabrielli",
"E Akilli",
"T Klapdor-Kleingrothaus",
"O M Kind",
"R D Schamberger",
"Ariel Schwartzman",
"L Aperio Bella",
"Frederik Ruehr",
"A R Weidberg",
"Are Sivertsen Traeet",
"L Mijovic",
"H Potti",
"S Snyder",
"U Blumenschein",
"R Konoplich",
"Peter Maettig",
"M Javurkova",
"Emre Celebi",
"E Tzovara",
"M Tasevsky",
"F Pasquali",
"Carlos Solans",
"J Kvita",
"S Heim",
"V Boisvert",
"Benjamin William Allen",
"Tomohiro Yamazaki",
"Robert Francis Hunter",
"Stefano Veneziano",
"M Zaazoua",
"Yoji Hasegawa",
"Y Takubo",
"M Huhtinen",
"A E Kiryunin",
"Andrew Beddall",
"N Kimura",
"S Amoroso",
"L Barranco Navarro",
"L Serkin",
"Dengfeng Zhang",
"I Gkialas",
"S Yu Smirnov",
"M Haleem",
"D Froidevaux",
"Babar Ali",
"Feng Lyu",
"Dmitry Emeliyanov",
"Andrej Filipcic",
"S Kuehn",
"Mario Lassnig",
"T Pauly",
"Andreas Redelbach",
"Antonia Struebig",
"Isabel Nitsche",
"B K Wosiek",
"Yizhou Liu",
"Sara Strandberg",
"A N Karyukhin",
"Y Delabat Diaz",
"A Mizukami",
"L Pontecorvo",
"A Jinaru",
"Liang Li",
"T Lenz",
"John Michael Butterworth",
"Andreas Korn",
"M Ridel",
"K Todome",
"Geoffrey Mullier",
"A Kurova",
"E Rossi",
"Kun Liu",
"A Ouraou",
"A Dudarev",
"Kazuhiko Hara",
"R Caminal Armadans",
"M P Heath",
"Shota Suzuki",
"Tomoyuki Saito",
"C C Ohm",
"J T Shank",
"N Ozturk",
"M Khader",
"L Cerrito",
"R Astalos",
"J Barkeloo",
"H G Wilkens",
"Eram Rizvi",
"E Gramstad",
"Tim Jones",
"Vaclav Vrba",
"T Hryn'ova",
"L K Gladilin",
"M Ronzani",
"E Yigitbasi",
"S Ye",
"Yasuhiro Makida",
"A P Pereira Peixoto",
"C Alpigiani",
"Eleonora Rossi",
"O Stelzer-Chilton",
"N W Shaikh",
"T Zenis",
"Georgios Stavropoulos",
"I Riu",
"F Cardillo",
"K K Gan",
"T Swirski",
"K E Kennedy",
"T Harenberg",
"S Henkelmann",
"Guy Rosin",
"Marco Scodeggio",
"F Derue",
"M Maerker",
"Steffen Staerz",
"Tulin Varol Mete",
"K Brendlinger",
"G D'amen",
"Alexander Melzer",
"S Terzo",
"George Victor Andrei",
"E M Lobodzinska",
"J Tojo",
"E B F G Knoops",
"Michael Tuts",
"E Skorda",
"J H Lindon",
"K Cheung",
"Daniele De Pedis",
"A Giannini",
"L D'Eramo",
"David Philip John Lack",
"O Bessidskaia Bylund",
"Zulit Paola Arrubarrena Tame",
"Eric Buschmann",
"G Panizzo",
"C Nellist",
"M C Hodgkinson",
"M Alhroob",
"A J Barr",
"D Varouchas",
"J C -L Tseng",
"H P Beck",
"Katharine Leney",
"Jinlong Zhang",
"F Becherer",
"Joseph William Carter",
"Paolo Camarri",
"Maia Mosidze",
"S Dysch",
"R Staszewski",
"Roger Felipe Naranjo Garcia",
"Elena Rocco",
"A Milov",
"Vincenzo Izzo",
"L Pizzimento",
"J M Izen",
"J P Rutherfoord",
"Pa Malecki",
"Lianliang Ma",
"Harry Lyons",
"O Kuchinskaia",
"Z J Grout",
"Reiner Hauser",
"G Avolio",
"J K R Meshreki",
"G Alimonti",
"Yi-lin Yang",
"D J Mahon",
"T Kawamoto",
"Leonardo Merola",
"C Wanotayaroj",
"T Berry",
"P Sabatini",
"C Debenedetti",
"Yun Sang Chow",
"L Colasurdo",
"Raimund Stroehmer",
"A Ferrari",
"T M Liss",
"D Dodsworth",
"Marie Christine Lanfermann",
"Luc Goossens",
"Ph Schune",
"Jike Wang",
"M Tomoto",
"Shaun Roe",
"N Proklova",
"M Aoki",
"Y Nagasaka",
"Christopher John Young",
"M Geisen",
"Gabriele Sabato",
"P A Gorbounov",
"P Moschovakos",
"R M Jacobs",
"Valentina Maria Martina Cairo",
"G Chiarelli",
"S Hellesund",
"C Bakalis",
"J Dopke",
"I Hinchliffe",
"R Bi",
"A V Akimov",
"Stavros Maltezos",
"Armin Nairz",
"Jens Weingarten",
"Hulin Wang",
"S Amor dos Santos",
"Paul de Jong",
"Marco Valente",
"S Heer",
"Serena Palazzo",
"A T Vermeulen",
"D Ferrere",
"I Carli",
"Sofia Chouridou",
"H Oide",
"C Luci",
"M Bindi",
"S Palestini",
"Thomas Naumann",
"O Kuprash",
"Thomas Lohse",
"Jelena Krstic",
"Shigeru Odaka",
"R Narayan",
"Philipp Jonathan Losel",
"Rosanna Ignazzi",
"M G Alviggi",
"L S Kaplan",
"A Liblong",
"A A Myers",
"Tony Affolder",
"B Martin dit Latour",
"J E Pilcher",
"G Salamanna",
"Takahiro Fusayasu",
"A Ventura",
"Dan Shaked",
"Songkyo Lee",
"Anna Kaczmarska",
"Karl Heinz Hiller",
"Tomas Davidek",
"M Wielers",
"Graeme Andrew Stewart",
"Yichen Li",
"A Lapertosa",
"L Tompkins",
"G Rybkin",
"B Tong",
"D Orestano",
"M Iodice",
"Nico Giangiacomi",
"G Halladjian",
"J R Dandoy",
"Carmen Garcia",
"H L Russell",
"M I Gostkin",
"J D Mellenthin",
"C Santoni",
"A La Rosa",
"Andrew Washbrook",
"Lawrence Lee",
"Kevin De Vasconcelos Corga",
"D Levin",
"Ava Chloe Audrey Lee",
"S Jezequel",
"R Yuan",
"K Grevtsov",
"Pierre Petroff",
"P Tornambe",
"R Kowalewski",
"S Simsek",
"Maria Roberta Devesa",
"C M Hawkes",
"D Turgeman",
"V Hedberg",
"Christopher Meyer",
"Yaquan Fang",
"S Turchikhin",
"F Prokoshin",
"A Soffer",
"R Tanaka",
"Masahiro Tanaka",
"A D Pilkington",
"Arnulf Quadt",
"A L Maslennikov",
"S Higashino",
"S Gargiulo",
"B Stelzer",
"T Poulsen",
"E J Schioppa",
"F Anulli",
"Alessandro Rocchi",
"A Lucotte",
"Katharina Maria Ecker",
"Laura Gonella",
"E Fullana Torregrosa",
"Stefan Simion",
"K Tokushuku",
"C Kahra",
"Pippa S Wells",
"M Fanti",
"R Simoniello",
"Kosuke Takeda",
"J Kendrick",
"Rima El Kosseifi",
"Tobias Golling",
"A Pathak",
"Hai Jiang",
"P H Hansen",
"K Lohwasser",
"E K U Gross",
"Yongke Zhao",
"Rainer Bartoldus",
"I Naryshkin",
"S V Zeissner",
"U Klein",
"Herman ten Kate",
"Y Enari",
"V Kouskoura",
"L Carminati",
"Weiming Yao",
"Haoshuang Ji",
"Jovan Mitrevski",
"Serban Constantinescu",
"Carlos Garcia Argos",
"Bojan Hiti",
"S M Mazza",
"V Polychronakos",
"R Poggi",
"P Pasuwan",
"F Ellinghaus",
"M Kobel",
"Oliver Kortner",
"Robin L Hayes",
"Steven Goldfarb",
"Takafumi Kodama",
"V S Lang",
"E Ladygin",
"Joao Victor da Fonseca Pinto",
"Arwa A E Bannoura",
"Juan Pedro Araque Espinosa",
"Michael Adersberger",
"Simone Campana",
"L Meng",
"J Mamuzic",
"I N Aleksandrov",
"G Introzzi",
"J Kirk",
"Luis Hervas",
"M Janus",
"Martin Stegler",
"Alberto Annovi",
"P Staroba",
"M Mikestikova",
"T A Kharlamova",
"E F McDonald",
"Jian Cong Zeng",
"Steven Andrew Farrell",
"F Alonso",
"Tomas Blazek",
"C P Hays",
"Mikel Eukeni Pozo Astigarraga",
"C Sawyer",
"R E Owen",
"Pawel Bruckman de Renstrom",
"I A Bertram",
"Dmitriy Maximov",
"Andreas Reiss",
"C Lacasta",
"Manuel Neumann",
"P Iengo",
"J Elmsheuser",
"P Morettini",
"X Ai",
"Adam Maxwell Azoulay",
"L F Oleiro Seabra",
"Kyle Cranmer",
"D Giugni",
"K Lin",
"Guy Koren",
"A Aggarwal",
"V Canale",
"J C Schmoeckel",
"P Teixeira-Dias",
"Alexander S Basan",
"S Falke",
"Mengran Li",
"Alexander S Sakharov",
"Helena Lefebvre",
"S Pataraia",
"Ioannis Xiotidis",
"Shih-Chieh Hsu",
"D Schaefer",
"F Pastore",
"I M Snyder",
"A Laurier",
"Krzysztof W Wozniak",
"N P Readioff",
"C Antel",
"Stefan Erich Von Buddenbrock",
"Joern Christian Lange",
"Sandro De Cecco",
"A Alfonsi",
"M C Kruse",
"Alfred Goshaw",
"J I Djuvsland",
"S Biondi",
"Felix Rauscher",
"D Pudzha",
"J Donini",
"Bernhard Meirose",
"R Hankache",
"Pierfrancesco Butti",
"Thomas Peiffer",
"Y Nakahama",
"James Robinson",
"J F Laporte",
"P Calfayan",
"N A Asbah",
"Oleg Meshkov",
"C Alexa",
"B Reynolds",
"Thomas Dennis Powell",
"S Trincaz-Duvoid",
"C Varni",
"Zhongyukun Xu",
"T P Calvet",
"C Gray",
"S Protopopescu",
"F Cerutti",
"I Koletsou",
"G Mancini",
"C Schmitt",
"Bo Li Liu",
"Sarah Louise Barnes",
"J Bracinik",
"S D Lawlor",
"M Boonekamp",
"Marcel Weirich",
"M Sandhoff",
"Antonio Ereditato",
"Tim Herrmann",
"Thanawat Asawatavonvanich",
"A Gomes",
"D Kelsey",
"W C Fisher",
"A Held",
"Amir Farbin",
"M Rotaru",
"T Flick",
"M Raymond",
"A M Burger",
"Matthew Glenn Kurth",
"F Braren",
"Horst Severini",
"Aidan Grummer",
"A Farilla",
"M Sahinsoy",
"Carlos Josue Buxo Vazquez",
"P Balek",
"S Adorni",
"Bertrand Laforge",
"Eitan Gozani",
"J L Nagle",
"L Valery",
"N Morange",
"H Santos",
"Richard Bates",
"H Ye",
"J H Rawling",
"P Johansson",
"M Spangenberg",
"V Sinetckii",
"S B Menary",
"J D Chapman",
"R Goncalves Gama",
"S Hyrych",
"Jonathan Shlomi",
"S Barsov",
"M Della Pietra",
"Farkhad Khalil-zada",
"Mario Sannino",
"S Akatsuka",
"Riccardo Maria Bianchi",
"Luiz Pereira Caloba",
"E Monnier",
"Jose Salt",
"A Messina",
"K Zhukov",
"U Mallik",
"Alison Lister",
"M Pedersen",
"S Sottocornola",
"A Montalbano",
"E Nagy",
"Rui Zhang",
"M R Yexley",
"L Pascual Dominguez",
"Matthew Henry Klein",
"Peter Nemethy",
"C Leonidopoulos",
"D S Bhattacharya",
"Lluis M Mir",
"Laura Perini",
"D Sammel",
"G Callea",
"Umar Gul",
"X Lou",
"A T H Arce",
"A Miucci",
"G I Dyckes",
"J Machado Miguens",
"Miaoran Lu",
"D Madaffari",
"E Fumagalli",
"C Gemme",
"Bart Hommels",
"S Leone",
"Marc-Andre Pleier",
"V Chiarella",
"Peter William Phillips",
"Haicheng Wang",
"M Przybycien",
"Cigdem Issever",
"Petr Hamal",
"A Trofymov",
"C Grieco",
"O Rohne",
"J R Bensinger",
"A Marzin",
"A Bruni",
"T Farooque",
"Tomas Komarek",
"B Dong",
"Klaus Desch",
"J A Frost",
"Cecile Deterre",
"Christian Weiser",
"A Buckley",
"G Unel",
"C Kato",
"E L Gkougkousis",
"Michael Phipps",
"Giovanni Marchiori",
"M D Werner",
"S Willocq",
"F L Castillo",
"R A Mina",
"M Ikeno",
"M E Vasile",
"Christian Johnson",
"F Miano",
"O Sidiropoulou",
"Marco Battaglia",
"P Klimek",
"D Di Valentino",
"Yanlin Liu",
"I Chiu",
"Y Afik",
"V Morisbak",
"C W Kalderon",
"C E Pandini",
"N Brahimi",
"E Kajomovitz",
"Allan G Clark",
"Heinz Pernegger",
"Russell James Turner",
"Zhijun Liang",
"D M Rauch",
"F A Di Bello",
"H Wolters",
"F Rizatdinova",
"Dan Andrei Ciubotaru",
"I Vivarelli",
"F Nechansky",
"Madalyn Ann McKay",
"Ian Brock",
"Frank Fiedler",
"Gang Zhang",
"J Adelman",
"A Bogdanchikov",
"T Dai",
"Carlos Miguel Vergel Infante",
"G Gaycken",
"Edgar Kellermann",
"W Taylor",
"N Zhou",
"Koji Terashi",
"D Della Volpe",
"L Duflot",
"B M Flierl",
"S Yu Sivoklokov",
"M Faraj",
"Ester Ricci",
"P C F Glaysher",
"T Buanes",
"V Zhulanov",
"Klaus A Hamacher",
"J Del Peso",
"Alessandro Polini",
"J A Kremer",
"Maosen Zhou",
"Zhen Yan",
"E Torro Pastor",
"L Rustige",
"T Trefzger",
"G Bruni",
"B Batool",
"G Cowan",
"A Armstrong",
"A Zhemchugov",
"Francisco Anuar Arduh",
"Dmitry Golubkov",
"D Vazquez Furelos",
"Carolina Michel Robles Gajardo",
"B Ravina",
"M Dobre",
"R Avramidou",
"S J Hillier",
"Susumu Terada",
"D Robinson",
"O E Vickey Boeriu",
"Norbert Wermes",
"J Love",
"F Neuhaus",
"A A Geanta",
"Thomas Beermann",
"S Hellman",
"M T Dova",
"H Jivan",
"Chi Wing Ng",
"Yicheng Guo",
"E Yu Soldatov",
"W S Chan",
"A Kotwal",
"Patrick Bryant",
"P Jacka",
"Koji Sato",
"P Urquijo",
"V Kartvelishvili",
"L Massa",
"Alevtina Shmeleva",
"M Safdari",
"B S Peralva",
"C Valderanis",
"J Jejelava",
"S J Haywood",
"F Thiele",
"O Estrada Pastor",
"D Lellouch",
"S Schaepe",
"Olaf Nackenhorst",
"A Ambler",
"S Francescato",
"A Hrynevich",
"Rodney Walker",
"S Argyropoulos",
"D Salvatore",
"H Okawa",
"A D'onofrio",
"M P Casado",
"L Di Ciaccio",
"R Rosten",
"F Deliot",
"C Lampoudis",
"K F Di Petrillo",
"B S Dziedzic",
"Chen Zhou",
"Jean-Pierre Meyer",
"A Canesse",
"Attilio Andreazza",
"K Prokofiev",
"Marco Bruschi",
"Sebastian Mergelmeyer",
"Ivan Lopez Paz",
"Salvador Marti i Garcia",
"Yi Jiang",
"I M Trigger",
"K O'Connor",
"J R Pater",
"Joseph Peter Kinghorn-taenzer",
"Monika Mittal",
"S Falciano",
"Matthias Schott",
"J K Anders",
"L Beresford",
"Farida Fassi",
"S Yacoob",
"Francesco Lacava",
"G F Tartarelli",
"M Hrabovsky",
"Juraj Smiesko",
"A Wolf",
"Ikuo Ueda",
"M Khandoga",
"K E Varvell",
"Farid Ould-Saada",
"Libor Nozka",
"C Wiel",
"Siyuan Sun",
"R Soualah",
"G Giugliarelli",
"Wenhao Xu",
"O Igonkina",
"Timothee Theveneaux-Pelzer",
"C Tosciri",
"A S Maevskiy",
"J Albert",
"C F Anders",
"A T Watson",
"Yasuyuki Okumura",
"Reda Tafirout",
"N Calace",
"L J Bergsten",
"R Di Nardo",
"G Sciolla",
"Christian Luedtke",
"M Reale",
"M Svatos",
"Daniel Rodriguez Rodriguez",
"K Lantzsch",
"A M Cooper-Sarkar",
"A Dimitrievska",
"H J Lubatti",
"Dhiman Chakraborty",
"M Errenst",
"B A Schumm",
"D Krasnopevtsev",
"P Zhao",
"R A Linck",
"L Iconomidou-Fayard",
"Dario Barberis",
"Yanwen Liu",
"Tomas Javurek",
"Kentaro Uno",
"M Romano",
"C R Kilby",
"William Brooks",
"Nils Krumnack",
"L Guan",
"S R Paredes Saenz",
"P Vokac",
"Pedro Jorge",
"B S Acharya",
"John Hill",
"Ahmed Tarek Abouelfadl Mohamed",
"C Adam Bourdarios",
"Renat Sadykov",
"O S AbouZeid",
"R Polifka",
"G Pownall",
"H El Jarrari",
"Keith Baker",
"Qi Li",
"P Loch",
"Xiang-Ting Meng",
"F Safai Tehrani",
"Weimin Song",
"A E Dumitriu",
"Hong Ma",
"Heather M Gray",
"Michael Strauss",
"J Schaeffer",
"B Safarzadeh Samani",
"E A Starchenko",
"A Khanov",
"Yuji Minegishi",
"B Brau",
"T Vickey",
"P D Thompson",
"S E Tzamarias",
"E J W Moyse",
"J P Biswal",
"Jorn Grosse-Knetter",
"Tina Sfiligoj",
"A Ogrodnik",
"Joseph Patton",
"K Yorita",
"M D M Capeans Garrido",
"B Moser",
"A Straessner",
"E J Gallas",
"E Cheremushkina",
"M F Daneri",
"Andrea Baroncelli",
"Christopher Neal Booth",
"E Thomson",
"S Gurbuz",
"W Kozanecki",
"S Calvente Lopez",
"S N Santpur",
"Wei Ding",
"M H Genest",
"Oxana Smirnova",
"Bjarne Stugu",
"A Trzupek",
"Kevin Hildebrand",
"M Gouighri",
"A K Kvam",
"E Tolley",
"F Jeanneau",
"Sau Lan Wu",
"V Herget",
"Stefan Rave",
"N A Styles",
"S J Sekula",
"N Lehmann",
"A Laudrain",
"Susanne Kersten",
"L A Thomsen",
"N Smirnov",
"J-B de Vivie de Regie",
"Ryuichi Sawada",
"Dai Kobayashi",
"Y Shimogama",
"M Lefebvre",
"Ondrej Penc",
"Alexandra Tudorache",
"Mario Campanelli",
"N S Dann",
"Yoichi Ninomiya",
"C Becot",
"Tomasz Bold",
"D Lacour",
"Markus Schumacher",
"Saverio D'Auria",
"D Tsybychev",
"P Bartos",
"D Du",
"Lucia Castillo Garcia",
"H Herde",
"A C Dudder",
"I Siral",
"Andreas Kugel",
"Andrej Gorisek",
"M Alvarez Estevez",
"A Mehta",
"B Achkar",
"Manuel Silva",
"Ian Peter Joseph Shipsey",
"Vincent Garonne",
"A Duperrin",
"A Durglishvili",
"A Emerman",
"B Lund-Jensen",
"F Backman",
"Maike Christina Hansen",
"C M Gee",
"Ligang Xia",
"T Nobe",
"Konstantinos Papageorgiou",
"A Perrevoort",
"Silvia Resconi",
"L Truong",
"Shlomit Tarem",
"Craig Buttar",
"J Geisen",
"M Nessi",
"N Huseynov",
"S Mohapatra",
"Claude Leroy",
"Patrick Moriishi Freeman",
"M F Watson",
"T Scanlon",
"J T P Burr",
"Mario Martinez Perez",
"A S Mete",
"D Derendarz",
"S Tokar",
"K H Mankinen",
"F Krieter",
"K Beloborodov",
"J A Valls Ferrer",
"Shawn Patrick Mc Kee",
"B Roland",
"Pavel Tsiareshka",
"N I Zimine",
"H J Moss",
"Wen Yi Song",
"You Zhou",
"C Gwenlan",
"Weitao Wang",
"Juergen Dr Thomas",
"H Wahlberg",
"S V Peleganchuk",
"K Gregersen",
"Jiang-Xing Chen",
"Thomas Daniel Weston",
"R B Nickerson",
"J Wollrath",
"S Angelidakis",
"Peter Jenni",
"J Crane",
"C Moreno Martinez",
"S Sevova",
"A Warburton",
"A Blue",
"H M Borecka-Bielska",
"P Reznicek",
"Hicham Atmani",
"D C O'Neil",
"G Darbo",
"X Chu",
"N E Pettersson",
"Marco Rimoldi",
"H Kurashige",
"H Hamdaoui",
"V A Bednyakov",
"J L Oliver",
"A V Vaniachine",
"P Bokan",
"J Urban",
"R Takashima",
"H C Beck",
"F Barreiro",
"D Sperlich",
"F Hinterkeuser",
"H Abreu",
"Michael Huebner",
"Jerome Samarati",
"Boyang Li",
"M Kaneda",
"J M Hays",
"M V Chizhov",
"V Fabiani",
"Santiago Gonzalez de la Hoz",
"Yang Gao",
"Alessandra Ciocio",
"H Mildner",
"Yanhui Ma",
"T Nitta",
"Xiangyang Ju",
"R Gugel",
"Chenliang Wang",
"S Valentinetti",
"C Feng",
"P Horn",
"F Petrucci",
"F Vazeille",
"M J R Olsson",
"T S Lau",
"B Heinemann",
"Shih-Chang Lee",
"Fabrizio Alfonsi",
"Klaus Moenig",
"V D'Amico",
"S Tisserant",
"V A Mitsou",
"A Camplani",
"M Hamer",
"K A Johns",
"Kazuki Yajima",
"Ondrej Hladik",
"Krisztian Peters",
"Simon Schmitz",
"D A DeMarco",
"E Cheu",
"Uli Schaefer",
"Dmitri Dedovich",
"Philippe Farthouat",
"L Helary",
"Alan Kahn",
"Albert Olariu",
"R A Creager",
"A Sidoti",
"Reina Coromoto Camacho Toro",
"Horst Oberlack",
"Toyonobu Okuyama",
"U Landgraf",
"A S Bell",
"M Schioppa",
"Ziyu Guo",
"He-sheng Chen",
"A Buzatu",
"M Marcisovsky",
"Ming-chung Chu",
"Jingbo Ye",
"H S Hayward",
"T G Zorbas",
"Ioulian Budagov",
"Juerg Beringer",
"E M Farina",
"A Sahu",
"Hugh H Williams",
"Albert Francis Casha",
"L Rinaldi",
"D M S Sultan",
"S Bressler",
"Giovanna Lehmann Miotto",
"C Papadatos",
"P Czodrowski",
"A L Steinhebel",
"D Cinca",
"T R Van Daalen",
"K Iordanidou",
"F Dittus",
"L Henkelmann",
"S Hassani",
"S Popa",
"Juan Antonio Aguilar Saavedra",
"Aaron White",
"V Croft",
"Eunchong Kim",
"B Ngair",
"M Livan",
"T Djobava",
"T Holm",
"Massimo Corradi",
"Ladislav Chytka",
"C Klein",
"G Gagliardi",
"P A Delsart",
"A I Mincer",
"David Rousseau",
"I Sykora",
"M Grandi",
"Alexander L Read",
"Enrico Tassi",
"Iwona Grabowska-Bold",
"Peter Love",
"S Alderweireldt",
"Jorge Andres Lopez Lopez",
"T Pham",
"H Arnold",
"E Cavallaro",
"Remco Castelijn",
"Yesenia Hernandez Jimenez",
"M Hance",
"L Portales",
"L Longo",
"Markus Atkinson",
"Paul Harrison",
"P Murin",
"Helio Takai",
"E Bergeaas Kuutmann",
"M Trovatelli",
"Konstantinos Kordas",
"Yoichi Ikegami",
"P Conde Muino",
"H Otono",
"Johannes Erdmann",
"S Kortner",
"A Lipniacka",
"G Brooijmans",
"E Nibigira",
"S A Cetin",
"C Rizzi",
"V S Bobrovnikov",
"G Spigo",
"Fabrizio Trovato",
"A K Morley",
"J Montejo Berlingen",
"S Guindon",
"N Andari",
"L Schoeffel",
"A Hadef",
"D A Millar",
"Sascha Caron",
"J Hejbal",
"M LeBlanc",
"A S Drobac",
"A Marantis",
"F Sauerburger",
"R Zou",
"Felix Buehrer",
"Marumi Kado",
"C Bittrich",
"Anne Kathrin Becker",
"Nicolas Maximilian Koehler",
"M Talby",
"J-F Arguin",
"S Richter",
"Benedict Allbrooke",
"Pierre Savard",
"A Bethani",
"Cheuk Yee Lo",
"Marcin Wolter",
"F Bauer",
"David Berge",
"M A Chelstowska",
"Sergei Malyukov",
"T Ekelof",
"Eduardo Valdes Santurio",
"Federico Scutti",
"A Petridis",
"F Dachs",
"Max Fredrik Isacson",
"D Benjamin",
"Karolos Potamianos",
"N Orlando",
"Panagiotis Gkountoumis",
"C Allaire",
"Roger L Jones",
"E Vilucchi",
"M Escalier",
"Magdalena Slawinska",
"Verena Martinez Outschoorn",
"S Bruno",
"G Gonella",
"A Vallier",
"Sarah Jones",
"Fred Luehring",
"E Pianori",
"S Sacerdoti",
"A C Martyniuk",
"M Holzbock",
"L Kashif",
"M Trzebinski",
"M Cano Bret",
"N Berger",
"Huijun Zhang",
"Thomas Maier",
"Daniel Guest",
"D Hayden",
"G Duckeck",
"Matthew Craig Mondragon",
"D Duda",
"Y Rozen",
"Savannah Thais",
"Jun Gao",
"Gueorgui Chelkov",
"L Pezzotti",
"Takashi Kubota",
"M Gignac",
"Rimsky Rojas",
"J G Saraiva",
"M S Neubauer",
"Hanlin Xu",
"S Prince",
"Steven Schramm",
"M Vreeswijk",
"E Gorini",
"Jose Manoel de Seixas",
"Alexander David Sherman",
"Alexander Linus Sopio",
"Namgyun Jeong",
"M Zgubic",
"R Cherkaoui El Moursli",
"Heiko Lacker",
"Zhaoxu Xi",
"Zirui Wang",
"P Sherwood",
"A X Y Kong",
"Alison Elliot",
"Frank Siegert",
"G Brandt",
"J T Kuechler",
"Z Rurikova",
"K P Mistry",
"E S Kuwertz",
"Jaroslav Guenther",
"Yan Wing Ng",
"Joany Andreina Manjarres Ramos",
"Giovanni Calderini",
"A Juste Rozas",
"U Schnoor",
"C M Helling",
"Ann Miao Wang",
"I I Tsukerman",
"Gordon T Watts",
"Hao Xu",
"M Montella",
"Benjamin P Nachman",
"Minghui Liu",
"Yutaka Okazaki",
"I R Boyko",
"Ademar Tavares Delgado",
"I K Lakomiec",
"A Adiguzel",
"S V Chekulaev",
"C L Sotiropoulou",
"S Martin-Haugh",
"Vaclav Vacek",
"T Iizawa",
"V Araujo Ferraz",
"D Bruncko",
"V O Tikhomirov",
"T M Spieker",
"J Proudfoot",
"Adam Abed Abud",
"I Dawson",
"G Piacquadio",
"Umberto De Sanctis",
"Masahiro Morinaga",
"F Fischer",
"B P Jaeger",
"P E Sidebo",
"Siqi Yang",
"Alex Zeng Wang",
"K D McLean",
"F Conventi",
"D C Abbott",
"Werner Freund",
"James H Cochran",
"A Calandri",
"W Wagner",
"J Dolejsi",
"F Dubinin",
"F Ledroit-Guillon",
"Liang Han",
"K R Schmidt-Sommerfeld",
"R Lafaye",
"Dalila Salamani",
"James Broughton",
"M Begalli",
"David Milstead",
"A R Buzykaev",
"A Ruiz-Martinez",
"R Hertenberger",
"Lianyou Shan",
"E Higon-Rodriguez",
"Alexander Zaitsev",
"V M Walbrecht",
"G Artoni",
"D M Rebuzzi",
"Anindya Ghosh",
"Yu-heng Chen",
"Samuel Silverstein",
"O Ricken",
"J Metcalfe",
"S Passaggio",
"N A Rusakovich",
"W R Cunningham",
"P Rieck",
"J A Raine",
"S Laplace",
"L J Levinson",
"S Burdin",
"Lucrezia Stella Bruni",
"Zinonas Zinonos",
"Rodrigo Araujo Pereira",
"V Cavasinni",
"D Hohn",
"Balazs Konya",
"A Mirto",
"V J Martin",
"A Tsirigotis",
"D Matakias",
"Rotem Barnea",
"Rongkun Wang",
"J Katzy",
"Andre Sopczak",
"Michael Ryan Clark",
"E Sauvan",
"J Rothberg",
"D Krauss",
"J Strandberg",
"O A Ducu",
"S Dahbi",
"A Mastroberardino",
"A Leisos",
"C S Pollard",
"S Monzani",
"Xingguo Li",
"Luka Kanjir",
"I A Connelly",
"T Moskalets",
"E W Parrish",
"H Torres",
"Tohru Takeshita",
"Shuyang Hu",
"S Asai",
"Ana Maria Henriques Correia",
"E R Vandewall",
"Bing Zhou",
"A Haas",
"Bartosz Mindur",
"S Mehlhase",
"D Britzger",
"J Glatzer",
"Sergey Timoshenko",
"T Koi",
"David Francis",
"Itsuo Nakano",
"Saleh Sultanov",
"S K Boutle",
"Katie L Whalen",
"Jianbei Liu",
"F Giuli",
"Xiaohu Sun",
"K Wraight",
"David R Wardrope",
"A Sansoni",
"J E Mdhluli",
"G Sekhniaidze",
"R Lysak",
"C Padilla Aranda",
"Ryan Christopher Edgar",
"R Pedro",
"M Madugoda Ralalage Don",
"Natalia Korotkova",
"S Ghasemi",
"F U Bernlochner",
"Avik Roy",
"L Adamczyk",
"S Czekierda",
"W K Di Clemente",
"I Ibragimov",
"J Nielsen",
"T Koffas",
"M Bona",
"B Stanislaus",
"V W S Wong",
"H Cai",
"Randall J Sobie",
"E F Kay",
"K Dette",
"W Islam",
"I Nikolic-Audit",
"J A Mcfayden",
"B J Gallop",
"M Stamenkovic",
"Joao Guimaraes da Costa",
"G Carducci",
"C B Gwilliam",
"M Morgenstern",
"Claire Alexandra Lee",
"G S Virdee",
"Mariyan Petrov",
"D Boye",
"F Djama",
"F Sforza",
"J Bortfeldt",
"E M Asimakopoulou",
"J Leveque",
"T Barklow",
"A Sfyrla",
"Dj Sijacki",
"R Madar",
"K M Ciesla",
"Nico de Groot",
"M Milesi",
"D Ponomarenko",
"Vasilis Konstantinides",
"S Berlendis",
"E Von Toerne",
"David William Thomas",
"T P Charman",
"Laura Havener",
"Erez Etzion",
"Phillip Allport",
"Heling Zhu",
"N Ellis",
"Matteo Mario Beretta",
"J Hobbs",
"Adam J Parker",
"R Vuillermet",
"G Fanourakis",
"U Parzefall",
"T Sykora",
"Marco Aurelio Diaz",
"B Trocme",
"Da Xu",
"M Birman",
"P Grenier",
"Seog Oh",
"C A Marin Tobon",
"W Y Chan",
"Harinder Singh Bawa",
"W Su",
"A Jelinskas",
"Y Coadou",
"A Basalaev",
"Wladyslaw Dabrowski",
"M T Anthony",
"E Torrence",
"Cristinel Diaconu",
"C Helsens",
"J C Honig",
"Hongbo Zhu",
"A R Chomont",
"Sw Banerjee",
"I Yeletskikh",
"D Boumediene",
"N P Dang",
"A Chitan",
"S L Lloyd",
"Stefan Schlenker",
"S Todorova-Nova",
"J Cantero",
"A Betti",
"Stanislav Pospisil",
"Peter van Gemmeren",
"Alberto Aloisio",
"Graham Richard Lee",
"Ricardo Woelker",
"Sergey Kuleshov",
"V R Pascuzzi",
"Takuya Tashiro",
"Lei Zhang",
"Kenji Hamano",
"Stephen McMahon",
"A M Sickles",
"Sanghoon Lim",
"G Mchedlidze",
"William P McCormack",
"V Kitali",
"D Benchekroun",
"D A Trischuk",
"M Aleksa",
"Alexander Leopold",
"Lailin Xu",
"J A Krzysiak",
"Prajita Bhattarai",
"Ilija Vukotic",
"G Polesello",
"J Boudreau",
"Gianpaolo Carlino",
"Jannicke Pearkes",
"Maximilian Georg Herrmann",
"T Meideck",
"Matthias Wittgen",
"J Kanzaki",
"E Khramov",
"James Monk",
"Edisher Tskhadadze",
"G Gaudio",
"Michel Vetterli",
"Jiawei Wang",
"A S Chisholm",
"M Vanadia",
"Takahiko Kondo",
"Gerald Oakham",
"M Aliev",
"A Boveia",
"A R Cukierman",
"A Nisati",
"V A Kantserov",
"Charles Delporte",
"G J Bobbink",
"P Dervan",
"J S Bonilla",
"Stefania Spagnolo",
"Zhidong Zhang",
"Andreas Rummler",
"Haijun Yang",
"Douglas Davis",
"P Kluit",
"Shima Shimizu",
"Francesca Ungaro",
"Y Amaral Coutinho",
"P Wagner",
"I Nomidis",
"M Mlynarikova",
"D G Charlton",
"C Malone",
"L Adam",
"R Les",
"C Gubbels",
"M Capua",
"Christopher Ryan Anelli",
"C M Macdonald",
"P C McNamara",
"Matthew J Sullivan",
"J J Heinrich",
"Kyle James Read Cormier",
"I L Gavrilenko",
"Bowen Zhang",
"Artur Cardoso Coimbra",
"Yumeng Cao",
"G Ripellino",
"V Tudorache",
"S Jiggins",
"Haiping Peng",
"S Kido",
"F Balli",
"Andrew Geoffrey Foster",
"Charles Young",
"R A Vallance",
"D Su",
"E C Graham",
"Francesco Lanni",
"K Han",
"Yan-ping Huang",
"P Bellos",
"Shunsuke Adachi",
"S Gentile",
"A S Tee",
"D Zerwas",
"G Zobernig",
"Benjamin Pearson",
"S Tapia Araya",
"Martin D Sykora",
"M Spalla",
"J E Derkaoui",
"W Lampl",
"G Aielli",
"Michael Rijssenbeek",
"H K Hadavand",
"S Cabrera Urban",
"Andreas Salzburger",
"Andrew Edward White",
"H A Gordon",
"V Vorobel",
"Paolo Calafiura",
"Adrian Bevan",
"Pavel Starovoitov",
"L Feligioni",
"V V Sulin",
"Alexander Oh",
"Shion Chen",
"A Salnikov",
"M Muskinja",
"Kaushik De",
"C Di Donato",
"L M Scyboz",
"B Chargeishvili",
"Cheng Chen",
"E E Corrigan",
"J Balz",
"T Kwan",
"M Moreno Llacer",
"Lene Kristian Bryngemark",
"A Ferrer",
"A C Schaffer",
"P Mermod",
"D Pohl",
"G Facini",
"K Reeves",
"Moritz Kiehn",
"O Bulekov",
"K S Krowpman",
"P J Hsu",
"B Dutta",
"G Bella",
"Yongsheng Gao",
"Masahiro Yamatani",
"A Rozanov",
"Tomoaki Nakamura",
"Siegfried Bethke",
"Cinzia da Via",
"T Geralis",
"E Stanecka",
"G H A Viehhauser",
"R J Hawkings",
"M P Giordani",
"P R Newman",
"J B Hansen",
"Federico Meloni",
"Fan Li",
"V A Kramarenko",
"Yu-ting Shen",
"G Crosetti",
"Stefano Manzoni",
"Anthony Eric Lionti",
"A Renardi",
"Nicolo Magini",
"V V Kostyukhin",
"Peilian Liu",
"Junjie Zhu",
"Agostino Lanza",
"Nikolai Hartmann",
"Jose Luis Munoz Martinez",
"P Podberezko",
"E V Bouhova-Thacker",
"Norman Gee",
"I Pokharel",
"Katharina Behr",
"Suen Ray Hou",
"Timothy R Andeen",
"Jozsef A Toth",
"M Bomben",
"Matthew Feickert",
"Bruce Rafael Mellado Garcia",
"Nathan Allen Grieser",
"Xuefeng Chen",
"M Villa",
"L Ambroz",
"M G Foti",
"F G Gravili",
"J Wagner-Kuhr",
"P U E Onyisi",
"Ioannis Maniatis",
"Ruslan Mashinistov",
"B Macek",
"Bing Li",
"D Oliveira Damazio",
"A Peyaud",
"A Taffard",
"Stefan Stonjek",
"Tim Michael Heinz Wolf",
"Quanyin Li",
"J Jongmanns",
"D M Portillo Quintero",
"F Tsai",
"R Leitner",
"P Pani",
"Cedric Serfon",
"Matthew Berg Epland",
"Emily L Nurse",
"K Schmieden",
"B M Wynne",
"R Pezoa",
"A Seiden",
"Takashi Mitani",
"S Rodriguez Bosca",
"B J Rosser",
"F Fabbri",
"Marcelo Ayumu Nomura",
"R Hyneman",
"M L Ojeda",
"T Klingl",
"A N Tuna",
"G E Orellana",
"Marcos Vinicius Silva Oliveira",
"J Lorenz",
"Sebastian Mario Weber",
"Joergen Sjoelin",
"D R Shope",
"Qun Ouyang",
"Fabrizio Napolitano",
"A Glazov",
"S M Shaw",
"A Maio",
"A Santra",
"J Kretzschmar",
"R Piegaia",
"Stefano Rosati",
"Steven Patrick Alkire",
"T Xu",
"J Dietrich",
"Gregor Kramberger",
"Tae Min Hong",
"J H Foo",
"Lydia Brenner",
"John Stakely Keller",
"Katharina Bianca Jakobi",
"Gen Kawamura",
"A Campoverde",
"J J Lozano Bahilo",
"Boping Chen",
"K Petukhova",
"D Melini",
"L Fiorini",
"Reinhild Yvonne Peters",
"S Raspopov",
"Alessandro Gabrielli",
"Kevin Thomas Bauer",
"O Brandt",
"Ke Li",
"L D Corpe",
"Janusz Chwastowski",
"B M Ciungu",
"Robert Langenberg",
"P Krieger",
"Gabriel Palacino",
"Y Abulaiti",
"A Guerguichon",
"M J Veen",
"F Schroeder",
"J Walder",
"T P A Akesson",
"Chen-Hsun Chan",
"G Cabras",
"S Muanza",
"K Nagano",
"Anna Macchiolo",
"A Lounis",
"Namig Javadov",
"M Calvetti",
"Andrew Mark Wharton",
"G Bartolini",
"A Poley",
"L G Gagnon",
"Alan James Taylor",
"Guillermo Nicolas Hamity",
"David Michael South",
"L Marchese",
"P S Jackson",
"Matteo Franchini",
"A Catinaccio",
"I Korolkov",
"R Newhouse",
"I Luise",
"M S Lutz",
"N Garelli",
"K O H Vadla",
"A J Parker",
"Maurizio De Santis",
"N Scharmberg",
"Rob Roy MacGregor Fletcher",
"C Schiavi",
"Shreya Saha",
"R Nicolaidou",
"S Shirabe",
"Ren-jie Wang",
"Fabian Alexander Foerster",
"H Stenzel",
"Natalie Wieseotte",
"F Sopkova",
"Bruce Arnold Barnett",
"M Owen",
"C Bini",
"T Zakareishvili",
"M Donadelli",
"E Dreyer",
"S Morgenstern",
"A K Duncan",
"H L Snoek",
"Simona Serena Bocchetta",
"D L Briglin",
"Akanksha Vishwakarma",
"Elena V Plotnikova",
"Sebastian Grinstein",
"Michael Barnett",
"John Huth",
"S Ganguly",
"M Robin",
"M Pitt",
"Wolfgang Mader",
"Ehud Duchovni",
"A Sbrizzi",
"Pepijn Bakker",
"M Backes",
"Aidan Robson",
"W Fedorko",
"E D Mendes Gouveia",
"O Miu",
"Ghita Rahal",
"R J Atkin",
"M Guth",
"J Jimenez Pena",
"Flavia de Almeida Dias",
"Marco Delmastro",
"G Stoicea",
"Zhi Zhao",
"J F P Schouwenberg",
"D Mori",
"J J Junggeburth",
"M H Klein",
"R Mazini",
"Paul Nilsson",
"Song Ming Wang",
"S Suchek",
"Nadav Michael Tamir",
"Javier Esteban Salazar Loyola",
"Richard Nisius",
"B Cole",
"B Vachon",
"Yi Fang",
"R J Teuscher",
"Xanthe Hoad",
"H R Nindhito",
"D Bogavac",
"M Hirose",
"S Loffredo",
"Pierre Beauchemin",
"N A Gorasia",
"M Levchenko",
"J C Burzynski",
"P S Miyagawa",
"N Fomin",
"James Howarth",
"M Bedognetti",
"N Zakharchuk",
"A A Talyshev",
"O Kivernyk",
"N B Atlay",
"D C Frizzell",
"V Dao",
"L Serin",
"Tobias Bisanz",
"A Coccaro",
"Anjali Krishnan",
"Michael Dueren",
"Stephan Hageboeck",
"J Maeda",
"Elias Michael Ruettinger",
"Val O'Shea",
"C O Sander",
"Mauro Citterio",
"Rebecca Hayley Pickles",
"C Hayes",
"M Lokajicek",
"Y Horii",
"C Vergis",
"S Hirose",
"D Paredes Hernandez",
"M Ishino",
"C M Delitzsch",
"J Jia",
"M Myska",
"F Beisiegel",
"Yusheng Wu",
"J Beyer",
"Mathieu Benoit",
"G Azuelos",
"M Cristinziani",
"Elena Korolkova",
"B W Whitmore",
"R Gamboa Goni",
"D V Perepelitsa",
"Junichi Tanaka",
"Adam James Bozson",
"Bill Murray",
"Kenta Uchida",
"G L Alberghi",
"Evangelos N Gazis",
"D Caforio",
"S Tsuno",
"Sebastian Andreas Merkt",
"A Kastanas",
"Andreas Sogaard",
"Z A Meadows",
"A Manousos",
"J Dickinson",
"R T Roberts",
"Giulia Giannini",
"A G Goussiou",
"I Manthos",
"Yee Chinn Yap",
"Garrett Merz",
"M M Perego",
"Jos C Vermeulen",
"Christoph Amelung",
"T J A Chevalerias",
"N Hod",
"Robert Richter",
"D Price",
"Urmila Soldevila Serrano",
"A M Lory",
"J Boyd",
"Tancredi Carli",
"J Reichert",
"J R Catmore",
"B H Smart",
"P Mogg",
"Marek Palka",
"O Biebel",
"S J Gasiorowski",
"O Sasaki",
"W D Heidorn",
"Roberto Iuppa",
"Y Yamaguchi",
"W J Fawcett",
"A Cortes-Gonzalez",
"A Bandyopadhyay",
"Antonio De Maria",
"S H Abidi",
"M K Bugge",
"Xinchou C Lou",
"F Ahmadov",
"A J Armbruster",
"M Garcia-Sciveres",
"S Rajagopalan",
"Ralph Soeren Peter Mueller",
"C J E Suster",
"Rafael Teixeira de Lima",
"A Milic",
"Tommaso Lari",
"Cherifa Sabrina Amrouche",
"J Veatch",
"Adam Kaluza",
"Debottam Bakshi Gupta",
"Nishu Nishu",
"Akihiro Higashida",
"Chiara Meroni",
"Wen Guan",
"K Bierwagen",
"Q Buat",
"J G Panduro Vazquez",
"A M Deiana",
"Samuel Joseph Crawley",
"I Ravinovich",
"F Scuri",
"U Kruchonak",
"Changqiao Li",
"Wenxiao Wang",
"A Murrone",
"Johanna Lena Gramling",
"Sally Seidel",
"Luis Roberto Flores Castillo",
"B T Winter",
"B Parida",
"A Krasznahorkay",
"Koji Nakamura",
"F Gonnella",
"A Lleres",
"A P O'neill",
"D Pallin",
"Zakaria Chadi",
"R Poettgen",
"N Besson",
"Mario Jose Da Cunha Sargedas De Sousa",
"P G Scholer",
"R Ferrari",
"A G Myagkov",
"Lino Oscar Gerlach",
"D R Hlaluku",
"R Slovak",
"H Sandaker",
"C Rembser",
"Stefanie Todt",
"M Sessa",
"T Kono",
"Jens Janssen",
"James Beacham",
"A Bocci",
"D Biswas",
"Antonio Onofre",
"A E Bolz",
"O Zenin",
"L Bellagamba",
"K K Hill",
"C Merlassino",
"P Ferrari",
"N Ilic",
"Hongxuan Li",
"K Lehmann",
"L P Rossi",
"T Kaji",
"Marija Marjanovic",
"Marcin Stolarski",
"J Olszowska",
"Yun-ju Lu",
"E Schopf",
"V Tsulaia",
"Traian Tulbure",
"A J Beddall",
"M Michetti",
"T Seiss",
"E Lytken",
"Andrii Verbytskyi",
"D R Zaripovas",
"K W Janas",
"Nicolin Govender",
"Marko Mikuz",
"M Verducci",
"Igor Mandic",
"Alice Polyxeni Morris",
"M Bosman",
"C D Sebastiani",
"Y Sano",
"Ilkay Turk Cakir",
"V P Maleev",
"M Stankaityte",
"M Pinamonti",
"Marta Losada",
"Rob Henderson",
"M Vranjes Milosavljevic",
"L Sawyer",
"M W Krasny",
"J Hoya",
"S Prell",
"M Kolb",
"C Marcon",
"V Kukhtin",
"James E Brau",
"C P Bee",
"Mogens Dam",
"Lucia Pedraza Diaz",
"Harald Stelzer",
"T C Herwig",
"Riccardo de Asmundis",
"M Klassen",
"P Steinberg",
"Andrey A Sapronov",
"M Demichev",
"T J Burch",
"Daniele Fournier",
"P Sicho",
"Gregory Barbour",
"J Roloff",
"Alessandra Forti",
"D Sampsonidis",
"Carsten Dulsen",
"Atsuhiko Ochi",
"C Sbarra",
"K Suruliz",
"Jia-nan Li",
"M D'Onofrio",
"Jafar Shojaii",
"Hadar Yosef Cohen",
"Dylan Perry Kisliuk",
"J Damp",
"B M Waugh",
"Dan Ping Huang",
"M Queitsch-Maitland",
"G Redlinger",
"H Meyer Zu Theenhausen",
"Mz Zhou",
"G Otero y Garzon",
"G R Gledhill",
"K A Looper",
"T Megy",
"W Buttinger",
"D Gillberg",
"V A Schegelsky",
"N P Hessey",
"Anum Qureshi",
"C Zeitnitz",
"Michael Duehrssen",
"K Lie",
"X Zhuang",
"Zijun Xu",
"M Negrini",
"I M Gregor",
"S Franchino",
"X Wu",
"G Ucchielli",
"William Dmitri Breaden Madden",
"Peter John Bussey",
"M J Basso",
"E Pasqualucci",
"F Veloso",
"C Vittori",
"S Groh",
"V E Ozcan",
"L Masetti",
"Daniel James Lewis",
"Sergey P Denisov",
"Antonio Zoccoli",
"T R V Billoud",
"J Assahsah",
"A Fell",
"M Vozak",
"Alexander Fenyuk",
"A Puri",
"M Robles Manzano",
"E Banas",
"Henrik Oppen",
"Francesco M Follega",
"P T Koenig",
"Gerald Eigen",
"I Hristova",
"A Cheplakov",
"Y Benhammou",
"M Lavorgna",
"Kristian Bjoerke",
"Christopher Blake Martin",
"Vadim Gratchev",
"A Schulte",
"E E Khoda",
"S Lai",
"Tadej Novak",
"N Benekos",
"Andreas Hoecker",
"Miguel Villaplana Perez",
"M Renda",
"A E Barton",
"A Cerri",
"Patrick Puzo",
"H Son",
"Vivek Jain",
"M Vandenbroucke",
"Nicola Venturi",
"Maria Ines Abreu Juliao Ochoa De Castro",
"Massimo Lazzaroni",
"V Cavaliere",
"E B Diehl",
"Stefan Gadatsch",
"Jan-Hendrik Arling",
"David Calvet",
"Antonella De Santo",
"Alexander Sydorenko",
"G Barone",
"J Ferrando",
"P Gaspar",
"M Bauce",
"T R Wyatt",
"James Pinfold",
"John A Wilson",
"M Wessels",
"N Viaux Maira",
"Z M Schillaci",
"B Abbott",
"J D Little",
"L N Smirnova",
"J Ouellette",
"O V Solovyanov",
"Hao Liu",
"A Kamenshchikov",
"K E Schleicher",
"Ariella Cattai",
"F Cirotto",
"Rui Wang",
"Patricia Ward",
"William Trischuk",
"D M Handl",
"Keisuke Yoshihara",
"V Lyubushkin",
"Huajie Cheng",
"Tomomi Kawaguchi",
"S Demers",
"F Lasagni Manghi",
"Anatoly V Borisov",
"R T Turra",
"Qing Wang",
"K Kawade",
"K Al Khoury",
"Sarah Williams",
"Zach Marshall",
"A Knue",
"A Gavrilyuk",
"I A Minashvili",
"N L Woods",
"Tadashi Maeno",
"S D Worm",
"Fairouz Malek",
"H Nanjo",
"Halina Abramowicz",
"Xueyao Zhang",
"J M P Pasner",
"Gregorio Bernardi",
"T Lagouri",
"Ruchi Gupta",
"Hubert Kroha",
"T G McCarthy",
"A S Kozhin",
"K Maj",
"D Delgove",
"K K Heidegger",
"Stephen Albert Weber",
"Hongtao Yang",
"J J Teoh",
"Douglas M Gingrich",
"T Klioutchnikova",
"M Scornajenghi",
"Volker Buescher",
"M Schernau",
"Valerio Vercesi",
"Jonathan Jamieson",
"Andreas Honle",
"R Brock",
"Antonio Gaudiello",
"Balthasar Schachtner",
"K Bouaouda",
"Tomio Kobayashi",
"Elzbieta Richter-Was",
"Stefano De Castro",
"M Barbero",
"Rinat Fakhrutdinov",
"Alexander Mann",
"G Maccarrone",
"Sigve Haug",
"M Cavalli-Sforza",
"G Zemaityte",
"C J Potter",
"Fuquan Wang",
"Benoit Lefebvre",
"A Dattagupta",
"S Perrella",
"S Diez Cornell",
"Sourav Sen",
"W S Ahmed",
"J A Sabater Iglesias",
"A G Kharlamov",
"D Sampsonidou",
"Hans-Christian Schultz-Coulon",
"Tetsuro Mashimo",
"Yuji Yamazaki",
"P Berta",
"M P J Landon",
"K G Tomiwa",
"C A Gottardo",
"Jue Chen",
"C Clement",
"F Hubaut",
"Christopher Grud",
"E B Rye",
"L L Kurchaninov",
"A Bingul",
"D Iliadis",
"A V Anisenkov",
"Y Tu",
"Richard Batley",
"R Keeler",
"J Khubua",
"C Escobar",
"S Nemecek",
"J C Rivera Vergara",
"Zdenek Dolezal",
"M Vogel",
"Lorenzo Paolozzi",
"Karsten Koeneke",
"Frank Filthaut",
"G Borissov",
"Amal Vaidya",
"Tony Doyle",
"C Guyot",
"Gerardo Alexis Vasquez Arenas",
"Laurent Chevalier",
"Michael Kagan",
"Veronika Magerl",
"B Liberti",
"Michal Racko",
"Paola Giannetti",
"Liv Antje Mari Wiik",
"Zvi Hirsh Citron",
"O Cakir",
"Francesco La Ruffa",
"D P C Sankey",
"T Moa",
"L Poggioli",
"Bartlomiej Zabinski",
"I N Potrap",
"R E Long",
"J Llorente Merino",
"Gavin Hesketh",
"Geoffrey N Taylor",
"Mercedes Minano",
"D Cieri",
"J Fuster",
"C Arcangeletti",
"F Costanza",
"F J Munoz Sanchez",
"Ahmed Hasib",
"Chiao-ying Lin",
"Emily Marie Duffield",
"Marcus De Beurs",
"A Romaniouk",
"M Goblirsch-Kolb",
"S Parajuli",
"Liqing Zhang",
"J -F Grivaz",
"Alberto Stabile",
"Stefan Kluth",
"Yi Fei Han",
"Carlos Sandoval Usme",
"Giora Mikenberg",
"M Saimpert",
"R Leone",
"S Gkaitatzis",
"Yanyun Duan",
"A Dubreuil",
"Evgenii Baldin",
"Mikhail Mineev",
"R S Orr",
"S Oerdek",
"R Schwienhorst",
"P M Gravila",
"N Makovec",
"B Rottler",
"Sergei V Chekanov",
"G Gilles",
"D Zieminska",
"Augusto Santiago Cerqueira",
"R Kehoe",
"G E Gallardo",
"M Bahmani",
"K Bachas",
"Javier Sanchez",
"V Tsiskaridze",
"Wei Wang",
"Konstantinos Nikolopoulos",
"S Calvet",
"G A Popeneciu",
"I Fleck",
"M Havranek",
"C Haber",
"O Majersky",
"E Reynolds",
"Troels C Petersen",
"Luciano Manhaes de Andrade Filho",
"O Kepka",
"B Galhardo",
"Maximilian Hils",
"Azzah Aziz Alshehri",
"Francesco Ragusa",
"M Pettee",
"Haifeng Li",
"Stephen Gibson",
"D Reikher",
"Olympia Dartsi",
"D Ta",
"Roberto Cardarelli",
"O J Winston",
"Yoshinobu Unno",
"A Koulouris",
"T J Khoo",
"A Gongadze",
"Robert McPherson",
"Giordon Stark",
"R Wolff",
"D Muenstermann",
"O Arnaez",
"J D Shahinian",
"B Yabsley",
"F Cormier",
"Francesco Crescioli",
"Andrea Dell'Acqua",
"E C Hanson",
"P Y Nechaeva",
"T Vazquez Schroeder",
"R E Ticse Torres",
"X Xiao",
"G Navarro",
"S W Ferguson",
"C Glasman",
"R Stroynowski",
"T Rashid",
"R Di Sipio",
"A M Rodriguez Vera",
"Wolfgang Walkowiak",
"Tigran Mkrtchyan",
"C Goy",
"A Khodinov",
"Theodoros Alexopoulos",
"P Seema",
"P Gadow",
"C Bernius",
"L Cerda Alberich",
"Daniel Joseph Antrim",
"Rui Miguel Faisca Rodrigues Pereira",
"P Bagnaia",
"R Vari",
"C D Burgard",
"T A Schwarz",
"V S Martoiu",
"Steven J Lee",
"J Stupak",
"Debarati Roy",
"Q Hu",
"R Moles-Valls",
"Christophe Deutsch",
"T Dado",
"A Cervelli",
"Thomas Daubney",
"N Yamaguchi",
"A Yamamoto",
"D Vannicola",
"P Francavilla",
"A Bitadze",
"Yakov Petrovich Kulinich",
"L Jeanty",
"D Scheirich",
"David Britton",
"A A Solodkov",
"P Skubic",
"S George",
"Tianyi Yang",
"K Kroeninger",
"A Paramonov",
"E Sideras Haddad",
"M J Kareem",
"C Petridou",
"V Pleskot",
"W M Barbe",
"C Fukunaga",
"L Fayard",
"O Novgorodova",
"A Rimoldi",
"Y Kulchitsky",
"M A B Do Vale",
"N J Kang",
"M Rybar",
"C Agapopoulou",
"M Primavera",
"K Ntekas",
"D Cameron",
"I Wingerter-Seez",
"F Huegging",
"Nuno Filipe Castro",
"M Feng",
"T Wengler",
"K Karava",
"D Kar",
"J Qian",
"J Jovicevic",
"D Amidei",
"S Balaji",
"Xuan Yang",
"Ketevi Adikle Assamagan",
"Jiayi Chen",
"Zihao Jiang",
"E P Takeva",
"H Kagan",
"H Evans",
"I A Cioara",
"N Nikiforou",
"S Rettie",
"Johann Collot",
"Sabine Lammers",
"B Giacobbe",
"A Angerami",
"J Chudoba",
"D M Strom",
"Andrea Formica",
"D Schaile",
"Yoshiji Yasu",
"Vladimir Smakhtin",
"M Dubovsky",
"S D Walker",
"Xiaoguang Yue",
"C Anastopoulos",
"James A Mueller",
"Ulf Fredrik Mikael Martensson",
"G Gessner",
"M Spina",
"J D Bossio Sola",
"E Zaffaroni",
"Torre J Wenaus",
"David M Malon",
"J Haley",
"F Spano",
"E D Resseguie",
"E J Thorpe",
"S Bentvelsen",
"Abhishek Sharma",
"Christopher Willis",
"M A L Leite",
"Nobuo Matsuzawa",
"A F Webb",
"Wouter Verkerke",
"V Vecchio",
"S Shrestha",
"D Hohov",
"S Meehan",
"Evangelos Kourlitis",
"C Wiglesworth",
"P F Giraud",
"Borut Paul Kersevan",
"S Wenig",
"Anthony Salvucci",
"O L Rezanova",
"Bingxuan Liu",
"Fabricio Andres Jimenez Morales",
"F He",
"B T Carlson",
"P Pralavorio",
"V Ippolito",
"Konrad Kleinknecht",
"M C Stockton",
"Tobias Kupfer",
"F Winklmeier",
"Laura Fabbri",
"Andres Ramirez Morales",
"C Camincher",
"Luca Martinelli",
"L Roos",
"Matej Kocian",
"M Testa",
"D P Spiteri",
"M N Agaras",
"C Dallapiccola",
"A Schoening",
"J Maurer",
"P Sinervo",
"S Xella",
"R Stamen",
"S A Stucci",
"R Novotny",
"M Shimojima",
"L M Veloce",
"Gabriel Demontigny",
"P J Falke",
"A Sanchez Pineda",
"D Lynn",
"S Wahdan",
"E Brost",
"M J White",
"B D Seidlitz",
"Andrzej Olszewski",
"N Vranjes",
"Lamberto Luminari",
"D Duvnjak",
"P Kodys",
"Y Tayalati",
"G Zacharis",
"Abdeslam Hoummada",
"P Fleischmann",
"Christian Bohm",
"Piotr Janus",
"T Adye",
"Shu Li",
"Cheng Guang Zhu",
"A Lopez Solis",
"P Gutierrez",
"D Hirschbuehl",
"N Lorenzo Martinez",
"Y A Kurochkin",
"Shan Jin",
"G Mornacchi",
"J T Baines",
"L K Schildgen",
"Adriaan Koenig",
"Tristan Beau",
"L Asquith",
"Maria Smizanska",
"C P A Roland",
"Mattias Ellert",
"J Dingfelder",
"F Schenck",
"T Cuhadar Donszelmann",
"Z M Karpova",
"Othmane Rifki",
"R Ospanov",
"Gunnar Jakel",
"N Rompotis",
"A Soloshenko",
"J Myers",
"Carmen Maidantchik",
"E M Freundlich",
"M Rescigno",
"K Ran",
"O L Fedin",
"W H Hopkins",
"Peng Huo",
"W Wiedenmann",
"K Hanagaki",
"M Shiyakova",
"Mason Proffitt",
"M Tsopoulou",
"M J Shochet",
"E Lancon",
"K Krizka",
"P A Erland",
"Maria Jose C Costa",
"A Smykiewicz",
"Jonathan David Long",
"C Kourkoumelis",
"Jana Schaarschmidt",
"R Bielski"
],
"corpus_id": 214563346,
"doc_id": "214563346",
"n_citations": 22,
"n_key_citations": 1,
"score": 0,
"title": "Measurement of soft drop jet observables in pp collisions with the ATLAS detector at s 13 TeV",
"venue": "",
"year": 2020
},
{
"abstract": "A search for heavy neutral Higgs bosons is performed using the LHC Run 2 data, corresponding to an integrated luminosity of 139 fb^ 1} of proton proton collisions at sqrt[s]=13 TeV recorded with the ATLAS detector. The search for heavy resonances is performed over the mass range 0.2 2.5 TeV for the t^}t^ decay with at least one t lepton decaying into final states with hadrons. The data are in good agreement with the background prediction of the standard model. In the M_{h}{125} scenario of the minimal supersymmetric standard model, values of tanb>8 and tanb>21 are excluded at the 95% confidence level for neutral Higgs boson masses of 1.0 and 1.5 TeV, respectively, where tanb is the ratio of the vacuum expectation values of the two Higgs doublets.",
"author_names": [
"Georges Aad",
"A Kupco",
"T Dreyer",
"Yufeng Wang",
"Karl Jakobs",
"Brian Le",
"M Spousta",
"M Cobal",
"Peilong Wang",
"S Schmitt",
"Jaroslava Schovancova",
"A Bassalat",
"Matej Melo",
"M Shapiro",
"G Tarna",
"S Zimmermann",
"T Eifert",
"L Rehnisch",
"S Kuday",
"M Sioli",
"Holger Herr",
"N Bruscino",
"J Huston",
"T Sumida",
"Steven Hugh Robertson",
"R Goncalo",
"A A Snesarev",
"L La Rotonda",
"Dirk Duschinger",
"James Oscar Thomas",
"E Carquin",
"Y W Y Ng",
"S Crepe-Renaudin",
"J A Parsons",
"W K Balunas",
"Yu A Tikhonov",
"M K Ayoub",
"J A Aparisi Pozo",
"C Mwewa",
"David Harry Miller",
"A A Ivina",
"P Mastrandrea",
"Jan-Ulf Mjoernmark",
"W A Leight",
"Auke-Pieter Colijn",
"Liaoshan Shi",
"Michael E Nelson",
"Karel Cerny",
"Jun Yan",
"N Warrack",
"Hans Krueger",
"J Ocariz",
"Markus Nordberg",
"C Weber",
"Daniela Bortoletto",
"A J Lankford",
"Stefan Tapprogge",
"Y F Hu",
"F Parodi",
"T Masubuchi",
"D E Ferreira Lima",
"T J LeCompte",
"Shenjian Jammy Chen",
"Souad Batlamous",
"Tim Martin",
"J Poveda",
"C Roda",
"Tuan Nguyen Manh",
"M Ouchrif",
"Krzysztof Korcyl",
"T Lyubushkina",
"C Grefe",
"P Tipton",
"F F Klitzner",
"Alberto Valero",
"T Kishimoto",
"K Kawagoe",
"H Bachacou",
"Alfonso Policicchio",
"Franziska Iltzsche Speiser",
"S Zambito",
"S N Karpov",
"P Strizenec",
"Christopher Michael Lester",
"S Ketabchi Haghighat",
"Jose Garcia Navarro",
"S H Zhang",
"B Di Micco",
"Stefan Koperny",
"L Schaefer",
"C Bertella",
"Ph Schwemling",
"F Rizatdinova",
"E Meoni",
"T R Holmes",
"I G Sanderswood",
"Elena Michelle Villhauer",
"Z Hubacek",
"C Doglioni",
"Andrew Ferrante",
"L Vigani",
"Abhishek Nag",
"Pa Malecki",
"S R Maschek",
"J Stark",
"E V Yatsenko",
"P Gessinger-Befurt",
"M Kuze",
"B H Hooberman",
"S Carra",
"K Pachal",
"David Costanzo",
"M J Fenton",
"Jesse Liu",
"Alexei Klimentov",
"Simone Pagan Griso",
"Ilias Panagoulias",
"T B Huffman",
"Hongbin Liu",
"T Kuhl",
"G Gustavino",
"Mateusz Dyndal",
"F An",
"M Antonelli",
"B Malaescu",
"Ali Skaf",
"D K Abhayasinghe",
"K Grimm",
"D Zanzi",
"Sundeep Singh",
"Michael Glenn Eggleston",
"V R Bailey",
"A Ezhilov",
"S Y Andrean",
"A Bellerive",
"J Masik",
"Alena Loesle",
"L Adamek",
"L Barak",
"Dominique Godin",
"Giuseppe Iacobucci",
"Evgeny Shulga",
"B Gorini",
"J Heilman",
"D Zhong",
"John Butler",
"H Fox",
"S Grancagnolo",
"Hok Chuen Cheng",
"Christopher Andrew Garner",
"S A Olivares Pino",
"N Madysa",
"Gregory Hallewell",
"L Franconi",
"L A Horyn",
"D Fassouliotis",
"Joshua Wyatt Smith",
"Yuqiang Liu",
"Alessandro Tricoli",
"M Dumancic",
"H Iwasaki",
"M Kuna",
"Michele Faucci Giannelli",
"B Stapf",
"T Cao",
"Michela Paganini",
"V Ellajosyula",
"I Pogrebnyak",
"F G Diaz Capriles",
"E Antipov",
"J Faltova",
"Z C Yang",
"Yu Him Justin Chiu",
"Wen Guo",
"Stewart Patrick Swift",
"E Lipeles",
"Benedikt Bergmann",
"Sebastian Artz",
"M J Oreglia",
"E Drechsler",
"K Einsweiler",
"Fernando Monticelli",
"S Giagu",
"E Kneringer",
"B Freund",
"H Duran Yildiz",
"Daniel Whiteson",
"K Shaw",
"Yingchun Zhu",
"Nicolo Vladi Biesuz",
"J Terron",
"D S Nielsen",
"M Ghasemi Bostanabad",
"V Castillo Gimenez",
"T Barillari",
"T J Neep",
"Francesco Peri",
"P J Clark",
"K Vorobev",
"Julius Hrivnac",
"Martin Barisits",
"Takuto Kunigo",
"Alexander A Grillo",
"Stefano Camarda",
"T Dias Do Vale",
"R Kopeliansky",
"M Swiatlowski",
"N P Konstantinidis",
"Osamu Jinnouchi",
"Hartmut Sadrozinski",
"Vassili Kazanin",
"E L Barberio",
"D L Noel",
"Kerstin Tackmann",
"Dorel Pietreanu",
"A Khanov",
"Yuya Kano",
"D Camarero Munoz",
"J Zahreddine",
"M R Sutton",
"Yohei Noguchi",
"L Zivkovic",
"L Dell'Asta",
"Veronica Wallangen",
"K Abeling",
"M G Vincter",
"G Herten",
"V Nikolaenko",
"D Kirchmeier",
"C C Chau",
"Alessandro Di Girolamo",
"Nicola Abraham",
"Markus Elsing",
"Cong Geng",
"K Mochizuki",
"A Di Ciaccio",
"B Burghgrave",
"A N Fray",
"P Massarotti",
"Lorenzo Rossini",
"Sina Baluch Bahrasemani",
"C J McNicol",
"G Di Gregorio",
"F Corriveau",
"K Tariq",
"G R Gonzalvo Rodriguez",
"I Bloch",
"Karel Smolek",
"R Brenner",
"P S Ott",
"P Tas",
"Ana Rosario Cueto Gomez",
"G Marceca",
"B A Petersen",
"V Solovyev",
"B Haney",
"S Gonzalez-Sevilla",
"A E Jaspan",
"P Schacht",
"Nikola Lazar Whallon",
"Andrea Negri",
"S M Farrington",
"Michael F Ziolkowski",
"Vladimir Cindro",
"P Sommer",
"A A Minaenko",
"X Ruan",
"Pasquale Fabrizio Salvatore",
"M Franklin",
"B Mansoulie",
"Yang Qin",
"Gorm Galster",
"Charles Leggett",
"J W Cowley",
"P Buchholz",
"K Zoch",
"Zuzana Blenessy",
"Claudio Ferretti",
"D Biedermann",
"J Kroll",
"E M Shrif",
"Z Uysal",
"A Behera",
"Hector de la Torre",
"C E Leitgeb",
"F Tresoldi",
"Siinn Che",
"Susumu Oda",
"Christian A Gutschow",
"Masa-hiko Saito",
"J Stupak",
"D P Mungo",
"Joost Vossebeld",
"M M Czurylo",
"Joshua Moss",
"Monica Dunford",
"Robin Middleton",
"A B Kowalewska",
"Kyungeon Choi",
"S Harkusha",
"Puja Saha",
"Julia Hrdinka",
"Rainer Roehrig",
"Hiroshi Sakamoto",
"E Hansen",
"Matt Zhang",
"A J Bailey",
"M Biglietti",
"Samuel David Jones",
"T Jakoubek",
"L Marcoccia",
"Simon H Connell",
"Alessandra Doria",
"Hoang Dai Nghia Nguyen",
"Matthias Danninger",
"C Blocker",
"S Istin",
"E W Varnes",
"Jorn Dines Hansen",
"M Ghneimat",
"G Iakovidis",
"Attilio Picazio",
"C J Treado",
"G Jarlskog",
"Koichi Nagai",
"Ye Chen",
"Wainer Vandelli",
"Tae Hyoun Park",
"Alessandro De Salvo",
"A Kourkoumeli-Charalampidi",
"Yang Heng",
"F Sohns",
"P B Shatalov",
"Y Smirnov",
"Stephanie Majewski",
"K Sliwa",
"Jesus Guerrero Rojas",
"Philip Bechtle",
"Miguel C N Fiolhais",
"F H Phillips",
"Fumiaki Ito",
"F Ukegawa",
"T Guillemin",
"E Winkels",
"J J Kempster",
"Aishik Ghosh",
"Shuo Han",
"I Maznas",
"M Wobisch",
"K Augsten",
"Jean-pierre Ochoa",
"E Le Guirriec",
"N L Belyaev",
"A Ryzhov",
"D Moreno",
"G Usai",
"P O Deviveiros",
"Mahran Shehade",
"Marcel Stanitzki",
"L J Wilkins",
"Barry King",
"A Pacheco Pages",
"M Begel",
"G T Forcolin",
"Young-kee Kim",
"L Morvaj",
"C D Burton",
"Michele Weber",
"T Heim",
"A Rej",
"K Belotskiy",
"V W S Wong",
"Shuaiyan Kang",
"C Agheorghiesei",
"H A Pacey",
"R M D Carney",
"R Jansky",
"A Kotsokechagia",
"A Undrus",
"B L Stamas",
"Michael William O'Keefe",
"Julia Mariana Iturbe Ponce",
"D Boscherini",
"C G Zhu",
"D R Tovey",
"N Semprini-Cesari",
"P Fassnacht",
"K D Finelli",
"B Brickwedde",
"A Matic",
"Claire David",
"Lukasz Zwalinski",
"M J Alconada Verzini",
"T J Stevenson",
"Jie Yu",
"D Boerner",
"Lukas Heinrich",
"G Rovelli",
"C Troncon",
"Francesco Guescini",
"J A Garcia Pascual",
"Chunhui Chen",
"S Menke",
"I Van Vulpen",
"E Shabalina",
"G Unal",
"Robert W Gardner",
"A Fehr",
"Yingxue Zhang",
"S Kazakos",
"Masahiro Morii",
"Andrea Sciandra",
"Zhiqin Zhang",
"S Xella",
"R Iguchi",
"Tai-hua Lin",
"L Flores",
"Gabriele Chiodini",
"Alessandro Caltabiano",
"Jun Guo",
"Li Zhi",
"J L Gonski",
"Andrea Gabrielli",
"E Akilli",
"T Klapdor-Kleingrothaus",
"O M Kind",
"R D Schamberger",
"Ariel Schwartzman",
"Shahzad Ali",
"L Aperio Bella",
"Frederik Ruehr",
"A R Weidberg",
"H Hibi",
"Are Sivertsen Traeet",
"L Mijovic",
"H Potti",
"S Snyder",
"U Blumenschein",
"Peter Maettig",
"M Javurkova",
"C Kitsaki",
"E Tzovara",
"M Tasevsky",
"F Pasquali",
"Carlos Solans",
"J Kvita",
"T Klingl",
"H Imam",
"Benjamin William Allen",
"Tomohiro Yamazaki",
"Robert Francis Hunter",
"Stefano Veneziano",
"M Zaazoua",
"Yoji Hasegawa",
"Y Takubo",
"M Huhtinen",
"A E Kiryunin",
"Andrew Beddall",
"N Kimura",
"S Amoroso",
"L Barranco Navarro",
"L Serkin",
"Dengfeng Zhang",
"I Gkialas",
"S Yu Smirnov",
"M Haleem",
"D Froidevaux",
"Babar Ali",
"Feng Lyu",
"Dmitry Emeliyanov",
"Andrej Filipcic",
"S Kuehn",
"Mario Lassnig",
"T Pauly",
"G J Ottino",
"Antonia Struebig",
"Isabel Nitsche",
"B K Wosiek",
"Yizhou Liu",
"Sara Strandberg",
"A N Karyukhin",
"Y Delabat Diaz",
"A Mizukami",
"L Pontecorvo",
"A Jinaru",
"Liang Li",
"T Lenz",
"John Michael Butterworth",
"Andreas Korn",
"M Ridel",
"K Todome",
"Geoffrey Mullier",
"A Kurova",
"E Rossi",
"Kun Liu",
"A Ouraou",
"A Dudarev",
"Kazuhiko Hara",
"M P Heath",
"Shota Suzuki",
"Tomoyuki Saito",
"C C Ohm",
"N Ozturk",
"M Khader",
"L Cerrito",
"R Astalos",
"J Barkeloo",
"H G Wilkens",
"Eram Rizvi",
"E Gramstad",
"Tim Jones",
"Vaclav Vrba",
"T Hryn'ova",
"L K Gladilin",
"M Ronzani",
"E Yigitbasi",
"S Ye",
"Yasuhiro Makida",
"A P Pereira Peixoto",
"C Alpigiani",
"Eleonora Rossi",
"O Stelzer-Chilton",
"N W Shaikh",
"T Zenis",
"Georgios Stavropoulos",
"I Riu",
"F Cardillo",
"K K Gan",
"T Swirski",
"K E Kennedy",
"T Harenberg",
"Guy Rosin",
"Marco Scodeggio",
"F Derue",
"M Maerker",
"Steffen Staerz",
"Tulin Varol Mete",
"K Brendlinger",
"G D'amen",
"Alexander Melzer",
"S Terzo",
"George Victor Andrei",
"E M Lobodzinska",
"J Tojo",
"E B F G Knoops",
"Michael Tuts",
"E Skorda",
"J H Lindon",
"K Cheung",
"Daniele De Pedis",
"A Giannini",
"L D'Eramo",
"David Philip John Lack",
"O Bessidskaia Bylund",
"Zulit Paola Arrubarrena Tame",
"Eric Buschmann",
"G Panizzo",
"C Nellist",
"M C Hodgkinson",
"M Alhroob",
"A J Barr",
"D Varouchas",
"J C -L Tseng",
"J Wuerzinger",
"H P Beck",
"Katharine Leney",
"Jinlong Zhang",
"F Becherer",
"Joseph William Carter",
"Paolo Camarri",
"Maia Mosidze",
"S Dysch",
"R Staszewski",
"Roger Felipe Naranjo Garcia",
"Elena Rocco",
"A Milov",
"Vincenzo Izzo",
"L Pizzimento",
"J M Izen",
"J P Rutherfoord",
"Lianliang Ma",
"Harry Lyons",
"N Themistokleous",
"O Kuchinskaia",
"Z J Grout",
"Reiner Hauser",
"G Avolio",
"J K R Meshreki",
"G Alimonti",
"Yi-lin Yang",
"D J Mahon",
"M Belfkir",
"T Kawamoto",
"Leonardo Merola",
"C Wanotayaroj",
"T Berry",
"P Sabatini",
"C Debenedetti",
"Yun Sang Chow",
"D Melini",
"Raimund Stroehmer",
"A Ferrari",
"T M Liss",
"D Dodsworth",
"Marie Christine Lanfermann",
"Luc Goossens",
"M Vos",
"Ph Schune",
"Jike Wang",
"M Tomoto",
"Shaun Roe",
"N Proklova",
"M Aoki",
"Y Nagasaka",
"Christopher John Young",
"M Geisen",
"P A Gorbounov",
"P Moschovakos",
"R M Jacobs",
"Valentina Maria Martina Cairo",
"G Chiarelli",
"S Hellesund",
"C Bakalis",
"J Dopke",
"I Hinchliffe",
"R Bi",
"A L Heggelund",
"Cameron James Simpson-allsop",
"A V Akimov",
"Stavros Maltezos",
"Armin Nairz",
"Jens Weingarten",
"Riccardo Maria Bianchi",
"S Amor dos Santos",
"Paul de Jong",
"Marco Valente",
"S Heer",
"Serena Palazzo",
"A T Vermeulen",
"D Ferrere",
"I Carli",
"Sofia Chouridou",
"H Oide",
"C Luci",
"M Bindi",
"S Palestini",
"Thomas Naumann",
"O Kuprash",
"Thomas Lohse",
"C Seitz",
"Shigeru Odaka",
"R Narayan",
"Philipp Jonathan Losel",
"Rosanna Ignazzi",
"M G Alviggi",
"L S Kaplan",
"A Liblong",
"A A Myers",
"Tony Affolder",
"B Martin dit Latour",
"J E Pilcher",
"G Salamanna",
"Takahiro Fusayasu",
"A Ventura",
"Dan Shaked",
"Songkyo Lee",
"Anna Kaczmarska",
"Karl Heinz Hiller",
"Tomas Davidek",
"M Wielers",
"Graeme Andrew Stewart",
"Yichen Li",
"A Lapertosa",
"L Tompkins",
"G Rybkin",
"D Orestano",
"M Iodice",
"Nico Giangiacomi",
"G Halladjian",
"J R Dandoy",
"Carmen Garcia",
"H L Russell",
"M I Gostkin",
"J D Mellenthin",
"C Santoni",
"A M Soukharev",
"Andrew Washbrook",
"Lawrence Lee",
"Kevin De Vasconcelos Corga",
"D Levin",
"Ava Chloe Audrey Lee",
"S Jezequel",
"R Yuan",
"K Grevtsov",
"Pierre Petroff",
"P Tornambe",
"R Kowalewski",
"S Simsek",
"Maria Roberta Devesa",
"C M Hawkes",
"Zhi Zheng",
"D M Koeck",
"D Turgeman",
"V Hedberg",
"Christopher Meyer",
"L S Borgna",
"S Turchikhin",
"F Prokoshin",
"A Soffer",
"R Tanaka",
"A D Pilkington",
"S Wada",
"Arnulf Quadt",
"A L Maslennikov",
"S Higashino",
"S Gargiulo",
"B Stelzer",
"T Poulsen",
"E J Schioppa",
"F Anulli",
"Alessandro Rocchi",
"A Lucotte",
"Katharina Maria Ecker",
"Laura Gonella",
"E Fullana Torregrosa",
"Stefan Simion",
"K Tokushuku",
"C Kahra",
"Pippa S Wells",
"M Fanti",
"R Simoniello",
"Kosuke Takeda",
"J Kendrick",
"Rima El Kosseifi",
"Tobias Golling",
"A Pathak",
"Hai Jiang",
"P H Hansen",
"K Lohwasser",
"E K U Gross",
"Rainer Bartoldus",
"I Naryshkin",
"S V Zeissner",
"U Klein",
"Herman ten Kate",
"Y Enari",
"V Kouskoura",
"L Carminati",
"Weiming Yao",
"Haoshuang Ji",
"Jovan Mitrevski",
"Serban Constantinescu",
"Carlos Garcia Argos",
"Bojan Hiti",
"S M Mazza",
"V Polychronakos",
"D P Yallup",
"R Poggi",
"P Pasuwan",
"F Ellinghaus",
"M Kobel",
"Oliver Kortner",
"Robin L Hayes",
"Steven Goldfarb",
"Takafumi Kodama",
"V S Lang",
"E Ladygin",
"Joao Victor da Fonseca Pinto",
"S Mehlhase",
"Michael Adersberger",
"Simone Campana",
"L Meng",
"J Mamuzic",
"I N Aleksandrov",
"G Introzzi",
"J Kirk",
"Luis Hervas",
"M Janus",
"Martin Stegler",
"Alberto Annovi",
"P Staroba",
"M Mikestikova",
"T A Kharlamova",
"E F McDonald",
"Jian Cong Zeng",
"Graham Richard Lee",
"F Alonso",
"Tomas Blazek",
"C P Hays",
"Mikel Eukeni Pozo Astigarraga",
"C Sawyer",
"R E Owen",
"Pawel Bruckman de Renstrom",
"I A Bertram",
"Dmitriy Maximov",
"Andreas Reiss",
"C Lacasta",
"Manuel Neumann",
"P Iengo",
"Hulin Wang",
"J Elmsheuser",
"P Morettini",
"X Ai",
"L F Oleiro Seabra",
"Kyle Cranmer",
"D Giugni",
"K Lin",
"Guy Koren",
"A Aggarwal",
"V Canale",
"J C Schmoeckel",
"P Teixeira-Dias",
"Alexander S Basan",
"S Falke",
"Mengran Li",
"Helena Lefebvre",
"S Pataraia",
"Ioannis Xiotidis",
"Shih-Chieh Hsu",
"D Schaefer",
"F Pastore",
"I M Snyder",
"A Laurier",
"Krzysztof W Wozniak",
"N P Readioff",
"C Antel",
"Stefan Erich Von Buddenbrock",
"Joern Christian Lange",
"Sandro De Cecco",
"A Alfonsi",
"M C Kruse",
"Alfred Goshaw",
"J I Djuvsland",
"S Biondi",
"Felix Rauscher",
"D Pudzha",
"J Donini",
"Bernhard Meirose",
"R Hankache",
"Pierfrancesco Butti",
"Thomas Peiffer",
"Y Nakahama",
"J F Laporte",
"P Calfayan",
"N A Asbah",
"Oleg Meshkov",
"C Alexa",
"B Reynolds",
"Thomas Dennis Powell",
"S Trincaz-Duvoid",
"C Varni",
"Zhongyukun Xu",
"T P Calvet",
"C Gray",
"S Protopopescu",
"F Cerutti",
"I Koletsou",
"G Mancini",
"C Schmitt",
"Bo Li Liu",
"S Rajagopalan",
"J Bracinik",
"S D Lawlor",
"M Boonekamp",
"Marcel Weirich",
"M Sandhoff",
"Antonio Ereditato",
"Tim Herrmann",
"Thanawat Asawatavonvanich",
"A Gomes",
"D Kelsey",
"W C Fisher",
"A Held",
"Amir Farbin",
"M Rotaru",
"T Flick",
"M Raymond",
"A M Burger",
"Matthew Glenn Kurth",
"F Braren",
"Horst Severini",
"Aidan Grummer",
"A Farilla",
"M Sahinsoy",
"Carlos Josue Buxo Vazquez",
"P Balek",
"S Adorni",
"Bertrand Laforge",
"Eitan Gozani",
"J L Nagle",
"P J Windischhofer",
"L Valery",
"N Morange",
"H Santos",
"Richard Bates",
"H Ye",
"J H Rawling",
"P Johansson",
"M Spangenberg",
"V Sinetckii",
"S B Menary",
"J D Chapman",
"R Goncalves Gama",
"S Hyrych",
"Jonathan Shlomi",
"S Barsov",
"M Della Pietra",
"Farkhad Khalil-zada",
"Mario Sannino",
"S Akatsuka",
"B M Barnett",
"Luiz Pereira Caloba",
"E Monnier",
"Jose Salt",
"A Messina",
"K Zhukov",
"U Mallik",
"Alison Lister",
"M Pedersen",
"S Sottocornola",
"A Montalbano",
"E Nagy",
"Rui Zhang",
"M R Yexley",
"L Pascual Dominguez",
"Matthew Henry Klein",
"C Leonidopoulos",
"E Petit",
"D S Bhattacharya",
"Lluis M Mir",
"Laura Perini",
"D Sammel",
"G Callea",
"Umar Gul",
"X Lou",
"A T H Arce",
"A Miucci",
"G I Dyckes",
"J Machado Miguens",
"Miaoran Lu",
"D Madaffari",
"C Gemme",
"Bart Hommels",
"S Leone",
"Marc-Andre Pleier",
"V Chiarella",
"Peter William Phillips",
"Haicheng Wang",
"M Przybycien",
"Cigdem Issever",
"Petr Hamal",
"A Trofymov",
"C Grieco",
"O Rohne",
"J R Bensinger",
"A Marzin",
"A Bruni",
"T Farooque",
"Tomas Komarek",
"B Dong",
"Klaus Desch",
"J A Frost",
"Cecile Deterre",
"Christian Weiser",
"A Buckley",
"G Unel",
"C Kato",
"E L Gkougkousis",
"I Gnesi",
"Giovanni Marchiori",
"M D Werner",
"S Willocq",
"F L Castillo",
"R A Mina",
"M Ikeno",
"M E Vasile",
"Christian Johnson",
"F Miano",
"O Sidiropoulou",
"Marco Battaglia",
"P Klimek",
"T M Carter",
"Yanlin Liu",
"I Chiu",
"Y Afik",
"V Morisbak",
"C W Kalderon",
"C E Pandini",
"N Brahimi",
"E Kajomovitz",
"Allan G Clark",
"Heinz Pernegger",
"Russell James Turner",
"Zhijun Liang",
"D M Rauch",
"F A Di Bello",
"H Wolters",
"Zhongping Li",
"Dan Andrei Ciubotaru",
"I Vivarelli",
"F Nechansky",
"Madalyn Ann McKay",
"Ian Brock",
"J C Grundy",
"Frank Fiedler",
"Gang Zhang",
"J Adelman",
"A Bogdanchikov",
"T Dai",
"Carlos Miguel Vergel Infante",
"G Gaycken",
"Edgar Kellermann",
"W Taylor",
"N Zhou",
"Koji Terashi",
"D Della Volpe",
"L Duflot",
"B M Flierl",
"S Yu Sivoklokov",
"M Faraj",
"Ester Ricci",
"P C F Glaysher",
"T Buanes",
"V Zhulanov",
"Klaus A Hamacher",
"J Del Peso",
"Alessandro Polini",
"J A Kremer",
"Maosen Zhou",
"Zhen Yan",
"E Torro Pastor",
"L Rustige",
"T Trefzger",
"G Bruni",
"B Batool",
"G Cowan",
"A Armstrong",
"A Zhemchugov",
"Francisco Anuar Arduh",
"Dmitry Golubkov",
"J R Pater",
"D Vazquez Furelos",
"Carolina Michel Robles Gajardo",
"C Paraskevopoulos",
"B Ravina",
"M Dobre",
"S J Hillier",
"Susumu Terada",
"D Robinson",
"O E Vickey Boeriu",
"Norbert Wermes",
"J Love",
"F Neuhaus",
"S Hayashida",
"A A Geanta",
"Thomas Beermann",
"S Hellman",
"M T Dova",
"H Jivan",
"Chi Wing Ng",
"Yicheng Guo",
"E Yu Soldatov",
"W S Chan",
"A Kotwal",
"P Jacka",
"Koji Sato",
"P Urquijo",
"V Kartvelishvili",
"L Massa",
"Alevtina Shmeleva",
"M Safdari",
"B S Peralva",
"C Valderanis",
"J Jejelava",
"S J Haywood",
"F Thiele",
"O Estrada Pastor",
"D Lellouch",
"S Schaepe",
"Olaf Nackenhorst",
"A Ambler",
"S Francescato",
"A Hrynevich",
"Rodney Walker",
"S Argyropoulos",
"D Salvatore",
"H Okawa",
"A D'onofrio",
"M P Casado",
"L Di Ciaccio",
"R Rosten",
"F Deliot",
"C Lampoudis",
"Kaili Zhang",
"K F Di Petrillo",
"B S Dziedzic",
"Chen Zhou",
"Jean-Pierre Meyer",
"A Canesse",
"Attilio Andreazza",
"K Prokofiev",
"Marco Bruschi",
"Sebastian Mergelmeyer",
"Ivan Lopez Paz",
"Salvador Marti i Garcia",
"Yi Jiang",
"I M Trigger",
"K O'Connor",
"J Novak",
"Joseph Peter Kinghorn-taenzer",
"Monika Mittal",
"S Falciano",
"Matthias Schott",
"J K Anders",
"L Beresford",
"Farida Fassi",
"S Yacoob",
"Francesco Lacava",
"G F Tartarelli",
"M Hrabovsky",
"Juraj Smiesko",
"A Wolf",
"M Khandoga",
"K E Varvell",
"Farid Ould-Saada",
"Libor Nozka",
"C Wiel",
"Siyuan Sun",
"R Soualah",
"G Giugliarelli",
"Wenhao Xu",
"O Igonkina",
"Timothee Theveneaux-Pelzer",
"C Tosciri",
"J Albert",
"Charlie Pitman Donaldson",
"C F Anders",
"A T Watson",
"Yasuyuki Okumura",
"Reda Tafirout",
"N Calace",
"L J Bergsten",
"R Di Nardo",
"Marcus De Beurs",
"G Sciolla",
"Christian Luedtke",
"M Reale",
"M Svatos",
"Daniel Rodriguez Rodriguez",
"K Lantzsch",
"A M Cooper-Sarkar",
"A Dimitrievska",
"H J Lubatti",
"Dhiman Chakraborty",
"M Errenst",
"B A Schumm",
"D Krasnopevtsev",
"P Zhao",
"R A Linck",
"L Iconomidou-Fayard",
"Dario Barberis",
"Yanwen Liu",
"Tomas Javurek",
"Kentaro Uno",
"M Romano",
"C R Kilby",
"William Brooks",
"Nils Krumnack",
"L Guan",
"J Pacalt",
"S R Paredes Saenz",
"P Vokac",
"Pedro Jorge",
"B S Acharya",
"John Hill",
"Ahmed Tarek Abouelfadl Mohamed",
"C Adam Bourdarios",
"Renat Sadykov",
"O S AbouZeid",
"R Polifka",
"G Pownall",
"H El Jarrari",
"Keith Baker",
"Qi Li",
"P Loch",
"Xiang-Ting Meng",
"F Safai Tehrani",
"Weimin Song",
"A E Dumitriu",
"Jack Joseph Hall",
"Heather M Gray",
"Michael Strauss",
"J Schaeffer",
"B Safarzadeh Samani",
"E A Starchenko",
"F Backman",
"Yuji Minegishi",
"B Brau",
"T Vickey",
"P D Thompson",
"S E Tzamarias",
"E J W Moyse",
"J P Biswal",
"Jorn Grosse-Knetter",
"A Ogrodnik",
"Joseph Patton",
"K Yorita",
"Yanyun Duan",
"B Moser",
"K C Tam",
"A Straessner",
"E J Gallas",
"E Cheremushkina",
"M F Daneri",
"Andrea Baroncelli",
"C D Booth",
"E Thomson",
"S Gurbuz",
"W Kozanecki",
"S Calvente Lopez",
"Hong Ma",
"S N Santpur",
"Wei Ding",
"M H Genest",
"Oxana Smirnova",
"Bjarne Stugu",
"M Liberatore",
"Pablo S Rivadeneira",
"Kevin Hildebrand",
"M Gouighri",
"A K Kvam",
"E Tolley",
"F Jeanneau",
"Sau Lan Wu",
"Stefan Rave",
"N A Styles",
"S J Sekula",
"N Lehmann",
"A Laudrain",
"Susanne Kersten",
"N Smirnov",
"J-B de Vivie de Regie",
"Ryuichi Sawada",
"Dai Kobayashi",
"Y Shimogama",
"M Lefebvre",
"Ondrej Penc",
"Elio Alunno Camelia",
"Mario Campanelli",
"N S Dann",
"Yoichi Ninomiya",
"C Becot",
"Tomasz Bold",
"D Lacour",
"Markus Schumacher",
"Saverio D'Auria",
"D Tsybychev",
"P Bartos",
"D Du",
"Lucia Castillo Garcia",
"H Herde",
"A C Dudder",
"I Siral",
"Andreas Kugel",
"Andrej Gorisek",
"M Alvarez Estevez",
"A Mehta",
"B Achkar",
"Y Fang",
"Ian Peter Joseph Shipsey",
"Vincent Garonne",
"A Duperrin",
"A Durglishvili",
"A Emerman",
"B Lund-Jensen",
"Maike Christina Hansen",
"C M Gee",
"Ligang Xia",
"T Nobe",
"Konstantinos Papageorgiou",
"A Perrevoort",
"Silvia Resconi",
"L Truong",
"Shlomit Tarem",
"Craig Buttar",
"J Geisen",
"M Nessi",
"N Huseynov",
"S Mohapatra",
"Chase Shimmin",
"Claude Leroy",
"Patrick Moriishi Freeman",
"M F Watson",
"T Scanlon",
"J T P Burr",
"Mario Martinez Perez",
"A S Mete",
"D Derendarz",
"S Tokar",
"K H Mankinen",
"F Krieter",
"K Beloborodov",
"J A Valls Ferrer",
"Shawn Patrick Mc Kee",
"B Roland",
"Pavel Tsiareshka",
"N I Zimine",
"H J Moss",
"Maria Smizanska",
"Wen Yi Song",
"You Zhou",
"C Gwenlan",
"Weitao Wang",
"Juergen Dr Thomas",
"H Wahlberg",
"S V Peleganchuk",
"K Gregersen",
"J Magro",
"Jiang-Xing Chen",
"Thomas Daniel Weston",
"R B Nickerson",
"J Wollrath",
"S Angelidakis",
"Manuel Silva",
"Peter Jenni",
"J Crane",
"C Moreno Martinez",
"S Sevova",
"A Warburton",
"A Blue",
"H M Borecka-Bielska",
"P Reznicek",
"Hicham Atmani",
"D C O'Neil",
"G Darbo",
"X Chu",
"N E Pettersson",
"Marco Rimoldi",
"H Kurashige",
"H Hamdaoui",
"V A Bednyakov",
"J L Oliver",
"A V Vaniachine",
"P Bokan",
"J Urban",
"R Takashima",
"H C Beck",
"F Barreiro",
"D Sperlich",
"F Hinterkeuser",
"H Abreu",
"Michael Huebner",
"Jerome Samarati",
"Boyang Li",
"M Kaneda",
"J M Hays",
"M V Chizhov",
"V Fabiani",
"Santiago Gonzalez de la Hoz",
"Yongqi Gao",
"Alessandra Ciocio",
"H Mildner",
"Yanhui Ma",
"T Nitta",
"Xiangyang Ju",
"R Gugel",
"Chenliang Wang",
"S Valentinetti",
"C Feng",
"P Horn",
"F Petrucci",
"F Vazeille",
"M J R Olsson",
"T S Lau",
"B Heinemann",
"Shih-Chang Lee",
"Fabrizio Alfonsi",
"S Mobius",
"Emre Celebi",
"V D'Amico",
"S Tisserant",
"V A Mitsou",
"A Camplani",
"M Hamer",
"K A Johns",
"Kazuki Yajima",
"Ondrej Hladik",
"Krisztian Peters",
"Simon Schmitz",
"D A DeMarco",
"E Cheu",
"Uli Schaefer",
"Dmitri Dedovich",
"Philippe Farthouat",
"L Helary",
"Alan Kahn",
"Albert Olariu",
"R A Creager",
"S Hirose",
"Reina Coromoto Camacho Toro",
"Horst Oberlack",
"Toyonobu Okuyama",
"U Landgraf",
"A S Bell",
"M Schioppa",
"Ziyu Guo",
"He-sheng Chen",
"A Buzatu",
"M Marcisovsky",
"Ming-chung Chu",
"Jingbo Ye",
"H S Hayward",
"T G Zorbas",
"Ioulian Budagov",
"Juerg Beringer",
"E M Farina",
"A Linss",
"Hugh H Williams",
"Albert Francis Casha",
"L Rinaldi",
"D M S Sultan",
"S Bressler",
"Giovanna Lehmann Miotto",
"C Papadatos",
"P Czodrowski",
"A L Steinhebel",
"D Cinca",
"T R Van Daalen",
"K Iordanidou",
"F Dittus",
"L Henkelmann",
"S Hassani",
"S Popa",
"Juan Antonio Aguilar Saavedra",
"Aaron White",
"V Croft",
"Eunchong Kim",
"C M Delitzsch",
"M Livan",
"T Djobava",
"T Holm",
"Massimo Corradi",
"Ladislav Chytka",
"C Klein",
"G Gagliardi",
"Stephen Gibson",
"A I Mincer",
"David Rousseau",
"I Sykora",
"M Grandi",
"Alexander L Read",
"Enrico Tassi",
"Iwona Grabowska-Bold",
"Peter Love",
"S Alderweireldt",
"T Pham",
"H Arnold",
"A Trzupek",
"E Cavallaro",
"Bruce Rafael Mellado Garcia",
"Yesenia Hernandez Jimenez",
"M Hance",
"L Portales",
"L Longo",
"Markus Atkinson",
"Paul Harrison",
"P Murin",
"E Bergeaas Kuutmann",
"Konstantinos Kordas",
"Yoichi Ikegami",
"P Conde Muino",
"H Otono",
"Johannes Erdmann",
"S Kortner",
"A Lipniacka",
"G Brooijmans",
"E Nibigira",
"S A Cetin",
"C Rizzi",
"V S Bobrovnikov",
"G Spigo",
"Fabrizio Trovato",
"A K Morley",
"J Montejo Berlingen",
"S Guindon",
"N Andari",
"L Schoeffel",
"A Hadef",
"Sascha Caron",
"J Hejbal",
"M LeBlanc",
"A S Drobac",
"A Marantis",
"F Sauerburger",
"R Zou",
"Felix Buehrer",
"Marumi Kado",
"C Bittrich",
"Anne Kathrin Becker",
"Nicolas Maximilian Koehler",
"M Talby",
"Hao Zhou",
"J-F Arguin",
"S Richter",
"R Tombs",
"Benedict Allbrooke",
"Pierre Savard",
"A Bethani",
"Cheuk Yee Lo",
"Marcin Wolter",
"F Bauer",
"David Berge",
"Sergei Malyukov",
"T Ekelof",
"Eduardo Valdes Santurio",
"Federico Scutti",
"A Petridis",
"F Dachs",
"Max Fredrik Isacson",
"D Benjamin",
"Karolos Potamianos",
"N Orlando",
"Panagiotis Gkountoumis",
"C Allaire",
"Roger L Jones",
"E Vilucchi",
"M Escalier",
"Magdalena Slawinska",
"Verena Martinez Outschoorn",
"S Bruno",
"G Gonella",
"A Vallier",
"Sarah Jones",
"Fred Luehring",
"E Pianori",
"S Sacerdoti",
"A C Martyniuk",
"M Holzbock",
"Peter John Bussey",
"M Trzebinski",
"M Cano Bret",
"N Berger",
"Thomas Maier",
"Daniel Guest",
"D Hayden",
"G Duckeck",
"Matthew Craig Mondragon",
"D Duda",
"Y Rozen",
"Savannah Thais",
"Jun Gao",
"Gueorgui Chelkov",
"L Pezzotti",
"Takashi Kubota",
"M Gignac",
"Rimsky Rojas",
"J G Saraiva",
"M S Neubauer",
"Hanlin Xu",
"S Prince",
"Steven Schramm",
"M Vreeswijk",
"E Gorini",
"Jose Manoel de Seixas",
"Alexander David Sherman",
"Alexander Linus Sopio",
"Namgyun Jeong",
"M Zgubic",
"R Cherkaoui El Moursli",
"Heiko Lacker",
"Zhaoxu Xi",
"Zirui Wang",
"P Sherwood",
"A X Y Kong",
"Alison Elliot",
"Frank Siegert",
"G Brandt",
"J T Kuechler",
"Z Rurikova",
"K P Mistry",
"Rebeca Gonzalez Suarez",
"Jaroslav Guenther",
"Yan Wing Ng",
"Joany Andreina Manjarres Ramos",
"Giovanni Calderini",
"A Juste Rozas",
"C M Helling",
"Ann Miao Wang",
"I I Tsukerman",
"Gordon T Watts",
"Hao Xu",
"M Montella",
"K Dette",
"Benjamin P Nachman",
"Minghui Liu",
"Yutaka Okazaki",
"I R Boyko",
"Ademar Tavares Delgado",
"I K Lakomiec",
"A Adiguzel",
"S V Chekulaev",
"C L Sotiropoulou",
"S Martin-Haugh",
"Vaclav Vacek",
"T Iizawa",
"V Araujo Ferraz",
"D Bruncko",
"V O Tikhomirov",
"T M Spieker",
"J Proudfoot",
"Adam Abed Abud",
"I Dawson",
"G Piacquadio",
"Umberto De Sanctis",
"Masahiro Morinaga",
"F Fischer",
"A Berthold",
"B P Jaeger",
"Siqi Yang",
"Alex Zeng Wang",
"K D McLean",
"F Conventi",
"D C Abbott",
"Werner Freund",
"James H Cochran",
"W Wagner",
"J Dolejsi",
"F Dubinin",
"F Ledroit-Guillon",
"Liang Han",
"K R Schmidt-Sommerfeld",
"R Lafaye",
"Dalila Salamani",
"M Begalli",
"David Milstead",
"A R Buzykaev",
"A Ruiz-Martinez",
"R Hertenberger",
"Lianyou Shan",
"E Higon-Rodriguez",
"Alexander Zaitsev",
"V M Walbrecht",
"G Artoni",
"D M Rebuzzi",
"Anindya Ghosh",
"Yu-heng Chen",
"Samuel Silverstein",
"O Ricken",
"J Metcalfe",
"S Passaggio",
"N A Rusakovich",
"W R Cunningham",
"P Rieck",
"J A Raine",
"S Laplace",
"L J Levinson",
"Maria Mironova",
"S Burdin",
"Lucrezia Stella Bruni",
"Zinonas Zinonos",
"Rodrigo Araujo Pereira",
"V Cavasinni",
"D Hohn",
"Balazs Konya",
"A Mirto",
"V J Martin",
"A Tsirigotis",
"D Price",
"D Matakias",
"Rotem Barnea",
"Rongkun Wang",
"J Katzy",
"Andre Sopczak",
"Michael Ryan Clark",
"E Sauvan",
"D Krauss",
"J Strandberg",
"Alexandra Tudorache",
"O A Ducu",
"S Dahbi",
"A Mastroberardino",
"A Leisos",
"C S Pollard",
"S Monzani",
"Xingguo Li",
"I A Connelly",
"T Moskalets",
"E W Parrish",
"H Torres",
"Tohru Takeshita",
"Shuyang Hu",
"S Asai",
"Ana Maria Henriques Correia",
"E R Vandewall",
"P Yin",
"Bing Zhou",
"A Haas",
"Bartosz Mindur",
"D Britzger",
"J Glatzer",
"Sergey Timoshenko",
"David Francis",
"Saleh Sultanov",
"S K Boutle",
"Katie L Whalen",
"Jianbei Liu",
"F Giuli",
"Xiaohu Sun",
"K Wraight",
"David R Wardrope",
"A Sansoni",
"J E Mdhluli",
"R Wakasa",
"G Sekhniaidze",
"R Lysak",
"C Padilla Aranda",
"Matthew J Sullivan",
"R Pedro",
"M Madugoda Ralalage Don",
"E S Kuwertz",
"Natalia Korotkova",
"S Ghasemi",
"F U Bernlochner",
"Avik Roy",
"L Adamczyk",
"S Czekierda",
"W K Di Clemente",
"I Ibragimov",
"J Nielsen",
"T Koffas",
"M Bona",
"B Stanislaus",
"H Cai",
"Randall J Sobie",
"E F Kay",
"G Khoriauli",
"W Islam",
"I Nikolic-Audit",
"J A Mcfayden",
"B J Gallop",
"M Stamenkovic",
"Joao Guimaraes da Costa",
"G Carducci",
"C B Gwilliam",
"M Morgenstern",
"Claire Alexandra Lee",
"G S Virdee",
"D Boye",
"F Djama",
"F Sforza",
"J Bortfeldt",
"E M Asimakopoulou",
"J Leveque",
"T Barklow",
"Tristan Arnoldus Du Pree",
"L Pereira Sanchez",
"A Sfyrla",
"Dj Sijacki",
"R Madar",
"K M Ciesla",
"Nico de Groot",
"M Milesi",
"D Ponomarenko",
"Vasilis Konstantinides",
"S Berlendis",
"E Von Toerne",
"David William Thomas",
"T P Charman",
"Laura Havener",
"Erez Etzion",
"Phillip Allport",
"Heling Zhu",
"N Ellis",
"Matteo Mario Beretta",
"J Hobbs",
"Adam J Parker",
"R Vuillermet",
"G Fanourakis",
"U Parzefall",
"T Sykora",
"Marco Aurelio Diaz",
"B Trocme",
"Da Xu",
"M Birman",
"P Grenier",
"Seog Oh",
"S Gonzalez Fernandez",
"C A Marin Tobon",
"W Y Chan",
"Harinder Singh Bawa",
"W Su",
"Rachel Elizabeth Lindley",
"A Jelinskas",
"Y Coadou",
"A Basalaev",
"Wladyslaw Dabrowski",
"M T Anthony",
"E Torrence",
"Cristinel Diaconu",
"C Helsens",
"J C Honig",
"Hongbo Zhu",
"A R Chomont",
"Sw Banerjee",
"I Yeletskikh",
"D Boumediene",
"A Chitan",
"S L Lloyd",
"Stefan Schlenker",
"S Todorova-Nova",
"J Cantero",
"A Betti",
"Stanislav Pospisil",
"Peter van Gemmeren",
"Alberto Aloisio",
"A Maio",
"Ricardo Woelker",
"Sergey Kuleshov",
"V R Pascuzzi",
"Takuya Tashiro",
"Lei Zhang",
"Kenji Hamano",
"Stephen McMahon",
"A M Sickles",
"Sanghoon Lim",
"G Mchedlidze",
"William P McCormack",
"V Kitali",
"C Vernieri",
"D Benchekroun",
"D A Trischuk",
"Xiangyu Xie",
"T Strebler",
"M Aleksa",
"Alexander Leopold",
"Lailin Xu",
"J A Krzysiak",
"Prajita Bhattarai",
"Ilija Vukotic",
"G Polesello",
"J Boudreau",
"S E Clawson",
"Jannicke Pearkes",
"Maximilian Georg Herrmann",
"Matthias Wittgen",
"J Kanzaki",
"E Khramov",
"G Gaudio",
"Michel Vetterli",
"Jiawei Wang",
"A S Chisholm",
"M Vanadia",
"Takahiko Kondo",
"Gerald Oakham",
"M Aliev",
"A Boveia",
"A R Cukierman",
"A Nisati",
"Charles Delporte",
"G J Bobbink",
"P Dervan",
"J S Bonilla",
"Stefania Spagnolo",
"Zhidong Zhang",
"Andreas Rummler",
"Haijun Yang",
"Douglas Davis",
"P Kluit",
"Shima Shimizu",
"Francesca Ungaro",
"Y Amaral Coutinho",
"P Wagner",
"I Nomidis",
"M Mlynarikova",
"D G Charlton",
"C Malone",
"Rafael Lopes de Sa",
"L Adam",
"R Les",
"C Gubbels",
"M Capua",
"Christopher Ryan Anelli",
"C M Macdonald",
"P C McNamara",
"J J Heinrich",
"Kyle James Read Cormier",
"I L Gavrilenko",
"Bowen Zhang",
"Artur Cardoso Coimbra",
"Yumeng Cao",
"G Ripellino",
"V Tudorache",
"S Jiggins",
"Haiping Peng",
"S Kido",
"F Balli",
"Andreas Honle",
"Charles Young",
"R A Vallance",
"D Su",
"E C Graham",
"Francesco Lanni",
"K Han",
"Yan-ping Huang",
"P Bellos",
"S Gentile",
"A S Tee",
"D Zerwas",
"G Zobernig",
"Benjamin Pearson",
"S Tapia Araya",
"Martin D Sykora",
"U Barron",
"M Spalla",
"J E Derkaoui",
"W Lampl",
"G Aielli",
"Michael Rijssenbeek",
"H K Hadavand",
"S Cabrera Urban",
"Andreas Salzburger",
"Andrew White",
"H A Gordon",
"V Vorobel",
"Paolo Calafiura",
"Adrian Bevan",
"Pavel Starovoitov",
"L Feligioni",
"V V Sulin",
"Alexander Oh",
"Shion Chen",
"A Salnikov",
"M Muskinja",
"Kaushik De",
"C Di Donato",
"L M Scyboz",
"B Chargeishvili",
"Xiaozhong Huang",
"Cheng Chen",
"E E Corrigan",
"J Balz",
"T Kwan",
"M Moreno Llacer",
"Lene Kristian Bryngemark",
"A Ferrer",
"A C Schaffer",
"P Mermod",
"D Pohl",
"G Facini",
"K Reeves",
"Moritz Kiehn",
"O Bulekov",
"K S Krowpman",
"P J Hsu",
"B Dutta",
"G Bella",
"A Sidoti",
"Yongsheng Gao",
"Masahiro Yamatani",
"A Rozanov",
"Tomoaki Nakamura",
"Siegfried Bethke",
"P Palni",
"Cinzia da Via",
"T Geralis",
"E Stanecka",
"G H A Viehhauser",
"R J Hawkings",
"M P Giordani",
"P R Newman",
"J B Hansen",
"Federico Meloni",
"Fan Li",
"V A Kramarenko",
"Yu-ting Shen",
"G Crosetti",
"Stefano Manzoni",
"Anthony Eric Lionti",
"A Renardi",
"Nicolo Magini",
"V V Kostyukhin",
"Peilian Liu",
"Junjie Zhu",
"Agostino Lanza",
"Nikolai Hartmann",
"Jose Luis Munoz Martinez",
"P Podberezko",
"E V Bouhova-Thacker",
"Norman Gee",
"I Pokharel",
"Katharina Behr",
"Suen Ray Hou",
"Timothy R Andeen",
"Jozsef A Toth",
"M Bomben",
"Matthew Feickert",
"Nathan Allen Grieser",
"Xin Chen",
"M Villa",
"L Ambroz",
"M G Foti",
"F G Gravili",
"L Franco",
"J Wagner-Kuhr",
"P U E Onyisi",
"Ioannis Maniatis",
"Ruslan Mashinistov",
"B Macek",
"Bing Li",
"D Oliveira Damazio",
"A Peyaud",
"A Taffard",
"Stefan Stonjek",
"Tim Michael Heinz Wolf",
"Quanyin Li",
"J Jongmanns",
"D M Portillo Quintero",
"F Tsai",
"R Leitner",
"P Pani",
"Cedric Serfon",
"Matthew Berg Epland",
"Emily L Nurse",
"Michael Phipps",
"K Schmieden",
"B M Wynne",
"R Pezoa",
"A Seiden",
"Takashi Mitani",
"S Rodriguez Bosca",
"B J Rosser",
"F Fabbri",
"Marcelo Ayumu Nomura",
"R Hyneman",
"M L Ojeda",
"S Heim",
"A N Tuna",
"G E Orellana",
"Marcos Vinicius Silva Oliveira",
"J Lorenz",
"Sebastian Mario Weber",
"Joergen Sjoelin",
"J P Mc Gowan",
"D R Shope",
"Qun Ouyang",
"Fabrizio Napolitano",
"A Glazov",
"S M Shaw",
"A Santra",
"J Kretzschmar",
"R Piegaia",
"Stefano Rosati",
"T Xu",
"J Dietrich",
"Gregor Kramberger",
"Tae Min Hong",
"J H Foo",
"Lydia Brenner",
"C Gonzalez Renteria",
"John Stakely Keller",
"Katharina Bianca Jakobi",
"Gen Kawamura",
"A Campoverde",
"J J Lozano Bahilo",
"Boping Chen",
"K Petukhova",
"M A Aparo",
"L Fiorini",
"Reinhild Yvonne Peters",
"Alessandro Gabrielli",
"Kevin Thomas Bauer",
"O Brandt",
"Ke Li",
"L D Corpe",
"Janusz Chwastowski",
"B M Ciungu",
"Robert Langenberg",
"P Krieger",
"Gabriel Palacino",
"Y Abulaiti",
"A Guerguichon",
"M J Veen",
"F Schroeder",
"J Walder",
"M Fujimoto",
"T P A Akesson",
"Chen-Hsun Chan",
"G Cabras",
"S Muanza",
"K Nagano",
"Anna Macchiolo",
"A Lounis",
"Namig Javadov",
"M Calvetti",
"Andrew Mark Wharton",
"G Bartolini",
"A Poley",
"L G Gagnon",
"Alan James Taylor",
"Guillermo Nicolas Hamity",
"Edisher Tskhadadze",
"L Marchese",
"P S Jackson",
"Matteo Franchini",
"A Catinaccio",
"I Korolkov",
"R Newhouse",
"I Luise",
"M S Lutz",
"N Garelli",
"K O H Vadla",
"A J Parker",
"Maurizio De Santis",
"N Scharmberg",
"David Michael South",
"C Schiavi",
"Shreya Saha",
"R Nicolaidou",
"Ren-jie Wang",
"Fabian Alexander Foerster",
"H Stenzel",
"Natalie Wieseotte",
"F Sopkova",
"M T Camerlingo",
"M Owen",
"C Bini",
"T Zakareishvili",
"M Donadelli",
"E Dreyer",
"S Morgenstern",
"A K Duncan",
"H L Snoek",
"Simona Serena Bocchetta",
"D L Briglin",
"Akanksha Vishwakarma",
"Elena V Plotnikova",
"Sebastian Grinstein",
"Michael Barnett",
"John Huth",
"S Ganguly",
"M Robin",
"M Pitt",
"Wolfgang Mader",
"Ehud Duchovni",
"A Sbrizzi",
"Pepijn Bakker",
"M Backes",
"Aidan Robson",
"W Fedorko",
"E D Mendes Gouveia",
"O Miu",
"Ghita Rahal",
"R J Atkin",
"M Guth",
"J Jimenez Pena",
"Flavia de Almeida Dias",
"Marco Delmastro",
"G Stoicea",
"Zhi Zhao",
"J F P Schouwenberg",
"D Mori",
"J J Junggeburth",
"M H Klein",
"Rafael Oreamuno Madriz",
"R Mazini",
"Paul Nilsson",
"Song Ming Wang",
"S Suchek",
"Nadav Michael Tamir",
"Javier Esteban Salazar Loyola",
"Richard Nisius",
"B Cole",
"B Vachon",
"Yi Fang",
"R J Teuscher",
"H R Nindhito",
"D Bogavac",
"M Hirose",
"S Loffredo",
"Pierre Beauchemin",
"N A Gorasia",
"M Levchenko",
"J C Burzynski",
"P S Miyagawa",
"N Fomin",
"James Howarth",
"M Bedognetti",
"N Zakharchuk",
"A A Talyshev",
"O Kivernyk",
"N B Atlay",
"D C Frizzell",
"V Dao",
"L Serin",
"Tobias Bisanz",
"A Coccaro",
"Anjali Krishnan",
"Michael Dueren",
"J Maeda",
"Elias Michael Ruettinger",
"Val O'Shea",
"C O Sander",
"Mauro Citterio",
"Rebecca Hayley Pickles",
"C Hayes",
"Gianpaolo Carlino",
"M Lokajicek",
"Y Horii",
"C Vergis",
"A Ahmad",
"D Paredes Hernandez",
"M Ishino",
"I Karkanias",
"J Jia",
"M Myska",
"F Beisiegel",
"Yusheng Wu",
"J Beyer",
"Mathieu Benoit",
"G Azuelos",
"M Cristinziani",
"Elena Korolkova",
"B W Whitmore",
"R Gamboa Goni",
"D V Perepelitsa",
"Junichi Tanaka",
"Adam James Bozson",
"Bill Murray",
"Kenta Uchida",
"G L Alberghi",
"Evangelos N Gazis",
"D Caforio",
"S Tsuno",
"Sebastian Andreas Merkt",
"A Kastanas",
"Andreas Sogaard",
"Z A Meadows",
"A Manousos",
"J Dickinson",
"R T Roberts",
"Giulia Giannini",
"A G Goussiou",
"I Manthos",
"Yee Chinn Yap",
"Garrett Merz",
"M M Perego",
"Jos C Vermeulen",
"Christoph Amelung",
"T J A Chevalerias",
"N Hod",
"Robert Richter",
"Meirin Oan Evans",
"Urmila Soldevila Serrano",
"A M Lory",
"J Boyd",
"Tancredi Carli",
"J Reichert",
"J R Catmore",
"B H Smart",
"P Mogg",
"Marek Palka",
"O Biebel",
"S J Gasiorowski",
"O Sasaki",
"W D Heidorn",
"Roberto Iuppa",
"Y Yamaguchi",
"W J Fawcett",
"A Cortes-Gonzalez",
"A Bandyopadhyay",
"Antonio De Maria",
"S H Abidi",
"M K Bugge",
"Xinchou C Lou",
"F Ahmadov",
"A J Armbruster",
"M Garcia-Sciveres",
"Ralph Soeren Peter Mueller",
"C J E Suster",
"Rafael Teixeira de Lima",
"C D Milke",
"A Milic",
"Tommaso Lari",
"Cherifa Sabrina Amrouche",
"J Veatch",
"Adam Kaluza",
"Debottam Bakshi Gupta",
"Nishu Nishu",
"Akihiro Higashida",
"Chiara Meroni",
"Wen Guan",
"K Bierwagen",
"Q Buat",
"J G Panduro Vazquez",
"A M Deiana",
"Samuel Joseph Crawley",
"I Ravinovich",
"F Scuri",
"U Kruchonak",
"Changqiao Li",
"Wenxiao Wang",
"A Murrone",
"Johanna Lena Gramling",
"Sally Seidel",
"Luis Roberto Flores Castillo",
"B T Winter",
"B Parida",
"A Krasznahorkay",
"Koji Nakamura",
"Borut Paul Kersevan",
"A Lleres",
"A P O'neill",
"D Pallin",
"Zakaria Chadi",
"R Poettgen",
"N Besson",
"Mario Jose Da Cunha Sargedas De Sousa",
"P G Scholer",
"R Ferrari",
"A G Myagkov",
"Lino Oscar Gerlach",
"D R Hlaluku",
"R Slovak",
"H Sandaker",
"C Rembser",
"Stefanie Todt",
"M Sessa",
"T Kono",
"S Shirabe",
"James Beacham",
"A Bocci",
"D Biswas",
"Antonio Onofre",
"A E Bolz",
"O Zenin",
"L Bellagamba",
"K K Hill",
"C Merlassino",
"P Ferrari",
"N Ilic",
"Hongxuan Li",
"K Lehmann",
"L P Rossi",
"T Kaji",
"Marija Marjanovic",
"Klaus Moenig",
"Marcin Stolarski",
"J Olszowska",
"Yun-ju Lu",
"E Schopf",
"V Tsulaia",
"Traian Tulbure",
"A J Beddall",
"M Michetti",
"T Seiss",
"E Lytken",
"Andrii Verbytskyi",
"Etienne Fortin",
"D R Zaripovas",
"K W Janas",
"Nicolin Govender",
"Marko Mikuz",
"Emily Anne Thompson",
"M Verducci",
"Igor Mandic",
"Alice Polyxeni Morris",
"M Bosman",
"C D Sebastiani",
"Y Sano",
"Ilkay Turk Cakir",
"V P Maleev",
"M Stankaityte",
"M Pinamonti",
"Rob Henderson",
"M Vranjes Milosavljevic",
"L Sawyer",
"M W Krasny",
"J Hoya",
"S Prell",
"M Kolb",
"C Marcon",
"V Kukhtin",
"James E Brau",
"C P Bee",
"Mogens Dam",
"Lucia Pedraza Diaz",
"Harald Stelzer",
"T C Herwig",
"Riccardo de Asmundis",
"M Klassen",
"P Steinberg",
"Andrey A Sapronov",
"M Demichev",
"T J Burch",
"Daniele Fournier",
"P Sicho",
"Gregory Barbour",
"J Roloff",
"Alessandra Forti",
"D Sampsonidis",
"Carsten Dulsen",
"Atsuhiko Ochi",
"C Sbarra",
"K Suruliz",
"Jia-nan Li",
"M D'Onofrio",
"Jafar Shojaii",
"Hadar Yosef Cohen",
"Dylan Perry Kisliuk",
"J Damp",
"B M Waugh",
"Dan Ping Huang",
"M Queitsch-Maitland",
"G Redlinger",
"H Meyer Zu Theenhausen",
"Mz Zhou",
"G Otero y Garzon",
"G R Gledhill",
"K A Looper",
"T Megy",
"W Buttinger",
"D Gillberg",
"V A Schegelsky",
"N P Hessey",
"Anum Qureshi",
"C Zeitnitz",
"Michael Duehrssen",
"K Lie",
"X Zhuang",
"Zijun Xu",
"M Negrini",
"I M Gregor",
"S Franchino",
"X Wu",
"G Ucchielli",
"William Dmitri Breaden Madden",
"R E Long",
"M J Basso",
"E Pasqualucci",
"F Veloso",
"C Vittori",
"S Groh",
"V E Ozcan",
"L Masetti",
"Daniel James Lewis",
"Sergey P Denisov",
"Antonio Zoccoli",
"T R V Billoud",
"J Assahsah",
"A Fell",
"M Vozak",
"Alexander Fenyuk",
"A Puri",
"M Robles Manzano",
"E Banas",
"Henrik Oppen",
"Francesco M Follega",
"P T Koenig",
"Gerald Eigen",
"I Hristova",
"A Cheplakov",
"Y Benhammou",
"M Lavorgna",
"E Schanet",
"Kristian Bjoerke",
"Christopher Blake Martin",
"Vadim Gratchev",
"A Schulte",
"E E Khoda",
"S Lai",
"Tadej Novak",
"N Benekos",
"Andreas Hoecker",
"B A Bullard",
"Miguel Villaplana Perez",
"M Renda",
"A E Barton",
"A Cerri",
"Patrick Puzo",
"H Son",
"Vivek Jain",
"M Vandenbroucke",
"Nicola Venturi",
"Maria Ines Abreu Juliao Ochoa De Castro",
"Massimo Lazzaroni",
"V Cavaliere",
"E B Diehl",
"Stefan Gadatsch",
"Jan-Hendrik Arling",
"David Calvet",
"Antonella De Santo",
"Alexander Sydorenko",
"G Barone",
"J Ferrando",
"P Gaspar",
"M Bauce",
"T R Wyatt",
"James Pinfold",
"M Wessels",
"N Viaux Maira",
"Z M Schillaci",
"B Abbott",
"J D Little",
"L N Smirnova",
"J Ouellette",
"O V Solovyanov",
"Hao Liu",
"A Kamenshchikov",
"K E Schleicher",
"Ariella Cattai",
"F Cirotto",
"Rui Wang",
"Patricia Ward",
"William Trischuk",
"D M Handl",
"Keisuke Yoshihara",
"V Lyubushkin",
"Huajie Cheng",
"Tomomi Kawaguchi",
"N M Hartman",
"S Demers",
"F Lasagni Manghi",
"Anatoly V Borisov",
"R T Turra",
"Qing Wang",
"K Kawade",
"K Al Khoury",
"Sarah Williams",
"Zach Marshall",
"A Knue",
"A Gavrilyuk",
"I A Minashvili",
"N L Woods",
"Tadashi Maeno",
"S D Worm",
"Fairouz Malek",
"F Celli",
"H Nanjo",
"Halina Abramowicz",
"Xueyao Zhang",
"J M P Pasner",
"Gregorio Bernardi",
"T Lagouri",
"Ruchi Gupta",
"Hubert Kroha",
"T G McCarthy",
"A S Kozhin",
"K Maj",
"A Sahu",
"D Delgove",
"K K Heidegger",
"Stephen Albert Weber",
"Hongtao Yang",
"J J Teoh",
"Douglas M Gingrich",
"T Klioutchnikova",
"M Scornajenghi",
"Volker Buescher",
"M Schernau",
"Valerio Vercesi",
"Jonathan Jamieson",
"R Brock",
"Antonio Gaudiello",
"Balthasar Schachtner",
"K Bouaouda",
"Tomio Kobayashi",
"Elzbieta Richter-Was",
"Stefano De Castro",
"M Barbero",
"Rinat Fakhrutdinov",
"Alexander Mann",
"G Maccarrone",
"Sigve Haug",
"G Zemaityte",
"C J Potter",
"Fuquan Wang",
"Benoit Lefebvre",
"A Dattagupta",
"S Perrella",
"S Diez Cornell",
"Sourav Sen",
"W S Ahmed",
"J A Sabater Iglesias",
"A G Kharlamov",
"D Sampsonidou",
"Hans-Christian Schultz-Coulon",
"Tetsuro Mashimo",
"Yuji Yamazaki",
"P Berta",
"M P J Landon",
"K G Tomiwa",
"C A Gottardo",
"Jue Chen",
"C Clement",
"F Hubaut",
"Christopher Grud",
"E B Rye",
"L L Kurchaninov",
"A Bingul",
"D Iliadis",
"A V Anisenkov",
"Y Tu",
"Richard Batley",
"R Keeler",
"J Khubua",
"C Escobar",
"S Nemecek",
"J C Rivera Vergara",
"Zdenek Dolezal",
"M Vogel",
"Lorenzo Paolozzi",
"Karsten Koeneke",
"Frank Filthaut",
"G Borissov",
"Amal Vaidya",
"Tony Doyle",
"C Guyot",
"Gerardo Alexis Vasquez Arenas",
"Laurent Chevalier",
"Michael Kagan",
"Veronika Magerl",
"B Liberti",
"Michal Racko",
"V Boisvert",
"Paola Giannetti",
"Liv Antje Mari Wiik",
"Zvi Hirsh Citron",
"O Cakir",
"Francesco La Ruffa",
"D P C Sankey",
"T Moa",
"L Poggioli",
"Bartlomiej Zabinski",
"I N Potrap",
"J Llorente Merino",
"Gavin Hesketh",
"Geoffrey N Taylor",
"Mercedes Minano",
"D Cieri",
"J Fuster",
"L D Christopher",
"C Arcangeletti",
"F Costanza",
"F J Munoz Sanchez",
"Ahmed Hasib",
"Chiao-ying Lin",
"Emily Marie Duffield",
"Marilena Bandieramonte",
"M D Hank",
"A Romaniouk",
"M Goblirsch-Kolb",
"S Parajuli",
"Liqing Zhang",
"J -F Grivaz",
"Alberto Stabile",
"Stefan Kluth",
"Yi Fei Han",
"Carlos Sandoval Usme",
"Giora Mikenberg",
"M Saimpert",
"R Leone",
"S Gkaitatzis",
"M D M Capeans Garrido",
"A Dubreuil",
"Evgenii Baldin",
"Mikhail Mineev",
"R S Orr",
"S Oerdek",
"R Schwienhorst",
"P M Gravila",
"N Makovec",
"B Rottler",
"Sergei V Chekanov",
"G Gilles",
"D Zieminska",
"Augusto Santiago Cerqueira",
"R Kehoe",
"G E Gallardo",
"M Bahmani",
"K Bachas",
"Javier Sanchez",
"V Tsiskaridze",
"Wei Wang",
"Konstantinos Nikolopoulos",
"S Calvet",
"G A Popeneciu",
"I Fleck",
"M Havranek",
"C Haber",
"O Majersky",
"E Reynolds",
"Troels C Petersen",
"Luciano Manhaes de Andrade Filho",
"O Kepka",
"B Galhardo",
"Maximilian Hils",
"Azzah Aziz Alshehri",
"Francesco Ragusa",
"M Pettee",
"Haifeng Li",
"P A Delsart",
"D Reikher",
"Olympia Dartsi",
"D Ta",
"Roberto Cardarelli",
"Yoshinobu Unno",
"A Koulouris",
"T J Khoo",
"A Gongadze",
"Robert McPherson",
"Giordon Stark",
"R Wolff",
"D Muenstermann",
"O Arnaez",
"J D Shahinian",
"B Yabsley",
"F Cormier",
"Francesco Crescioli",
"Andrea Dell'Acqua",
"E C Hanson",
"P Y Nechaeva",
"T Vazquez Schroeder",
"R E Ticse Torres",
"X Xiao",
"G Navarro",
"S W Ferguson",
"C Glasman",
"R Stroynowski",
"T Rashid",
"R Di Sipio",
"A M Rodriguez Vera",
"Wolfgang Walkowiak",
"Tigran Mkrtchyan",
"C Goy",
"E M Carlson",
"A Khodinov",
"Theodoros Alexopoulos",
"P Seema",
"P Gadow",
"C Bernius",
"L Cerda Alberich",
"Daniel Joseph Antrim",
"Rui Miguel Faisca Rodrigues Pereira",
"P Bagnaia",
"R Vari",
"C D Burgard",
"T A Schwarz",
"V S Martoiu",
"Debarati Roy",
"Q Hu",
"R Moles-Valls",
"Christophe Deutsch",
"T Dado",
"A Cervelli",
"Thomas Daubney",
"N Yamaguchi",
"A Yamamoto",
"D Vannicola",
"P Francavilla",
"A Bitadze",
"Yakov Petrovich Kulinich",
"L Jeanty",
"D Scheirich",
"David Britton",
"A A Solodkov",
"P Skubic",
"S George",
"Tianyi Yang",
"K Kroeninger",
"A Paramonov",
"E Sideras Haddad",
"M J Kareem",
"C Petridou",
"V Pleskot",
"W M Barbe",
"C Fukunaga",
"L Fayard",
"O Novgorodova",
"A Rimoldi",
"Y Kulchitsky",
"M A B Do Vale",
"N J Kang",
"M Rybar",
"C Agapopoulou",
"M Primavera",
"K Ntekas",
"D Cameron",
"I Wingerter-Seez",
"F Huegging",
"Nuno Filipe Castro",
"M Feng",
"T Wengler",
"K Karava",
"D Kar",
"J Qian",
"J Jovicevic",
"D Amidei",
"S Balaji",
"Xuan Yang",
"Ketevi Adikle Assamagan",
"Jiayi Chen",
"Zihao Jiang",
"E P Takeva",
"H Kagan",
"H Evans",
"I A Cioara",
"N Nikiforou",
"S Rettie",
"Johann Collot",
"Sabine Lammers",
"B Giacobbe",
"A Angerami",
"J Chudoba",
"D M Strom",
"Andrea Formica",
"D Schaile",
"Yoshiji Yasu",
"Vladimir Smakhtin",
"M Dubovsky",
"S D Walker",
"Xiaoguang Yue",
"C Anastopoulos",
"James A Mueller",
"Ulf Fredrik Mikael Martensson",
"G Gessner",
"M Spina",
"J D Bossio Sola",
"E Zaffaroni",
"Torre J Wenaus",
"David M Malon",
"J Haley",
"F Spano",
"E D Resseguie",
"E J Thorpe",
"S Bentvelsen",
"Abhishek Sharma",
"Christopher Willis",
"M A L Leite",
"Nobuo Matsuzawa",
"A F Webb",
"Wouter Verkerke",
"V Vecchio",
"S Shrestha",
"D Hohov",
"S Meehan",
"Evangelos Kourlitis",
"C Wiglesworth",
"P F Giraud",
"F Gonnella",
"S Wenig",
"Anthony Salvucci",
"O L Rezanova",
"Bingxuan Liu",
"Fabricio Andres Jimenez Morales",
"F He",
"B T Carlson",
"P Pralavorio",
"V Ippolito",
"Konrad Kleinknecht",
"M C Stockton",
"Tobias Kupfer",
"F Winklmeier",
"Laura Fabbri",
"Andres Ramirez Morales",
"C Camincher",
"Luca Martinelli",
"L Roos",
"Matej Kocian",
"M Testa",
"D P Spiteri",
"M N Agaras",
"Hamish Teagle",
"C Dallapiccola",
"A Schoening",
"J Maurer",
"P Sinervo",
"R Stamen",
"S A Stucci",
"R Novotny",
"M Shimojima",
"L M Veloce",
"Gabriel Demontigny",
"P J Falke",
"A Sanchez Pineda",
"D Lynn",
"S Wahdan",
"E Brost",
"M J White",
"B D Seidlitz",
"Andrzej Olszewski",
"N Vranjes",
"Lamberto Luminari",
"D Duvnjak",
"P Kodys",
"Y Tayalati",
"G Zacharis",
"B Ngair",
"Abdeslam Hoummada",
"P Fleischmann",
"Christian Bohm",
"Piotr Janus",
"T Adye",
"Shu Li",
"Cheng Guang Zhu",
"A Lopez Solis",
"P Gutierrez",
"D Hirschbuehl",
"N Lorenzo Martinez",
"Y A Kurochkin",
"Shan Jin",
"G Mornacchi",
"J T Baines",
"L K Schildgen",
"Adriaan Koenig",
"Tristan Beau",
"L Asquith",
"Falk Bartels",
"C P A Roland",
"Mattias Ellert",
"J Dingfelder",
"F Schenck",
"T Cuhadar Donszelmann",
"Z M Karpova",
"Othmane Rifki",
"R Ospanov",
"Gunnar Jakel",
"N Rompotis",
"A Soloshenko",
"J Myers",
"Carmen Maidantchik",
"E M Freundlich",
"M Rescigno",
"K Ran",
"A Salvador Salas",
"O L Fedin",
"W H Hopkins",
"Peng Huo",
"W Wiedenmann",
"K Hanagaki",
"M Shiyakova",
"Mason Proffitt",
"P Martinez Agullo",
"M Tsopoulou",
"M J Shochet",
"A Lebedev",
"E Lancon",
"K Krizka",
"P A Erland",
"Maria Jose C Costa",
"A Smykiewicz",
"Jonathan David Long",
"C Kourkoumelis",
"Jana Schaarschmidt",
"R Bielski"
],
"corpus_id": 216377967,
"doc_id": "216377967",
"n_citations": 32,
"n_key_citations": 1,
"score": 0,
"title": "Search for Heavy Higgs Bosons Decaying into Two Tau Leptons with the ATLAS Detector Using pp Collisions at sqrt[s]=13 TeV.",
"venue": "Physical review letters",
"year": 2020
},
{
"abstract": "A search for long lived particles decaying into an oppositely charged lepton pair, mu mu, ee, or e mu, is presented using 32.8 fb( 1) of pp collision data collected at root s 13 TeV by the ATLAS",
"author_names": [
"Georges Aad",
"A Kupco",
"Samuel Nathan Webb",
"T Dreyer",
"Yufeng Wang",
"Karl Jakobs",
"M Spousta",
"M Cobal",
"Peilong Wang",
"S Schmitt",
"Jaroslava Schovancova",
"A Bassalat",
"Matej Melo",
"M Shapiro",
"G Tarna",
"S Zimmermann",
"T Eifert",
"L Rehnisch",
"S Kuday",
"F Legger",
"M Sioli",
"L Cerrito",
"N Bruscino",
"Filippo Maria Giorgi",
"J Huston",
"T Sumida",
"Steven Hugh Robertson",
"R Goncalo",
"A A Snesarev",
"L La Rotonda",
"Dirk Duschinger",
"James Oscar Thomas",
"E Carquin",
"Y W Y Ng",
"S Crepe-Renaudin",
"J A Parsons",
"W K Balunas",
"Yu A Tikhonov",
"M K Ayoub",
"J A Aparisi Pozo",
"C Mwewa",
"David Harry Miller",
"A A Ivina",
"P Mastrandrea",
"Jan-Ulf Mjoernmark",
"W A Leight",
"Auke-Pieter Colijn",
"Liaoshan Shi",
"Michael E Nelson",
"Karel Cerny",
"N Warrack",
"Hans Krueger",
"J Ocariz",
"Markus Nordberg",
"C Weber",
"Daniela Bortoletto",
"A J Lankford",
"N B Sinev",
"Stefan Tapprogge",
"F Parodi",
"T Masubuchi",
"D E Ferreira Lima",
"T J LeCompte",
"Shenjian Jammy Chen",
"Souad Batlamous",
"Tim Martin",
"J Poveda",
"C Roda",
"Tuan Nguyen Manh",
"M Ouchrif",
"Krzysztof Korcyl",
"T Lyubushkina",
"C Grefe",
"Konstantin Toms",
"P Tipton",
"F F Klitzner",
"Alberto Valero",
"T Kishimoto",
"K Kawagoe",
"H Bachacou",
"Alfonso Policicchio",
"Franziska Iltzsche Speiser",
"S Zambito",
"S N Karpov",
"P Strizenec",
"Nathan Rogers Bernard",
"Christopher Michael Lester",
"D W Casper",
"S Ketabchi Haghighat",
"Jose Garcia Navarro",
"B Di Micco",
"Stefan Koperny",
"L Schaefer",
"C Bertella",
"Ph Schwemling",
"M Vos",
"E Meoni",
"T R Holmes",
"I G Sanderswood",
"Zhi Zheng",
"Z Hubacek",
"C Doglioni",
"Andrew Ferrante",
"L Vigani",
"Abhishek Nag",
"A S Boldyrev",
"S R Maschek",
"J Stark",
"E V Yatsenko",
"P Gessinger-Befurt",
"M Kuze",
"B H Hooberman",
"S Carra",
"K Pachal",
"David Costanzo",
"M J Fenton",
"Jesse Liu",
"Alexei Klimentov",
"Simone Pagan Griso",
"Ilias Panagoulias",
"T B Huffman",
"Hongbin Liu",
"T Kuhl",
"G Gustavino",
"Mateusz Dyndal",
"F An",
"M Antonelli",
"B Malaescu",
"D K Abhayasinghe",
"K Grimm",
"D Zanzi",
"Michael Glenn Eggleston",
"V R Bailey",
"A Ezhilov",
"D P Yallup",
"A Bellerive",
"J Masik",
"Alena Loesle",
"L Adamek",
"L Barak",
"Giuseppe Iacobucci",
"Evgeny Shulga",
"B Gorini",
"J Heilman",
"D Zhong",
"John Butler",
"H Fox",
"S Grancagnolo",
"Hok Chuen Cheng",
"S A Olivares Pino",
"N Madysa",
"Kevin Matthew Black",
"Gregory Hallewell",
"L Franconi",
"L A Horyn",
"D Fassouliotis",
"Joshua Wyatt Smith",
"Alessandro Tricoli",
"M Dumancic",
"H Iwasaki",
"M Kuna",
"Michele Faucci Giannelli",
"B Stapf",
"T Cao",
"Michela Paganini",
"V Ellajosyula",
"I Pogrebnyak",
"E Petit",
"J Faltova",
"Yu Him Justin Chiu",
"Wen Guo",
"Stewart Patrick Swift",
"E Lipeles",
"A Ducourthial",
"Benedikt Bergmann",
"Sebastian Artz",
"M J Oreglia",
"Homer Alfred Neal",
"E Drechsler",
"K Einsweiler",
"Fernando Monticelli",
"S Giagu",
"E Kneringer",
"B Freund",
"H Duran Yildiz",
"Daniel Whiteson",
"Alastair Dewhurst",
"K Shaw",
"Yingchun Zhu",
"Steffen Maeland",
"Nicolo Vladi Biesuz",
"J Terron",
"D S Nielsen",
"M Ghasemi Bostanabad",
"Stephanie Pui Yan Yuen",
"V Castillo Gimenez",
"T Barillari",
"T J Neep",
"Francesco Peri",
"P J Clark",
"S Schier",
"K Vorobev",
"Julius Hrivnac",
"Martin Barisits",
"Takuto Kunigo",
"Alexander A Grillo",
"Stefano Camarda",
"T Dias Do Vale",
"R Kopeliansky",
"M Swiatlowski",
"N P Konstantinidis",
"Osamu Jinnouchi",
"Hartmut Sadrozinski",
"Vassili Kazanin",
"E L Barberio",
"J Pacalt",
"Kerstin Tackmann",
"Dorel Pietreanu",
"Richard Kass",
"Yuya Kano",
"D Camarero Munoz",
"J Zahreddine",
"M R Sutton",
"Yohei Noguchi",
"L Zivkovic",
"L Dell'Asta",
"Veronica Wallangen",
"K Abeling",
"Fang-zhou Zhang",
"M G Vincter",
"G Herten",
"V Nikolaenko",
"D Kirchmeier",
"C C Chau",
"Alessandro Di Girolamo",
"Nicola Abraham",
"Markus Elsing",
"Cong Geng",
"K Mochizuki",
"A Di Ciaccio",
"B Burghgrave",
"A N Fray",
"P Massarotti",
"Lorenzo Rossini",
"Sina Baluch Bahrasemani",
"C J McNicol",
"G Di Gregorio",
"F Corriveau",
"G R Gonzalvo Rodriguez",
"I Bloch",
"Karel Smolek",
"R Brenner",
"P S Ott",
"P Tas",
"Ana Rosario Cueto Gomez",
"G Marceca",
"B A Petersen",
"V Solovyev",
"B Haney",
"S Gonzalez-Sevilla",
"Chase Shimmin",
"P Schacht",
"Nikola Lazar Whallon",
"Andrea Negri",
"S M Farrington",
"M Villa",
"Vladimir Cindro",
"P Sommer",
"A A Minaenko",
"Shunsuke Honda",
"X Ruan",
"Pasquale Fabrizio Salvatore",
"M Franklin",
"B Mansoulie",
"Yang Qin",
"Gorm Galster",
"Charles Leggett",
"J W Cowley",
"P Buchholz",
"K Zoch",
"Zuzana Blenessy",
"Claudio Ferretti",
"D Biedermann",
"J Kroll",
"E M Shrif",
"Z Uysal",
"A Behera",
"Hector de la Torre",
"C E Leitgeb",
"F Tresoldi",
"Siinn Che",
"Susumu Oda",
"Christian A Gutschow",
"Masa-hiko Saito",
"Francesco Lo Sterzo",
"Joost Vossebeld",
"Joshua Moss",
"Monica Dunford",
"Robin Middleton",
"A B Kowalewska",
"Kyungeon Choi",
"S Harkusha",
"Puja Saha",
"Julia Hrdinka",
"Rainer Roehrig",
"Hiroshi Sakamoto",
"E Hansen",
"Matt Zhang",
"A J Bailey",
"M Biglietti",
"Samuel David Jones",
"T Jakoubek",
"Simon H Connell",
"Alessandra Doria",
"Hoang Dai Nghia Nguyen",
"Matthias Danninger",
"C Blocker",
"S Istin",
"E W Varnes",
"Jorn Dines Hansen",
"M Ghneimat",
"G Iakovidis",
"Attilio Picazio",
"C J Treado",
"G Jarlskog",
"Koichi Nagai",
"Ye Chen",
"Wainer Vandelli",
"Tae Hyoun Park",
"Alessandro De Salvo",
"A Kourkoumeli-Charalampidi",
"Yang Heng",
"F Sohns",
"P B Shatalov",
"Y Smirnov",
"Stephanie Majewski",
"K Sliwa",
"Jesus Guerrero Rojas",
"Philip Bechtle",
"Miguel C N Fiolhais",
"F H Phillips",
"Fumiaki Ito",
"F Ukegawa",
"Alejandro Alonso",
"Qing Wang",
"T Guillemin",
"E Winkels",
"J J Kempster",
"Aishik Ghosh",
"Shuo Han",
"I Maznas",
"M Wobisch",
"K Augsten",
"Jean-pierre Ochoa",
"M Vicente Barreto Pinto",
"E Le Guirriec",
"N L Belyaev",
"A Ryzhov",
"D Moreno",
"G Usai",
"P O Deviveiros",
"Mahran Shehade",
"Marcel Stanitzki",
"L J Wilkins",
"Barry King",
"A Pacheco Pages",
"M Begel",
"G T Forcolin",
"A I Mincer",
"Young-kee Kim",
"L Morvaj",
"G Cabras",
"Michele Weber",
"T Heim",
"A Rej",
"K Belotskiy",
"A M Soukharev",
"Jihyun Jeong",
"C Agheorghiesei",
"H A Pacey",
"R M D Carney",
"Annabelle Julia Chuinard",
"R Jansky",
"B L Stamas",
"Julia Mariana Iturbe Ponce",
"D Boscherini",
"D R Tovey",
"N Semprini-Cesari",
"P Fassnacht",
"K D Finelli",
"B Brickwedde",
"A Matic",
"Claire David",
"Lukasz Zwalinski",
"M J Alconada Verzini",
"R Kukla",
"T J Stevenson",
"Jie Yu",
"P Klimek",
"C Galea",
"Lukas Heinrich",
"G Rovelli",
"C Troncon",
"Francesco Guescini",
"D Denysiuk",
"J A Garcia Pascual",
"Mohamed El Kacimi",
"Chunhui Chen",
"Andrea Rodriguez Perez",
"S Menke",
"Cheng Wang",
"I Van Vulpen",
"E Shabalina",
"G Unal",
"Robert W Gardner",
"Alessandra Forti",
"Yingxue Zhang",
"N Norjoharuddeen",
"Masahiro Morii",
"Andrea Sciandra",
"T Kosek",
"Zhiqin Zhang",
"Didier Alexandre",
"K Sekhon",
"R Iguchi",
"Tai-hua Lin",
"L Flores",
"Gabriele Chiodini",
"Jun Guo",
"Li Zhi",
"J L Gonski",
"Andrea Gabrielli",
"E Akilli",
"T Klapdor-Kleingrothaus",
"O M Kind",
"R D Schamberger",
"Ariel Schwartzman",
"L Aperio Bella",
"Frederik Ruehr",
"A R Weidberg",
"Are Sivertsen Traeet",
"L Mijovic",
"H Potti",
"S Snyder",
"U Blumenschein",
"R Konoplich",
"Peter Maettig",
"M Javurkova",
"Emre Celebi",
"Friedrich Hoenig",
"M Tasevsky",
"Carlos Solans",
"J Kvita",
"S Heim",
"V Boisvert",
"Benjamin William Allen",
"Giuseppe Lerner",
"Robert Francis Hunter",
"Stefano Veneziano",
"Yoji Hasegawa",
"Y Takubo",
"M Huhtinen",
"A E Kiryunin",
"Andrew Beddall",
"N Kimura",
"S Amoroso",
"L Barranco Navarro",
"L Serkin",
"Dengfeng Zhang",
"I Gkialas",
"S Yu Smirnov",
"M Haleem",
"D Froidevaux",
"Babar Ali",
"Feng Lyu",
"Dmitry Emeliyanov",
"Andrej Filipcic",
"S Kuehn",
"Mario Lassnig",
"T Pauly",
"Andreas Redelbach",
"Antonia Struebig",
"Isabel Nitsche",
"B K Wosiek",
"Yizhou Liu",
"Sara Strandberg",
"A N Karyukhin",
"Y Delabat Diaz",
"A Mizukami",
"L Pontecorvo",
"A Jinaru",
"Liang Li",
"T Lenz",
"John Michael Butterworth",
"S H Stark",
"Andreas Korn",
"M Ridel",
"K Todome",
"Geoffrey Mullier",
"A Kurova",
"E Rossi",
"Kun Liu",
"A Ouraou",
"A Dudarev",
"Kazuhiko Hara",
"Giorgi Arabidze",
"R Caminal Armadans",
"M P Heath",
"Shota Suzuki",
"Tomoyuki Saito",
"C C Ohm",
"J T Shank",
"N Ozturk",
"M Khader",
"Holger Herr",
"R Astalos",
"J Barkeloo",
"H G Wilkens",
"Eram Rizvi",
"E Gramstad",
"Tim Jones",
"Vaclav Vrba",
"T Hryn'ova",
"L K Gladilin",
"M Ronzani",
"E Yigitbasi",
"S Ye",
"Yasuhiro Makida",
"A P Pereira Peixoto",
"C Alpigiani",
"Eleonora Rossi",
"O Stelzer-Chilton",
"N W Shaikh",
"T Zenis",
"Georgios Stavropoulos",
"I Riu",
"F Cardillo",
"K K Gan",
"T Swirski",
"T Harenberg",
"S Henkelmann",
"Guy Rosin",
"Marco Scodeggio",
"F Derue",
"M Maerker",
"Steffen Staerz",
"Tulin Varol Mete",
"K Brendlinger",
"G D'amen",
"Alexander Melzer",
"S Terzo",
"George Victor Andrei",
"E M Lobodzinska",
"J Tojo",
"E B F G Knoops",
"Michael Tuts",
"E Skorda",
"J H Lindon",
"K Cheung",
"Daniele De Pedis",
"A Giannini",
"L D'Eramo",
"S Schaepe",
"David Philip John Lack",
"O Bessidskaia Bylund",
"Eric Buschmann",
"L Heelan",
"G Panizzo",
"C Nellist",
"M C Hodgkinson",
"M Alhroob",
"A J Barr",
"D Varouchas",
"J C -L Tseng",
"H P Beck",
"Katharine Leney",
"Jinlong Zhang",
"F Becherer",
"Joseph William Carter",
"Paolo Camarri",
"Maia Mosidze",
"S Dysch",
"R Staszewski",
"Roger Felipe Naranjo Garcia",
"Elena Rocco",
"A Milov",
"Vincenzo Izzo",
"L Pizzimento",
"J M Izen",
"J P Rutherfoord",
"Pa Malecki",
"Lianliang Ma",
"O Kuchinskaia",
"Z J Grout",
"Reiner Hauser",
"G Avolio",
"J K R Meshreki",
"G Alimonti",
"Yi-lin Yang",
"D J Mahon",
"T Kawamoto",
"Leonardo Merola",
"C Wanotayaroj",
"T Berry",
"P Sabatini",
"C Debenedetti",
"Yun Sang Chow",
"L Colasurdo",
"Raimund Stroehmer",
"A Ferrari",
"T M Liss",
"D Dodsworth",
"Marie Christine Lanfermann",
"Luc Goossens",
"Ph Schune",
"Jike Wang",
"M Tomoto",
"Shaun Roe",
"N Proklova",
"M Aoki",
"Y Nagasaka",
"Christopher John Young",
"M Geisen",
"Christian Johann Riegel",
"P A Gorbounov",
"P Moschovakos",
"R M Jacobs",
"Christian Heinz",
"Valentina Maria Martina Cairo",
"G Chiarelli",
"S Hellesund",
"C Bakalis",
"J Dopke",
"I Hinchliffe",
"R Bi",
"A V Akimov",
"Stavros Maltezos",
"Armin Nairz",
"Jens Weingarten",
"Hulin Wang",
"S Amor dos Santos",
"Paul de Jong",
"Marco Valente",
"S Heer",
"Serena Palazzo",
"A T Vermeulen",
"D Ferrere",
"I Carli",
"Sofia Chouridou",
"H Oide",
"C Luci",
"M Bindi",
"S Palestini",
"Thomas Naumann",
"O Kuprash",
"Thomas Lohse",
"Jelena Krstic",
"A Shcherbakova",
"Shigeru Odaka",
"R Narayan",
"Philipp Jonathan Losel",
"Rosanna Ignazzi",
"M G Alviggi",
"L S Kaplan",
"A Liblong",
"Masahiro Tanaka",
"Tony Affolder",
"B Martin dit Latour",
"J E Pilcher",
"G Salamanna",
"Takahiro Fusayasu",
"A Ventura",
"Dan Shaked",
"Anna Kaczmarska",
"Karl Heinz Hiller",
"Tomas Davidek",
"M Wielers",
"Graeme Andrew Stewart",
"Yichen Li",
"A Lapertosa",
"L Tompkins",
"G Rybkin",
"B Tong",
"D Orestano",
"M Iodice",
"Nico Giangiacomi",
"G Halladjian",
"J R Dandoy",
"Carmen Garcia",
"H L Russell",
"M I Gostkin",
"J D Mellenthin",
"C Santoni",
"A La Rosa",
"Andrew Washbrook",
"Lawrence Lee",
"Kevin De Vasconcelos Corga",
"D Levin",
"S Jezequel",
"R Yuan",
"K Grevtsov",
"Pierre Petroff",
"P Tornambe",
"R Kowalewski",
"S Simsek",
"Maria Roberta Devesa",
"C M Hawkes",
"Justin Griffiths",
"V Hedberg",
"Christopher Meyer",
"Yaquan Fang",
"S Turchikhin",
"F Prokoshin",
"A Soffer",
"R Tanaka",
"A D Pilkington",
"Arnulf Quadt",
"A L Maslennikov",
"S Higashino",
"S Gargiulo",
"B Stelzer",
"T Poulsen",
"E J Schioppa",
"F Anulli",
"Alessandro Rocchi",
"A Lucotte",
"Katharina Maria Ecker",
"Laura Gonella",
"E Fullana Torregrosa",
"Stefan Simion",
"K Tokushuku",
"C Kahra",
"Pippa S Wells",
"M Fanti",
"R Simoniello",
"Kosuke Takeda",
"J Kendrick",
"Rima El Kosseifi",
"Tobias Golling",
"A Pathak",
"Hai Jiang",
"P H Hansen",
"K Lohwasser",
"E K U Gross",
"Yongke Zhao",
"Rainer Bartoldus",
"I Naryshkin",
"S V Zeissner",
"U Klein",
"Herman ten Kate",
"Y Enari",
"V Kouskoura",
"L Carminati",
"Weiming Yao",
"Haoshuang Ji",
"Jovan Mitrevski",
"Serban Constantinescu",
"Carlos Garcia Argos",
"Bojan Hiti",
"S M Mazza",
"V Polychronakos",
"R Poggi",
"P Pasuwan",
"F Ellinghaus",
"M Kobel",
"Oliver Kortner",
"Robin L Hayes",
"Steven Goldfarb",
"J G Vasquez",
"V S Lang",
"E Ladygin",
"Joao Victor da Fonseca Pinto",
"Arwa A E Bannoura",
"Juan Pedro Araque Espinosa",
"Michael Adersberger",
"Simone Campana",
"L Meng",
"J Mamuzic",
"I N Aleksandrov",
"G Introzzi",
"Luis Hervas",
"M Janus",
"Martin Stegler",
"Alberto Annovi",
"P Staroba",
"M Mikestikova",
"T A Kharlamova",
"E F McDonald",
"Jian Cong Zeng",
"Steven Andrew Farrell",
"F Alonso",
"Tomas Blazek",
"C P Hays",
"Mikel Eukeni Pozo Astigarraga",
"C Sawyer",
"R E Owen",
"Pawel Bruckman de Renstrom",
"I A Bertram",
"Dmitriy Maximov",
"Andreas Reiss",
"C Lacasta",
"Manuel Neumann",
"P Iengo",
"J Elmsheuser",
"P Morettini",
"X Ai",
"Adam Maxwell Azoulay",
"L F Oleiro Seabra",
"Kyle Cranmer",
"D Giugni",
"K Lin",
"Guy Koren",
"A Aggarwal",
"V Canale",
"J C Schmoeckel",
"P Teixeira-Dias",
"S Falke",
"Mengran Li",
"Alexander S Sakharov",
"S Pataraia",
"Shih-Chieh Hsu",
"D Schaefer",
"F Pastore",
"I M Snyder",
"A Laurier",
"Krzysztof W Wozniak",
"N P Readioff",
"C Antel",
"Stefan Erich Von Buddenbrock",
"Joern Christian Lange",
"Sandro De Cecco",
"A Alfonsi",
"M C Kruse",
"Alfred Goshaw",
"J I Djuvsland",
"S Biondi",
"Felix Rauscher",
"D Pudzha",
"J Donini",
"Bernhard Meirose",
"R Hankache",
"Pierfrancesco Butti",
"Thomas Peiffer",
"Y Nakahama",
"James Robinson",
"J F Laporte",
"P Calfayan",
"N A Asbah",
"Oleg Meshkov",
"C Alexa",
"Thomas Dennis Powell",
"S Trincaz-Duvoid",
"C Varni",
"Zhongyukun Xu",
"T P Calvet",
"C Gray",
"S Protopopescu",
"F Cerutti",
"I Koletsou",
"G Mancini",
"C Schmitt",
"Bo Li Liu",
"Sarah Louise Barnes",
"C J E Suster",
"E Tzovara",
"M Boonekamp",
"M Del Gaudio",
"M Sandhoff",
"Antonio Ereditato",
"Tim Herrmann",
"A Gomes",
"D Kelsey",
"W C Fisher",
"Natalia Kondrashova",
"Amir Farbin",
"M Rotaru",
"T Flick",
"M Raymond",
"A M Burger",
"Matthew Glenn Kurth",
"F Braren",
"Horst Severini",
"Aidan Grummer",
"A Farilla",
"M Sahinsoy",
"P Balek",
"S Adorni",
"Bertrand Laforge",
"Eitan Gozani",
"I N Potrap",
"Michael Ughetto",
"Manuel Patrice Geisler",
"L Valery",
"N Morange",
"H Santos",
"Richard Bates",
"J H Rawling",
"P Johansson",
"M Spangenberg",
"V Sinetckii",
"S B Menary",
"J D Chapman",
"R Goncalves Gama",
"S Hyrych",
"Jonathan Shlomi",
"S Barsov",
"M Della Pietra",
"Farkhad Khalil-zada",
"Mario Sannino",
"S Akatsuka",
"Riccardo Maria Bianchi",
"Luiz Pereira Caloba",
"E Monnier",
"Jose Salt",
"A Messina",
"K Zhukov",
"U Mallik",
"Alison Lister",
"M Pedersen",
"S Sottocornola",
"A Montalbano",
"E Nagy",
"Rui Zhang",
"M R Yexley",
"L Pascual Dominguez",
"Matthew Henry Klein",
"Peter Nemethy",
"C Leonidopoulos",
"D Alvarez Piqueras",
"J Bracinik",
"Lluis M Mir",
"Laura Perini",
"D Sammel",
"G Callea",
"Umar Gul",
"X Lou",
"A T H Arce",
"A Miucci",
"G I Dyckes",
"Daiki Yamaguchi",
"J Machado Miguens",
"Miaoran Lu",
"D Madaffari",
"E Fumagalli",
"C Gemme",
"Bart Hommels",
"S Leone",
"Marc-Andre Pleier",
"V Chiarella",
"Peter William Phillips",
"Haicheng Wang",
"M Przybycien",
"Cigdem Issever",
"Petr Hamal",
"A Trofymov",
"C Grieco",
"O Rohne",
"J R Bensinger",
"A Marzin",
"A Bruni",
"T Farooque",
"Tomas Komarek",
"Alan M Litke",
"B Dong",
"Klaus Desch",
"J A Frost",
"Cecile Deterre",
"Christian Weiser",
"A Buckley",
"G Unel",
"C Kato",
"E L Gkougkousis",
"Michael Phipps",
"Giovanni Marchiori",
"M D Werner",
"S Willocq",
"F L Castillo",
"R A Mina",
"M Ikeno",
"M E Vasile",
"Christian Johnson",
"F Miano",
"O Sidiropoulou",
"Marco Battaglia",
"D Di Valentino",
"Yanlin Liu",
"I Chiu",
"Y Afik",
"V Morisbak",
"C W Kalderon",
"C E Pandini",
"N Brahimi",
"E Kajomovitz",
"Allan G Clark",
"Heinz Pernegger",
"Russell James Turner",
"Zhijun Liang",
"D M Rauch",
"F A Di Bello",
"H Wolters",
"F Rizatdinova",
"Dan Andrei Ciubotaru",
"I Vivarelli",
"F Nechansky",
"Madalyn Ann McKay",
"Ian Brock",
"Frank Fiedler",
"Gang Zhang",
"J Adelman",
"A Bogdanchikov",
"T Dai",
"Carlos Miguel Vergel Infante",
"G Gaycken",
"Edgar Kellermann",
"W Taylor",
"N Zhou",
"Koji Terashi",
"D Della Volpe",
"L Duflot",
"B M Flierl",
"S Yu Sivoklokov",
"M Faraj",
"Ester Ricci",
"P C F Glaysher",
"T Buanes",
"V Zhulanov",
"Klaus A Hamacher",
"J Del Peso",
"Alessandro Polini",
"J A Kremer",
"Maosen Zhou",
"Zhen Yan",
"E Torro Pastor",
"L Rustige",
"T Trefzger",
"G Bruni",
"B Batool",
"G Cowan",
"A Armstrong",
"A Zhemchugov",
"Francisco Anuar Arduh",
"Dmitry Golubkov",
"D Vazquez Furelos",
"Carolina Michel Robles Gajardo",
"B Ravina",
"M Dobre",
"R Avramidou",
"S J Hillier",
"Susumu Terada",
"D Robinson",
"O E Vickey Boeriu",
"Norbert Wermes",
"J Love",
"Andrei Artamonov",
"A A Geanta",
"Thomas Beermann",
"S Hellman",
"M T Dova",
"H Jivan",
"M F Watson",
"Yicheng Guo",
"E Yu Soldatov",
"W S Chan",
"A Kotwal",
"Patrick Bryant",
"P Jacka",
"Koji Sato",
"P Urquijo",
"V Kartvelishvili",
"L Massa",
"Alevtina Shmeleva",
"B S Peralva",
"C Valderanis",
"J Jejelava",
"S J Haywood",
"F Thiele",
"O Estrada Pastor",
"D Lellouch",
"Geoffrey Henry Herbert",
"Olaf Nackenhorst",
"G P Gach",
"A Ambler",
"S Francescato",
"A Hrynevich",
"Rodney Walker",
"S Argyropoulos",
"D Salvatore",
"H Okawa",
"Sergey Senkin",
"A D'onofrio",
"M P Casado",
"L Di Ciaccio",
"R Rosten",
"F Deliot",
"C Lampoudis",
"K F Di Petrillo",
"B S Dziedzic",
"Chen Zhou",
"Jean-Pierre Meyer",
"A Canesse",
"Attilio Andreazza",
"K Prokofiev",
"Marco Bruschi",
"Sebastian Mergelmeyer",
"Ivan Lopez Paz",
"Michael F Ziolkowski",
"Salvador Marti i Garcia",
"Yi Jiang",
"I M Trigger",
"K O'Connor",
"J R Pater",
"Joseph Peter Kinghorn-taenzer",
"Monika Mittal",
"Andrew Chegwidden",
"S Falciano",
"Matthias Schott",
"J K Anders",
"L Beresford",
"Farida Fassi",
"S Yacoob",
"Francesco Lacava",
"G F Tartarelli",
"M Hrabovsky",
"Juraj Smiesko",
"Yu F Ryabov",
"A Wolf",
"Ikuo Ueda",
"M Khandoga",
"K E Varvell",
"Farid Ould-Saada",
"Libor Nozka",
"Siyuan Sun",
"R Soualah",
"G Giugliarelli",
"Wenhao Xu",
"O Igonkina",
"Timothee Theveneaux-Pelzer",
"C Tosciri",
"A S Maevskiy",
"J Albert",
"C F Anders",
"A T Watson",
"Yasuyuki Okumura",
"Reda Tafirout",
"N Calace",
"L J Bergsten",
"R Di Nardo",
"G Sciolla",
"Christian Luedtke",
"M Reale",
"M Svatos",
"Daniel Rodriguez Rodriguez",
"K Lantzsch",
"A M Cooper-Sarkar",
"A Dimitrievska",
"H J Lubatti",
"Dhiman Chakraborty",
"M Errenst",
"B A Schumm",
"D Krasnopevtsev",
"P Zhao",
"R A Linck",
"L Iconomidou-Fayard",
"Dario Barberis",
"Yanwen Liu",
"Tomas Javurek",
"Kentaro Uno",
"M Romano",
"C R Kilby",
"William Brooks",
"Nils Krumnack",
"L Guan",
"S R Paredes Saenz",
"P Vokac",
"Pedro Jorge",
"B S Acharya",
"John Hill",
"Ahmed Tarek Abouelfadl Mohamed",
"C Adam Bourdarios",
"Renat Sadykov",
"O S AbouZeid",
"R Polifka",
"G Pownall",
"H El Jarrari",
"Keith Baker",
"Qi Li",
"P Loch",
"Xiang-Ting Meng",
"F Safai Tehrani",
"Weimin Song",
"Gabriele Sabato",
"A E Dumitriu",
"Hong Ma",
"Heather M Gray",
"Michael Strauss",
"J Schaeffer",
"M Nagel",
"B Safarzadeh Samani",
"A Held",
"E A Starchenko",
"A Khanov",
"Yuji Minegishi",
"B Brau",
"Benjamin Hylton Brunt",
"P D Thompson",
"S E Tzamarias",
"E J W Moyse",
"J P Biswal",
"Jorn Grosse-Knetter",
"Tina Sfiligoj",
"Petar Kevin Rados",
"A Ogrodnik",
"K Yorita",
"M D M Capeans Garrido",
"B Moser",
"A Straessner",
"E J Gallas",
"E Cheremushkina",
"M F Daneri",
"Andrea Baroncelli",
"Peter Love",
"E Thomson",
"S Gurbuz",
"W Kozanecki",
"S Calvente Lopez",
"S N Santpur",
"Wei Ding",
"M H Genest",
"Oxana Smirnova",
"Bjarne Stugu",
"A Trzupek",
"Kevin Hildebrand",
"M Gouighri",
"A K Kvam",
"E Tolley",
"F Jeanneau",
"Sau Lan Wu",
"V Herget",
"Stefan Rave",
"N A Styles",
"S J Sekula",
"N Lehmann",
"A Laudrain",
"Susanne Kersten",
"L A Thomsen",
"N Smirnov",
"J-B de Vivie de Regie",
"Ryuichi Sawada",
"Dai Kobayashi",
"Y Shimogama",
"M Lefebvre",
"Ondrej Penc",
"Alexandra Tudorache",
"Mario Campanelli",
"N S Dann",
"Yoichi Ninomiya",
"C Becot",
"Tomasz Bold",
"D Lacour",
"Markus Schumacher",
"Saverio D'Auria",
"D Tsybychev",
"P Bartos",
"Sergey Timoshenko",
"H Herde",
"A C Dudder",
"I Siral",
"Andreas Kugel",
"Andrej Gorisek",
"M Alvarez Estevez",
"A Mehta",
"B Achkar",
"Manuel Silva",
"Ian Peter Joseph Shipsey",
"Vincent Garonne",
"A Duperrin",
"A Durglishvili",
"A Emerman",
"B Lund-Jensen",
"F Backman",
"Maike Christina Hansen",
"Lei Zhang",
"Ligang Xia",
"T Nobe",
"Konstantinos Papageorgiou",
"G Polesello",
"Silvia Resconi",
"L Truong",
"Shlomit Tarem",
"Craig Buttar",
"J Geisen",
"M Nessi",
"N Huseynov",
"S Mohapatra",
"Claude Leroy",
"Patrick Moriishi Freeman",
"Stephen Kam-wah Chan",
"T Scanlon",
"J T P Burr",
"Mario Martinez Perez",
"A S Mete",
"D Derendarz",
"S Tokar",
"K H Mankinen",
"F Krieter",
"K Beloborodov",
"J A Valls Ferrer",
"Shawn Patrick Mc Kee",
"Pavel Tsiareshka",
"T Vickey",
"N I Zimine",
"H J Moss",
"Wen Yi Song",
"You Zhou",
"C Gwenlan",
"Weitao Wang",
"Juergen Dr Thomas",
"H Wahlberg",
"S V Peleganchuk",
"K Gregersen",
"Jiang-Xing Chen",
"Thomas Daniel Weston",
"R B Nickerson",
"J Wollrath",
"S Angelidakis",
"Peter Jenni",
"J Crane",
"C Moreno Martinez",
"M Bajic",
"A Warburton",
"A Blue",
"H M Borecka-Bielska",
"P Reznicek",
"Hicham Atmani",
"D C O'Neil",
"G Darbo",
"X Chu",
"N E Pettersson",
"Marco Rimoldi",
"H Kurashige",
"H Hamdaoui",
"V A Bednyakov",
"J L Oliver",
"A V Vaniachine",
"P Bokan",
"J Urban",
"R Takashima",
"H C Beck",
"F Barreiro",
"D Sperlich",
"F Hinterkeuser",
"H Abreu",
"Michael Huebner",
"Jerome Samarati",
"Boyang Li",
"J M Hays",
"M V Chizhov",
"V Fabiani",
"Santiago Gonzalez de la Hoz",
"Yang Gao",
"Alessandra Ciocio",
"H Mildner",
"Yanhui Ma",
"T Nitta",
"Xiangyang Ju",
"R Gugel",
"Chenliang Wang",
"S Valentinetti",
"C Feng",
"P Horn",
"F Petrucci",
"F Vazeille",
"M J R Olsson",
"T S Lau",
"B Heinemann",
"Shih-Chang Lee",
"Klaus Moenig",
"V D'Amico",
"Nima Sherafati",
"S Tisserant",
"V A Mitsou",
"Jin Wang",
"A Camplani",
"K A Johns",
"Kazuki Yajima",
"Ondrej Hladik",
"Laurent Vacavant",
"Krisztian Peters",
"Simon Schmitz",
"D A DeMarco",
"J Caudron",
"E Cheu",
"Uli Schaefer",
"Dmitri Dedovich",
"Philippe Farthouat",
"L Helary",
"R E Long",
"Albert Olariu",
"R A Creager",
"A Sidoti",
"Reina Coromoto Camacho Toro",
"Horst Oberlack",
"Toyonobu Okuyama",
"U Landgraf",
"A S Bell",
"M Schioppa",
"Ziyu Guo",
"He-sheng Chen",
"A Buzatu",
"Valerio Bortolotto",
"M Marcisovsky",
"Ming-chung Chu",
"Jingbo Ye",
"H S Hayward",
"T G Zorbas",
"Ioulian Budagov",
"Juerg Beringer",
"E M Farina",
"A Sahu",
"Hugh H Williams",
"Albert Francis Casha",
"L Rinaldi",
"D M S Sultan",
"S Bressler",
"Giovanna Lehmann Miotto",
"C Papadatos",
"P Czodrowski",
"Elias Coniavitis",
"A L Steinhebel",
"D Cinca",
"T R Van Daalen",
"K Iordanidou",
"F Dittus",
"Michal Racko",
"S Hassani",
"S Popa",
"Maurice Becker",
"Juan Antonio Aguilar Saavedra",
"M Lisovyi",
"Aaron White",
"V Croft",
"B Ngair",
"M Livan",
"T Djobava",
"F Ceradini",
"Massimo Corradi",
"Ladislav Chytka",
"G Gagliardi",
"P A Delsart",
"Nacim Haddad",
"David Rousseau",
"I Sykora",
"M Grandi",
"Alexander L Read",
"Enrico Tassi",
"Iwona Grabowska-Bold",
"Koji Nakamura",
"S Alderweireldt",
"Jorge Andres Lopez Lopez",
"T Pham",
"H Arnold",
"E Cavallaro",
"Remco Castelijn",
"Yesenia Hernandez Jimenez",
"M Hance",
"L Portales",
"L Longo",
"Markus Atkinson",
"Paul Harrison",
"P Murin",
"Helio Takai",
"E Bergeaas Kuutmann",
"M Trovatelli",
"Konstantinos Kordas",
"Yoichi Ikegami",
"P Conde Muino",
"H Otono",
"Johannes Erdmann",
"S Kortner",
"A Lipniacka",
"G Brooijmans",
"E Nibigira",
"S A Cetin",
"C Rizzi",
"V S Bobrovnikov",
"G Spigo",
"Fabrizio Trovato",
"A K Morley",
"J Montejo Berlingen",
"S Guindon",
"N Andari",
"L Schoeffel",
"A Hadef",
"D A Millar",
"Sascha Caron",
"J Hejbal",
"M LeBlanc",
"A S Drobac",
"A Marantis",
"F Sauerburger",
"R Zou",
"Felix Buehrer",
"Marumi Kado",
"C Bittrich",
"Anne Kathrin Becker",
"Nicolas Maximilian Koehler",
"M Talby",
"J-F Arguin",
"S Richter",
"Benedict Allbrooke",
"Pierre Savard",
"A Bethani",
"Cheuk Yee Lo",
"Marcin Wolter",
"F Bauer",
"David Berge",
"M A Chelstowska",
"Sergei Malyukov",
"T Ekelof",
"Eduardo Valdes Santurio",
"Federico Scutti",
"A Petridis",
"Max Fredrik Isacson",
"D Benjamin",
"Karolos Potamianos",
"N Orlando",
"Panagiotis Gkountoumis",
"C Allaire",
"Roger L Jones",
"E Vilucchi",
"M Escalier",
"Magdalena Slawinska",
"Verena Martinez Outschoorn",
"S Bruno",
"G Gonella",
"A Vallier",
"Sarah Jones",
"Fred Luehring",
"E Pianori",
"S Sacerdoti",
"A C Martyniuk",
"M Holzbock",
"L Kashif",
"M Trzebinski",
"M Cano Bret",
"N Berger",
"Huijun Zhang",
"Thomas Maier",
"Daniel Guest",
"D Hayden",
"G Duckeck",
"Matthew Craig Mondragon",
"D Duda",
"Y Rozen",
"Savannah Thais",
"Jun Gao",
"Gueorgui Chelkov",
"L Pezzotti",
"Takashi Kubota",
"M Gignac",
"J G Saraiva",
"M S Neubauer",
"Hanlin Xu",
"S Prince",
"Steven Schramm",
"M Vreeswijk",
"E Gorini",
"Jose Manoel de Seixas",
"Alexander David Sherman",
"Namgyun Jeong",
"M Zgubic",
"R Cherkaoui El Moursli",
"Heiko Lacker",
"Zhaoxu Xi",
"Zirui Wang",
"P Sherwood",
"Shima Shimizu",
"Alison Elliot",
"Frank Siegert",
"G Brandt",
"J T Kuechler",
"Z Rurikova",
"K P Mistry",
"E S Kuwertz",
"Jaroslav Guenther",
"Yan Wing Ng",
"Joany Andreina Manjarres Ramos",
"Natascha Savic",
"Giovanni Calderini",
"A Juste Rozas",
"U Schnoor",
"C M Helling",
"Ann Miao Wang",
"I I Tsukerman",
"Gordon T Watts",
"M Montella",
"Benjamin P Nachman",
"Minghui Liu",
"Yutaka Okazaki",
"I R Boyko",
"Ademar Tavares Delgado",
"D Goujdami",
"A Adiguzel",
"S V Chekulaev",
"C L Sotiropoulou",
"S Martin-Haugh",
"Vaclav Vacek",
"T Iizawa",
"Andrew Hard",
"V Araujo Ferraz",
"D Bruncko",
"V O Tikhomirov",
"T M Spieker",
"J Proudfoot",
"Adam Abed Abud",
"I Dawson",
"G Piacquadio",
"Umberto De Sanctis",
"Masahiro Morinaga",
"F Fischer",
"B P Jaeger",
"P E Sidebo",
"K D McLean",
"F Conventi",
"D C Abbott",
"Werner Freund",
"James H Cochran",
"A Calandri",
"W Wagner",
"J Dolejsi",
"F Dubinin",
"F Ledroit-Guillon",
"Liang Han",
"K R Schmidt-Sommerfeld",
"R Lafaye",
"Dalila Salamani",
"James Broughton",
"M Begalli",
"David Milstead",
"A R Buzykaev",
"A Ruiz-Martinez",
"R Hertenberger",
"Lianyou Shan",
"E Higon-Rodriguez",
"Alexander Zaitsev",
"V M Walbrecht",
"G Artoni",
"D M Rebuzzi",
"Anindya Ghosh",
"Yu-heng Chen",
"Samuel Silverstein",
"O Ricken",
"J Metcalfe",
"S Passaggio",
"N A Rusakovich",
"P Rieck",
"J A Raine",
"S Laplace",
"L J Levinson",
"S Burdin",
"Lucrezia Stella Bruni",
"Zinonas Zinonos",
"Rodrigo Araujo Pereira",
"V Cavasinni",
"D Hohn",
"Balazs Konya",
"A Mirto",
"V J Martin",
"A Tsirigotis",
"D Matakias",
"Rotem Barnea",
"Rongkun Wang",
"E Hill",
"J Katzy",
"Andre Sopczak",
"Michael Ryan Clark",
"E Sauvan",
"J Rothberg",
"D Krauss",
"J Strandberg",
"O A Ducu",
"S Dahbi",
"A Mastroberardino",
"A Leisos",
"C S Pollard",
"S Monzani",
"Luka Kanjir",
"I A Connelly",
"David Michael South",
"E W Parrish",
"H Torres",
"Tohru Takeshita",
"Shuyang Hu",
"S Asai",
"Ana Maria Henriques Correia",
"Bing Zhou",
"A Haas",
"Bartosz Mindur",
"S Mehlhase",
"D Britzger",
"J Glatzer",
"T Koi",
"David Francis",
"Itsuo Nakano",
"Saleh Sultanov",
"S K Boutle",
"Katie L Whalen",
"Jianbei Liu",
"F Giuli",
"Xiaohu Sun",
"K Wraight",
"David R Wardrope",
"A Sansoni",
"J E Mdhluli",
"G Sekhniaidze",
"R Lysak",
"C Padilla Aranda",
"Ryan Christopher Edgar",
"R Pedro",
"Vladimir Smakhtin",
"Sebastian Liem Arvidsson",
"Natalia Korotkova",
"S Ghasemi",
"Avik Roy",
"L Adamczyk",
"S Czekierda",
"W K Di Clemente",
"I Ibragimov",
"J Nielsen",
"T Koffas",
"M Bona",
"B Stanislaus",
"V W S Wong",
"H Cai",
"Randall J Sobie",
"E F Kay",
"K Dette",
"W Islam",
"I Nikolic-Audit",
"J A Mcfayden",
"B J Gallop",
"M Stamenkovic",
"Joao Guimaraes da Costa",
"G Carducci",
"M Morgenstern",
"Claire Alexandra Lee",
"G S Virdee",
"Mariyan Petrov",
"D Boye",
"F Djama",
"F Sforza",
"J Bortfeldt",
"E M Asimakopoulou",
"Yun Tian",
"J Leveque",
"T Barklow",
"Vladimir Vinogradov",
"A Sfyrla",
"Dj Sijacki",
"R Madar",
"K M Ciesla",
"Nico de Groot",
"M Milesi",
"D Ponomarenko",
"Vasilis Konstantinides",
"S Berlendis",
"E Von Toerne",
"David William Thomas",
"T P Charman",
"Laura Havener",
"Erez Etzion",
"Phillip Allport",
"Heling Zhu",
"N Ellis",
"Matteo Mario Beretta",
"J Hobbs",
"Adam J Parker",
"R Vuillermet",
"G Fanourakis",
"U Parzefall",
"T Sykora",
"Marco Aurelio Diaz",
"B Trocme",
"Da Xu",
"M Birman",
"P Grenier",
"Seog Oh",
"C A Marin Tobon",
"W Y Chan",
"Harinder Singh Bawa",
"W Davey",
"A Jelinskas",
"Y Coadou",
"A Basalaev",
"Wladyslaw Dabrowski",
"M T Anthony",
"E Torrence",
"Cristinel Diaconu",
"C Helsens",
"Hongbo Zhu",
"A R Chomont",
"Sw Banerjee",
"I Yeletskikh",
"D Boumediene",
"N P Dang",
"A Chitan",
"S L Lloyd",
"Stefan Schlenker",
"S Todorova-Nova",
"J Cantero",
"A Betti",
"Stanislav Pospisil",
"Peter van Gemmeren",
"Alberto Aloisio",
"Graham Richard Lee",
"Ricardo Woelker",
"Sergey Kuleshov",
"V R Pascuzzi",
"Takuya Tashiro",
"Kenji Hamano",
"Stephen McMahon",
"A M Sickles",
"William P McCormack",
"V Kitali",
"D Benchekroun",
"A Undrus",
"M Aleksa",
"Alexander Leopold",
"Lailin Xu",
"J A Krzysiak",
"Ilija Vukotic",
"J Boudreau",
"Gianpaolo Carlino",
"Maximilian Georg Herrmann",
"T Meideck",
"Matthias Wittgen",
"J Kanzaki",
"E Khramov",
"James Monk",
"Pedro Henrique Sales De Bruin",
"G Gaudio",
"Michel Vetterli",
"A S Chisholm",
"M Vanadia",
"Takahiko Kondo",
"B Lenzi",
"J Stupak",
"A Boveia",
"A R Cukierman",
"A Nisati",
"V A Kantserov",
"Charles Delporte",
"G J Bobbink",
"P Dervan",
"J S Bonilla",
"Stefania Spagnolo",
"Zhidong Zhang",
"Andreas Rummler",
"Haijun Yang",
"Douglas Davis",
"P Kluit",
"Y Amaral Coutinho",
"P Wagner",
"I Nomidis",
"Andre Marc Hupe",
"M Mlynarikova",
"D G Charlton",
"C Malone",
"L Adam",
"R Les",
"M Capua",
"Christopher Ryan Anelli",
"C M Macdonald",
"P C McNamara",
"Matthew J Sullivan",
"J J Heinrich",
"Kyle James Read Cormier",
"I L Gavrilenko",
"Artur Cardoso Coimbra",
"Yumeng Cao",
"G Ripellino",
"V Tudorache",
"S Jiggins",
"Haiping Peng",
"S Kido",
"F Balli",
"Andrew Geoffrey Foster",
"Charles Young",
"R A Vallance",
"D Su",
"E C Graham",
"Francesco Lanni",
"K Han",
"Yan-ping Huang",
"P Bellos",
"Shunsuke Adachi",
"S Gentile",
"A S Tee",
"D Zerwas",
"G Zobernig",
"Benjamin Pearson",
"S Tapia Araya",
"Martin D Sykora",
"M Spalla",
"J E Derkaoui",
"W Lampl",
"G Aielli",
"Michael Rijssenbeek",
"H K Hadavand",
"S Cabrera Urban",
"Andreas Salzburger",
"Andrew Edward White",
"H A Gordon",
"V Vorobel",
"Paolo Calafiura",
"Adrian Bevan",
"Pavel Starovoitov",
"L Feligioni",
"V V Sulin",
"Alexander Oh",
"Shion Chen",
"A Salnikov",
"M Muskinja",
"Kaushik De",
"Fabian Wilk",
"C Di Donato",
"L M Scyboz",
"B Chargeishvili",
"Cheng Chen",
"E E Corrigan",
"J Balz",
"T Kwan",
"M Moreno Llacer",
"Lene Kristian Bryngemark",
"A Ferrer",
"A C Schaffer",
"P Mermod",
"D Pohl",
"G Facini",
"K Reeves",
"Moritz Kiehn",
"O Bulekov",
"P J Hsu",
"B Dutta",
"G Bella",
"Yongsheng Gao",
"Masahiro Yamatani",
"A Rozanov",
"Tomoaki Nakamura",
"Siegfried Bethke",
"Cinzia da Via",
"T Geralis",
"E Stanecka",
"G H A Viehhauser",
"R J Hawkings",
"M P Giordani",
"P R Newman",
"J B Hansen",
"Federico Meloni",
"Fan Li",
"V A Kramarenko",
"Yu-ting Shen",
"G Crosetti",
"Stefano Manzoni",
"Anthony Eric Lionti",
"Nicolo Magini",
"V V Kostyukhin",
"Peilian Liu",
"Junjie Zhu",
"Agostino Lanza",
"Johanna Lena Gramling",
"Nikolai Hartmann",
"Jose Luis Munoz Martinez",
"P Podberezko",
"E V Bouhova-Thacker",
"Norman Gee",
"I Pokharel",
"Katharina Behr",
"Suen Ray Hou",
"Timothy R Andeen",
"Jozsef A Toth",
"M Bomben",
"Matthew Feickert",
"Bruce Rafael Mellado Garcia",
"Nathan Allen Grieser",
"Xuefeng Chen",
"Gerhard Ferdinand Rzehorz",
"L Ambroz",
"M G Foti",
"F G Gravili",
"J Wagner-Kuhr",
"P U E Onyisi",
"Ioannis Maniatis",
"Ruslan Mashinistov",
"B Macek",
"Bing Li",
"D Oliveira Damazio",
"A Peyaud",
"A Taffard",
"Stefan Stonjek",
"Tim Michael Heinz Wolf",
"Quanyin Li",
"J Jongmanns",
"D M Portillo Quintero",
"F Tsai",
"R Leitner",
"P Pani",
"Cedric Serfon",
"Matthew Berg Epland",
"Emily L Nurse",
"K Schmieden",
"B M Wynne",
"R Pezoa",
"A Seiden",
"Takashi Mitani",
"S Rodriguez Bosca",
"B J Rosser",
"F Fabbri",
"Marcelo Ayumu Nomura",
"R Hyneman",
"M L Ojeda",
"T Klingl",
"A N Tuna",
"G E Orellana",
"Marcos Vinicius Silva Oliveira",
"J Lorenz",
"Sebastian Mario Weber",
"Joergen Sjoelin",
"D R Shope",
"Qun Ouyang",
"Fabrizio Napolitano",
"A Glazov",
"S M Shaw",
"A Maio",
"A Santra",
"J Kretzschmar",
"R Piegaia",
"Stefano Rosati",
"Steven Patrick Alkire",
"T Xu",
"J Dietrich",
"Gregor Kramberger",
"Tae Min Hong",
"J H Foo",
"Lydia Brenner",
"John Stakely Keller",
"Katharina Bianca Jakobi",
"Gen Kawamura",
"A Campoverde",
"J J Lozano Bahilo",
"Boping Chen",
"K Petukhova",
"D Melini",
"L Fiorini",
"Reinhild Yvonne Peters",
"S Raspopov",
"Alessandro Gabrielli",
"Kevin Thomas Bauer",
"O Brandt",
"Ke Li",
"L D Corpe",
"Janusz Chwastowski",
"B M Ciungu",
"Robert Langenberg",
"P Krieger",
"Gabriel Palacino",
"Y Abulaiti",
"A Guerguichon",
"M J Veen",
"F Schroeder",
"J Walder",
"T P A Akesson",
"Giora Mikenberg",
"S Muanza",
"C Kozakai",
"K Nagano",
"Anna Macchiolo",
"A Lounis",
"Namig Javadov",
"M Calvetti",
"Andrew Mark Wharton",
"G Bartolini",
"A Poley",
"L G Gagnon",
"Alan James Taylor",
"Guillermo Nicolas Hamity",
"Edisher Tskhadadze",
"L Marchese",
"P S Jackson",
"Matteo Franchini",
"A Catinaccio",
"I Korolkov",
"I Luise",
"M S Lutz",
"N Garelli",
"K O H Vadla",
"A J Parker",
"Maurizio De Santis",
"N Scharmberg",
"Rob Roy MacGregor Fletcher",
"C Schiavi",
"Shreya Saha",
"R Nicolaidou",
"S Shirabe",
"Ren-jie Wang",
"Fabian Alexander Foerster",
"H Stenzel",
"Natalie Wieseotte",
"F Sopkova",
"Bruce Arnold Barnett",
"M Owen",
"C Bini",
"T Zakareishvili",
"M Donadelli",
"E Dreyer",
"S Morgenstern",
"A K Duncan",
"H L Snoek",
"Simona Serena Bocchetta",
"D L Briglin",
"Akanksha Vishwakarma",
"Elena V Plotnikova",
"Sebastian Grinstein",
"Michael Barnett",
"John Huth",
"S Ganguly",
"M Robin",
"M Pitt",
"Wolfgang Mader",
"Ehud Duchovni",
"A Sbrizzi",
"Pepijn Bakker",
"M Backes",
"Aidan Robson",
"W Fedorko",
"E D Mendes Gouveia",
"O Miu",
"Ghita Rahal",
"R J Atkin",
"J Jimenez Pena",
"Flavia de Almeida Dias",
"Marco Delmastro",
"G Stoicea",
"Zhi Zhao",
"J F P Schouwenberg",
"D Mori",
"J J Junggeburth",
"M H Klein",
"R Mazini",
"Paul Nilsson",
"Song Ming Wang",
"S Suchek",
"Nadav Michael Tamir",
"Javier Esteban Salazar Loyola",
"Richard Nisius",
"B Cole",
"B Vachon",
"Yi Fang",
"R J Teuscher",
"Xanthe Hoad",
"H R Nindhito",
"D Bogavac",
"M Hirose",
"S Loffredo",
"Pierre Beauchemin",
"M Levchenko",
"J C Burzynski",
"P S Miyagawa",
"N Fomin",
"James Howarth",
"M Bedognetti",
"N Zakharchuk",
"A A Talyshev",
"O Kivernyk",
"N B Atlay",
"D C Frizzell",
"V Dao",
"K Jon-And",
"L Serin",
"Tobias Bisanz",
"A Coccaro",
"Anjali Krishnan",
"Michael Dueren",
"Stephan Hageboeck",
"J Maeda",
"Elias Michael Ruettinger",
"Val O'Shea",
"C O Sander",
"Mauro Citterio",
"Rebecca Hayley Pickles",
"C Hayes",
"M Lokajicek",
"Y Horii",
"C Vergis",
"S Hirose",
"D Paredes Hernandez",
"M Ishino",
"C M Delitzsch",
"J Jia",
"D Turgeman",
"M Myska",
"F Beisiegel",
"Yusheng Wu",
"J Beyer",
"Mathieu Benoit",
"G Azuelos",
"M Cristinziani",
"Elena Korolkova",
"B W Whitmore",
"R Gamboa Goni",
"D V Perepelitsa",
"Junichi Tanaka",
"Adam James Bozson",
"Bill Murray",
"Kenta Uchida",
"G L Alberghi",
"Evangelos N Gazis",
"D Caforio",
"S Tsuno",
"Sebastian Andreas Merkt",
"A Kastanas",
"Andreas Sogaard",
"Z A Meadows",
"A Manousos",
"J Dickinson",
"R T Roberts",
"Giulia Giannini",
"A G Goussiou",
"I Manthos",
"Yee Chinn Yap",
"M M Perego",
"Jos C Vermeulen",
"Christoph Amelung",
"T J A Chevalerias",
"N Hod",
"Robert Richter",
"D Price",
"Urmila Soldevila Serrano",
"T Moskalets",
"J Boyd",
"Tancredi Carli",
"J Reichert",
"J R Catmore",
"B H Smart",
"Sune Jakobsen",
"P Mogg",
"Marek Palka",
"O Biebel",
"C B Gwilliam",
"O Sasaki",
"W D Heidorn",
"Roberto Iuppa",
"Y Yamaguchi",
"W J Fawcett",
"A Cortes-Gonzalez",
"A Bandyopadhyay",
"Antonio De Maria",
"S H Abidi",
"M K Bugge",
"Xinchou C Lou",
"F Ahmadov",
"A J Armbruster",
"M Garcia-Sciveres",
"S Rajagopalan",
"Ralph Soeren Peter Mueller",
"Rafael Teixeira de Lima",
"A Milic",
"Tommaso Lari",
"Cherifa Sabrina Amrouche",
"J Veatch",
"Adam Kaluza",
"Debottam Bakshi Gupta",
"Nishu Nishu",
"Akihiro Higashida",
"Chiara Meroni",
"Wen Guan",
"K Bierwagen",
"Jochen Meyer",
"Q Buat",
"J G Panduro Vazquez",
"A M Deiana",
"Samuel Joseph Crawley",
"I Ravinovich",
"F Scuri",
"U Kruchonak",
"Changqiao Li",
"Wenxiao Wang",
"A Murrone",
"D Boerner",
"Sally Seidel",
"Luis Roberto Flores Castillo",
"B T Winter",
"B Parida",
"A Krasznahorkay",
"Gerald Oakham",
"F Gonnella",
"A Lleres",
"A P O'neill",
"D Pallin",
"Zakaria Chadi",
"R Poettgen",
"N Besson",
"Mario Jose Da Cunha Sargedas De Sousa",
"P G Scholer",
"R Ferrari",
"A G Myagkov",
"Lino Oscar Gerlach",
"D R Hlaluku",
"R Slovak",
"H Sandaker",
"C Rembser",
"Stefanie Todt",
"M Sessa",
"T Kono",
"Jens Janssen",
"James Beacham",
"A Bocci",
"D Biswas",
"Antonio Onofre",
"A E Bolz",
"O Zenin",
"L Bellagamba",
"K K Hill",
"C Merlassino",
"P Ferrari",
"N Ilic",
"Hongxuan Li",
"K Lehmann",
"L P Rossi",
"T Kaji",
"Marija Marjanovic",
"Marcin Stolarski",
"J Olszowska",
"Yun-ju Lu",
"Stan Thompson",
"E Schopf",
"V Tsulaia",
"Traian Tulbure",
"A J Beddall",
"M Michetti",
"T Seiss",
"E Lytken",
"Andrii Verbytskyi",
"D R Zaripovas",
"K W Janas",
"Nicolin Govender",
"Marko Mikuz",
"M Verducci",
"Igor Mandic",
"Alice Polyxeni Morris",
"M Bosman",
"C D Sebastiani",
"Y Sano",
"John Alison",
"Ilkay Turk Cakir",
"V P Maleev",
"M Stankaityte",
"M Pinamonti",
"Marta Losada",
"Rob Henderson",
"M Vranjes Milosavljevic",
"L Sawyer",
"M W Krasny",
"J Hoya",
"S Prell",
"M Kolb",
"C Marcon",
"V Kukhtin",
"James E Brau",
"C P Bee",
"Mogens Dam",
"Lucia Pedraza Diaz",
"Harald Stelzer",
"T C Herwig",
"Riccardo de Asmundis",
"M Klassen",
"E J Feng",
"P Steinberg",
"Andrey A Sapronov",
"M Demichev",
"T J Burch",
"Daniele Fournier",
"P Sicho",
"J Roloff",
"Xingguo Li",
"D Sampsonidis",
"Carsten Dulsen",
"Atsuhiko Ochi",
"C Sbarra",
"K Suruliz",
"Jia-nan Li",
"M D'Onofrio",
"Jafar Shojaii",
"Hadar Yosef Cohen",
"Dylan Perry Kisliuk",
"J Damp",
"B M Waugh",
"Dan Ping Huang",
"M Queitsch-Maitland",
"G Redlinger",
"H Meyer Zu Theenhausen",
"Mz Zhou",
"G Otero y Garzon",
"G R Gledhill",
"K A Looper",
"T Megy",
"W Buttinger",
"D Gillberg",
"V A Schegelsky",
"N P Hessey",
"Anum Qureshi",
"C Zeitnitz",
"Michael Duehrssen",
"K Lie",
"X Zhuang",
"Zijun Xu",
"Marcel Weirich",
"M Negrini",
"I M Gregor",
"S Franchino",
"X Wu",
"G Ucchielli",
"William Dmitri Breaden Madden",
"Peter John Bussey",
"G Gessner",
"E Pasqualucci",
"F Veloso",
"C Vittori",
"S Groh",
"V E Ozcan",
"L Masetti",
"Daniel James Lewis",
"Sergey P Denisov",
"Antonio Zoccoli",
"T R V Billoud",
"Brian Le",
"A Fell",
"Klaudia Burka",
"M Vozak",
"Alexander Fenyuk",
"A Puri",
"E Banas",
"Henrik Oppen",
"Francesco M Follega",
"P T Koenig",
"Gerald Eigen",
"I Hristova",
"A Cheplakov",
"Y Benhammou",
"M Lavorgna",
"Kristian Bjoerke",
"Christopher Blake Martin",
"Vadim Gratchev",
"A Schulte",
"E E Khoda",
"S Lai",
"Tadej Novak",
"N Benekos",
"Andreas Hoecker",
"Miguel Villaplana Perez",
"M Renda",
"A E Barton",
"A Cerri",
"Patrick Puzo",
"H Son",
"Vivek Jain",
"Nicola Venturi",
"Maria Ines Abreu Juliao Ochoa De Castro",
"Massimo Lazzaroni",
"V Cavaliere",
"E B Diehl",
"Stefan Gadatsch",
"Jan-Hendrik Arling",
"David Calvet",
"Antonella De Santo",
"Alexander Sydorenko",
"J Kirk",
"G Barone",
"J Ferrando",
"M Bauce",
"T R Wyatt",
"James Pinfold",
"John A Wilson",
"M Wessels",
"N Viaux Maira",
"Z M Schillaci",
"B Abbott",
"J D Little",
"L N Smirnova",
"J Ouellette",
"O V Solovyanov",
"Hao Liu",
"A Kamenshchikov",
"K E Schleicher",
"Ariella Cattai",
"F Cirotto",
"Rui Wang",
"Patricia Ward",
"William Trischuk",
"D M Handl",
"Keisuke Yoshihara",
"V Lyubushkin",
"Huajie Cheng",
"Tomomi Kawaguchi",
"S Demers",
"F Lasagni Manghi",
"Anatoly V Borisov",
"R T Turra",
"Francesco Rubbo",
"K Kawade",
"K Al Khoury",
"Sarah Williams",
"Zach Marshall",
"A Knue",
"A Gavrilyuk",
"I A Minashvili",
"N L Woods",
"Tadashi Maeno",
"S D Worm",
"Fairouz Malek",
"H Nanjo",
"Halina Abramowicz",
"Xueyao Zhang",
"J M P Pasner",
"Gregorio Bernardi",
"T Lagouri",
"Ruchi Gupta",
"Hubert Kroha",
"T G McCarthy",
"A S Kozhin",
"E Simioni",
"D Delgove",
"K K Heidegger",
"Stephen Albert Weber",
"Hongtao Yang",
"J J Teoh",
"Douglas M Gingrich",
"T Klioutchnikova",
"M Scornajenghi",
"Volker Buescher",
"M Schernau",
"Valerio Vercesi",
"Jonathan Jamieson",
"Andreas Honle",
"R Brock",
"Antonio Gaudiello",
"Balthasar Schachtner",
"K Bouaouda",
"Tomio Kobayashi",
"Elzbieta Richter-Was",
"Stefano De Castro",
"M Barbero",
"Rinat Fakhrutdinov",
"Alexander Mann",
"G Maccarrone",
"Sigve Haug",
"M Cavalli-Sforza",
"G Zemaityte",
"C J Potter",
"Fuquan Wang",
"Benoit Lefebvre",
"A Dattagupta",
"S Perrella",
"S Diez Cornell",
"Sourav Sen",
"W S Ahmed",
"A G Kharlamov",
"D Sampsonidou",
"Hans-Christian Schultz-Coulon",
"Tetsuro Mashimo",
"Yuji Yamazaki",
"P Berta",
"M P J Landon",
"K G Tomiwa",
"C A Gottardo",
"Jue Chen",
"C Clement",
"F Hubaut",
"Christopher Grud",
"E B Rye",
"L L Kurchaninov",
"A Bingul",
"D Iliadis",
"Yao Ming",
"A V Anisenkov",
"Y Tu",
"Richard Batley",
"R Keeler",
"J Khubua",
"C Escobar",
"S Nemecek",
"J C Rivera Vergara",
"Zdenek Dolezal",
"M Vogel",
"Lorenzo Paolozzi",
"Karsten Koeneke",
"Frank Filthaut",
"G Borissov",
"Amal Vaidya",
"Tony Doyle",
"C Guyot",
"Gerardo Alexis Vasquez Arenas",
"Laurent Chevalier",
"Michael Kagan",
"Veronika Magerl",
"B Liberti",
"Paola Giannetti",
"Liv Antje Mari Wiik",
"Zvi Hirsh Citron",
"O Cakir",
"Francesco La Ruffa",
"Tomohiro Yamazaki",
"D P C Sankey",
"T Moa",
"L Poggioli",
"Bartlomiej Zabinski",
"J Llorente Merino",
"Gavin Hesketh",
"Geoffrey N Taylor",
"Mercedes Minano",
"D Cieri",
"J Fuster",
"C Arcangeletti",
"F Costanza",
"F J Munoz Sanchez",
"Ahmed Hasib",
"Chiao-ying Lin",
"Emily Marie Duffield",
"Marcus De Beurs",
"A Romaniouk",
"M Goblirsch-Kolb",
"S Parajuli",
"Liqing Zhang",
"Nikolaos Tsirintanis",
"J -F Grivaz",
"Alberto Stabile",
"Stefan Kluth",
"Yi Fei Han",
"Carlos Sandoval Usme",
"M Saimpert",
"R Leone",
"S Gkaitatzis",
"Yanyun Duan",
"A Dubreuil",
"Evgenii Baldin",
"Mikhail Mineev",
"R S Orr",
"S Oerdek",
"R Schwienhorst",
"P M Gravila",
"N Makovec",
"Sergei V Chekanov",
"G Gilles",
"D Zieminska",
"Augusto Santiago Cerqueira",
"R Kehoe",
"G E Gallardo",
"M Bahmani",
"K Bachas",
"Javier Sanchez",
"V Tsiskaridze",
"Wei Wang",
"Konstantinos Nikolopoulos",
"S Calvet",
"G A Popeneciu",
"I Fleck",
"M Havranek",
"C Haber",
"O Majersky",
"E Reynolds",
"Troels C Petersen",
"Luciano Manhaes de Andrade Filho",
"O Kepka",
"B Galhardo",
"Maximilian Hils",
"Azzah Aziz Alshehri",
"Francesco Ragusa",
"M Pettee",
"Haifeng Li",
"Stephen Gibson",
"D Reikher",
"Olympia Dartsi",
"D Ta",
"Roberto Cardarelli",
"O J Winston",
"Yoshinobu Unno",
"A Koulouris",
"T J Khoo",
"A Gongadze",
"Robert McPherson",
"Giordon Stark",
"R Wolff",
"D Muenstermann",
"O Arnaez",
"J D Shahinian",
"B Yabsley",
"K Rosbach",
"F Cormier",
"Francesco Crescioli",
"Andrea Dell'Acqua",
"E C Hanson",
"P Y Nechaeva",
"T Vazquez Schroeder",
"R E Ticse Torres",
"X Xiao",
"G Navarro",
"Siqi Yang",
"C Glasman",
"R Stroynowski",
"T Rashid",
"R Di Sipio",
"A M Rodriguez Vera",
"Wolfgang Walkowiak",
"Tigran Mkrtchyan",
"C Goy",
"A Khodinov",
"Theodoros Alexopoulos",
"P Seema",
"P Gadow",
"C Bernius",
"L Cerda Alberich",
"Daniel Joseph Antrim",
"Rui Miguel Faisca Rodrigues Pereira",
"P Bagnaia",
"R Vari",
"C D Burgard",
"T A Schwarz",
"V S Martoiu",
"Steven J Lee",
"Debarati Roy",
"Q Hu",
"R Moles-Valls",
"Christophe Deutsch",
"T Dado",
"A Cervelli",
"Thomas Daubney",
"A Yamamoto",
"D Vannicola",
"P Francavilla",
"A Bitadze",
"Yakov Petrovich Kulinich",
"L Jeanty",
"D Scheirich",
"David Britton",
"A A Solodkov",
"P Skubic",
"S George",
"K Kroeninger",
"A Paramonov",
"E Sideras Haddad",
"M J Kareem",
"C Petridou",
"V Pleskot",
"W M Barbe",
"C Fukunaga",
"L Fayard",
"O Novgorodova",
"A Rimoldi",
"Y Kulchitsky",
"M A B Do Vale",
"M Rybar",
"C Agapopoulou",
"M Primavera",
"K Ntekas",
"D Cameron",
"I Wingerter-Seez",
"F Huegging",
"Nuno Filipe Castro",
"M Feng",
"T Wengler",
"K Karava",
"D Kar",
"J Qian",
"J Jovicevic",
"D Amidei",
"S Balaji",
"Xuan Yang",
"Ketevi Adikle Assamagan",
"Zihao Jiang",
"E P Takeva",
"H Kagan",
"H Evans",
"I A Cioara",
"N Nikiforou",
"S Rettie",
"Johann Collot",
"Sabine Lammers",
"B Giacobbe",
"A Angerami",
"J Chudoba",
"D M Strom",
"Andrea Formica",
"D Schaile",
"Yoshiji Yasu",
"M Dubovsky",
"S D Walker",
"Xiaoguang Yue",
"C Anastopoulos",
"James A Mueller",
"Ulf Fredrik Mikael Martensson",
"Francesca Ungaro",
"M Spina",
"J D Bossio Sola",
"Zineb El Idrissi",
"E Zaffaroni",
"Torre J Wenaus",
"David M Malon",
"J Haley",
"F Spano",
"E D Resseguie",
"E J Thorpe",
"S Bentvelsen",
"Abhishek Sharma",
"Christopher Willis",
"M A L Leite",
"R Shang",
"A F Webb",
"Wouter Verkerke",
"V Vecchio",
"S Shrestha",
"D Hohov",
"S Meehan",
"Evangelos Kourlitis",
"C Wiglesworth",
"P F Giraud",
"Borut Paul Kersevan",
"S Wenig",
"Anthony Salvucci",
"O L Rezanova",
"Bingxuan Liu",
"Fabricio Andres Jimenez Morales",
"F He",
"B T Carlson",
"P Pralavorio",
"V Ippolito",
"Konrad Kleinknecht",
"M C Stockton",
"Tobias Kupfer",
"F Winklmeier",
"Laura Fabbri",
"Andres Ramirez Morales",
"C Camincher",
"Luca Martinelli",
"L Roos",
"Matej Kocian",
"M Testa",
"D P Spiteri",
"M N Agaras",
"C Dallapiccola",
"A Schoening",
"R Spighi",
"J Maurer",
"P Sinervo",
"S Xella",
"R Stamen",
"S A Stucci",
"R Novotny",
"M Shimojima",
"L M Veloce",
"Gabriel Demontigny",
"P J Falke",
"A Sanchez Pineda",
"D Lynn",
"S Wahdan",
"E Brost",
"M J White",
"B D Seidlitz",
"Andrzej Olszewski",
"N Vranjes",
"Lamberto Luminari",
"D Duvnjak",
"P Kodys",
"Y Tayalati",
"G Zacharis",
"Abdeslam Hoummada",
"P Fleischmann",
"Christian Bohm",
"Piotr Janus",
"T Adye",
"Shu Li",
"Cheng Guang Zhu",
"A Lopez Solis",
"P Gutierrez",
"D Hirschbuehl",
"N Lorenzo Martinez",
"Y A Kurochkin",
"Shan Jin",
"G Mornacchi",
"J T Baines",
"L K Schildgen",
"Adriaan Koenig",
"Tristan Beau",
"L Asquith",
"Maria Smizanska",
"C P A Roland",
"Mattias Ellert",
"J Dingfelder",
"F Schenck",
"T Cuhadar Donszelmann",
"Z M Karpova",
"Othmane Rifki",
"R Ospanov",
"Gunnar Jakel",
"N Rompotis",
"A Soloshenko",
"J Myers",
"Carmen Maidantchik",
"E M Freundlich",
"M Rescigno",
"K Ran",
"O L Fedin",
"W H Hopkins",
"Peng Huo",
"W Wiedenmann",
"K Hanagaki",
"M Shiyakova",
"Mason Proffitt",
"M Tsopoulou",
"M J Shochet",
"E Lancon",
"K Krizka",
"Maria Jose C Costa",
"A Smykiewicz",
"Jonathan David Long",
"C Kourkoumelis",
"Jana Schaarschmidt",
"R Bielski"
],
"corpus_id": 213935066,
"doc_id": "213935066",
"n_citations": 22,
"n_key_citations": 0,
"score": 0,
"title": "Search for displaced vertices of oppositely charged leptons from decays of long lived particles in pp collisions at s 13 TeV with the ATLAS detector",
"venue": "",
"year": 2020
},
{
"abstract": "A search is presented for pair production of long lived neutral particles using 33 fb 1 of s=13 TeV proton proton collision data, collected during 2016 by the ATLAS detector at the LHC. This search focuses on a topology in which one long lived particle decays in the ATLAS inner detector and the other decays in the muon spectrometer. Special techniques are employed to reconstruct the displaced tracks and vertices in the inner detector and in the muon spectrometer. One event is observed that passes the full event selection, which is consistent with the estimated background. Limits are placed on scalar boson propagators with masses from 125 GeV to 1000 GeV decaying into pairs of long lived hidden sector scalars with masses from 8 GeV to 400 GeV. The limits placed on several low mass scalars extend previous exclusion limits in the range of proper lifetimes ct from 5 cm to 1 m.",
"author_names": [
"Georges Aad",
"A Kupco",
"Samuel Nathan Webb",
"T Dreyer",
"Yufeng Wang",
"Karl Jakobs",
"Brian Le",
"M Spousta",
"M Cobal",
"Peilong Wang",
"S Schmitt",
"Jaroslava Schovancova",
"A Bassalat",
"Matej Melo",
"M Shapiro",
"G Tarna",
"S Zimmermann",
"T Eifert",
"L Rehnisch",
"S Kuday",
"M Sioli",
"Holger Herr",
"N Bruscino",
"J Huston",
"T Sumida",
"Steven Hugh Robertson",
"R Goncalo",
"A A Snesarev",
"L La Rotonda",
"Dirk Duschinger",
"James Oscar Thomas",
"E Carquin",
"Y W Y Ng",
"S Crepe-Renaudin",
"J A Parsons",
"W K Balunas",
"Yu A Tikhonov",
"M K Ayoub",
"J A Aparisi Pozo",
"C Mwewa",
"David Harry Miller",
"A A Ivina",
"P Mastrandrea",
"Jan-Ulf Mjoernmark",
"W A Leight",
"Auke-Pieter Colijn",
"Liaoshan Shi",
"Michael E Nelson",
"Karel Cerny",
"N Warrack",
"Hans Krueger",
"J Ocariz",
"Markus Nordberg",
"C Weber",
"Daniela Bortoletto",
"A J Lankford",
"N B Sinev",
"Stefan Tapprogge",
"Y F Hu",
"F Parodi",
"T Masubuchi",
"D E Ferreira Lima",
"T J LeCompte",
"Shenjian Jammy Chen",
"Souad Batlamous",
"Tim Martin",
"J Poveda",
"C Roda",
"Tuan Nguyen Manh",
"M Ouchrif",
"Krzysztof Korcyl",
"T Lyubushkina",
"C Grefe",
"P Tipton",
"F F Klitzner",
"Alberto Valero",
"T Kishimoto",
"K Kawagoe",
"H Bachacou",
"Alfonso Policicchio",
"Franziska Iltzsche Speiser",
"S Zambito",
"S N Karpov",
"P Strizenec",
"Christopher Michael Lester",
"D W Casper",
"S Ketabchi Haghighat",
"Jose Garcia Navarro",
"Shuzhou Zhang",
"B Di Micco",
"Stefan Koperny",
"L Schaefer",
"C Bertella",
"Ph Schwemling",
"M Vos",
"E Meoni",
"T R Holmes",
"I G Sanderswood",
"Zhi Zheng",
"Z Hubacek",
"C Doglioni",
"Andrew Ferrante",
"L Vigani",
"Abhishek Nag",
"A S Boldyrev",
"S R Maschek",
"J Stark",
"E V Yatsenko",
"P Gessinger-Befurt",
"M Kuze",
"B H Hooberman",
"S Carra",
"K Pachal",
"David Costanzo",
"M J Fenton",
"Jesse Liu",
"Alexei Klimentov",
"Simone Pagan Griso",
"Ilias Panagoulias",
"T B Huffman",
"Hongbin Liu",
"T Kuhl",
"G Gustavino",
"Mateusz Dyndal",
"F An",
"M Antonelli",
"B Malaescu",
"D K Abhayasinghe",
"K Grimm",
"D Zanzi",
"Sundeep Singh",
"Michael Glenn Eggleston",
"V R Bailey",
"A Ezhilov",
"D P Yallup",
"A Bellerive",
"J Masik",
"Alena Loesle",
"L Adamek",
"L Barak",
"Dominique Godin",
"Giuseppe Iacobucci",
"Evgeny Shulga",
"B Gorini",
"J Heilman",
"D Zhong",
"John Butler",
"H Fox",
"S Grancagnolo",
"Hok Chuen Cheng",
"Christopher Andrew Garner",
"S A Olivares Pino",
"N Madysa",
"Gregory Hallewell",
"L Franconi",
"L A Horyn",
"D Fassouliotis",
"Joshua Wyatt Smith",
"Alessandro Tricoli",
"M Dumancic",
"H Iwasaki",
"M Kuna",
"Michele Faucci Giannelli",
"B Stapf",
"T Cao",
"Michela Paganini",
"V Ellajosyula",
"I Pogrebnyak",
"E Petit",
"E Antipov",
"J Faltova",
"Yu Him Justin Chiu",
"Wen Guo",
"Stewart Patrick Swift",
"E Lipeles",
"A Ducourthial",
"Benedikt Bergmann",
"Sebastian Artz",
"M J Oreglia",
"E Drechsler",
"K Einsweiler",
"Fernando Monticelli",
"S Giagu",
"E Kneringer",
"B Freund",
"H Duran Yildiz",
"Daniel Whiteson",
"Alastair Dewhurst",
"K Shaw",
"Yingchun Zhu",
"Nicolo Vladi Biesuz",
"J Terron",
"D S Nielsen",
"M Ghasemi Bostanabad",
"Stephanie Pui Yan Yuen",
"V Castillo Gimenez",
"T Barillari",
"T J Neep",
"Francesco Peri",
"P J Clark",
"S Schier",
"K Vorobev",
"Julius Hrivnac",
"Martin Barisits",
"Takuto Kunigo",
"Alexander A Grillo",
"Stefano Camarda",
"T Dias Do Vale",
"R Kopeliansky",
"M Swiatlowski",
"N P Konstantinidis",
"Osamu Jinnouchi",
"Hartmut Sadrozinski",
"Vassili Kazanin",
"E L Barberio",
"J Pacalt",
"Kerstin Tackmann",
"Dorel Pietreanu",
"Richard Kass",
"Yuya Kano",
"D Camarero Munoz",
"J Zahreddine",
"M R Sutton",
"Yohei Noguchi",
"L Zivkovic",
"L Dell'Asta",
"Veronica Wallangen",
"K Abeling",
"M G Vincter",
"G Herten",
"V Nikolaenko",
"D Kirchmeier",
"C C Chau",
"Alessandro Di Girolamo",
"Nicola Abraham",
"Markus Elsing",
"Cong Geng",
"K Mochizuki",
"A Di Ciaccio",
"B Burghgrave",
"A N Fray",
"P Massarotti",
"Lorenzo Rossini",
"Sina Baluch Bahrasemani",
"C J McNicol",
"G Di Gregorio",
"F Corriveau",
"K Tariq",
"G R Gonzalvo Rodriguez",
"I Bloch",
"Karel Smolek",
"R Brenner",
"P S Ott",
"P Tas",
"Ana Rosario Cueto Gomez",
"G Marceca",
"B A Petersen",
"V Solovyev",
"B Haney",
"S Gonzalez-Sevilla",
"Chase Shimmin",
"P Schacht",
"Nikola Lazar Whallon",
"Andrea Negri",
"S M Farrington",
"Michael F Ziolkowski",
"Vladimir Cindro",
"P Sommer",
"A A Minaenko",
"Shunsuke Honda",
"X Ruan",
"Pasquale Fabrizio Salvatore",
"M Franklin",
"B Mansoulie",
"Yang Qin",
"Gorm Galster",
"Charles Leggett",
"J W Cowley",
"P Buchholz",
"K Zoch",
"Zuzana Blenessy",
"Claudio Ferretti",
"D Biedermann",
"J Kroll",
"E M Shrif",
"Z Uysal",
"A Behera",
"Hector de la Torre",
"C E Leitgeb",
"F Tresoldi",
"Siinn Che",
"Susumu Oda",
"Christian A Gutschow",
"Masa-hiko Saito",
"Francesco Lo Sterzo",
"D P Mungo",
"Joost Vossebeld",
"Joshua Moss",
"Monica Dunford",
"Robin Middleton",
"A B Kowalewska",
"Kyungeon Choi",
"S Harkusha",
"Puja Saha",
"Julia Hrdinka",
"Rainer Roehrig",
"Hiroshi Sakamoto",
"E Hansen",
"Matt Zhang",
"A J Bailey",
"M Biglietti",
"Samuel David Jones",
"T Jakoubek",
"L Marcoccia",
"Simon H Connell",
"Alessandra Doria",
"Hoang Dai Nghia Nguyen",
"Matthias Danninger",
"C Blocker",
"S Istin",
"E W Varnes",
"Jorn Dines Hansen",
"M Ghneimat",
"G Iakovidis",
"Attilio Picazio",
"C J Treado",
"G Jarlskog",
"Koichi Nagai",
"Wainer Vandelli",
"Tae Hyoun Park",
"Alessandro De Salvo",
"A Kourkoumeli-Charalampidi",
"Yang Heng",
"F Sohns",
"P B Shatalov",
"Y Smirnov",
"Stephanie Majewski",
"K Sliwa",
"Jesus Guerrero Rojas",
"Philip Bechtle",
"Miguel C N Fiolhais",
"F H Phillips",
"Fumiaki Ito",
"F Ukegawa",
"T Guillemin",
"E Winkels",
"J J Kempster",
"Aishik Ghosh",
"Shuo Han",
"I Maznas",
"M Wobisch",
"K Augsten",
"Jean-pierre Ochoa",
"M Vicente Barreto Pinto",
"E Le Guirriec",
"N L Belyaev",
"A Ryzhov",
"D Moreno",
"G Usai",
"P O Deviveiros",
"Mahran Shehade",
"Marcel Stanitzki",
"L J Wilkins",
"Barry King",
"A Pacheco Pages",
"M Begel",
"G T Forcolin",
"Young-kee Kim",
"L Morvaj",
"C D Burton",
"Michele Weber",
"T Heim",
"A Rej",
"K Belotskiy",
"A M Soukharev",
"Shuaiyan Kang",
"Jihyun Jeong",
"C Agheorghiesei",
"H A Pacey",
"R M D Carney",
"Annabelle Julia Chuinard",
"R Jansky",
"A Kotsokechagia",
"A Undrus",
"B L Stamas",
"Michael William O'Keefe",
"Julia Mariana Iturbe Ponce",
"D Boscherini",
"C G Zhu",
"D R Tovey",
"N Semprini-Cesari",
"P Fassnacht",
"K D Finelli",
"B Brickwedde",
"A Matic",
"Claire David",
"Lukasz Zwalinski",
"M J Alconada Verzini",
"R Kukla",
"T J Stevenson",
"Jie Yu",
"D Boerner",
"C Galea",
"Lukas Heinrich",
"G Rovelli",
"C Troncon",
"Francesco Guescini",
"J A Garcia Pascual",
"Chunhui Chen",
"Andrea Rodriguez Perez",
"S Menke",
"I Van Vulpen",
"E Shabalina",
"G Unal",
"Robert W Gardner",
"A Fehr",
"Yingxue Zhang",
"N Norjoharuddeen",
"Masahiro Morii",
"Andrea Sciandra",
"T Kosek",
"Zhiqin Zhang",
"K Sekhon",
"R Iguchi",
"Tai-hua Lin",
"L Flores",
"Gabriele Chiodini",
"Alessandro Caltabiano",
"Jun Guo",
"Li Zhi",
"J L Gonski",
"Andrea Gabrielli",
"E Akilli",
"T Klapdor-Kleingrothaus",
"O M Kind",
"R D Schamberger",
"Ariel Schwartzman",
"L Aperio Bella",
"Frederik Ruehr",
"A R Weidberg",
"Are Sivertsen Traeet",
"L Mijovic",
"H Potti",
"S Snyder",
"U Blumenschein",
"R Konoplich",
"Peter Maettig",
"M Javurkova",
"Emre Celebi",
"E Tzovara",
"M Tasevsky",
"F Pasquali",
"Carlos Solans",
"J Kvita",
"S Heim",
"V Boisvert",
"Benjamin William Allen",
"Tomohiro Yamazaki",
"Robert Francis Hunter",
"Stefano Veneziano",
"M Zaazoua",
"Yoji Hasegawa",
"Y Takubo",
"M Huhtinen",
"A E Kiryunin",
"Andrew Beddall",
"N Kimura",
"S Amoroso",
"L Barranco Navarro",
"L Serkin",
"Dengfeng Zhang",
"I Gkialas",
"S Yu Smirnov",
"M Haleem",
"D Froidevaux",
"Babar Ali",
"Feng Lyu",
"Dmitry Emeliyanov",
"Andrej Filipcic",
"S Kuehn",
"Mario Lassnig",
"T Pauly",
"Andreas Redelbach",
"Antonia Struebig",
"Isabel Nitsche",
"B K Wosiek",
"Yizhou Liu",
"Sara Strandberg",
"A N Karyukhin",
"Y Delabat Diaz",
"A Mizukami",
"L Pontecorvo",
"A Jinaru",
"Liang Li",
"T Lenz",
"John Michael Butterworth",
"Andreas Korn",
"M Ridel",
"K Todome",
"Geoffrey Mullier",
"A Kurova",
"E Rossi",
"Kun Liu",
"A Ouraou",
"A Dudarev",
"Kazuhiko Hara",
"R Caminal Armadans",
"M P Heath",
"Shota Suzuki",
"Tomoyuki Saito",
"C C Ohm",
"J T Shank",
"N Ozturk",
"M Khader",
"L Cerrito",
"R Astalos",
"J Barkeloo",
"H G Wilkens",
"Eram Rizvi",
"E Gramstad",
"Tim Jones",
"Vaclav Vrba",
"T Hryn'ova",
"L K Gladilin",
"M Ronzani",
"E Yigitbasi",
"S Ye",
"Yasuhiro Makida",
"A P Pereira Peixoto",
"C Alpigiani",
"Eleonora Rossi",
"O Stelzer-Chilton",
"N W Shaikh",
"T Zenis",
"Georgios Stavropoulos",
"I Riu",
"F Cardillo",
"K K Gan",
"T Swirski",
"K E Kennedy",
"T Harenberg",
"S Henkelmann",
"Guy Rosin",
"Marco Scodeggio",
"F Derue",
"M Maerker",
"Steffen Staerz",
"Tulin Varol Mete",
"K Brendlinger",
"G D'amen",
"Alexander Melzer",
"S Terzo",
"George Victor Andrei",
"E M Lobodzinska",
"J Tojo",
"E B F G Knoops",
"Michael Tuts",
"E Skorda",
"J H Lindon",
"K Cheung",
"Daniele De Pedis",
"A Giannini",
"L D'Eramo",
"David Philip John Lack",
"O Bessidskaia Bylund",
"Zulit Paola Arrubarrena Tame",
"Eric Buschmann",
"G Panizzo",
"C Nellist",
"M C Hodgkinson",
"M Alhroob",
"A J Barr",
"D Varouchas",
"J C -L Tseng",
"H P Beck",
"Katharine Leney",
"Jinlong Zhang",
"F Becherer",
"Joseph William Carter",
"Paolo Camarri",
"Maia Mosidze",
"S Dysch",
"R Staszewski",
"Roger Felipe Naranjo Garcia",
"Elena Rocco",
"A Milov",
"Vincenzo Izzo",
"L Pizzimento",
"J M Izen",
"J P Rutherfoord",
"Pa Malecki",
"Lianliang Ma",
"Harry Lyons",
"O Kuchinskaia",
"Z J Grout",
"Reiner Hauser",
"G Avolio",
"J K R Meshreki",
"G Alimonti",
"Yi-lin Yang",
"D J Mahon",
"T Kawamoto",
"Leonardo Merola",
"C Wanotayaroj",
"T Berry",
"P Sabatini",
"C Debenedetti",
"Yun Sang Chow",
"L Colasurdo",
"Raimund Stroehmer",
"A Ferrari",
"T M Liss",
"D Dodsworth",
"Marie Christine Lanfermann",
"Luc Goossens",
"Ph Schune",
"Jike Wang",
"M Tomoto",
"Shaun Roe",
"N Proklova",
"M Aoki",
"Y Nagasaka",
"Christopher John Young",
"M Geisen",
"Gabriele Sabato",
"P A Gorbounov",
"P Moschovakos",
"R M Jacobs",
"Valentina Maria Martina Cairo",
"G Chiarelli",
"S Hellesund",
"C Bakalis",
"J Dopke",
"I Hinchliffe",
"R Bi",
"A V Akimov",
"Stavros Maltezos",
"Armin Nairz",
"Jens Weingarten",
"Hulin Wang",
"S Amor dos Santos",
"Paul de Jong",
"Marco Valente",
"S Heer",
"Serena Palazzo",
"A T Vermeulen",
"D Ferrere",
"I Carli",
"Sofia Chouridou",
"H Oide",
"C Luci",
"M Bindi",
"S Palestini",
"Thomas Naumann",
"O Kuprash",
"Thomas Lohse",
"Jelena Krstic",
"Shigeru Odaka",
"R Narayan",
"Philipp Jonathan Losel",
"Rosanna Ignazzi",
"M G Alviggi",
"L S Kaplan",
"A Liblong",
"A A Myers",
"Tony Affolder",
"B Martin dit Latour",
"J E Pilcher",
"G Salamanna",
"Takahiro Fusayasu",
"A Ventura",
"Dan Shaked",
"Songkyo Lee",
"Anna Kaczmarska",
"Karl Heinz Hiller",
"Tomas Davidek",
"M Wielers",
"Graeme Andrew Stewart",
"Yichen Li",
"A Lapertosa",
"L Tompkins",
"G Rybkin",
"B Tong",
"D Orestano",
"M Iodice",
"Nico Giangiacomi",
"G Halladjian",
"J R Dandoy",
"Carmen Garcia",
"H L Russell",
"M I Gostkin",
"J D Mellenthin",
"C Santoni",
"A La Rosa",
"Andrew Washbrook",
"Lawrence Lee",
"Kevin De Vasconcelos Corga",
"D Levin",
"Ava Chloe Audrey Lee",
"S Jezequel",
"R Yuan",
"K Grevtsov",
"Pierre Petroff",
"P Tornambe",
"R Kowalewski",
"S Simsek",
"Maria Roberta Devesa",
"C M Hawkes",
"D Turgeman",
"V Hedberg",
"Christopher Meyer",
"Yaquan Fang",
"S Turchikhin",
"F Prokoshin",
"A Soffer",
"R Tanaka",
"Masahiro Tanaka",
"A D Pilkington",
"Arnulf Quadt",
"A L Maslennikov",
"S Higashino",
"S Gargiulo",
"B Stelzer",
"T Poulsen",
"E J Schioppa",
"F Anulli",
"Alessandro Rocchi",
"A Lucotte",
"Katharina Maria Ecker",
"Laura Gonella",
"E Fullana Torregrosa",
"Stefan Simion",
"K Tokushuku",
"C Kahra",
"Pippa S Wells",
"M Fanti",
"R Simoniello",
"Kosuke Takeda",
"J Kendrick",
"Rima El Kosseifi",
"Tobias Golling",
"A Pathak",
"Hai Jiang",
"P H Hansen",
"K Lohwasser",
"E K U Gross",
"Yongke Zhao",
"Rainer Bartoldus",
"I Naryshkin",
"S V Zeissner",
"U Klein",
"Herman ten Kate",
"Y Enari",
"V Kouskoura",
"L Carminati",
"Weiming Yao",
"Haoshuang Ji",
"Jovan Mitrevski",
"Serban Constantinescu",
"Carlos Garcia Argos",
"Bojan Hiti",
"S M Mazza",
"V Polychronakos",
"R Poggi",
"P Pasuwan",
"F Ellinghaus",
"M Kobel",
"Oliver Kortner",
"Robin L Hayes",
"Steven Goldfarb",
"Takafumi Kodama",
"V S Lang",
"E Ladygin",
"Joao Victor da Fonseca Pinto",
"Arwa A E Bannoura",
"Juan Pedro Araque Espinosa",
"Michael Adersberger",
"Simone Campana",
"L Meng",
"J Mamuzic",
"I N Aleksandrov",
"G Introzzi",
"J Kirk",
"Luis Hervas",
"M Janus",
"Martin Stegler",
"Alberto Annovi",
"P Staroba",
"M Mikestikova",
"T A Kharlamova",
"E F McDonald",
"Jian Cong Zeng",
"Steven Andrew Farrell",
"F Alonso",
"Tomas Blazek",
"C P Hays",
"Mikel Eukeni Pozo Astigarraga",
"C Sawyer",
"R E Owen",
"Pawel Bruckman de Renstrom",
"I A Bertram",
"Dmitriy Maximov",
"Andreas Reiss",
"C Lacasta",
"Manuel Neumann",
"P Iengo",
"J Elmsheuser",
"P Morettini",
"X Ai",
"Adam Maxwell Azoulay",
"L F Oleiro Seabra",
"Kyle Cranmer",
"D Giugni",
"K Lin",
"Guy Koren",
"A Aggarwal",
"V Canale",
"J C Schmoeckel",
"P Teixeira-Dias",
"Alexander S Basan",
"S Falke",
"Mengran Li",
"Alexander S Sakharov",
"Helena Lefebvre",
"S Pataraia",
"Ioannis Xiotidis",
"Shih-Chieh Hsu",
"D Schaefer",
"F Pastore",
"I M Snyder",
"A Laurier",
"Krzysztof W Wozniak",
"N P Readioff",
"C Antel",
"Stefan Erich Von Buddenbrock",
"Joern Christian Lange",
"Sandro De Cecco",
"A Alfonsi",
"M C Kruse",
"Alfred Goshaw",
"J I Djuvsland",
"S Biondi",
"Felix Rauscher",
"D Pudzha",
"J Donini",
"Bernhard Meirose",
"R Hankache",
"Pierfrancesco Butti",
"Thomas Peiffer",
"Y Nakahama",
"James Robinson",
"J F Laporte",
"P Calfayan",
"N A Asbah",
"Oleg Meshkov",
"C Alexa",
"B Reynolds",
"Thomas Dennis Powell",
"S Trincaz-Duvoid",
"C Varni",
"Zhongyukun Xu",
"T P Calvet",
"C Gray",
"S Protopopescu",
"F Cerutti",
"I Koletsou",
"G Mancini",
"C Schmitt",
"Bo Li Liu",
"Sarah Louise Barnes",
"J Bracinik",
"S D Lawlor",
"M Boonekamp",
"Marcel Weirich",
"M Sandhoff",
"Antonio Ereditato",
"Tim Herrmann",
"Thanawat Asawatavonvanich",
"A Gomes",
"D Kelsey",
"W C Fisher",
"A Held",
"Amir Farbin",
"M Rotaru",
"T Flick",
"M Raymond",
"A M Burger",
"Matthew Glenn Kurth",
"F Braren",
"Horst Severini",
"Aidan Grummer",
"A Farilla",
"M Sahinsoy",
"Carlos Josue Buxo Vazquez",
"P Balek",
"S Adorni",
"Bertrand Laforge",
"Eitan Gozani",
"J L Nagle",
"L Valery",
"N Morange",
"H Santos",
"Richard Bates",
"H Ye",
"J H Rawling",
"P Johansson",
"M Spangenberg",
"V Sinetckii",
"S B Menary",
"J D Chapman",
"R Goncalves Gama",
"S Hyrych",
"Jonathan Shlomi",
"S Barsov",
"M Della Pietra",
"Farkhad Khalil-zada",
"Mario Sannino",
"S Akatsuka",
"Riccardo Maria Bianchi",
"Luiz Pereira Caloba",
"E Monnier",
"Jose Salt",
"A Messina",
"K Zhukov",
"U Mallik",
"Alison Lister",
"M Pedersen",
"S Sottocornola",
"A Montalbano",
"E Nagy",
"Rui Zhang",
"M R Yexley",
"L Pascual Dominguez",
"Matthew Henry Klein",
"Peter Nemethy",
"C Leonidopoulos",
"D S Bhattacharya",
"Lluis M Mir",
"Laura Perini",
"D Sammel",
"G Callea",
"Umar Gul",
"X Lou",
"A T H Arce",
"A Miucci",
"G I Dyckes",
"J Machado Miguens",
"Miaoran Lu",
"D Madaffari",
"E Fumagalli",
"C Gemme",
"Bart Hommels",
"S Leone",
"Marc-Andre Pleier",
"V Chiarella",
"Peter William Phillips",
"Haicheng Wang",
"M Przybycien",
"Cigdem Issever",
"Petr Hamal",
"A Trofymov",
"C Grieco",
"O Rohne",
"J R Bensinger",
"A Marzin",
"A Bruni",
"T Farooque",
"Tomas Komarek",
"B Dong",
"Klaus Desch",
"J A Frost",
"Cecile Deterre",
"Christian Weiser",
"A Buckley",
"G Unel",
"C Kato",
"E L Gkougkousis",
"Michael Phipps",
"Giovanni Marchiori",
"M D Werner",
"S Willocq",
"F L Castillo",
"R A Mina",
"M Ikeno",
"M E Vasile",
"Christian Johnson",
"F Miano",
"O Sidiropoulou",
"Marco Battaglia",
"P Klimek",
"D Di Valentino",
"Yanlin Liu",
"I Chiu",
"Y Afik",
"V Morisbak",
"C W Kalderon",
"C E Pandini",
"N Brahimi",
"E Kajomovitz",
"Allan G Clark",
"Heinz Pernegger",
"Russell James Turner",
"Zhijun Liang",
"D M Rauch",
"F A Di Bello",
"H Wolters",
"F Rizatdinova",
"Dan Andrei Ciubotaru",
"I Vivarelli",
"F Nechansky",
"Madalyn Ann McKay",
"Ian Brock",
"Frank Fiedler",
"Gang Zhang",
"J Adelman",
"A Bogdanchikov",
"T Dai",
"Carlos Miguel Vergel Infante",
"G Gaycken",
"Edgar Kellermann",
"W Taylor",
"N Zhou",
"Koji Terashi",
"D Della Volpe",
"L Duflot",
"B M Flierl",
"S Yu Sivoklokov",
"M Faraj",
"Ester Ricci",
"P C F Glaysher",
"T Buanes",
"V Zhulanov",
"Klaus A Hamacher",
"J Del Peso",
"Alessandro Polini",
"J A Kremer",
"Maosen Zhou",
"Zhen Yan",
"E Torro Pastor",
"L Rustige",
"T Trefzger",
"G Bruni",
"B Batool",
"G Cowan",
"A Armstrong",
"A Zhemchugov",
"Francisco Anuar Arduh",
"Dmitry Golubkov",
"D Vazquez Furelos",
"Carolina Michel Robles Gajardo",
"B Ravina",
"M Dobre",
"R Avramidou",
"S J Hillier",
"Susumu Terada",
"D Robinson",
"O E Vickey Boeriu",
"Norbert Wermes",
"J Love",
"F Neuhaus",
"A A Geanta",
"Thomas Beermann",
"S Hellman",
"M T Dova",
"H Jivan",
"Chi Wing Ng",
"Yicheng Guo",
"E Yu Soldatov",
"W S Chan",
"A Kotwal",
"Patrick Bryant",
"P Jacka",
"Koji Sato",
"P Urquijo",
"V Kartvelishvili",
"L Massa",
"Alevtina Shmeleva",
"M Safdari",
"B S Peralva",
"C Valderanis",
"J Jejelava",
"S J Haywood",
"F Thiele",
"O Estrada Pastor",
"D Lellouch",
"S Schaepe",
"Olaf Nackenhorst",
"A Ambler",
"S Francescato",
"A Hrynevich",
"Rodney Walker",
"S Argyropoulos",
"D Salvatore",
"H Okawa",
"A D'onofrio",
"M P Casado",
"L Di Ciaccio",
"R Rosten",
"F Deliot",
"C Lampoudis",
"K F Di Petrillo",
"B S Dziedzic",
"Chen Zhou",
"Jean-Pierre Meyer",
"A Canesse",
"Attilio Andreazza",
"K Prokofiev",
"Marco Bruschi",
"Sebastian Mergelmeyer",
"Ivan Lopez Paz",
"Salvador Marti i Garcia",
"Yi Jiang",
"I M Trigger",
"K O'Connor",
"J R Pater",
"Joseph Peter Kinghorn-taenzer",
"Monika Mittal",
"S Falciano",
"Matthias Schott",
"J K Anders",
"L Beresford",
"Farida Fassi",
"S Yacoob",
"Francesco Lacava",
"G F Tartarelli",
"M Hrabovsky",
"Juraj Smiesko",
"A Wolf",
"Ikuo Ueda",
"M Khandoga",
"K E Varvell",
"Farid Ould-Saada",
"Libor Nozka",
"C Wiel",
"Siyuan Sun",
"R Soualah",
"G Giugliarelli",
"Wenhao Xu",
"O Igonkina",
"Timothee Theveneaux-Pelzer",
"C Tosciri",
"A S Maevskiy",
"J Albert",
"C F Anders",
"A T Watson",
"Yasuyuki Okumura",
"Reda Tafirout",
"N Calace",
"L J Bergsten",
"R Di Nardo",
"G Sciolla",
"Christian Luedtke",
"M Reale",
"M Svatos",
"Daniel Rodriguez Rodriguez",
"K Lantzsch",
"A M Cooper-Sarkar",
"A Dimitrievska",
"H J Lubatti",
"Dhiman Chakraborty",
"M Errenst",
"B A Schumm",
"D Krasnopevtsev",
"P Zhao",
"R A Linck",
"L Iconomidou-Fayard",
"Dario Barberis",
"Yanwen Liu",
"Tomas Javurek",
"Kentaro Uno",
"M Romano",
"C R Kilby",
"William Brooks",
"Nils Krumnack",
"L Guan",
"S R Paredes Saenz",
"P Vokac",
"Pedro Jorge",
"B S Acharya",
"John Hill",
"Ahmed Tarek Abouelfadl Mohamed",
"C Adam Bourdarios",
"Renat Sadykov",
"O S AbouZeid",
"R Polifka",
"G Pownall",
"H El Jarrari",
"Keith Baker",
"Qi Li",
"P Loch",
"Xiang-Ting Meng",
"F Safai Tehrani",
"Weimin Song",
"A E Dumitriu",
"Hong Ma",
"Heather M Gray",
"Michael Strauss",
"J Schaeffer",
"B Safarzadeh Samani",
"E A Starchenko",
"A Khanov",
"Yuji Minegishi",
"B Brau",
"T Vickey",
"P D Thompson",
"S E Tzamarias",
"E J W Moyse",
"J P Biswal",
"Jorn Grosse-Knetter",
"Tina Sfiligoj",
"A Ogrodnik",
"Joseph Patton",
"K Yorita",
"M D M Capeans Garrido",
"B Moser",
"A Straessner",
"E J Gallas",
"E Cheremushkina",
"M F Daneri",
"Andrea Baroncelli",
"Christopher Neal Booth",
"E Thomson",
"S Gurbuz",
"W Kozanecki",
"S Calvente Lopez",
"S N Santpur",
"Wei Ding",
"M H Genest",
"Oxana Smirnova",
"Bjarne Stugu",
"A Trzupek",
"Kevin Hildebrand",
"M Gouighri",
"A K Kvam",
"E Tolley",
"F Jeanneau",
"Sau Lan Wu",
"V Herget",
"Stefan Rave",
"N A Styles",
"S J Sekula",
"N Lehmann",
"A Laudrain",
"Susanne Kersten",
"L A Thomsen",
"N Smirnov",
"J-B de Vivie de Regie",
"Ryuichi Sawada",
"Dai Kobayashi",
"Y Shimogama",
"M Lefebvre",
"Ondrej Penc",
"Alexandra Tudorache",
"Mario Campanelli",
"N S Dann",
"Yoichi Ninomiya",
"C Becot",
"Tomasz Bold",
"D Lacour",
"Markus Schumacher",
"Saverio D'Auria",
"D Tsybychev",
"P Bartos",
"D Du",
"Lucia Castillo Garcia",
"H Herde",
"A C Dudder",
"I Siral",
"Andreas Kugel",
"Andrej Gorisek",
"M Alvarez Estevez",
"A Mehta",
"B Achkar",
"Manuel Silva",
"Ian Peter Joseph Shipsey",
"Vincent Garonne",
"A Duperrin",
"A Durglishvili",
"A Emerman",
"B Lund-Jensen",
"F Backman",
"Maike Christina Hansen",
"C M Gee",
"Ligang Xia",
"T Nobe",
"Konstantinos Papageorgiou",
"A Perrevoort",
"Silvia Resconi",
"L Truong",
"Shlomit Tarem",
"Craig Buttar",
"J Geisen",
"M Nessi",
"N Huseynov",
"S Mohapatra",
"Claude Leroy",
"Patrick Moriishi Freeman",
"M F Watson",
"T Scanlon",
"J T P Burr",
"Mario Martinez Perez",
"A S Mete",
"D Derendarz",
"S Tokar",
"K H Mankinen",
"F Krieter",
"K Beloborodov",
"J A Valls Ferrer",
"Shawn Patrick Mc Kee",
"B Roland",
"Pavel Tsiareshka",
"N I Zimine",
"H J Moss",
"Wen Yi Song",
"You Zhou",
"C Gwenlan",
"Weitao Wang",
"Juergen Dr Thomas",
"H Wahlberg",
"S V Peleganchuk",
"K Gregersen",
"Jiang-Xing Chen",
"Thomas Daniel Weston",
"R B Nickerson",
"J Wollrath",
"S Angelidakis",
"Peter Jenni",
"J Crane",
"C Moreno Martinez",
"S Sevova",
"A Warburton",
"A Blue",
"H M Borecka-Bielska",
"P Reznicek",
"Hicham Atmani",
"D C O'Neil",
"G Darbo",
"X Chu",
"N E Pettersson",
"Marco Rimoldi",
"H Kurashige",
"H Hamdaoui",
"V A Bednyakov",
"J L Oliver",
"A V Vaniachine",
"P Bokan",
"J Urban",
"R Takashima",
"H C Beck",
"F Barreiro",
"D Sperlich",
"F Hinterkeuser",
"H Abreu",
"Michael Huebner",
"Jerome Samarati",
"Boyang Li",
"M Kaneda",
"J M Hays",
"M V Chizhov",
"V Fabiani",
"Santiago Gonzalez de la Hoz",
"Yang Gao",
"Alessandra Ciocio",
"H Mildner",
"Yanhui Ma",
"T Nitta",
"Xiangyang Ju",
"R Gugel",
"Chenliang Wang",
"S Valentinetti",
"C Feng",
"P Horn",
"F Petrucci",
"F Vazeille",
"M J R Olsson",
"T S Lau",
"B Heinemann",
"Shih-Chang Lee",
"Fabrizio Alfonsi",
"Klaus Moenig",
"V D'Amico",
"S Tisserant",
"V A Mitsou",
"A Camplani",
"M Hamer",
"K A Johns",
"Kazuki Yajima",
"Ondrej Hladik",
"Krisztian Peters",
"Simon Schmitz",
"D A DeMarco",
"E Cheu",
"Uli Schaefer",
"Dmitri Dedovich",
"Philippe Farthouat",
"L Helary",
"Alan Kahn",
"Albert Olariu",
"R A Creager",
"A Sidoti",
"Reina Coromoto Camacho Toro",
"Horst Oberlack",
"Toyonobu Okuyama",
"U Landgraf",
"A S Bell",
"M Schioppa",
"Ziyu Guo",
"He-sheng Chen",
"A Buzatu",
"M Marcisovsky",
"Ming-chung Chu",
"Jingbo Ye",
"H S Hayward",
"T G Zorbas",
"Ioulian Budagov",
"Juerg Beringer",
"E M Farina",
"A Sahu",
"Hugh H Williams",
"Albert Francis Casha",
"L Rinaldi",
"D M S Sultan",
"S Bressler",
"Giovanna Lehmann Miotto",
"C Papadatos",
"P Czodrowski",
"A L Steinhebel",
"D Cinca",
"T R Van Daalen",
"K Iordanidou",
"F Dittus",
"L Henkelmann",
"S Hassani",
"S Popa",
"Juan Antonio Aguilar Saavedra",
"Aaron White",
"V Croft",
"B Ngair",
"M Livan",
"T Djobava",
"T Holm",
"Massimo Corradi",
"Ladislav Chytka",
"C Klein",
"G Gagliardi",
"P A Delsart",
"A I Mincer",
"David Rousseau",
"I Sykora",
"M Grandi",
"Alexander L Read",
"Enrico Tassi",
"Iwona Grabowska-Bold",
"Peter Love",
"S Alderweireldt",
"Jorge Andres Lopez Lopez",
"T Pham",
"H Arnold",
"E Cavallaro",
"Remco Castelijn",
"Yesenia Hernandez Jimenez",
"M Hance",
"L Portales",
"L Longo",
"Markus Atkinson",
"Paul Harrison",
"P Murin",
"Helio Takai",
"E Bergeaas Kuutmann",
"M Trovatelli",
"Konstantinos Kordas",
"Yoichi Ikegami",
"P Conde Muino",
"H Otono",
"Johannes Erdmann",
"S Kortner",
"A Lipniacka",
"G Brooijmans",
"E Nibigira",
"S A Cetin",
"C Rizzi",
"V S Bobrovnikov",
"G Spigo",
"Fabrizio Trovato",
"A K Morley",
"J Montejo Berlingen",
"S Guindon",
"N Andari",
"L Schoeffel",
"A Hadef",
"D A Millar",
"Sascha Caron",
"J Hejbal",
"M LeBlanc",
"A S Drobac",
"A Marantis",
"F Sauerburger",
"R Zou",
"Felix Buehrer",
"Marumi Kado",
"C Bittrich",
"Anne Kathrin Becker",
"Nicolas Maximilian Koehler",
"M Talby",
"J-F Arguin",
"S Richter",
"Benedict Allbrooke",
"Pierre Savard",
"A Bethani",
"Cheuk Yee Lo",
"Marcin Wolter",
"F Bauer",
"David Berge",
"M A Chelstowska",
"Sergei Malyukov",
"T Ekelof",
"Eduardo Valdes Santurio",
"Federico Scutti",
"A Petridis",
"F Dachs",
"Max Fredrik Isacson",
"D Benjamin",
"Karolos Potamianos",
"N Orlando",
"Panagiotis Gkountoumis",
"C Allaire",
"Roger L Jones",
"E Vilucchi",
"M Escalier",
"Magdalena Slawinska",
"Verena Martinez Outschoorn",
"S Bruno",
"G Gonella",
"A Vallier",
"Sarah Jones",
"Fred Luehring",
"E Pianori",
"S Sacerdoti",
"A C Martyniuk",
"M Holzbock",
"L Kashif",
"M Trzebinski",
"M Cano Bret",
"N Berger",
"Huijun Zhang",
"Thomas Maier",
"Daniel Guest",
"D Hayden",
"G Duckeck",
"Matthew Craig Mondragon",
"D Duda",
"Y Rozen",
"Savannah Thais",
"Jun Gao",
"Gueorgui Chelkov",
"L Pezzotti",
"Takashi Kubota",
"M Gignac",
"Rimsky Rojas",
"J G Saraiva",
"M S Neubauer",
"Hanlin Xu",
"S Prince",
"Steven Schramm",
"M Vreeswijk",
"E Gorini",
"Jose Manoel de Seixas",
"Alexander David Sherman",
"Alexander Linus Sopio",
"Namgyun Jeong",
"M Zgubic",
"R Cherkaoui El Moursli",
"Heiko Lacker",
"Zhaoxu Xi",
"Zirui Wang",
"P Sherwood",
"A X Y Kong",
"Alison Elliot",
"Frank Siegert",
"G Brandt",
"J T Kuechler",
"Z Rurikova",
"K P Mistry",
"E S Kuwertz",
"Jaroslav Guenther",
"Yan Wing Ng",
"Joany Andreina Manjarres Ramos",
"Giovanni Calderini",
"A Juste Rozas",
"U Schnoor",
"C M Helling",
"Ann Miao Wang",
"I I Tsukerman",
"Gordon T Watts",
"Hao Xu",
"M Montella",
"Benjamin P Nachman",
"Minghui Liu",
"Yutaka Okazaki",
"I R Boyko",
"Ademar Tavares Delgado",
"I K Lakomiec",
"A Adiguzel",
"S V Chekulaev",
"C L Sotiropoulou",
"S Martin-Haugh",
"Vaclav Vacek",
"T Iizawa",
"V Araujo Ferraz",
"D Bruncko",
"V O Tikhomirov",
"T M Spieker",
"J Proudfoot",
"Adam Abed Abud",
"I Dawson",
"G Piacquadio",
"Umberto De Sanctis",
"Masahiro Morinaga",
"F Fischer",
"B P Jaeger",
"P E Sidebo",
"Siqi Yang",
"Alex Zeng Wang",
"K D McLean",
"F Conventi",
"D C Abbott",
"Werner Freund",
"James H Cochran",
"A Calandri",
"W Wagner",
"J Dolejsi",
"F Dubinin",
"F Ledroit-Guillon",
"Liang Han",
"K R Schmidt-Sommerfeld",
"R Lafaye",
"Dalila Salamani",
"James Broughton",
"M Begalli",
"David Milstead",
"A R Buzykaev",
"A Ruiz-Martinez",
"R Hertenberger",
"Lianyou Shan",
"E Higon-Rodriguez",
"Alexander Zaitsev",
"V M Walbrecht",
"G Artoni",
"D M Rebuzzi",
"Anindya Ghosh",
"Yu-heng Chen",
"Samuel Silverstein",
"O Ricken",
"J Metcalfe",
"S Passaggio",
"N A Rusakovich",
"W R Cunningham",
"P Rieck",
"J A Raine",
"S Laplace",
"L J Levinson",
"S Burdin",
"Lucrezia Stella Bruni",
"Zinonas Zinonos",
"Rodrigo Araujo Pereira",
"V Cavasinni",
"D Hohn",
"Balazs Konya",
"A Mirto",
"V J Martin",
"A Tsirigotis",
"D Matakias",
"Rotem Barnea",
"Rongkun Wang",
"J Katzy",
"Andre Sopczak",
"Michael Ryan Clark",
"E Sauvan",
"J Rothberg",
"D Krauss",
"J Strandberg",
"O A Ducu",
"S Dahbi",
"A Mastroberardino",
"A Leisos",
"C S Pollard",
"S Monzani",
"Xingguo Li",
"Luka Kanjir",
"I A Connelly",
"T Moskalets",
"E W Parrish",
"H Torres",
"Tohru Takeshita",
"Shuyang Hu",
"S Asai",
"Ana Maria Henriques Correia",
"E R Vandewall",
"Bing Zhou",
"A Haas",
"Bartosz Mindur",
"S Mehlhase",
"D Britzger",
"J Glatzer",
"Sergey Timoshenko",
"T Koi",
"David Francis",
"Itsuo Nakano",
"Saleh Sultanov",
"S K Boutle",
"Katie L Whalen",
"Jianbei Liu",
"F Giuli",
"Xiaohu Sun",
"K Wraight",
"David R Wardrope",
"A Sansoni",
"J E Mdhluli",
"G Sekhniaidze",
"R Lysak",
"C Padilla Aranda",
"Ryan Christopher Edgar",
"R Pedro",
"M Madugoda Ralalage Don",
"Natalia Korotkova",
"S Ghasemi",
"F U Bernlochner",
"Avik Roy",
"L Adamczyk",
"S Czekierda",
"W K Di Clemente",
"I Ibragimov",
"J Nielsen",
"T Koffas",
"M Bona",
"B Stanislaus",
"V W S Wong",
"H Cai",
"Randall J Sobie",
"E F Kay",
"K Dette",
"W Islam",
"I Nikolic-Audit",
"J A Mcfayden",
"B J Gallop",
"M Stamenkovic",
"Joao Guimaraes da Costa",
"G Carducci",
"C B Gwilliam",
"M Morgenstern",
"Claire Alexandra Lee",
"G S Virdee",
"Mariyan Petrov",
"D Boye",
"F Djama",
"F Sforza",
"J Bortfeldt",
"E M Asimakopoulou",
"J Leveque",
"T Barklow",
"A Sfyrla",
"Dj Sijacki",
"R Madar",
"K M Ciesla",
"Nico de Groot",
"M Milesi",
"D Ponomarenko",
"Vasilis Konstantinides",
"S Berlendis",
"E Von Toerne",
"David William Thomas",
"T P Charman",
"Laura Havener",
"Erez Etzion",
"Phillip Allport",
"Heling Zhu",
"N Ellis",
"Matteo Mario Beretta",
"J Hobbs",
"Adam J Parker",
"R Vuillermet",
"G Fanourakis",
"U Parzefall",
"T Sykora",
"Marco Aurelio Diaz",
"B Trocme",
"Da Xu",
"M Birman",
"P Grenier",
"Seog Oh",
"C A Marin Tobon",
"W Y Chan",
"Harinder Singh Bawa",
"W Su",
"A Jelinskas",
"Y Coadou",
"A Basalaev",
"Wladyslaw Dabrowski",
"M T Anthony",
"E Torrence",
"Cristinel Diaconu",
"C Helsens",
"J C Honig",
"Hongbo Zhu",
"A R Chomont",
"Sw Banerjee",
"I Yeletskikh",
"D Boumediene",
"N P Dang",
"A Chitan",
"S L Lloyd",
"Stefan Schlenker",
"S Todorova-Nova",
"J Cantero",
"A Betti",
"Stanislav Pospisil",
"Peter van Gemmeren",
"Alberto Aloisio",
"Graham Richard Lee",
"Ricardo Woelker",
"Sergey Kuleshov",
"V R Pascuzzi",
"Takuya Tashiro",
"Lei Zhang",
"Kenji Hamano",
"Stephen McMahon",
"A M Sickles",
"Sanghoon Lim",
"G Mchedlidze",
"William P McCormack",
"V Kitali",
"D Benchekroun",
"D A Trischuk",
"M Aleksa",
"Alexander Leopold",
"Lailin Xu",
"J A Krzysiak",
"Prajita Bhattarai",
"Ilija Vukotic",
"G Polesello",
"J Boudreau",
"Gianpaolo Carlino",
"Jannicke Pearkes",
"Maximilian Georg Herrmann",
"T Meideck",
"Matthias Wittgen",
"J Kanzaki",
"E Khramov",
"James Monk",
"Edisher Tskhadadze",
"G Gaudio",
"Michel Vetterli",
"Jiawei Wang",
"A S Chisholm",
"M Vanadia",
"Takahiko Kondo",
"Gerald Oakham",
"M Aliev",
"A Boveia",
"A R Cukierman",
"A Nisati",
"V A Kantserov",
"Charles Delporte",
"G J Bobbink",
"P Dervan",
"J S Bonilla",
"Stefania Spagnolo",
"Zhidong Zhang",
"Andreas Rummler",
"Haijun Yang",
"Douglas Davis",
"P Kluit",
"Shima Shimizu",
"Francesca Ungaro",
"Y Amaral Coutinho",
"P Wagner",
"I Nomidis",
"M Mlynarikova",
"D G Charlton",
"C Malone",
"L Adam",
"R Les",
"C Gubbels",
"M Capua",
"Christopher Ryan Anelli",
"C M Macdonald",
"P C McNamara",
"Matthew J Sullivan",
"J J Heinrich",
"Kyle James Read Cormier",
"I L Gavrilenko",
"Bowen Zhang",
"Artur Cardoso Coimbra",
"Yumeng Cao",
"G Ripellino",
"V Tudorache",
"S Jiggins",
"Haiping Peng",
"S Kido",
"F Balli",
"Andrew Geoffrey Foster",
"Charles Young",
"R A Vallance",
"D Su",
"E C Graham",
"Francesco Lanni",
"K Han",
"Yan-ping Huang",
"P Bellos",
"Shunsuke Adachi",
"S Gentile",
"A S Tee",
"D Zerwas",
"G Zobernig",
"Benjamin Pearson",
"S Tapia Araya",
"Martin D Sykora",
"M Spalla",
"J E Derkaoui",
"W Lampl",
"G Aielli",
"Michael Rijssenbeek",
"H K Hadavand",
"S Cabrera Urban",
"Andreas Salzburger",
"Andrew Edward White",
"H A Gordon",
"V Vorobel",
"Paolo Calafiura",
"Adrian Bevan",
"Pavel Starovoitov",
"L Feligioni",
"V V Sulin",
"Alexander Oh",
"Shion Chen",
"A Salnikov",
"M Muskinja",
"Kaushik De",
"C Di Donato",
"L M Scyboz",
"B Chargeishvili",
"Cheng Chen",
"E E Corrigan",
"J Balz",
"T Kwan",
"M Moreno Llacer",
"Lene Kristian Bryngemark",
"A Ferrer",
"A C Schaffer",
"P Mermod",
"D Pohl",
"G Facini",
"K Reeves",
"Moritz Kiehn",
"O Bulekov",
"K S Krowpman",
"P J Hsu",
"B Dutta",
"G Bella",
"Yongsheng Gao",
"Masahiro Yamatani",
"A Rozanov",
"Tomoaki Nakamura",
"Siegfried Bethke",
"Cinzia da Via",
"T Geralis",
"E Stanecka",
"G H A Viehhauser",
"R J Hawkings",
"M P Giordani",
"P R Newman",
"J B Hansen",
"Federico Meloni",
"Fan Li",
"V A Kramarenko",
"Yu-ting Shen",
"G Crosetti",
"Stefano Manzoni",
"Anthony Eric Lionti",
"A Renardi",
"Nicolo Magini",
"V V Kostyukhin",
"Peilian Liu",
"Junjie Zhu",
"Agostino Lanza",
"Nikolai Hartmann",
"Jose Luis Munoz Martinez",
"P Podberezko",
"E V Bouhova-Thacker",
"Norman Gee",
"I Pokharel",
"Katharina Behr",
"Suen Ray Hou",
"Timothy R Andeen",
"Jozsef A Toth",
"M Bomben",
"Matthew Feickert",
"Bruce Rafael Mellado Garcia",
"Nathan Allen Grieser",
"Xuefeng Chen",
"M Villa",
"L Ambroz",
"M G Foti",
"F G Gravili",
"J Wagner-Kuhr",
"P U E Onyisi",
"Ioannis Maniatis",
"Ruslan Mashinistov",
"B Macek",
"Bing Li",
"D Oliveira Damazio",
"A Peyaud",
"A Taffard",
"Stefan Stonjek",
"Tim Michael Heinz Wolf",
"Quanyin Li",
"J Jongmanns",
"D M Portillo Quintero",
"F Tsai",
"R Leitner",
"P Pani",
"Cedric Serfon",
"Matthew Berg Epland",
"Emily L Nurse",
"K Schmieden",
"B M Wynne",
"R Pezoa",
"A Seiden",
"Takashi Mitani",
"S Rodriguez Bosca",
"B J Rosser",
"F Fabbri",
"Marcelo Ayumu Nomura",
"R Hyneman",
"M L Ojeda",
"T Klingl",
"A N Tuna",
"G E Orellana",
"Marcos Vinicius Silva Oliveira",
"J Lorenz",
"Sebastian Mario Weber",
"Joergen Sjoelin",
"D R Shope",
"Qun Ouyang",
"Fabrizio Napolitano",
"A Glazov",
"S M Shaw",
"A Maio",
"A Santra",
"J Kretzschmar",
"R Piegaia",
"Stefano Rosati",
"Steven Patrick Alkire",
"T Xu",
"J Dietrich",
"Gregor Kramberger",
"Tae Min Hong",
"J H Foo",
"Lydia Brenner",
"John Stakely Keller",
"Katharina Bianca Jakobi",
"Gen Kawamura",
"A Campoverde",
"J J Lozano Bahilo",
"Boping Chen",
"K Petukhova",
"D Melini",
"L Fiorini",
"Reinhild Yvonne Peters",
"S Raspopov",
"Alessandro Gabrielli",
"Kevin Thomas Bauer",
"O Brandt",
"Ke Li",
"L D Corpe",
"Janusz Chwastowski",
"B M Ciungu",
"Robert Langenberg",
"P Krieger",
"Gabriel Palacino",
"Y Abulaiti",
"A Guerguichon",
"M J Veen",
"F Schroeder",
"J Walder",
"T P A Akesson",
"G Cabras",
"S Muanza",
"K Nagano",
"Anna Macchiolo",
"A Lounis",
"Namig Javadov",
"M Calvetti",
"Andrew Mark Wharton",
"G Bartolini",
"A Poley",
"L G Gagnon",
"Alan James Taylor",
"Guillermo Nicolas Hamity",
"David Michael South",
"L Marchese",
"P S Jackson",
"Matteo Franchini",
"A Catinaccio",
"I Korolkov",
"R Newhouse",
"I Luise",
"M S Lutz",
"N Garelli",
"K O H Vadla",
"A J Parker",
"Maurizio De Santis",
"N Scharmberg",
"Rob Roy MacGregor Fletcher",
"C Schiavi",
"Shreya Saha",
"R Nicolaidou",
"S Shirabe",
"Ren-jie Wang",
"Fabian Alexander Foerster",
"H Stenzel",
"Natalie Wieseotte",
"F Sopkova",
"Bruce Arnold Barnett",
"M Owen",
"C Bini",
"T Zakareishvili",
"M Donadelli",
"E Dreyer",
"S Morgenstern",
"A K Duncan",
"H L Snoek",
"Simona Serena Bocchetta",
"D L Briglin",
"Akanksha Vishwakarma",
"Elena V Plotnikova",
"Sebastian Grinstein",
"Michael Barnett",
"John Huth",
"S Ganguly",
"M Robin",
"M Pitt",
"Wolfgang Mader",
"Ehud Duchovni",
"A Sbrizzi",
"Pepijn Bakker",
"M Backes",
"Aidan Robson",
"W Fedorko",
"E D Mendes Gouveia",
"O Miu",
"Ghita Rahal",
"R J Atkin",
"M Guth",
"J Jimenez Pena",
"Flavia de Almeida Dias",
"Marco Delmastro",
"G Stoicea",
"Zhi Zhao",
"J F P Schouwenberg",
"D Mori",
"J J Junggeburth",
"M H Klein",
"R Mazini",
"Paul Nilsson",
"Song Ming Wang",
"S Suchek",
"Nadav Michael Tamir",
"Javier Esteban Salazar Loyola",
"Richard Nisius",
"B Cole",
"B Vachon",
"Yi Fang",
"R J Teuscher",
"Xanthe Hoad",
"H R Nindhito",
"D Bogavac",
"M Hirose",
"S Loffredo",
"Pierre Beauchemin",
"N A Gorasia",
"M Levchenko",
"J C Burzynski",
"P S Miyagawa",
"N Fomin",
"James Howarth",
"M Bedognetti",
"N Zakharchuk",
"A A Talyshev",
"O Kivernyk",
"N B Atlay",
"D C Frizzell",
"V Dao",
"L Serin",
"Tobias Bisanz",
"A Coccaro",
"Anjali Krishnan",
"Michael Dueren",
"Stephan Hageboeck",
"J Maeda",
"Elias Michael Ruettinger",
"Val O'Shea",
"C O Sander",
"Mauro Citterio",
"Rebecca Hayley Pickles",
"C Hayes",
"M Lokajicek",
"Y Horii",
"C Vergis",
"S Hirose",
"D Paredes Hernandez",
"M Ishino",
"C M Delitzsch",
"J Jia",
"M Myska",
"F Beisiegel",
"Yusheng Wu",
"J Beyer",
"Mathieu Benoit",
"G Azuelos",
"M Cristinziani",
"Elena Korolkova",
"B W Whitmore",
"R Gamboa Goni",
"D V Perepelitsa",
"Junichi Tanaka",
"Adam James Bozson",
"Bill Murray",
"Kenta Uchida",
"G L Alberghi",
"Evangelos N Gazis",
"D Caforio",
"S Tsuno",
"Sebastian Andreas Merkt",
"A Kastanas",
"Andreas Sogaard",
"Z A Meadows",
"A Manousos",
"J Dickinson",
"R T Roberts",
"Giulia Giannini",
"A G Goussiou",
"I Manthos",
"Yee Chinn Yap",
"Garrett Merz",
"M M Perego",
"Jos C Vermeulen",
"Christoph Amelung",
"T J A Chevalerias",
"N Hod",
"Robert Richter",
"D Price",
"Urmila Soldevila Serrano",
"A M Lory",
"J Boyd",
"Tancredi Carli",
"J Reichert",
"J R Catmore",
"B H Smart",
"P Mogg",
"Marek Palka",
"O Biebel",
"S J Gasiorowski",
"O Sasaki",
"W D Heidorn",
"Roberto Iuppa",
"Y Yamaguchi",
"W J Fawcett",
"A Cortes-Gonzalez",
"A Bandyopadhyay",
"Antonio De Maria",
"S H Abidi",
"M K Bugge",
"Xinchou C Lou",
"F Ahmadov",
"A J Armbruster",
"M Garcia-Sciveres",
"S Rajagopalan",
"Ralph Soeren Peter Mueller",
"C J E Suster",
"Rafael Teixeira de Lima",
"A Milic",
"Tommaso Lari",
"Cherifa Sabrina Amrouche",
"J Veatch",
"Adam Kaluza",
"Debottam Bakshi Gupta",
"Nishu Nishu",
"Akihiro Higashida",
"Chiara Meroni",
"Wen Guan",
"K Bierwagen",
"Q Buat",
"J G Panduro Vazquez",
"A M Deiana",
"Samuel Joseph Crawley",
"I Ravinovich",
"F Scuri",
"U Kruchonak",
"Changqiao Li",
"Wenxiao Wang",
"A Murrone",
"Johanna Lena Gramling",
"Sally Seidel",
"Luis Roberto Flores Castillo",
"B T Winter",
"B Parida",
"A Krasznahorkay",
"Koji Nakamura",
"F Gonnella",
"A Lleres",
"A P O'neill",
"D Pallin",
"Zakaria Chadi",
"R Poettgen",
"N Besson",
"Mario Jose Da Cunha Sargedas De Sousa",
"P G Scholer",
"R Ferrari",
"A G Myagkov",
"Lino Oscar Gerlach",
"D R Hlaluku",
"R Slovak",
"H Sandaker",
"C Rembser",
"Stefanie Todt",
"M Sessa",
"T Kono",
"Jens Janssen",
"James Beacham",
"A Bocci",
"D Biswas",
"Antonio Onofre",
"A E Bolz",
"O Zenin",
"L Bellagamba",
"K K Hill",
"C Merlassino",
"P Ferrari",
"N Ilic",
"Hongxuan Li",
"K Lehmann",
"L P Rossi",
"T Kaji",
"Marija Marjanovic",
"Marcin Stolarski",
"J Olszowska",
"Yun-ju Lu",
"E Schopf",
"V Tsulaia",
"Traian Tulbure",
"A J Beddall",
"M Michetti",
"T Seiss",
"E Lytken",
"Andrii Verbytskyi",
"D R Zaripovas",
"K W Janas",
"Nicolin Govender",
"Marko Mikuz",
"M Verducci",
"Igor Mandic",
"Alice Polyxeni Morris",
"M Bosman",
"C D Sebastiani",
"Y Sano",
"Ilkay Turk Cakir",
"V P Maleev",
"M Stankaityte",
"M Pinamonti",
"Marta Losada",
"Rob Henderson",
"M Vranjes Milosavljevic",
"L Sawyer",
"M W Krasny",
"J Hoya",
"S Prell",
"M Kolb",
"C Marcon",
"V Kukhtin",
"James E Brau",
"C P Bee",
"Mogens Dam",
"Lucia Pedraza Diaz",
"Harald Stelzer",
"T C Herwig",
"Riccardo de Asmundis",
"M Klassen",
"P Steinberg",
"Andrey A Sapronov",
"M Demichev",
"T J Burch",
"Daniele Fournier",
"P Sicho",
"Gregory Barbour",
"J Roloff",
"Alessandra Forti",
"D Sampsonidis",
"Carsten Dulsen",
"Atsuhiko Ochi",
"C Sbarra",
"K Suruliz",
"Jia-nan Li",
"M D'Onofrio",
"Jafar Shojaii",
"Hadar Yosef Cohen",
"Dylan Perry Kisliuk",
"J Damp",
"B M Waugh",
"Dan Ping Huang",
"M Queitsch-Maitland",
"G Redlinger",
"H Meyer Zu Theenhausen",
"Mz Zhou",
"G Otero y Garzon",
"G R Gledhill",
"K A Looper",
"T Megy",
"W Buttinger",
"D Gillberg",
"V A Schegelsky",
"N P Hessey",
"Anum Qureshi",
"C Zeitnitz",
"Michael Duehrssen",
"K Lie",
"X Zhuang",
"Zijun Xu",
"M Negrini",
"I M Gregor",
"S Franchino",
"X Wu",
"G Ucchielli",
"William Dmitri Breaden Madden",
"Peter John Bussey",
"M J Basso",
"E Pasqualucci",
"F Veloso",
"C Vittori",
"S Groh",
"V E Ozcan",
"L Masetti",
"Daniel James Lewis",
"Sergey P Denisov",
"Antonio Zoccoli",
"T R V Billoud",
"J Assahsah",
"A Fell",
"M Vozak",
"Alexander Fenyuk",
"A Puri",
"M Robles Manzano",
"E Banas",
"Henrik Oppen",
"Francesco M Follega",
"P T Koenig",
"Gerald Eigen",
"I Hristova",
"A Cheplakov",
"Y Benhammou",
"M Lavorgna",
"Kristian Bjoerke",
"Christopher Blake Martin",
"Vadim Gratchev",
"A Schulte",
"E E Khoda",
"S Lai",
"Tadej Novak",
"N Benekos",
"Andreas Hoecker",
"Miguel Villaplana Perez",
"M Renda",
"A E Barton",
"A Cerri",
"Patrick Puzo",
"H Son",
"Vivek Jain",
"M Vandenbroucke",
"Nicola Venturi",
"Maria Ines Abreu Juliao Ochoa De Castro",
"Massimo Lazzaroni",
"V Cavaliere",
"E B Diehl",
"Stefan Gadatsch",
"Jan-Hendrik Arling",
"David Calvet",
"Antonella De Santo",
"Alexander Sydorenko",
"G Barone",
"J Ferrando",
"P Gaspar",
"M Bauce",
"T R Wyatt",
"James Pinfold",
"John A Wilson",
"M Wessels",
"N Viaux Maira",
"Z M Schillaci",
"B Abbott",
"J D Little",
"L N Smirnova",
"J Ouellette",
"O V Solovyanov",
"Hao Liu",
"A Kamenshchikov",
"K E Schleicher",
"Ariella Cattai",
"F Cirotto",
"Rui Wang",
"Patricia Ward",
"William Trischuk",
"D M Handl",
"Keisuke Yoshihara",
"V Lyubushkin",
"Huajie Cheng",
"Tomomi Kawaguchi",
"S Demers",
"F Lasagni Manghi",
"Anatoly V Borisov",
"R T Turra",
"Qing Wang",
"K Kawade",
"K Al Khoury",
"Sarah Williams",
"Zach Marshall",
"A Knue",
"A Gavrilyuk",
"I A Minashvili",
"N L Woods",
"Tadashi Maeno",
"S D Worm",
"Fairouz Malek",
"H Nanjo",
"Halina Abramowicz",
"Xueyao Zhang",
"J M P Pasner",
"Gregorio Bernardi",
"T Lagouri",
"Ruchi Gupta",
"Hubert Kroha",
"T G McCarthy",
"A S Kozhin",
"K Maj",
"D Delgove",
"K K Heidegger",
"Stephen Albert Weber",
"Hongtao Yang",
"J J Teoh",
"Douglas M Gingrich",
"T Klioutchnikova",
"M Scornajenghi",
"Volker Buescher",
"M Schernau",
"Valerio Vercesi",
"Jonathan Jamieson",
"Andreas Honle",
"R Brock",
"Antonio Gaudiello",
"Balthasar Schachtner",
"K Bouaouda",
"Tomio Kobayashi",
"Elzbieta Richter-Was",
"Stefano De Castro",
"M Barbero",
"Rinat Fakhrutdinov",
"Alexander Mann",
"G Maccarrone",
"Sigve Haug",
"M Cavalli-Sforza",
"G Zemaityte",
"C J Potter",
"Fuquan Wang",
"Benoit Lefebvre",
"A Dattagupta",
"S Perrella",
"S Diez Cornell",
"Sourav Sen",
"W S Ahmed",
"J A Sabater Iglesias",
"A G Kharlamov",
"D Sampsonidou",
"Hans-Christian Schultz-Coulon",
"Tetsuro Mashimo",
"Yuji Yamazaki",
"P Berta",
"M P J Landon",
"K G Tomiwa",
"C A Gottardo",
"Jue Chen",
"C Clement",
"F Hubaut",
"Christopher Grud",
"E B Rye",
"L L Kurchaninov",
"A Bingul",
"D Iliadis",
"A V Anisenkov",
"Y Tu",
"Richard Batley",
"R Keeler",
"J Khubua",
"C Escobar",
"S Nemecek",
"J C Rivera Vergara",
"Zdenek Dolezal",
"M Vogel",
"Lorenzo Paolozzi",
"Karsten Koeneke",
"Frank Filthaut",
"G Borissov",
"Amal Vaidya",
"Tony Doyle",
"C Guyot",
"Gerardo Alexis Vasquez Arenas",
"Laurent Chevalier",
"Michael Kagan",
"Veronika Magerl",
"B Liberti",
"Michal Racko",
"Paola Giannetti",
"Liv Antje Mari Wiik",
"Zvi Hirsh Citron",
"O Cakir",
"Francesco La Ruffa",
"D P C Sankey",
"T Moa",
"L Poggioli",
"Bartlomiej Zabinski",
"I N Potrap",
"R E Long",
"J Llorente Merino",
"Gavin Hesketh",
"Geoffrey N Taylor",
"Mercedes Minano",
"D Cieri",
"J Fuster",
"C Arcangeletti",
"F Costanza",
"F J Munoz Sanchez",
"Ahmed Hasib",
"Chiao-ying Lin",
"Emily Marie Duffield",
"Marcus De Beurs",
"A Romaniouk",
"M Goblirsch-Kolb",
"S Parajuli",
"Liqing Zhang",
"J -F Grivaz",
"Alberto Stabile",
"Stefan Kluth",
"Yi Fei Han",
"Carlos Sandoval Usme",
"Giora Mikenberg",
"M Saimpert",
"R Leone",
"S Gkaitatzis",
"Yanyun Duan",
"A Dubreuil",
"Evgenii Baldin",
"Mikhail Mineev",
"R S Orr",
"S Oerdek",
"R Schwienhorst",
"P M Gravila",
"N Makovec",
"B Rottler",
"Sergei V Chekanov",
"G Gilles",
"D Zieminska",
"Augusto Santiago Cerqueira",
"R Kehoe",
"G E Gallardo",
"M Bahmani",
"K Bachas",
"Javier Sanchez",
"V Tsiskaridze",
"Wei Wang",
"Konstantinos Nikolopoulos",
"S Calvet",
"G A Popeneciu",
"I Fleck",
"M Havranek",
"C Haber",
"O Majersky",
"E Reynolds",
"Troels C Petersen",
"Luciano Manhaes de Andrade Filho",
"O Kepka",
"B Galhardo",
"Maximilian Hils",
"Azzah Aziz Alshehri",
"Francesco Ragusa",
"M Pettee",
"Haifeng Li",
"Stephen Gibson",
"D Reikher",
"Olympia Dartsi",
"D Ta",
"Roberto Cardarelli",
"O J Winston",
"Yoshinobu Unno",
"A Koulouris",
"T J Khoo",
"A Gongadze",
"Robert McPherson",
"Giordon Stark",
"R Wolff",
"D Muenstermann",
"O Arnaez",
"J D Shahinian",
"B Yabsley",
"F Cormier",
"Francesco Crescioli",
"Andrea Dell'Acqua",
"E C Hanson",
"P Y Nechaeva",
"T Vazquez Schroeder",
"R E Ticse Torres",
"X Xiao",
"G Navarro",
"S W Ferguson",
"C Glasman",
"R Stroynowski",
"T Rashid",
"R Di Sipio",
"A M Rodriguez Vera",
"Wolfgang Walkowiak",
"Tigran Mkrtchyan",
"C Goy",
"A Khodinov",
"Theodoros Alexopoulos",
"P Seema",
"P Gadow",
"C Bernius",
"L Cerda Alberich",
"Daniel Joseph Antrim",
"Rui Miguel Faisca Rodrigues Pereira",
"P Bagnaia",
"R Vari",
"C D Burgard",
"T A Schwarz",
"V S Martoiu",
"Steven J Lee",
"J Stupak",
"Debarati Roy",
"Q Hu",
"R Moles-Valls",
"Christophe Deutsch",
"T Dado",
"A Cervelli",
"Thomas Daubney",
"N Yamaguchi",
"A Yamamoto",
"D Vannicola",
"P Francavilla",
"A Bitadze",
"Yakov Petrovich Kulinich",
"L Jeanty",
"D Scheirich",
"David Britton",
"A A Solodkov",
"P Skubic",
"S George",
"Tianyi Yang",
"K Kroeninger",
"A Paramonov",
"E Sideras Haddad",
"M J Kareem",
"C Petridou",
"V Pleskot",
"W M Barbe",
"C Fukunaga",
"L Fayard",
"O Novgorodova",
"A Rimoldi",
"Y Kulchitsky",
"M A B Do Vale",
"N J Kang",
"M Rybar",
"C Agapopoulou",
"M Primavera",
"K Ntekas",
"D Cameron",
"I Wingerter-Seez",
"F Huegging",
"Nuno Filipe Castro",
"M Feng",
"T Wengler",
"K Karava",
"D Kar",
"J Qian",
"J Jovicevic",
"D Amidei",
"S Balaji",
"Xuan Yang",
"Ketevi Adikle Assamagan",
"Jiayi Chen",
"Zihao Jiang",
"E P Takeva",
"H Kagan",
"H Evans",
"I A Cioara",
"N Nikiforou",
"S Rettie",
"Johann Collot",
"Sabine Lammers",
"B Giacobbe",
"A Angerami",
"J Chudoba",
"D M Strom",
"Andrea Formica",
"D Schaile",
"Yoshiji Yasu",
"Vladimir Smakhtin",
"M Dubovsky",
"S D Walker",
"Xiaoguang Yue",
"C Anastopoulos",
"James A Mueller",
"Ulf Fredrik Mikael Martensson",
"G Gessner",
"M Spina",
"J D Bossio Sola",
"E Zaffaroni",
"Torre J Wenaus",
"David M Malon",
"J Haley",
"F Spano",
"E D Resseguie",
"E J Thorpe",
"S Bentvelsen",
"Abhishek Sharma",
"Christopher Willis",
"M A L Leite",
"Nobuo Matsuzawa",
"A F Webb",
"Wouter Verkerke",
"V Vecchio",
"S Shrestha",
"D Hohov",
"S Meehan",
"Evangelos Kourlitis",
"C Wiglesworth",
"P F Giraud",
"Borut Paul Kersevan",
"S Wenig",
"Anthony Salvucci",
"O L Rezanova",
"Bingxuan Liu",
"Fabricio Andres Jimenez Morales",
"F He",
"B T Carlson",
"P Pralavorio",
"V Ippolito",
"Konrad Kleinknecht",
"M C Stockton",
"Tobias Kupfer",
"F Winklmeier",
"Laura Fabbri",
"Andres Ramirez Morales",
"C Camincher",
"Luca Martinelli",
"L Roos",
"Matej Kocian",
"M Testa",
"D P Spiteri",
"M N Agaras",
"C Dallapiccola",
"A Schoening",
"J Maurer",
"P Sinervo",
"S Xella",
"R Stamen",
"S A Stucci",
"R Novotny",
"M Shimojima",
"L M Veloce",
"Gabriel Demontigny",
"P J Falke",
"A Sanchez Pineda",
"D Lynn",
"S Wahdan",
"E Brost",
"M J White",
"B D Seidlitz",
"Andrzej Olszewski",
"N Vranjes",
"Lamberto Luminari",
"D Duvnjak",
"P Kodys",
"Y Tayalati",
"G Zacharis",
"Abdeslam Hoummada",
"P Fleischmann",
"Christian Bohm",
"Piotr Janus",
"T Adye",
"Shu Li",
"Cheng Guang Zhu",
"A Lopez Solis",
"P Gutierrez",
"D Hirschbuehl",
"N Lorenzo Martinez",
"Y A Kurochkin",
"Shan Jin",
"G Mornacchi",
"J T Baines",
"L K Schildgen",
"Adriaan Koenig",
"Tristan Beau",
"L Asquith",
"Maria Smizanska",
"C P A Roland",
"Mattias Ellert",
"J Dingfelder",
"F Schenck",
"T Cuhadar Donszelmann",
"Z M Karpova",
"Othmane Rifki",
"R Ospanov",
"Gunnar Jakel",
"N Rompotis",
"A Soloshenko",
"J Myers",
"Carmen Maidantchik",
"E M Freundlich",
"M Rescigno",
"K Ran",
"O L Fedin",
"W H Hopkins",
"Peng Huo",
"W Wiedenmann",
"K Hanagaki",
"M Shiyakova",
"Mason Proffitt",
"M Tsopoulou",
"M J Shochet",
"E Lancon",
"K Krizka",
"P A Erland",
"Maria Jose C Costa",
"A Smykiewicz",
"Jonathan David Long",
"C Kourkoumelis",
"Jana Schaarschmidt",
"R Bielski"
],
"corpus_id": 213974372,
"doc_id": "213974372",
"n_citations": 15,
"n_key_citations": 2,
"score": 0,
"title": "Search for long lived neutral particles produced in pp collisions at s 13 TeV decaying into displaced hadronic jets in the ATLAS inner detector and muon spectrometer",
"venue": "",
"year": 2020
},
{
"abstract": "Author(s) Aad, G; Abbott, B; Abbott, DC; Abud, AA; Abeling, K; Abhayasinghe, DK; Abidi, SH; AbouZeid, OS; Abraham, NL; Abramowicz, H; Abreu, H; Abulaiti, Y; Acharya, BS; Achkar, B; Adachi, S; Adam, L; Bourdarios, CA; Adamczyk, L; Adamek, L; Adelman, J; Adersberger, M; Adiguzel, A; Adorni, S; Adye, T; Affolder, AA; Afik, Y; Agapopoulou, C; Agaras, MN; Aggarwal, A; Agheorghiesei, C; Aguilar Saavedra, JA; Ahmadov, F; Ahmed, WS; Ai, X; Aielli, G; Akatsuka, S; Akesson, TPA; Akilli, E; Akimov, AV; Khoury, KA; Alberghi, GL; Albert, J; Verzini, MJA; Alderweireldt, S; Aleksa, M; Aleksandrov, IN; Alexa, C; Alexopoulos, T; Alfonsi, A; Alfonsi, F; Alhroob, M; Ali, B; Aliev, M; Alimonti, G; Alkire, SP; Allaire, C; Allbrooke, BMM; Allen, BW; Allport, PP; Aloisio, A; Alonso, A; Alonso, F; Alpigiani, C; Alshehri, AA; Estevez, MA; Piqueras, DA; Alviggi, MG; Coutinho, YA; Ambler, A; Ambroz, L; Amelung, C; Amidei, D; Santos, SPAD; Amoroso, S; Amrouche, CS; An, F; Anastopoulos, C; Andari, N; Andeen, T; Anders, CF; Anders, JK; Andreazza, A; Andrei, V; Anelli, CR; Angelidakis, S Abstract: (c) 2020, CERN for the benefit of the ATLAS collaboration. The inclusive top quark pair (tt production cross section stt has been measured in proton proton collisions at s=13TeV, using 36.1 fb 1 of data collected in 2015 2016 by the ATLAS experiment at the LHC. Using events with an opposite charge em pair and b tagged jets, the cross section is measured to be: stt =826.4+ 3.6(stat) 11.5(syst) 15.7(lumi) 1.9(beam)pb,where the uncertainties reflect the limited size of the data sample, experimental and theoretical systematic effects, the integrated luminosity, and the LHC beam energy, giving a total uncertainty of 2.4% The result is consistent with theoretical QCD calculations at next to next to leading order. It is used to determine the top quark pole mass via the dependence of the predicted cross section on mtpole, giving mtpole=173.1 2.1+2.0GeV. It is also combined with measurements at s=7TeV and s=8TeV to derive ratios and double ratios of tt and Z cross sections at different energies. The same event sample is used to measure absolute and normalised differential cross sections as functions of single lepton and dilepton kinematic variables, and the results are compared with predictions from various Monte Carlo event generators.",
"author_names": [
"Georges Aad",
"A Kupco",
"Samuel Nathan Webb",
"T Dreyer",
"Yufeng Wang",
"Karl Jakobs",
"Brian Le",
"M Spousta",
"M Cobal",
"Peilong Wang",
"S Schmitt",
"Jaroslava Schovancova",
"A Bassalat",
"Matej Melo",
"M Shapiro",
"G Tarna",
"S Zimmermann",
"T Eifert",
"L Rehnisch",
"S Kuday",
"F Legger",
"M Sioli",
"Holger Herr",
"N Bruscino",
"Filippo Maria Giorgi",
"J Huston",
"T Sumida",
"Steven Hugh Robertson",
"R Goncalo",
"A A Snesarev",
"L La Rotonda",
"Dirk Duschinger",
"James Oscar Thomas",
"E Carquin",
"Y W Y Ng",
"S Crepe-Renaudin",
"J A Parsons",
"W K Balunas",
"Yu A Tikhonov",
"M K Ayoub",
"J A Aparisi Pozo",
"C Mwewa",
"David Harry Miller",
"A A Ivina",
"P Mastrandrea",
"Jan-Ulf Mjoernmark",
"W A Leight",
"Auke-Pieter Colijn",
"Liaoshan Shi",
"Michael E Nelson",
"Karel Cerny",
"N Warrack",
"Hans Krueger",
"J Ocariz",
"Markus Nordberg",
"C Weber",
"Daniela Bortoletto",
"A J Lankford",
"N B Sinev",
"Stefan Tapprogge",
"Y Hu",
"F Parodi",
"T Masubuchi",
"D E Ferreira Lima",
"T J LeCompte",
"Shenjian Jammy Chen",
"Souad Batlamous",
"Tim Martin",
"J Poveda",
"C Roda",
"Tuan Nguyen Manh",
"M Ouchrif",
"Krzysztof Korcyl",
"T Lyubushkina",
"C Grefe",
"P Tipton",
"F F Klitzner",
"Alberto Valero",
"T Kishimoto",
"K Kawagoe",
"H Bachacou",
"Alfonso Policicchio",
"Franziska Iltzsche Speiser",
"S Zambito",
"S N Karpov",
"P Strizenec",
"Christopher Michael Lester",
"D W Casper",
"S Ketabchi Haghighat",
"Jose Garcia Navarro",
"Shuzhou Zhang",
"B Di Micco",
"Stefan Koperny",
"L Schaefer",
"C Bertella",
"Ph Schwemling",
"M Vos",
"E Meoni",
"T R Holmes",
"I G Sanderswood",
"Zhi Zheng",
"Z Hubacek",
"C Doglioni",
"Andrew Ferrante",
"L Vigani",
"Abhishek Nag",
"A S Boldyrev",
"S R Maschek",
"J Stark",
"E V Yatsenko",
"P Gessinger-Befurt",
"M Kuze",
"B H Hooberman",
"S Carra",
"K Pachal",
"David Costanzo",
"M J Fenton",
"Jesse Liu",
"Alexei Klimentov",
"Simone Pagan Griso",
"Ilias Panagoulias",
"T B Huffman",
"Hongbin Liu",
"T Kuhl",
"G Gustavino",
"Mateusz Dyndal",
"F An",
"M Antonelli",
"B Malaescu",
"D K Abhayasinghe",
"K Grimm",
"D Zanzi",
"Sundeep Singh",
"Michael Glenn Eggleston",
"V R Bailey",
"A Ezhilov",
"D P Yallup",
"A Bellerive",
"J Masik",
"Alena Loesle",
"L Adamek",
"L Barak",
"Dominique Godin",
"Giuseppe Iacobucci",
"Evgeny Shulga",
"B Gorini",
"J Heilman",
"D Zhong",
"John Butler",
"H Fox",
"S Grancagnolo",
"Hok Chuen Cheng",
"Christopher Andrew Garner",
"S A Olivares Pino",
"N Madysa",
"Kevin Matthew Black",
"Gregory Hallewell",
"L Franconi",
"L A Horyn",
"D Fassouliotis",
"Joshua Wyatt Smith",
"Alessandro Tricoli",
"M Dumancic",
"H Iwasaki",
"M Kuna",
"Michele Faucci Giannelli",
"B Stapf",
"T Cao",
"Michela Paganini",
"V Ellajosyula",
"I Pogrebnyak",
"E Petit",
"E Antipov",
"J Faltova",
"Yu Him Justin Chiu",
"Wen Guo",
"Stewart Patrick Swift",
"E Lipeles",
"A Ducourthial",
"Benedikt Bergmann",
"Sebastian Artz",
"M J Oreglia",
"E Drechsler",
"K Einsweiler",
"Fernando Monticelli",
"S Giagu",
"E Kneringer",
"B Freund",
"H Duran Yildiz",
"Daniel Whiteson",
"Alastair Dewhurst",
"K Shaw",
"Yingchun Zhu",
"Nicolo Vladi Biesuz",
"J Terron",
"D S Nielsen",
"M Ghasemi Bostanabad",
"Stephanie Pui Yan Yuen",
"V Castillo Gimenez",
"T Barillari",
"T J Neep",
"Francesco Peri",
"P J Clark",
"S Schier",
"K Vorobev",
"Julius Hrivnac",
"Martin Barisits",
"Takuto Kunigo",
"Alexander A Grillo",
"Stefano Camarda",
"T Dias Do Vale",
"R Kopeliansky",
"M Swiatlowski",
"N P Konstantinidis",
"Osamu Jinnouchi",
"Hartmut Sadrozinski",
"Vassili Kazanin",
"E L Barberio",
"J Pacalt",
"Kerstin Tackmann",
"Dorel Pietreanu",
"Richard Kass",
"Yuya Kano",
"D Camarero Munoz",
"J Zahreddine",
"M R Sutton",
"Yohei Noguchi",
"L Zivkovic",
"L Dell'Asta",
"Veronica Wallangen",
"K Abeling",
"M G Vincter",
"G Herten",
"V Nikolaenko",
"D Kirchmeier",
"C C Chau",
"Alessandro Di Girolamo",
"Nicola Abraham",
"Markus Elsing",
"Cong Geng",
"K Mochizuki",
"A Di Ciaccio",
"B Burghgrave",
"A N Fray",
"P Massarotti",
"Lorenzo Rossini",
"Sina Baluch Bahrasemani",
"C J McNicol",
"G Di Gregorio",
"F Corriveau",
"K Tariq",
"G R Gonzalvo Rodriguez",
"I Bloch",
"Karel Smolek",
"R Brenner",
"P S Ott",
"P Tas",
"Ana Rosario Cueto Gomez",
"G Marceca",
"B A Petersen",
"V Solovyev",
"B Haney",
"S Gonzalez-Sevilla",
"Chase Shimmin",
"P Schacht",
"Nikola Lazar Whallon",
"Andrea Negri",
"S M Farrington",
"Michael F Ziolkowski",
"Vladimir Cindro",
"P Sommer",
"A A Minaenko",
"Shunsuke Honda",
"X Ruan",
"Pasquale Fabrizio Salvatore",
"M Franklin",
"B Mansoulie",
"Yang Qin",
"Gorm Galster",
"Charles Leggett",
"J W Cowley",
"P Buchholz",
"K Zoch",
"Zuzana Blenessy",
"Claudio Ferretti",
"D Biedermann",
"J Kroll",
"E M Shrif",
"Z Uysal",
"A Behera",
"Hector de la Torre",
"C E Leitgeb",
"F Tresoldi",
"Siinn Che",
"Susumu Oda",
"Christian A Gutschow",
"Masa-hiko Saito",
"Francesco Lo Sterzo",
"D P Mungo",
"Joost Vossebeld",
"Joshua Moss",
"Monica Dunford",
"Robin Middleton",
"A B Kowalewska",
"Kyungeon Choi",
"S Harkusha",
"Puja Saha",
"Julia Hrdinka",
"Rainer Roehrig",
"Hiroshi Sakamoto",
"E Hansen",
"Matt Zhang",
"A J Bailey",
"M Biglietti",
"Samuel David Jones",
"T Jakoubek",
"L Marcoccia",
"Simon H Connell",
"Alessandra Doria",
"Hoang Dai Nghia Nguyen",
"Matthias Danninger",
"C Blocker",
"S Istin",
"E W Varnes",
"Jorn Dines Hansen",
"M Ghneimat",
"G Iakovidis",
"Attilio Picazio",
"C J Treado",
"G Jarlskog",
"Koichi Nagai",
"Wainer Vandelli",
"Tae Hyoun Park",
"Alessandro De Salvo",
"A Kourkoumeli-Charalampidi",
"Yang Heng",
"F Sohns",
"P B Shatalov",
"Y Smirnov",
"Stephanie Majewski",
"K Sliwa",
"Jesus Guerrero Rojas",
"Philip Bechtle",
"Miguel C N Fiolhais",
"F H Phillips",
"Fumiaki Ito",
"F Ukegawa",
"Alejandro Alonso",
"T Guillemin",
"E Winkels",
"J J Kempster",
"Aishik Ghosh",
"Shuo Han",
"I Maznas",
"M Wobisch",
"K Augsten",
"Jean-pierre Ochoa",
"M Vicente Barreto Pinto",
"E Le Guirriec",
"N L Belyaev",
"A Ryzhov",
"D Moreno",
"G Usai",
"P O Deviveiros",
"Mahran Shehade",
"Marcel Stanitzki",
"L J Wilkins",
"Barry King",
"A Pacheco Pages",
"M Begel",
"G T Forcolin",
"A I Mincer",
"Yongsung Kim",
"L Morvaj",
"C D Burton",
"Michele Weber",
"T Heim",
"A Rej",
"K Belotskiy",
"A M Soukharev",
"Jihyun Jeong",
"C Agheorghiesei",
"H A Pacey",
"R M D Carney",
"Annabelle Julia Chuinard",
"R Jansky",
"A Kotsokechagia",
"A Undrus",
"B L Stamas",
"Michael William O'Keefe",
"Julia Mariana Iturbe Ponce",
"D Boscherini",
"C G Zhu",
"D R Tovey",
"N Semprini-Cesari",
"P Fassnacht",
"K D Finelli",
"B Brickwedde",
"A Matic",
"Claire David",
"Lukasz Zwalinski",
"M J Alconada Verzini",
"R Kukla",
"T J Stevenson",
"Jie Yu",
"D Boerner",
"C Galea",
"Lukas Heinrich",
"G Rovelli",
"C Troncon",
"Francesco Guescini",
"D Denysiuk",
"J A Garcia Pascual",
"Mohamed El Kacimi",
"Chunhui Chen",
"Andrea Rodriguez Perez",
"S Menke",
"I Van Vulpen",
"E Shabalina",
"G Unal",
"Robert W Gardner",
"A Fehr",
"Yu Zhang",
"N Norjoharuddeen",
"Masahiro Morii",
"Andrea Sciandra",
"T Kosek",
"Zhiqin Zhang",
"K Sekhon",
"R Iguchi",
"Tai-hua Lin",
"L Flores",
"Gabriele Chiodini",
"Alessandro Caltabiano",
"Jun Guo",
"Li Zhi",
"J L Gonski",
"Andrea Gabrielli",
"E Akilli",
"T Klapdor-Kleingrothaus",
"O M Kind",
"R D Schamberger",
"Ariel Schwartzman",
"L Aperio Bella",
"Frederik Ruehr",
"A R Weidberg",
"Are Sivertsen Traeet",
"L Mijovic",
"H Potti",
"S Snyder",
"U Blumenschein",
"R Konoplich",
"Peter Maettig",
"M Javurkova",
"Emre Celebi",
"E Tzovara",
"M Tasevsky",
"F Pasquali",
"Carlos Solans",
"J Kvita",
"S Heim",
"V Boisvert",
"Benjamin William Allen",
"Tomohiro Yamazaki",
"Robert Francis Hunter",
"Stefano Veneziano",
"M Zaazoua",
"Yoji Hasegawa",
"Y Takubo",
"M Huhtinen",
"A E Kiryunin",
"Andrew Beddall",
"N Kimura",
"S Amoroso",
"L Barranco Navarro",
"L Serkin",
"Helena Pikhartova",
"Dengfeng Zhang",
"I Gkialas",
"S Yu Smirnov",
"M Haleem",
"D Froidevaux",
"Babar Ali",
"Feng Lyu",
"Dmitry Emeliyanov",
"Andrej Filipcic",
"S Kuehn",
"Mario Lassnig",
"T Pauly",
"Andreas Redelbach",
"Antonia Struebig",
"Isabel Nitsche",
"B K Wosiek",
"Yizhou Liu",
"Sara Strandberg",
"A N Karyukhin",
"Y Delabat Diaz",
"A Mizukami",
"L Pontecorvo",
"A Jinaru",
"Liang Li",
"Teresa Lenz",
"John Michael Butterworth",
"S H Stark",
"Andreas Korn",
"M Ridel",
"K Todome",
"Geoffrey Mullier",
"A Kurova",
"E Rossi",
"Kun Liu",
"A Ouraou",
"A Dudarev",
"Kazuhiko Hara",
"R Caminal Armadans",
"M P Heath",
"Shota Suzuki",
"Tomoyuki Saito",
"C C Ohm",
"J T Shank",
"N Ozturk",
"M Khader",
"L Cerrito",
"R Astalos",
"J Barkeloo",
"H G Wilkens",
"Eram Rizvi",
"E Gramstad",
"T J Jones",
"Vaclav Vrba",
"T Hryn'ova",
"L K Gladilin",
"M Ronzani",
"E Yigitbasi",
"S Ye",
"Yasuhiro Makida",
"A P Pereira Peixoto",
"C Alpigiani",
"Eleonora Rossi",
"O Stelzer-Chilton",
"N W Shaikh",
"T Zenis",
"Georgios Stavropoulos",
"I Riu",
"F Cardillo",
"K K Gan",
"T Swirski",
"K E Kennedy",
"T Harenberg",
"S Henkelmann",
"Guy Rosin",
"Marco Scodeggio",
"F Derue",
"M Maerker",
"Steffen Staerz",
"Tulin Varol Mete",
"K Brendlinger",
"G D'amen",
"Alexander Melzer",
"S Terzo",
"George Victor Andrei",
"E M Lobodzinska",
"J Tojo",
"E B F G Knoops",
"Michael Tuts",
"E Skorda",
"J H Lindon",
"K Cheung",
"Daniele De Pedis",
"A Giannini",
"L D'Eramo",
"S Schaepe",
"David Philip John Lack",
"O Bessidskaia Bylund",
"Zulit Paola Arrubarrena Tame",
"Eric Buschmann",
"L Heelan",
"G Panizzo",
"C Nellist",
"M C Hodgkinson",
"M Alhroob",
"A J Barr",
"D Varouchas",
"J C -L Tseng",
"H P Beck",
"Katharine Leney",
"Jinlong Zhang",
"F Becherer",
"Joseph William Carter",
"Paolo Camarri",
"Maia Mosidze",
"S Dysch",
"R Staszewski",
"Roger Felipe Naranjo Garcia",
"Elena Rocco",
"A Milov",
"Vincenzo Izzo",
"L Pizzimento",
"J M Izen",
"J P Rutherfoord",
"Pa Malecki",
"Lianliang Ma",
"Harry Lyons",
"O Kuchinskaia",
"Z J Grout",
"Reiner Hauser",
"G Avolio",
"J K R Meshreki",
"G Alimonti",
"Yi-lin Yang",
"D J Mahon",
"T Kawamoto",
"Leonardo Merola",
"C Wanotayaroj",
"T Berry",
"P Sabatini",
"C Debenedetti",
"Yun Sang Chow",
"L Colasurdo",
"Raimund Stroehmer",
"A Ferrari",
"T M Liss",
"D Dodsworth",
"Marie Christine Lanfermann",
"Luc Goossens",
"Ph Schune",
"Jiaxing Wang",
"M Tomoto",
"Shaun Roe",
"N Proklova",
"M Aoki",
"Y Nagasaka",
"Christopher John Young",
"M Geisen",
"Gabriele Sabato",
"P A Gorbounov",
"P Moschovakos",
"R M Jacobs",
"Valentina Maria Martina Cairo",
"G Chiarelli",
"S Hellesund",
"C Bakalis",
"J Dopke",
"I Hinchliffe",
"R Bi",
"A V Akimov",
"Stavros Maltezos",
"Armin Nairz",
"Jens Weingarten",
"Hulin Wang",
"S Amor dos Santos",
"Paul de Jong",
"Marco Valente",
"S Heer",
"Serena Palazzo",
"A T Vermeulen",
"D Ferrere",
"I Carli",
"Sofia Chouridou",
"H Oide",
"C Luci",
"M Bindi",
"S Palestini",
"Thomas Naumann",
"O Kuprash",
"Thomas Lohse",
"Jelena Krstic",
"Shigeru Odaka",
"R Narayan",
"Philipp Jonathan Losel",
"Rosanna Ignazzi",
"M G Alviggi",
"L S Kaplan",
"A Liblong",
"A A Myers",
"Tony Affolder",
"B Martin dit Latour",
"J E Pilcher",
"G Salamanna",
"Takahiro Fusayasu",
"A Ventura",
"Dan Shaked",
"Songkyo Lee",
"Anna Kaczmarska",
"Karl Heinz Hiller",
"Tomas Davidek",
"M Wielers",
"Graeme Andrew Stewart",
"Yichen Li",
"A Lapertosa",
"L Tompkins",
"G Rybkin",
"B Tong",
"D Orestano",
"M Iodice",
"Nico Giangiacomi",
"G Halladjian",
"J R Dandoy",
"Carmen Garcia",
"H L Russell",
"M I Gostkin",
"J D Mellenthin",
"C Santoni",
"A La Rosa",
"Andrew Washbrook",
"Lawrence Lee",
"Kevin De Vasconcelos Corga",
"D Levin",
"Ava Chloe Audrey Lee",
"S Jezequel",
"R Yuan",
"K Grevtsov",
"Pierre Petroff",
"P Tornambe",
"R Kowalewski",
"S Simsek",
"Maria Roberta Devesa",
"C M Hawkes",
"D Turgeman",
"V Hedberg",
"Christopher Meyer",
"Yaquan Fang",
"S Turchikhin",
"F Prokoshin",
"A Soffer",
"R Tanaka",
"Masahiro Tanaka",
"A D Pilkington",
"Arnulf Quadt",
"A L Maslennikov",
"S Higashino",
"S Gargiulo",
"B Stelzer",
"T Poulsen",
"E J Schioppa",
"F Anulli",
"Alessandro Rocchi",
"A Lucotte",
"Katharina Maria Ecker",
"Laura Gonella",
"E Fullana Torregrosa",
"Stefan Simion",
"K Tokushuku",
"C Kahra",
"Pippa S Wells",
"M Fanti",
"R Simoniello",
"Kosuke Takeda",
"J Kendrick",
"Rima El Kosseifi",
"Tobias Golling",
"A Pathak",
"Hai Jiang",
"P H Hansen",
"K Lohwasser",
"E K U Gross",
"Yongke Zhao",
"Rainer Bartoldus",
"I Naryshkin",
"S V Zeissner",
"U Klein",
"Herman ten Kate",
"Y Enari",
"V Kouskoura",
"L Carminati",
"Weiming Yao",
"Haoshuang Ji",
"Jovan Mitrevski",
"Serban Constantinescu",
"Carlos Garcia Argos",
"Bojan Hiti",
"S M Mazza",
"V Polychronakos",
"R Poggi",
"P Pasuwan",
"F Ellinghaus",
"M Kobel",
"Oliver Kortner",
"Robin L Hayes",
"Steven Goldfarb",
"V S Lang",
"E Ladygin",
"Joao Victor da Fonseca Pinto",
"Arwa A E Bannoura",
"Juan Pedro Araque Espinosa",
"Michael Adersberger",
"Simone Campana",
"L Meng",
"J Mamuzic",
"I N Aleksandrov",
"G Introzzi",
"J Kirk",
"Luis Hervas",
"M Janus",
"Martin Stegler",
"Alberto Annovi",
"P Staroba",
"M Mikestikova",
"T A Kharlamova",
"E F McDonald",
"Jian Cong Zeng",
"Steven Andrew Farrell",
"F Alonso",
"Tomas Blazek",
"C P Hays",
"Mikel Eukeni Pozo Astigarraga",
"C Sawyer",
"R E Owen",
"Pawel Bruckman de Renstrom",
"I A Bertram",
"Dmitriy Maximov",
"Andreas Reiss",
"C Lacasta",
"Manuel Neumann",
"P Iengo",
"J Elmsheuser",
"P Morettini",
"X Ai",
"Adam Maxwell Azoulay",
"L F Oleiro Seabra",
"Kyle Cranmer",
"D Giugni",
"K Lin",
"Guy Koren",
"A Aggarwal",
"V Canale",
"J C Schmoeckel",
"P Teixeira-Dias",
"Alexander S Basan",
"S Falke",
"Mengran Li",
"Alexander S Sakharov",
"S Pataraia",
"Ioannis Xiotidis",
"Shih-Chieh Hsu",
"D Schaefer",
"F Pastore",
"I M Snyder",
"A Laurier",
"Krzysztof W Wozniak",
"N P Readioff",
"C Antel",
"Stefan Erich Von Buddenbrock",
"Joern Christian Lange",
"Sandro De Cecco",
"A Alfonsi",
"M C Kruse",
"Alfred Goshaw",
"J I Djuvsland",
"S Biondi",
"Felix Rauscher",
"D Pudzha",
"J Donini",
"Bernhard Meirose",
"R Hankache",
"Pierfrancesco Butti",
"Thomas Peiffer",
"Y Nakahama",
"James Robinson",
"J F Laporte",
"P Calfayan",
"N A Asbah",
"Oleg Meshkov",
"C Alexa",
"B Reynolds",
"Thomas Dennis Powell",
"S Trincaz-Duvoid",
"C Varni",
"Z Xu",
"T P Calvet",
"C Gray",
"S Protopopescu",
"F Cerutti",
"I Koletsou",
"G Mancini",
"C Schmitt",
"Bo Li Liu",
"Sarah Louise Barnes",
"J Bracinik",
"S D Lawlor",
"M Boonekamp",
"Marcel Weirich",
"M Sandhoff",
"Antonio Ereditato",
"Tim Herrmann",
"A Gomes",
"D Kelsey",
"W C Fisher",
"A Held",
"Amir Farbin",
"M Rotaru",
"T Flick",
"M Raymond",
"A M Burger",
"Matthew Glenn Kurth",
"F Braren",
"Horst Severini",
"Aidan Grummer",
"A Farilla",
"M Sahinsoy",
"Carlos Josue Buxo Vazquez",
"P Balek",
"S Adorni",
"Bertrand Laforge",
"Eitan Gozani",
"J L Nagle",
"L Valery",
"N Morange",
"H Santos",
"Richard Bates",
"J H Rawling",
"P Johansson",
"M Spangenberg",
"V Sinetckii",
"S B Menary",
"J D Chapman",
"R Goncalves Gama",
"S Hyrych",
"Jonathan Shlomi",
"S Barsov",
"M Della Pietra",
"Farkhad Khalil-zada",
"Mario Sannino",
"S Akatsuka",
"Riccardo Maria Bianchi",
"Luiz Pereira Caloba",
"E Monnier",
"Jose Salt",
"A Messina",
"K Zhukov",
"U Mallik",
"Alison Lister",
"M Pedersen",
"S Sottocornola",
"A Montalbano",
"E Nagy",
"Rui Zhang",
"M R Yexley",
"L Pascual Dominguez",
"Matthew Henry Klein",
"Peter Nemethy",
"C Leonidopoulos",
"D Alvarez Piqueras",
"D S Bhattacharya",
"Lluis M Mir",
"Laura Perini",
"D Sammel",
"G Callea",
"Umar Gul",
"X Lou",
"A T H Arce",
"A Miucci",
"G I Dyckes",
"J Machado Miguens",
"Miaoran Lu",
"D Madaffari",
"E Fumagalli",
"C Gemme",
"Bart Hommels",
"S Leone",
"Marc-Andre Pleier",
"V Chiarella",
"Peter William Phillips",
"Haicheng Wang",
"M Przybycien",
"Cigdem Issever",
"Petr Hamal",
"A Trofymov",
"C Grieco",
"O Rohne",
"J R Bensinger",
"A Marzin",
"A Bruni",
"T Farooque",
"Tomas Komarek",
"Alan M Litke",
"B Dong",
"Klaus Desch",
"J A Frost",
"Cecile Deterre",
"Christian Weiser",
"Andy Buckley",
"G Unel",
"C Kato",
"E L Gkougkousis",
"Michael Phipps",
"Giovanni Marchiori",
"M D Werner",
"S Willocq",
"F L Castillo",
"R A Mina",
"M Ikeno",
"M E Vasile",
"Christian Johnson",
"F Miano",
"O Sidiropoulou",
"Marco Battaglia",
"P Klimek",
"D Di Valentino",
"Yanlin Liu",
"I Chiu",
"Y Afik",
"V Morisbak",
"C W Kalderon",
"C E Pandini",
"N Brahimi",
"E Kajomovitz",
"Andrew G Clark",
"Heinz Pernegger",
"Russell James Turner",
"Zhijun Liang",
"D M Rauch",
"F A Di Bello",
"H Wolters",
"F Rizatdinova",
"Dan Andrei Ciubotaru",
"I Vivarelli",
"F Nechansky",
"Madalyn Ann McKay",
"Ian Brock",
"Frank Fiedler",
"Gang Zhang",
"J Adelman",
"A Bogdanchikov",
"T Dai",
"Carlos Miguel Vergel Infante",
"G Gaycken",
"Edgar Kellermann",
"W Taylor",
"N Zhou",
"Koji Terashi",
"D Della Volpe",
"L Duflot",
"B M Flierl",
"S Yu Sivoklokov",
"M Faraj",
"Ester Ricci",
"P C F Glaysher",
"T Buanes",
"V Zhulanov",
"Klaus A Hamacher",
"J Del Peso",
"Alessandro Polini",
"J A Kremer",
"Maosen Zhou",
"Zhen Yan",
"E Torro Pastor",
"L Rustige",
"T Trefzger",
"G Bruni",
"B Batool",
"G Cowan",
"A Armstrong",
"A Zhemchugov",
"Francisco Anuar Arduh",
"Dmitry Golubkov",
"D Vazquez Furelos",
"Carolina Michel Robles Gajardo",
"B Ravina",
"M Dobre",
"R Avramidou",
"S J Hillier",
"Susumu Terada",
"D Robinson",
"O E Vickey Boeriu",
"Norbert Wermes",
"J Love",
"A A Geanta",
"Thomas Beermann",
"S Hellman",
"M T Dova",
"H Jivan",
"M F Watson",
"Yicheng Guo",
"E Yu Soldatov",
"W S Chan",
"A Kotwal",
"Patrick Bryant",
"P Jacka",
"Koji Sato",
"P Urquijo",
"V Kartvelishvili",
"L Massa",
"Alevtina Shmeleva",
"B S Peralva",
"C Valderanis",
"J Jejelava",
"S J Haywood",
"F Thiele",
"O Estrada Pastor",
"D Lellouch",
"Geoffrey Henry Herbert",
"Olaf Nackenhorst",
"A Ambler",
"S Francescato",
"A Hrynevich",
"Rodney Walker",
"S Argyropoulos",
"D Salvatore",
"H Okawa",
"A D'onofrio",
"M P Casado",
"L Di Ciaccio",
"R Rosten",
"F Deliot",
"C Lampoudis",
"K F Di Petrillo",
"B S Dziedzic",
"Chen Zhou",
"Jean-Pierre Meyer",
"A Canesse",
"Attilio Andreazza",
"K Prokofiev",
"Marco Bruschi",
"Sebastian Mergelmeyer",
"Ivan Lopez Paz",
"Salvador Marti i Garcia",
"Yi Jiang",
"I M Trigger",
"K O'Connor",
"J R Pater",
"Joseph Peter Kinghorn-taenzer",
"Monika Mittal",
"S Falciano",
"Matthias Schott",
"J K Anders",
"L Beresford",
"Farida Fassi",
"S Yacoob",
"Francesco Lacava",
"G F Tartarelli",
"M Hrabovsky",
"Juraj Smiesko",
"A Wolf",
"Ikuo Ueda",
"M Khandoga",
"K E Varvell",
"Farid Ould-Saada",
"Libor Nozka",
"Siyuan Sun",
"R Soualah",
"G Giugliarelli",
"Wenhao Xu",
"O Igonkina",
"Timothee Theveneaux-Pelzer",
"C Tosciri",
"A S Maevskiy",
"J Albert",
"C F Anders",
"A T Watson",
"Yasuyuki Okumura",
"Reda Tafirout",
"N Calace",
"L J Bergsten",
"R Di Nardo",
"G Sciolla",
"Christian Luedtke",
"M Reale",
"M Svatos",
"Daniel Rodriguez Rodriguez",
"K Lantzsch",
"A M Cooper-Sarkar",
"A Dimitrievska",
"H J Lubatti",
"Dhiman Chakraborty",
"M Errenst",
"B A Schumm",
"D Krasnopevtsev",
"P Zhao",
"R A Linck",
"L Iconomidou-Fayard",
"Dario Barberis",
"Yanwen Liu",
"Tomas Javurek",
"Kentaro Uno",
"M Romano",
"C R Kilby",
"William Brooks",
"Nils Krumnack",
"L Guan",
"S R Paredes Saenz",
"P Vokac",
"Pedro Jorge",
"B S Acharya",
"John Hill",
"Ahmed Tarek Abouelfadl Mohamed",
"C Adam Bourdarios",
"Renat Sadykov",
"O S AbouZeid",
"R Polifka",
"G Pownall",
"H El Jarrari",
"Keith Baker",
"Qi Li",
"P Loch",
"Xiang-Ting Meng",
"F Safai Tehrani",
"Weimin Song",
"A E Dumitriu",
"Hong Ma",
"Heather M Gray",
"Michael Strauss",
"J Schaeffer",
"B Safarzadeh Samani",
"E A Starchenko",
"A Khanov",
"Yuji Minegishi",
"B Brau",
"T Vickey",
"P D Thompson",
"S E Tzamarias",
"E J W Moyse",
"J P Biswal",
"Jorn Grosse-Knetter",
"Tina Sfiligoj",
"A Ogrodnik",
"K Yorita",
"M D M Capeans Garrido",
"B Moser",
"A Straessner",
"E J Gallas",
"E Cheremushkina",
"M F Daneri",
"Andrea Baroncelli",
"C D Booth",
"E Thomson",
"S Gurbuz",
"W Kozanecki",
"S Calvente Lopez",
"S N Santpur",
"Wei Ding",
"M H Genest",
"Oxana Smirnova",
"Bjarne Stugu",
"A Trzupek",
"Kevin Hildebrand",
"M Gouighri",
"A K Kvam",
"E Tolley",
"F Jeanneau",
"Sau Lan Wu",
"V Herget",
"Stefan Rave",
"N A Styles",
"S J Sekula",
"N Lehmann",
"A Laudrain",
"Susanne Kersten",
"L A Thomsen",
"N Smirnov",
"J-B de Vivie de Regie",
"Ryuichi Sawada",
"Dai Kobayashi",
"Y Shimogama",
"M Lefebvre",
"Ondrej Penc",
"Alexandra Tudorache",
"Mario Campanelli",
"N S Dann",
"Yoichi Ninomiya",
"C Becot",
"Tomasz Bold",
"D Lacour",
"Markus Schumacher",
"Saverio D'Auria",
"D Tsybychev",
"P Bartos",
"D Du",
"H Herde",
"A C Dudder",
"I Siral",
"Andreas Kugel",
"Andrej Gorisek",
"M Alvarez Estevez",
"Ankita Mehta",
"B Achkar",
"Manuel Silva",
"Ian Peter Joseph Shipsey",
"Vincent Garonne",
"A Duperrin",
"A Durglishvili",
"A Emerman",
"B Lund-Jensen",
"F Backman",
"Maike Christina Hansen",
"C M Gee",
"Ligang Xia",
"T Nobe",
"Konstantinos Papageorgiou",
"A Perrevoort",
"Silvia Resconi",
"L Truong",
"Shlomit Tarem",
"Craig Buttar",
"J Geisen",
"M Nessi",
"N Huseynov",
"S Mohapatra",
"Claude Leroy",
"Patrick Moriishi Freeman",
"T Scanlon",
"J T P Burr",
"Mario Martinez Perez",
"A S Mete",
"D Derendarz",
"S Tokar",
"K H Mankinen",
"F Krieter",
"K Beloborodov",
"J A Valls Ferrer",
"Shawn Patrick Mc Kee",
"Pavel Tsiareshka",
"N I Zimine",
"H J Moss",
"Wen Yi Song",
"You Zhou",
"C Gwenlan",
"Weitao Wang",
"Juergen Dr Thomas",
"H Wahlberg",
"S V Peleganchuk",
"K Gregersen",
"Jiang-Xing Chen",
"Thomas Daniel Weston",
"R B Nickerson",
"J Wollrath",
"S Angelidakis",
"Peter Jenni",
"J Crane",
"C Moreno Martinez",
"M Bajic",
"A Warburton",
"A Blue",
"H M Borecka-Bielska",
"P Reznicek",
"Hicham Atmani",
"D C O'Neil",
"G Darbo",
"X Chu",
"N E Pettersson",
"Marco Rimoldi",
"H Kurashige",
"H Hamdaoui",
"V A Bednyakov",
"J L Oliver",
"A V Vaniachine",
"P Bokan",
"J Urban",
"R Takashima",
"H C Beck",
"F Barreiro",
"D Sperlich",
"F Hinterkeuser",
"H Abreu",
"Michael Huebner",
"Jerome Samarati",
"Boyang Li",
"M Kaneda",
"J M Hays",
"M V Chizhov",
"V Fabiani",
"Santiago Gonzalez de la Hoz",
"Yanyan Gao",
"Alessandra Ciocio",
"H Mildner",
"Yanhui Ma",
"T Nitta",
"Xiangyang Ju",
"R Gugel",
"Chenliang Wang",
"S Valentinetti",
"C Feng",
"P Horn",
"F Petrucci",
"F Vazeille",
"M J R Olsson",
"T S Lau",
"B Heinemann",
"Shih-Chang Lee",
"Fabrizio Alfonsi",
"Klaus Moenig",
"V D'Amico",
"S Tisserant",
"V A Mitsou",
"Jun Wang",
"A Camplani",
"M Hamer",
"K A Johns",
"Kazuki Yajima",
"Ondrej Hladik",
"Krisztian Peters",
"Simon Schmitz",
"D A DeMarco",
"E Cheu",
"Uli Schaefer",
"Dmitri Dedovich",
"Philippe Farthouat",
"L Helary",
"Alan Kahn",
"Albert Olariu",
"R A Creager",
"A Sidoti",
"Reina Coromoto Camacho Toro",
"Horst Oberlack",
"Toyonobu Okuyama",
"U Landgraf",
"A S Bell",
"M Schioppa",
"Ziyu Guo",
"Hucheng Chen",
"A Buzatu",
"M Marcisovsky",
"Ming-chung Chu",
"Jingbo Ye",
"H S Hayward",
"T G Zorbas",
"Ioulian Budagov",
"Juerg Beringer",
"E M Farina",
"A Sahu",
"Hugh H Williams",
"Albert Francis Casha",
"L Rinaldi",
"D M S Sultan",
"S Bressler",
"Giovanna Lehmann Miotto",
"C Papadatos",
"P Czodrowski",
"A L Steinhebel",
"D Cinca",
"T R Van Daalen",
"K Iordanidou",
"F Dittus",
"L Henkelmann",
"S Hassani",
"S Popa",
"Maurice Becker",
"Juan Antonio Aguilar Saavedra",
"Aaron White",
"V Croft",
"B Ngair",
"M Livan",
"T Djobava",
"T Holm",
"F Ceradini",
"Massimo Corradi",
"Ladislav Chytka",
"G Gagliardi",
"P A Delsart",
"Nacim Haddad",
"David Rousseau",
"I Sykora",
"M Grandi",
"Alexander L Read",
"Enrico Tassi",
"Iwona Grabowska-Bold",
"Peter Love",
"S Alderweireldt",
"Jorge Andres Lopez Lopez",
"T Pham",
"H Arnold",
"E Cavallaro",
"Remco Castelijn",
"Yesenia Hernandez Jimenez",
"M Hance",
"L Portales",
"L Longo",
"Markus Atkinson",
"Paul Harrison",
"P Murin",
"Helio Takai",
"E Bergeaas Kuutmann",
"M Trovatelli",
"Konstantinos Kordas",
"Yoichi Ikegami",
"P Conde Muino",
"H Otono",
"Johannes Erdmann",
"S Kortner",
"A Lipniacka",
"G Brooijmans",
"E Nibigira",
"S A Cetin",
"C Rizzi",
"V S Bobrovnikov",
"Victor Bobrovnikov",
"G Spigo",
"Fabrizio Trovato",
"A K Morley",
"J Montejo Berlingen",
"S Guindon",
"N Andari",
"L Schoeffel",
"A Hadef",
"D A Millar",
"Sascha Caron",
"J Hejbal",
"M LeBlanc",
"A S Drobac",
"A Marantis",
"F Sauerburger",
"R Zou",
"Felix Buehrer",
"Marumi Kado",
"C Bittrich",
"Anne Kathrin Becker",
"Nicolas Maximilian Koehler",
"M Talby",
"T Xu",
"J-F Arguin",
"S Richter",
"Benedict Allbrooke",
"Pierre Savard",
"A Bethani",
"Cheuk Yee Lo",
"Marcin Wolter",
"F Bauer",
"David Berge",
"M A Chelstowska",
"Sergei Malyukov",
"T Ekelof",
"Eduardo Valdes Santurio",
"Federico Scutti",
"A Petridis",
"F Dachs",
"Max Fredrik Isacson",
"D Benjamin",
"Karolos Potamianos",
"N Orlando",
"Panagiotis Gkountoumis",
"C Allaire",
"Roger L Jones",
"E Vilucchi",
"M Escalier",
"Magdalena Slawinska",
"Verena Martinez Outschoorn",
"S Bruno",
"G Gonella",
"A Vallier",
"Sarah Jones",
"Fred Luehring",
"E Pianori",
"S Sacerdoti",
"A C Martyniuk",
"M Holzbock",
"L Kashif",
"M Trzebinski",
"M Cano Bret",
"N Berger",
"Huijun Zhang",
"Thomas Maier",
"Daniel Guest",
"D Hayden",
"G Duckeck",
"Matthew Craig Mondragon",
"D Duda",
"Y Rozen",
"Savannah Thais",
"Jun Gao",
"Gueorgui Chelkov",
"L Pezzotti",
"Takashi Kubota",
"M Gignac",
"Rimsky Rojas",
"J G Saraiva",
"M S Neubauer",
"Hanlin Xu",
"S Prince",
"Steven Schramm",
"M Vreeswijk",
"E Gorini",
"Jose Manoel de Seixas",
"Alexander David Sherman",
"Alexander Linus Sopio",
"Namgyun Jeong",
"M Zgubic",
"R Cherkaoui El Moursli",
"Heiko Lacker",
"Zhaoxu Xi",
"Zirui Wang",
"P Sherwood",
"A X Y Kong",
"Alison Elliot",
"Frank Siegert",
"G Brandt",
"J T Kuechler",
"Z Rurikova",
"K P Mistry",
"E S Kuwertz",
"Jaroslav Guenther",
"Yan Wing Ng",
"Joany Andreina Manjarres Ramos",
"Giovanni Calderini",
"A Juste Rozas",
"U Schnoor",
"C M Helling",
"Ann Miao Wang",
"I I Tsukerman",
"Gordon T Watts",
"M Montella",
"Benjamin P Nachman",
"Minghui Liu",
"Yutaka Okazaki",
"I R Boyko",
"Ademar Tavares Delgado",
"I K Lakomiec",
"D Goujdami",
"A Adiguzel",
"S V Chekulaev",
"C L Sotiropoulou",
"S Martin-Haugh",
"Vaclav Vacek",
"T Iizawa",
"V Araujo Ferraz",
"D Bruncko",
"V O Tikhomirov",
"T M Spieker",
"J Proudfoot",
"Adam Abed Abud",
"I Dawson",
"G Piacquadio",
"Umberto De Sanctis",
"Masahiro Morinaga",
"F Fischer",
"B P Jaeger",
"P E Sidebo",
"Siqi Yang",
"K D McLean",
"F Conventi",
"D C Abbott",
"Werner Freund",
"James H Cochran",
"A Calandri",
"W Wagner",
"J Dolejsi",
"F Dubinin",
"F Ledroit-Guillon",
"Liang Han",
"K R Schmidt-Sommerfeld",
"R Lafaye",
"Dalila Salamani",
"James Broughton",
"M Begalli",
"David Milstead",
"A R Buzykaev",
"A Ruiz-Martinez",
"R Hertenberger",
"Lianyou Shan",
"E Higon-Rodriguez",
"Alexander Zaitsev",
"V M Walbrecht",
"G Artoni",
"D M Rebuzzi",
"Anindya Ghosh",
"Yu-heng Chen",
"Samuel Silverstein",
"O Ricken",
"J Metcalfe",
"S Passaggio",
"N A Rusakovich",
"W R Cunningham",
"P Rieck",
"J A Raine",
"S Laplace",
"L J Levinson",
"S Burdin",
"Lucrezia Stella Bruni",
"Zinonas Zinonos",
"Rodrigo Araujo Pereira",
"V Cavasinni",
"D Hohn",
"Balazs Konya",
"A Mirto",
"V J Martin",
"A Tsirigotis",
"D Matakias",
"Rotem Barnea",
"Rongkun Wang",
"E Hill",
"J Katzy",
"Andre Sopczak",
"Michael Ryan Clark",
"E Sauvan",
"J Rothberg",
"D Krauss",
"J Strandberg",
"O A Ducu",
"S Dahbi",
"A Mastroberardino",
"A Leisos",
"C S Pollard",
"S Monzani",
"Xingguo Li",
"Luka Kanjir",
"I A Connelly",
"T Moskalets",
"E W Parrish",
"H Torres",
"Tohru Takeshita",
"Shuyang Hu",
"S Asai",
"Ana Maria Henriques Correia",
"E R Vandewall",
"Bing Zhou",
"A Haas",
"Bartosz Mindur",
"S Mehlhase",
"D Britzger",
"J Glatzer",
"Sergey Timoshenko",
"T Koi",
"David Francis",
"Itsuo Nakano",
"Saleh Sultanov",
"S K Boutle",
"Katie L Whalen",
"Jun-ming Liu",
"F Giuli",
"Xiaohu Sun",
"K Wraight",
"David R Wardrope",
"A Sansoni",
"J E Mdhluli",
"G Sekhniaidze",
"R Lysak",
"C Padilla Aranda",
"Ryan Christopher Edgar",
"R Pedro",
"Vladimir Smakhtin",
"Natalia Korotkova",
"S Ghasemi",
"Avik Roy",
"L Adamczyk",
"S Czekierda",
"W K Di Clemente",
"I Ibragimov",
"J Nielsen",
"T Koffas",
"M Bona",
"B Stanislaus",
"V W S Wong",
"H Cai",
"Randall J Sobie",
"E F Kay",
"K Dette",
"W Islam",
"I Nikolic-Audit",
"J A Mcfayden",
"B J Gallop",
"M Stamenkovic",
"Joao Guimaraes da Costa",
"G Carducci",
"C B Gwilliam",
"M Morgenstern",
"Claire Alexandra Lee",
"G S Virdee",
"Mariyan Petrov",
"D Boye",
"F Djama",
"F Sforza",
"J Bortfeldt",
"E M Asimakopoulou",
"J Leveque",
"T Barklow",
"A Sfyrla",
"Dj Sijacki",
"R Madar",
"K M Ciesla",
"Nico de Groot",
"M Milesi",
"D Ponomarenko",
"Vasilis Konstantinides",
"S Berlendis",
"E Von Toerne",
"David William Thomas",
"T P Charman",
"Laura Havener",
"Erez Etzion",
"Phillip Allport",
"Heling Zhu",
"N Ellis",
"Matteo Mario Beretta",
"J Hobbs",
"Adam J Parker",
"R Vuillermet",
"G Fanourakis",
"U Parzefall",
"T Sykora",
"Marco Aurelio Diaz",
"B Trocme",
"Dan Xu",
"M Birman",
"P Grenier",
"Seog Oh",
"C A Marin Tobon",
"W Y Chan",
"Harinder Singh Bawa",
"A Jelinskas",
"Y Coadou",
"A Basalaev",
"Wladyslaw Dabrowski",
"M T Anthony",
"E Torrence",
"Cristinel Diaconu",
"C Helsens",
"J C Honig",
"Hongbo Zhu",
"A R Chomont",
"Sw Banerjee",
"I Yeletskikh",
"D Boumediene",
"N P Dang",
"A Chitan",
"S L Lloyd",
"Stefan Schlenker",
"S Todorova-Nova",
"J Cantero",
"A Betti",
"Stanislav Pospisil",
"Peter van Gemmeren",
"Alberto Aloisio",
"Graham Richard Lee",
"Ricardo Woelker",
"Sergey Kuleshov",
"V R Pascuzzi",
"Takuya Tashiro",
"Lei Zhang",
"Kenji Hamano",
"Stephen McMahon",
"A M Sickles",
"Sanghoon Lim",
"G Mchedlidze",
"William P McCormack",
"V Kitali",
"D Benchekroun",
"D A Trischuk",
"M Aleksa",
"Alexander Leopold",
"Lailin Xu",
"J A Krzysiak",
"Prajita Bhattarai",
"Ilija Vukotic",
"G Polesello",
"J Boudreau",
"Gianpaolo Carlino",
"Jannicke Pearkes",
"Maximilian Georg Herrmann",
"T Meideck",
"Matthias Wittgen",
"J Kanzaki",
"E Khramov",
"James Monk",
"Edisher Tskhadadze",
"G Gaudio",
"Michel Vetterli",
"Jiawei Wang",
"A S Chisholm",
"M Vanadia",
"Takahiko Kondo",
"Gerald Oakham",
"M Aliev",
"A Boveia",
"A R Cukierman",
"A Nisati",
"V A Kantserov",
"Charles Delporte",
"G J Bobbink",
"P Dervan",
"J S Bonilla",
"Stefania Spagnolo",
"Zhidong Zhang",
"Andreas Rummler",
"Haijun Yang",
"Douglas Davis",
"P Kluit",
"Shima Shimizu",
"Francesca Ungaro",
"Y Amaral Coutinho",
"P Wagner",
"I Nomidis",
"Andre Marc Hupe",
"M Mlynarikova",
"D G Charlton",
"C Malone",
"L Adam",
"R Les",
"M Capua",
"Christopher Ryan Anelli",
"C M Macdonald",
"P C McNamara",
"Matthew J Sullivan",
"J J Heinrich",
"Kyle James Read Cormier",
"I L Gavrilenko",
"Bowen Zhang",
"Artur Cardoso Coimbra",
"Yumeng Cao",
"G Ripellino",
"V Tudorache",
"S Jiggins",
"Hai-ping Peng",
"S Kido",
"F Balli",
"Andrew Geoffrey Foster",
"Charles Young",
"R A Vallance",
"D Su",
"E C Graham",
"Francesco Lanni",
"K Han",
"Yan-ping Huang",
"P Bellos",
"Shunsuke Adachi",
"S Gentile",
"A S Tee",
"D Zerwas",
"G Zobernig",
"Benjamin Pearson",
"S Tapia Araya",
"Martin D Sykora",
"M Spalla",
"J E Derkaoui",
"W Lampl",
"G Aielli",
"Michael Rijssenbeek",
"H K Hadavand",
"S Cabrera Urban",
"Andreas Salzburger",
"Andrew White",
"H A Gordon",
"V Vorobel",
"Paolo Calafiura",
"Adrian Bevan",
"Pavel Starovoitov",
"L Feligioni",
"V V Sulin",
"Alexander Oh",
"Saijie Chen",
"A Salnikov",
"M Muskinja",
"Kaushik De",
"Fabian Wilk",
"C Di Donato",
"L M Scyboz",
"B Chargeishvili",
"Cheng Chen",
"E E Corrigan",
"J Balz",
"T Kwan",
"M Moreno Llacer",
"Lene Kristian Bryngemark",
"A Ferrer",
"A C Schaffer",
"P Mermod",
"D Pohl",
"G Facini",
"K Reeves",
"Moritz Kiehn",
"O Bulekov",
"K S Krowpman",
"P J Hsu",
"B Dutta",
"G Bella",
"Masahiro Yamatani",
"Alexandre Rozanov",
"Tomoaki Nakamura",
"Siegfried Bethke",
"Cinzia da Via",
"T Geralis",
"E Stanecka",
"G H A Viehhauser",
"R J Hawkings",
"M P Giordani",
"P R Newman",
"J B Hansen",
"Federico Meloni",
"Fan Li",
"V A Kramarenko",
"Yu-ting Shen",
"G Crosetti",
"Stefano Manzoni",
"Anthony Eric Lionti",
"Nicolo Magini",
"V V Kostyukhin",
"Peilian Liu",
"Junjie Zhu",
"Agostino Lanza",
"Nikolai Hartmann",
"Jose Luis Munoz Martinez",
"P Podberezko",
"E V Bouhova-Thacker",
"Norman Gee",
"I Pokharel",
"Katharina Behr",
"Suen Ray Hou",
"Timothy R Andeen",
"Jozsef A Toth",
"M Bomben",
"Matthew Feickert",
"Bruce Rafael Mellado Garcia",
"Nathan Allen Grieser",
"Xin Chen",
"M Villa",
"L Ambroz",
"M G Foti",
"F G Gravili",
"J Wagner-Kuhr",
"P U E Onyisi",
"Ioannis Maniatis",
"Ruslan Mashinistov",
"B Macek",
"Bing Li",
"D Oliveira Damazio",
"A Peyaud",
"A Taffard",
"Stefan Stonjek",
"Tim Michael Heinz Wolf",
"Quanyin Li",
"J Jongmanns",
"D M Portillo Quintero",
"F Tsai",
"R Leitner",
"P Pani",
"Cedric Serfon",
"Matthew Berg Epland",
"Emily L Nurse",
"K Schmieden",
"B M Wynne",
"R Pezoa",
"A Seiden",
"Takashi Mitani",
"S Rodriguez Bosca",
"B J Rosser",
"F Fabbri",
"Marcelo Ayumu Nomura",
"R Hyneman",
"M L Ojeda",
"T Klingl",
"A N Tuna",
"G E Orellana",
"Marcos Vinicius Silva Oliveira",
"J Lorenz",
"Sebastian Mario Weber",
"Joergen Sjoelin",
"D R Shope",
"Qun Ouyang",
"Fabrizio Napolitano",
"A Glazov",
"S M Shaw",
"A Maio",
"A Santra",
"J Kretzschmar",
"R Piegaia",
"Stefano Rosati",
"Steven Patrick Alkire",
"S Sevova",
"J Dietrich",
"Gregor Kramberger",
"Tae Min Hong",
"J H Foo",
"Lydia Brenner",
"John Stakely Keller",
"Katharina Bianca Jakobi",
"Gen Kawamura",
"A Campoverde",
"J J Lozano Bahilo",
"Boping Chen",
"K Petukhova",
"D Melini",
"L Fiorini",
"Reinhild Yvonne Peters",
"S Raspopov",
"Alessandro Gabrielli",
"Kevin Thomas Bauer",
"O Brandt",
"Ke Li",
"L D Corpe",
"Janusz Chwastowski",
"B M Ciungu",
"Robert Langenberg",
"P Krieger",
"Gabriel Palacino",
"Y Abulaiti",
"A Guerguichon",
"M J Veen",
"F Schroeder",
"J Walder",
"T P A Akesson",
"G Cabras",
"S Muanza",
"C Kozakai",
"K Nagano",
"Anna Macchiolo",
"A Lounis",
"Namig Javadov",
"M Calvetti",
"Andrew Mark Wharton",
"G Bartolini",
"A Poley",
"L G Gagnon",
"Alan James Taylor",
"Guillermo Nicolas Hamity",
"David Michael South",
"L Marchese",
"P S Jackson",
"Matteo Franchini",
"A Catinaccio",
"I Korolkov",
"R Newhouse",
"I Luise",
"M S Lutz",
"N Garelli",
"K O H Vadla",
"A J Parker",
"Maurizio De Santis",
"N Scharmberg",
"Rob Roy MacGregor Fletcher",
"C Schiavi",
"Shreya Saha",
"R Nicolaidou",
"S Shirabe",
"Ren-jie Wang",
"Fabian Alexander Foerster",
"H Stenzel",
"Natalie Wieseotte",
"F Sopkova",
"B M Barnett",
"M Owen",
"C Bini",
"T Zakareishvili",
"M Donadelli",
"E Dreyer",
"S Morgenstern",
"A K Duncan",
"H L Snoek",
"Simona Serena Bocchetta",
"D L Briglin",
"Akanksha Vishwakarma",
"Elena V Plotnikova",
"Sebastian Grinstein",
"Michael Barnett",
"John Huth",
"S Ganguly",
"M Robin",
"M Pitt",
"Wolfgang Mader",
"Ehud Duchovni",
"A Sbrizzi",
"Pepijn Bakker",
"M Backes",
"Aidan Robson",
"W Fedorko",
"E D Mendes Gouveia",
"O Miu",
"Ghita Rahal",
"R J Atkin",
"M Guth",
"J Jimenez Pena",
"Flavia de Almeida Dias",
"Marco Delmastro",
"G Stoicea",
"Zhi Zhao",
"J F P Schouwenberg",
"D Mori",
"J J Junggeburth",
"M H Klein",
"R Mazini",
"Paul Nilsson",
"Sean J Wang",
"S Suchek",
"Nadav Michael Tamir",
"Javier Esteban Salazar Loyola",
"Richard Nisius",
"B Cole",
"B Vachon",
"Yi Fang",
"R J Teuscher",
"Xanthe Hoad",
"H R Nindhito",
"D Bogavac",
"M Hirose",
"S Loffredo",
"Pierre Beauchemin",
"N A Gorasia",
"M Levchenko",
"J C Burzynski",
"P S Miyagawa",
"N Fomin",
"James Howarth",
"M Bedognetti",
"N Zakharchuk",
"A A Talyshev",
"O Kivernyk",
"N B Atlay",
"D C Frizzell",
"V Dao",
"K Jon-And",
"L Serin",
"Tobias Bisanz",
"A Coccaro",
"Anjali Krishnan",
"Michael Dueren",
"Stephan Hageboeck",
"J Maeda",
"Elias Michael Ruettinger",
"Val O'Shea",
"C O Sander",
"Mauro Citterio",
"Rebecca Hayley Pickles",
"C Hayes",
"M Lokajicek",
"Y Horii",
"C Vergis",
"S Hirose",
"D Paredes Hernandez",
"M Ishino",
"C M Delitzsch",
"J Jia",
"M Myska",
"F Beisiegel",
"Yusheng Wu",
"J Beyer",
"Mathieu Benoit",
"G Azuelos",
"M Cristinziani",
"Elena Korolkova",
"B W Whitmore",
"R Gamboa Goni",
"D V Perepelitsa",
"Junichi Tanaka",
"Adam James Bozson",
"Bill Murray",
"Kenta Uchida",
"G L Alberghi",
"Evangelos N Gazis",
"D Caforio",
"S Tsuno",
"Sebastian Andreas Merkt",
"A Kastanas",
"Andreas Sogaard",
"Z A Meadows",
"A Manousos",
"J Dickinson",
"R T Roberts",
"Giulia Giannini",
"A G Goussiou",
"I Manthos",
"Yee Chinn Yap",
"Garrett Merz",
"M M Perego",
"Jos C Vermeulen",
"Christoph Amelung",
"T J A Chevalerias",
"N Hod",
"Robert Richter",
"D Price",
"Urmila Soldevila Serrano",
"A M Lory",
"J Boyd",
"Tancredi Carli",
"J Reichert",
"J R Catmore",
"B H Smart",
"P Mogg",
"Marek Palka",
"O Biebel",
"S J Gasiorowski",
"O Sasaki",
"W D Heidorn",
"Roberto Iuppa",
"Y Yamaguchi",
"W J Fawcett",
"A Cortes-Gonzalez",
"A Bandyopadhyay",
"Antonio De Maria",
"S H Abidi",
"M K Bugge",
"Xinchou C Lou",
"F Ahmadov",
"A J Armbruster",
"M Garcia-Sciveres",
"S Rajagopalan",
"Ralph Soeren Peter Mueller",
"C J E Suster",
"Rafael Teixeira de Lima",
"A Milic",
"Tommaso Lari",
"Cherifa Sabrina Amrouche",
"J Veatch",
"Adam Kaluza",
"Debottam Bakshi Gupta",
"Nishu Nishu",
"Akihiro Higashida",
"Chiara Meroni",
"Wen Guan",
"K Bierwagen",
"Q Buat",
"J G Panduro Vazquez",
"A M Deiana",
"Samuel Joseph Crawley",
"I Ravinovich",
"F Scuri",
"U Kruchonak",
"Changqiao Li",
"Wenxiao Wang",
"A Murrone",
"Johanna Lena Gramling",
"Sally Seidel",
"Luis Roberto Flores Castillo",
"B T Winter",
"B Parida",
"A Krasznahorkay",
"Koji Nakamura",
"F Gonnella",
"A Lleres",
"A P O'neill",
"D Pallin",
"Zakaria Chadi",
"R Poettgen",
"N Besson",
"Mario Jose Da Cunha Sargedas De Sousa",
"P G Scholer",
"R Ferrari",
"A G Myagkov",
"Lino Oscar Gerlach",
"D R Hlaluku",
"R Slovak",
"H Sandaker",
"C Rembser",
"Stefanie Todt",
"M Sessa",
"T Kono",
"Jens Janssen",
"James Beacham",
"A Bocci",
"D Biswas",
"Antonio Onofre",
"A E Bolz",
"O Zenin",
"L Bellagamba",
"K K Hill",
"C Merlassino",
"P Ferrari",
"N Ilic",
"Hongxuan Li",
"K Lehmann",
"L P Rossi",
"T Kaji",
"Marija Marjanovic",
"Marcin Stolarski",
"J Olszowska",
"Yun-ju Lu",
"Stan Thompson",
"E Schopf",
"V Tsulaia",
"Traian Tulbure",
"A J Beddall",
"M Michetti",
"T Seiss",
"E Lytken",
"Andrii Verbytskyi",
"D R Zaripovas",
"K W Janas",
"Nicolin Govender",
"Marko Mikuz",
"M Verducci",
"Igor Mandic",
"Alice Polyxeni Morris",
"M Bosman",
"C D Sebastiani",
"Y Sano",
"Ilkay Turk Cakir",
"V P Maleev",
"M Stankaityte",
"M Pinamonti",
"Marta Losada",
"Rob Henderson",
"M Vranjes Milosavljevic",
"L Sawyer",
"M W Krasny",
"J Hoya",
"S Prell",
"M Kolb",
"C Marcon",
"V Kukhtin",
"James E Brau",
"C P Bee",
"Mogens Dam",
"Lucia Pedraza Diaz",
"Harald Stelzer",
"T C Herwig",
"Riccardo de Asmundis",
"M Klassen",
"P Steinberg",
"Andrey A Sapronov",
"M Demichev",
"T J Burch",
"Daniele Fournier",
"P Sicho",
"Gregory Barbour",
"J Roloff",
"Alessandra Forti",
"D Sampsonidis",
"Carsten Dulsen",
"Atsuhiko Ochi",
"C Sbarra",
"K Suruliz",
"Jing Li",
"M D'Onofrio",
"Jafar Shojaii",
"Hadar Yosef Cohen",
"Dylan Perry Kisliuk",
"J Damp",
"B M Waugh",
"Dan Ping Huang",
"M Queitsch-Maitland",
"G Redlinger",
"H Meyer Zu Theenhausen",
"Mz Zhou",
"G Otero y Garzon",
"G R Gledhill",
"K A Looper",
"T Megy",
"W Buttinger",
"D Gillberg",
"V A Schegelsky",
"N P Hessey",
"Anum Qureshi",
"C Zeitnitz",
"Michael Duehrssen",
"K Lie",
"X Zhuang",
"Zijun Xu",
"M Negrini",
"I M Gregor",
"S Franchino",
"X Wu",
"G Ucchielli",
"William Dmitri Breaden Madden",
"Peter John Bussey",
"M J Basso",
"E Pasqualucci",
"F Veloso",
"C Vittori",
"S Groh",
"V E Ozcan",
"L Masetti",
"Daniel James Lewis",
"Sergey P Denisov",
"Antonio Zoccoli",
"T R V Billoud",
"J Assahsah",
"A Fell",
"Klaudia Burka",
"M Vozak",
"Alexander Fenyuk",
"A Puri",
"E Banas",
"Henrik Oppen",
"Francesco M Follega",
"P T Koenig",
"Gerald Eigen",
"I Hristova",
"A Cheplakov",
"Y Benhammou",
"M Lavorgna",
"Kristian Bjoerke",
"Christopher Blake Martin",
"Vadim Gratchev",
"A Schulte",
"E E Khoda",
"S Lai",
"Tadej Novak",
"N Benekos",
"Andreas Hoecker",
"Miguel Villaplana Perez",
"M Renda",
"A E Barton",
"A Cerri",
"Patrick Puzo",
"H Son",
"Vivek Jain",
"M Vandenbroucke",
"Nicola Venturi",
"Maria Ines Abreu Juliao Ochoa De Castro",
"Massimo Lazzaroni",
"V Cavaliere",
"E B Diehl",
"Stefan Gadatsch",
"Jan-Hendrik Arling",
"David Calvet",
"Antonella De Santo",
"Alexander Sydorenko",
"Yicong Huang",
"G Barone",
"J Ferrando",
"P Gaspar",
"M Bauce",
"T R Wyatt",
"James Pinfold",
"John A Wilson",
"M Wessels",
"N Viaux Maira",
"Z M Schillaci",
"B Abbott",
"J D Little",
"L N Smirnova",
"J Ouellette",
"O V Solovyanov",
"Hao Liu",
"A Kamenshchikov",
"K E Schleicher",
"Ariella Cattai",
"F Cirotto",
"Rui Wang",
"Patricia Ward",
"William Trischuk",
"D M Handl",
"Keisuke Yoshihara",
"V Lyubushkin",
"Huajie Cheng",
"Tomomi Kawaguchi",
"S Demers",
"F Lasagni Manghi",
"Anatoly V Borisov",
"R T Turra",
"Qing Wang",
"K Kawade",
"K Al Khoury",
"Sarah Williams",
"Zach Marshall",
"A Knue",
"A Gavrilyuk",
"I A Minashvili",
"N L Woods",
"Tadashi Maeno",
"S D Worm",
"Fairouz Malek",
"H Nanjo",
"Halina Abramowicz",
"Xueyao Zhang",
"J M P Pasner",
"Gregorio Bernardi",
"T Lagouri",
"Ruchi Gupta",
"Hubert Kroha",
"T G McCarthy",
"A S Kozhin",
"D Delgove",
"K K Heidegger",
"Stephen Albert Weber",
"Hongtao Yang",
"J J Teoh",
"Douglas M Gingrich",
"T Klioutchnikova",
"M Scornajenghi",
"Volker Buescher",
"M Schernau",
"Valerio Vercesi",
"Jonathan Jamieson",
"Andreas Honle",
"R Brock",
"Antonio Gaudiello",
"Balthasar Schachtner",
"K Bouaouda",
"Tomio Kobayashi",
"Elzbieta Richter-Was",
"Stefano De Castro",
"M Barbero",
"Rinat Fakhrutdinov",
"Alexander Mann",
"G Maccarrone",
"Sigve Haug",
"M Cavalli-Sforza",
"G Zemaityte",
"C J Potter",
"Fuquan Wang",
"Benoit Lefebvre",
"A Dattagupta",
"S Perrella",
"S Diez Cornell",
"Sourav Sen",
"W S Ahmed",
"J A Sabater Iglesias",
"A G Kharlamov",
"D Sampsonidou",
"Hans-Christian Schultz-Coulon",
"Tetsuro Mashimo",
"Yuji Yamazaki",
"P Berta",
"M P J Landon",
"K G Tomiwa",
"C A Gottardo",
"Jue Chen",
"C Clement",
"F Hubaut",
"Christopher Grud",
"E B Rye",
"L L Kurchaninov",
"A Bingul",
"D Iliadis",
"A V Anisenkov",
"Y Tu",
"Richard Batley",
"R Keeler",
"J Khubua",
"C Escobar",
"S Nemecek",
"J C Rivera Vergara",
"Zdenek Dolezal",
"M Vogel",
"Lorenzo Paolozzi",
"Karsten Koeneke",
"Frank Filthaut",
"G Borissov",
"Amal Vaidya",
"Tony Doyle",
"C Guyot",
"Gerardo Alexis Vasquez Arenas",
"Laurent Chevalier",
"Michael Kagan",
"Veronika Magerl",
"B Liberti",
"Michal Racko",
"Paola Giannetti",
"Liv Antje Mari Wiik",
"Zvi Hirsh Citron",
"O Cakir",
"Francesco La Ruffa",
"D P C Sankey",
"T Moa",
"L Poggioli",
"Bartlomiej Zabinski",
"I N Potrap",
"R E Long",
"J Llorente Merino",
"Gavin Hesketh",
"Geoffrey N Taylor",
"Mercedes Minano",
"D Cieri",
"J Fuster",
"C Arcangeletti",
"F Costanza",
"F J Munoz Sanchez",
"Ahmed Hasib",
"Chiao-ying Lin",
"Emily Marie Duffield",
"Marcus De Beurs",
"A Romaniouk",
"M Goblirsch-Kolb",
"S Parajuli",
"Liming Zhang",
"J -F Grivaz",
"Alberto Stabile",
"Stefan Kluth",
"Yi Fei Han",
"Carlos Sandoval Usme",
"Giora Mikenberg",
"M Saimpert",
"R Leone",
"S Gkaitatzis",
"Yanyun Duan",
"A Dubreuil",
"Evgenii Baldin",
"Mikhail Mineev",
"R S Orr",
"S Oerdek",
"R Schwienhorst",
"P M Gravila",
"N Makovec",
"Sergei V Chekanov",
"G Gilles",
"D Zieminska",
"Augusto Santiago Cerqueira",
"R Kehoe",
"G E Gallardo",
"M Bahmani",
"K Bachas",
"Javier Sanchez",
"V Tsiskaridze",
"Wei Wang",
"Konstantinos Nikolopoulos",
"S Calvet",
"G A Popeneciu",
"I Fleck",
"M Havranek",
"C Haber",
"O Majersky",
"E Reynolds",
"Troels C Petersen",
"Luciano Manhaes de Andrade Filho",
"O Kepka",
"B Galhardo",
"Maximilian Hils",
"Azzah Aziz Alshehri",
"Francesco Ragusa",
"M Pettee",
"Haifeng Li",
"Stephen Gibson",
"D Reikher",
"Olympia Dartsi",
"D Ta",
"Roberto Cardarelli",
"O J Winston",
"Yoshinobu Unno",
"A Koulouris",
"T J Khoo",
"A Gongadze",
"Robert McPherson",
"Giordon Stark",
"R Wolff",
"D Muenstermann",
"O Arnaez",
"J D Shahinian",
"B Yabsley",
"F Cormier",
"Francesco Crescioli",
"Andrea Dell'Acqua",
"E C Hanson",
"P Y Nechaeva",
"T Vazquez Schroeder",
"R E Ticse Torres",
"X Xiao",
"G Navarro",
"S W Ferguson",
"C Glasman",
"R Stroynowski",
"T Rashid",
"R Di Sipio",
"A M Rodriguez Vera",
"Wolfgang Walkowiak",
"Tigran Mkrtchyan",
"C Goy",
"A Khodinov",
"Theodoros Alexopoulos",
"P Seema",
"P Gadow",
"C Bernius",
"L Cerda Alberich",
"Daniel Joseph Antrim",
"Rui Miguel Faisca Rodrigues Pereira",
"P Bagnaia",
"R Vari",
"C D Burgard",
"T A Schwarz",
"V S Martoiu",
"Steven J Lee",
"J Stupak",
"Debarati Roy",
"Q Hu",
"R Moles-Valls",
"Christophe Deutsch",
"T Dado",
"A Cervelli",
"Thomas Daubney",
"N Yamaguchi",
"A Yamamoto",
"D Vannicola",
"P Francavilla",
"A Bitadze",
"Yakov Petrovich Kulinich",
"L Jeanty",
"D Scheirich",
"David Britton",
"A A Solodkov",
"P Skubic",
"S George",
"K Kroeninger",
"A Paramonov",
"E Sideras Haddad",
"M J Kareem",
"C Petridou",
"V Pleskot",
"W M Barbe",
"C Fukunaga",
"L Fayard",
"O Novgorodova",
"A Rimoldi",
"Y Kulchitsky",
"M A B Do Vale",
"N J Kang",
"M Rybar",
"C Agapopoulou",
"M Primavera",
"K Ntekas",
"D Cameron",
"I Wingerter-Seez",
"F Huegging",
"Nuno Filipe Castro",
"M Feng",
"T Wengler",
"K Karava",
"D Kar",
"J Qian",
"J Jovicevic",
"D Amidei",
"S Balaji",
"Xuan Yang",
"Ketevi Adikle Assamagan",
"Zihao Jiang",
"E P Takeva",
"H Kagan",
"H Evans",
"I A Cioara",
"N Nikiforou",
"S Rettie",
"Johann Collot",
"Sabine Lammers",
"B Giacobbe",
"A Angerami",
"J Chudoba",
"D Strom",
"Andrea Formica",
"D Schaile",
"Yoshiji Yasu",
"M Dubovsky",
"S D Walker",
"Xiaoguang Yue",
"C Anastopoulos",
"James A Mueller",
"Ulf Fredrik Mikael Martensson",
"G Gessner",
"M Spina",
"J D Bossio Sola",
"Zineb El Idrissi",
"E Zaffaroni",
"Torre J Wenaus",
"David M Malon",
"J Haley",
"F Spano",
"E D Resseguie",
"E J Thorpe",
"S Bentvelsen",
"Abhishek Sharma",
"Christopher Willis",
"M A L Leite",
"Nobuo Matsuzawa",
"A F Webb",
"Wouter Verkerke",
"V Vecchio",
"S Shrestha",
"D Hohov",
"S Meehan",
"Evangelos Kourlitis",
"C Wiglesworth",
"P F Giraud",
"Borut Paul Kersevan",
"S Wenig",
"Anthony Salvucci",
"O L Rezanova",
"Bingxuan Liu",
"Fabricio Andres Jimenez Morales",
"F He",
"B T Carlson",
"P Pralavorio",
"V Ippolito",
"Konrad Kleinknecht",
"M C Stockton",
"Tobias Kupfer",
"F Winklmeier",
"Laura Fabbri",
"Andres Ramirez Morales",
"C Camincher",
"Luca Martinelli",
"L Roos",
"Matej Kocian",
"M Testa",
"D P Spiteri",
"M N Agaras",
"C Dallapiccola",
"A Schoening",
"R Spighi",
"J Maurer",
"P Sinervo",
"S Xella",
"R Stamen",
"S A Stucci",
"R Novotny",
"M Shimojima",
"L M Veloce",
"Gabriel Demontigny",
"P J Falke",
"A Sanchez Pineda",
"D Lynn",
"S Wahdan",
"E Brost",
"M J White",
"B D Seidlitz",
"Andrzej Olszewski",
"N Vranjes",
"Lamberto Luminari",
"D Duvnjak",
"P Kodys",
"Y Tayalati",
"G Zacharis",
"Abdeslam Hoummada",
"P Fleischmann",
"Christian Bohm",
"Piotr Janus",
"T Adye",
"Shu Hui Li",
"Cheng Guang Zhu",
"A Lopez Solis",
"P Gutierrez",
"D Hirschbuehl",
"N Lorenzo Martinez",
"Y A Kurochkin",
"Shan Jin",
"G Mornacchi",
"J T Baines",
"L K Schildgen",
"Adriaan Koenig",
"Tristan Beau",
"L Asquith",
"Maria Smizanska",
"C P A Roland",
"Mattias Ellert",
"J Dingfelder",
"F Schenck",
"T Cuhadar Donszelmann",
"Z M Karpova",
"Othmane Rifki",
"R Ospanov",
"Gunnar Jakel",
"N Rompotis",
"A Soloshenko",
"J Myers",
"Carmen Maidantchik",
"E M Freundlich",
"M Rescigno",
"K Ran",
"O L Fedin",
"W H Hopkins",
"Peng Huo",
"W Wiedenmann",
"K Hanagaki",
"M Shiyakova",
"Mason Proffitt",
"M Tsopoulou",
"M J Shochet",
"E Lancon",
"K Krizka",
"Maria Jose C Costa",
"A Smykiewicz",
"Jonathan David Long",
"C Kourkoumelis",
"Jana Schaarschmidt",
"R Bielski"
],
"corpus_id": 226199371,
"doc_id": "226199371",
"n_citations": 20,
"n_key_citations": 1,
"score": 0,
"title": "Measurement of the tt production cross section and lepton differential distributions in em dilepton events from pp collisions at s 13TeV with the ATLAS detector",
"venue": "",
"year": 2020
},
{
"abstract": "A search for the $Z\\gamma$ decay of the Higgs boson, with $Z$ boson decays into pairs of electrons or muons is presented. The analysis uses proton$ $proton collision data at \\sqrt{s} 13 TeV corresponding to an integrated luminosity of 139 fb$ 1} recorded by the ATLAS detector at the Large Hadron Collider. The observed data are consistent with the expected background with a $p$ value of 1.3% An upper limit at 95% confidence level on the production cross section times the branching ratio for $pp\\to H\\to Z\\gamma$ is set at 3.6 times the Standard Model prediction while 2.6 times is expected in the presence of the Standard Model Higgs boson. The best fit value for the signal yield normalised to the Standard Model prediction is $2.0^+1.0}_{ 0.9} where the statistical component of the uncertainty is dominant.",
"author_names": [
"Georges Aad",
"A Kupco",
"T Dreyer",
"Yufeng Wang",
"Karl Jakobs",
"Brian Le",
"M Spousta",
"M Cobal",
"Peilong Wang",
"S Schmitt",
"Jaroslava Schovancova",
"A Bassalat",
"Matej Melo",
"M Shapiro",
"G Tarna",
"S Zimmermann",
"T Eifert",
"S Kuday",
"M Sioli",
"Holger Herr",
"N Bruscino",
"J Huston",
"T Sumida",
"Steven Hugh Robertson",
"R Goncalo",
"A A Snesarev",
"Dirk Duschinger",
"James Oscar Thomas",
"E Carquin",
"Y W Y Ng",
"S Crepe-Renaudin",
"J A Parsons",
"W K Balunas",
"Yu A Tikhonov",
"M K Ayoub",
"J A Aparisi Pozo",
"C Mwewa",
"David Harry Miller",
"A A Ivina",
"P Mastrandrea",
"Jan-Ulf Mjoernmark",
"W A Leight",
"Auke-Pieter Colijn",
"Liaoshan Shi",
"Michael E Nelson",
"Karel Cerny",
"J M Muse",
"Jun Yan",
"N Warrack",
"Hans Krueger",
"J Ocariz",
"Markus Nordberg",
"C Weber",
"Daniela Bortoletto",
"A J Lankford",
"Stefan Tapprogge",
"Y F Hu",
"F Parodi",
"T Masubuchi",
"D E Ferreira Lima",
"T J LeCompte",
"Shenjian Jammy Chen",
"Souad Batlamous",
"Tim Martin",
"J Poveda",
"C Roda",
"Tuan Nguyen Manh",
"M Ouchrif",
"Krzysztof Korcyl",
"T Lyubushkina",
"C Grefe",
"P Tipton",
"F F Klitzner",
"Alberto Valero",
"T Kishimoto",
"K Kawagoe",
"H Bachacou",
"Alfonso Policicchio",
"Franziska Iltzsche Speiser",
"S Zambito",
"S N Karpov",
"P Strizenec",
"Christopher Michael Lester",
"S Ketabchi Haghighat",
"Jose Garcia Navarro",
"Shuzhou Zhang",
"J Fischer",
"B Di Micco",
"Stefan Koperny",
"L Schaefer",
"C Bertella",
"Ph Schwemling",
"F Rizatdinova",
"E Meoni",
"T R Holmes",
"I G Sanderswood",
"Elena Michelle Villhauer",
"Z Hubacek",
"C Doglioni",
"Andrew Ferrante",
"L Vigani",
"Abhishek Nag",
"Pa Malecki",
"S R Maschek",
"J Stark",
"E V Yatsenko",
"P Gessinger-Befurt",
"M Kuze",
"B H Hooberman",
"S Carra",
"K Pachal",
"David Costanzo",
"M J Fenton",
"Jesse Liu",
"Alexei Klimentov",
"Simone Pagan Griso",
"T B Huffman",
"Hongbin Liu",
"T Kuhl",
"G Gustavino",
"Mateusz Dyndal",
"F An",
"M Antonelli",
"B Malaescu",
"Ali Skaf",
"D K Abhayasinghe",
"K Grimm",
"D Zanzi",
"Sundeep Singh",
"Ian Brock",
"V R Bailey",
"A Ezhilov",
"S Y Andrean",
"Takafumi Kodama",
"J Masik",
"Alena Loesle",
"L Adamek",
"L Barak",
"Dominique Godin",
"Giuseppe Iacobucci",
"Evgeny Shulga",
"B Gorini",
"J Heilman",
"D Zhong",
"John Butler",
"H Fox",
"S Grancagnolo",
"Hok Chuen Cheng",
"Christopher Andrew Garner",
"S A Olivares Pino",
"N Madysa",
"Gregory Hallewell",
"L Franconi",
"L A Horyn",
"D Fassouliotis",
"Yuqiang Liu",
"Alessandro Tricoli",
"M Dumancic",
"H Iwasaki",
"M Kuna",
"Michele Faucci Giannelli",
"B Stapf",
"T Cao",
"V Ellajosyula",
"I Pogrebnyak",
"F G Diaz Capriles",
"E Antipov",
"J Faltova",
"Z C Yang",
"Yu Him Justin Chiu",
"Wen Guo",
"Stewart Patrick Swift",
"E Lipeles",
"Benedikt Bergmann",
"M J Oreglia",
"E Drechsler",
"K Einsweiler",
"Fernando Monticelli",
"S Giagu",
"E Kneringer",
"B Freund",
"H Duran Yildiz",
"Daniel Whiteson",
"K Shaw",
"Yingchun Zhu",
"Marian Benedikt Rendel",
"Nicolo Vladi Biesuz",
"J Terron",
"D S Nielsen",
"M Ghasemi Bostanabad",
"V Castillo Gimenez",
"T Barillari",
"T J Neep",
"Francesco Peri",
"P J Clark",
"T Vafeiadis",
"K Vorobev",
"Julius Hrivnac",
"A Bethani",
"Takuto Kunigo",
"Alexander A Grillo",
"Stefano Camarda",
"T Dias Do Vale",
"R Kopeliansky",
"M Swiatlowski",
"N P Konstantinidis",
"Osamu Jinnouchi",
"Hartmut Sadrozinski",
"Vassili Kazanin",
"E L Barberio",
"D L Noel",
"Kerstin Tackmann",
"Dorel Pietreanu",
"L Duflot",
"A Khanov",
"Yuya Kano",
"D Camarero Munoz",
"J Zahreddine",
"M R Sutton",
"Yohei Noguchi",
"L Zivkovic",
"L Dell'Asta",
"Veronica Wallangen",
"K Abeling",
"M G Vincter",
"G Herten",
"V Nikolaenko",
"D Kirchmeier",
"C C Chau",
"Alessandro Di Girolamo",
"Nicola Abraham",
"Vladislavs Plesanovs",
"Markus Elsing",
"Cong Geng",
"K Mochizuki",
"A Di Ciaccio",
"B Burghgrave",
"A N Fray",
"P Massarotti",
"Lorenzo Rossini",
"Sina Baluch Bahrasemani",
"C J McNicol",
"G Di Gregorio",
"F Corriveau",
"K Tariq",
"G R Gonzalvo Rodriguez",
"I Bloch",
"Karel Smolek",
"R Brenner",
"P S Ott",
"Ioannis Maniatis",
"Ana Rosario Cueto Gomez",
"G Marceca",
"B A Petersen",
"V Solovyev",
"S Gonzalez-Sevilla",
"A E Jaspan",
"P Schacht",
"Andrea Negri",
"S M Farrington",
"Michael F Ziolkowski",
"Vladimir Cindro",
"P Sommer",
"A A Minaenko",
"X Ruan",
"Pasquale Fabrizio Salvatore",
"A Lipniacka",
"B Mansoulie",
"Yang Qin",
"Charles Leggett",
"J W Cowley",
"P Buchholz",
"K Zoch",
"Zuzana Blenessy",
"Joseph Earl Lambert",
"Claudio Ferretti",
"D Biedermann",
"J Kroll",
"E M Shrif",
"Z Uysal",
"A Behera",
"Hector de la Torre",
"C E Leitgeb",
"F Tresoldi",
"Siinn Che",
"Susumu Oda",
"Christian A Gutschow",
"T A Ruggeri",
"Masa-hiko Saito",
"J Stupak",
"D P Mungo",
"Joost Vossebeld",
"M M Czurylo",
"Joshua Moss",
"Monica Dunford",
"Robin Middleton",
"Kyungeon Choi",
"S Harkusha",
"Puja Saha",
"Julia Hrdinka",
"Rainer Roehrig",
"Hiroshi Sakamoto",
"E Hansen",
"Matt Zhang",
"A J Bailey",
"M Biglietti",
"Samuel David Jones",
"Chen Wang",
"T Jakoubek",
"L Marcoccia",
"Simon H Connell",
"Alessandra Doria",
"Hoang Dai Nghia Nguyen",
"Matthias Danninger",
"C Blocker",
"S Istin",
"E W Varnes",
"Jorn Dines Hansen",
"M Ghneimat",
"G Iakovidis",
"Attilio Picazio",
"C J Treado",
"G Jarlskog",
"Koichi Nagai",
"Yi Chen",
"Wainer Vandelli",
"Tae Hyoun Park",
"Alessandro De Salvo",
"A Kourkoumeli-Charalampidi",
"Yang Heng",
"F Sohns",
"P B Shatalov",
"Y Smirnov",
"Stephanie Majewski",
"K Sliwa",
"Jesus Guerrero Rojas",
"Philip Bechtle",
"Miguel C N Fiolhais",
"F H Phillips",
"Fumiaki Ito",
"F Ukegawa",
"T Guillemin",
"E Winkels",
"J J Kempster",
"Aishik Ghosh",
"Shuo Han",
"I Maznas",
"M Wobisch",
"K Augsten",
"Jean-pierre Ochoa",
"E Le Guirriec",
"N L Belyaev",
"A Ryzhov",
"D Moreno",
"G Usai",
"P O Deviveiros",
"Mahran Shehade",
"Marcel Stanitzki",
"L J Wilkins",
"A N Tuna",
"A Pacheco Pages",
"M Begel",
"G T Forcolin",
"Yongsung Kim",
"L Morvaj",
"C D Burton",
"Michele Weber",
"T Heim",
"A Rej",
"K Belotskiy",
"V W S Wong",
"Shuaiyan Kang",
"C Agheorghiesei",
"H A Pacey",
"R M D Carney",
"R Jansky",
"A Kotsokechagia",
"A Undrus",
"B L Stamas",
"Michael William O'Keefe",
"Julia Mariana Iturbe Ponce",
"D Boscherini",
"C G Zhu",
"D R Tovey",
"N Semprini-Cesari",
"P Fassnacht",
"K D Finelli",
"B Brickwedde",
"A Matic",
"Claire David",
"Lukasz Zwalinski",
"M J Alconada Verzini",
"T J Stevenson",
"Jie Yu",
"D Boerner",
"Lukas Heinrich",
"G Rovelli",
"C Troncon",
"Francesco Guescini",
"J A Garcia Pascual",
"Chunhui Chen",
"S Menke",
"I Van Vulpen",
"E Shabalina",
"G Unal",
"Robert W Gardner",
"A Fehr",
"Yu Zhang",
"S Kazakos",
"Masahiro Morii",
"Andrea Sciandra",
"Zhiqin Zhang",
"S Xella",
"R Iguchi",
"L Flores",
"Gabriele Chiodini",
"Alessandro Caltabiano",
"Jun Guo",
"J L Gonski",
"Andrea Gabrielli",
"E Akilli",
"T Klapdor-Kleingrothaus",
"Liang Li",
"R D Schamberger",
"Ariel Schwartzman",
"Shahzad Ali",
"L Aperio Bella",
"Frederik Ruehr",
"H Hibi",
"Are Sivertsen Traeet",
"L Mijovic",
"H Potti",
"S Snyder",
"U Blumenschein",
"Peter Maettig",
"M Javurkova",
"C Kitsaki",
"E Tzovara",
"M Tasevsky",
"F Pasquali",
"Andreas Kirchhoff",
"Carlos Solans",
"J Kvita",
"T Klingl",
"H Imam",
"Benjamin William Allen",
"Tomohiro Yamazaki",
"Robert Francis Hunter",
"A R Weidberg",
"M Zaazoua",
"Yoji Hasegawa",
"Y Takubo",
"M Huhtinen",
"A E Kiryunin",
"Andrew Beddall",
"N Kimura",
"S Amoroso",
"L Barranco Navarro",
"L Serkin",
"Dengfeng Zhang",
"I Gkialas",
"S Yu Smirnov",
"M Haleem",
"D Froidevaux",
"Babar Ali",
"Feng Lyu",
"Dmitry Emeliyanov",
"Andrej Filipcic",
"S Kuehn",
"Mario Lassnig",
"T Pauly",
"G J Ottino",
"Antonia Struebig",
"Isabel Nitsche",
"B K Wosiek",
"Yizhou Liu",
"Sara Strandberg",
"A N Karyukhin",
"Y Delabat Diaz",
"A Mizukami",
"L Pontecorvo",
"A Jinaru",
"D Varouchas",
"Teresa Lenz",
"John Michael Butterworth",
"Andreas Korn",
"M Ridel",
"K Todome",
"Geoffrey Mullier",
"A Kurova",
"E Rossi",
"Kun Liu",
"A Ouraou",
"A Dudarev",
"Kazuhiko Hara",
"M P Heath",
"Shota Suzuki",
"Tomoyuki Saito",
"C C Ohm",
"N Ozturk",
"M Khader",
"L Cerrito",
"R Astalos",
"J Barkeloo",
"H G Wilkens",
"Eram Rizvi",
"E Gramstad",
"Tim Jones",
"Vaclav Vrba",
"T Hryn'ova",
"L K Gladilin",
"M Ronzani",
"E Yigitbasi",
"A G Borbely",
"Xiaoguang Yue",
"S Ye",
"Yasuhiro Makida",
"A P Pereira Peixoto",
"C Alpigiani",
"Eleonora Rossi",
"O Stelzer-Chilton",
"N W Shaikh",
"T Zenis",
"Georgios Stavropoulos",
"I Riu",
"F Cardillo",
"K K Gan",
"T Swirski",
"K E Kennedy",
"T Harenberg",
"Guy Rosin",
"S Tokar",
"F Derue",
"M Maerker",
"Steffen Staerz",
"Tulin Varol Mete",
"K Brendlinger",
"G D'amen",
"Alexander Melzer",
"S Terzo",
"George Victor Andrei",
"E M Lobodzinska",
"J Tojo",
"E B F G Knoops",
"Michael Tuts",
"E Skorda",
"J H Lindon",
"K Cheung",
"Daniele De Pedis",
"A Giannini",
"L D'Eramo",
"David Philip John Lack",
"O Bessidskaia Bylund",
"Zulit Paola Arrubarrena Tame",
"Eric Buschmann",
"G Panizzo",
"C Nellist",
"M C Hodgkinson",
"M Alhroob",
"A J Barr",
"J C -L Tseng",
"J Wuerzinger",
"H P Beck",
"Katharine Leney",
"Jinlong Zhang",
"F Becherer",
"Joseph William Carter",
"Paolo Camarri",
"Maia Mosidze",
"S Dysch",
"R Staszewski",
"Roger Felipe Naranjo Garcia",
"Elena Rocco",
"A Milov",
"Vincenzo Izzo",
"L Pizzimento",
"J M Izen",
"J P Rutherfoord",
"Lianliang Ma",
"Harry Lyons",
"N Themistokleous",
"O Kuchinskaia",
"Z J Grout",
"Reiner Hauser",
"G Avolio",
"J K R Meshreki",
"G Alimonti",
"Yi-lin Yang",
"D J Mahon",
"M Belfkir",
"T Kawamoto",
"Leonardo Merola",
"C Wanotayaroj",
"T Berry",
"P Sabatini",
"C Debenedetti",
"Yun Sang Chow",
"D Melini",
"Raimund Stroehmer",
"A Ferrari",
"T M Liss",
"D Dodsworth",
"Marie Christine Lanfermann",
"Luc Goossens",
"M Vos",
"Ph Schune",
"M Tomoto",
"Shaun Roe",
"N Proklova",
"M Aoki",
"Y Nagasaka",
"Christopher John Young",
"M Geisen",
"C J Birch-sykes",
"P A Gorbounov",
"P Moschovakos",
"R M Jacobs",
"Valentina Maria Martina Cairo",
"G Chiarelli",
"S Hellesund",
"C Bakalis",
"J Dopke",
"I Hinchliffe",
"R Bi",
"A L Heggelund",
"Cameron James Simpson-allsop",
"A V Akimov",
"Stavros Maltezos",
"Armin Nairz",
"Jens Weingarten",
"Riccardo Maria Bianchi",
"S Amor dos Santos",
"Paul de Jong",
"Marco Valente",
"S Heer",
"Serena Palazzo",
"A T Vermeulen",
"D Ferrere",
"I Carli",
"H Oide",
"C Luci",
"M Bindi",
"S Palestini",
"Thomas Naumann",
"O Kuprash",
"Thomas Lohse",
"C Seitz",
"Shigeru Odaka",
"R Narayan",
"Philipp Jonathan Losel",
"Rosanna Ignazzi",
"M G Alviggi",
"L S Kaplan",
"A Liblong",
"A A Myers",
"Tony Affolder",
"B Martin dit Latour",
"J E Pilcher",
"G Salamanna",
"Takahiro Fusayasu",
"A Ventura",
"Dan Shaked",
"Songkyo Lee",
"Anna Kaczmarska",
"Karl Heinz Hiller",
"Tomas Davidek",
"M Wielers",
"Graeme Andrew Stewart",
"Yichen Li",
"A Lapertosa",
"L Tompkins",
"G Rybkin",
"D Orestano",
"M Iodice",
"Nico Giangiacomi",
"G Halladjian",
"J R Dandoy",
"Carmen Garcia",
"H L Russell",
"M I Gostkin",
"J D Mellenthin",
"C Santoni",
"A M Soukharev",
"Lawrence Lee",
"D Levin",
"Ava Chloe Audrey Lee",
"S Jezequel",
"R Yuan",
"K Grevtsov",
"Pierre Petroff",
"P Tornambe",
"T T Rinn",
"R Kowalewski",
"S Simsek",
"Maria Roberta Devesa",
"C M Hawkes",
"Zhi Zheng",
"D M Koeck",
"D Turgeman",
"V Hedberg",
"Christopher Meyer",
"L S Borgna",
"S Turchikhin",
"F Prokoshin",
"A Soffer",
"R Tanaka",
"A D Pilkington",
"S Wada",
"Arnulf Quadt",
"A L Maslennikov",
"S Higashino",
"S Gargiulo",
"B Stelzer",
"T Poulsen",
"E J Schioppa",
"F Anulli",
"Alessandro Rocchi",
"A Lucotte",
"Ioulian Budagov",
"Laura Gonella",
"E Fullana Torregrosa",
"Stefan Simion",
"C Kahra",
"Pippa S Wells",
"M Fanti",
"R Simoniello",
"Kosuke Takeda",
"J Kendrick",
"Tobias Golling",
"A Pathak",
"Hai Jiang",
"P H Hansen",
"K Lohwasser",
"E K U Gross",
"Rainer Bartoldus",
"I Naryshkin",
"S V Zeissner",
"U Klein",
"Herman ten Kate",
"Y Enari",
"V Kouskoura",
"L Carminati",
"Weiming Yao",
"Haoshuang Ji",
"Jovan Mitrevski",
"Serban Constantinescu",
"Carlos Garcia Argos",
"Bojan Hiti",
"S M Mazza",
"V Polychronakos",
"D P Yallup",
"R Poggi",
"P Pasuwan",
"F Ellinghaus",
"M Kobel",
"Oliver Kortner",
"Robin L Hayes",
"Steven Goldfarb",
"A Bellerive",
"V S Lang",
"E Ladygin",
"Joao Victor da Fonseca Pinto",
"S Mehlhase",
"Michael Adersberger",
"Simone Campana",
"L Meng",
"J Mamuzic",
"I N Aleksandrov",
"G Introzzi",
"J Kirk",
"Luis Hervas",
"M Janus",
"Martin Stegler",
"Alberto Annovi",
"P Staroba",
"M Mikestikova",
"T A Kharlamova",
"E F McDonald",
"Jian Cong Zeng",
"D Tsybychev",
"Graham Richard Lee",
"F Alonso",
"Tomas Blazek",
"C P Hays",
"Mikel Eukeni Pozo Astigarraga",
"C Sawyer",
"R E Owen",
"Pawel Bruckman de Renstrom",
"I A Bertram",
"Dmitriy Maximov",
"Andreas Reiss",
"C Lacasta",
"Manuel Neumann",
"P Iengo",
"Hulin Wang",
"J Elmsheuser",
"P Morettini",
"X Ai",
"L F Oleiro Seabra",
"Kyle Cranmer",
"D Giugni",
"K Lin",
"Guy Koren",
"C Scharf",
"A Bayirli",
"A Aggarwal",
"V Canale",
"J C Schmoeckel",
"P Teixeira-Dias",
"Alexander S Basan",
"S Falke",
"Mengran Li",
"Helena Lefebvre",
"S Pataraia",
"Ioannis Xiotidis",
"Shih-Chieh Hsu",
"D Schaefer",
"F Pastore",
"I M Snyder",
"A Laurier",
"Krzysztof W Wozniak",
"N P Readioff",
"C Antel",
"Stefan Erich Von Buddenbrock",
"Joern Christian Lange",
"A Alfonsi",
"M C Kruse",
"Alfred Goshaw",
"J I Djuvsland",
"S Biondi",
"Felix Rauscher",
"D Pudzha",
"J Donini",
"Bernhard Meirose",
"R Hankache",
"Pierfrancesco Butti",
"Thomas Peiffer",
"Y Nakahama",
"J F Laporte",
"P Calfayan",
"N A Asbah",
"Oleg Meshkov",
"C Alexa",
"B Reynolds",
"Thomas Dennis Powell",
"S Trincaz-Duvoid",
"C Varni",
"Z Xu",
"T P Calvet",
"C Gray",
"S Protopopescu",
"F Cerutti",
"I Koletsou",
"G Mancini",
"C Schmitt",
"Bo Li Liu",
"S Rajagopalan",
"J Bracinik",
"S D Lawlor",
"M Boonekamp",
"Marcel Weirich",
"M Sandhoff",
"Antonio Ereditato",
"Tim Herrmann",
"Thanawat Asawatavonvanich",
"A Gomes",
"D Kelsey",
"W C Fisher",
"A Held",
"Amir Farbin",
"M Rotaru",
"T Flick",
"M Raymond",
"A M Burger",
"Matthew Glenn Kurth",
"F Braren",
"Horst Severini",
"Aidan Grummer",
"A Farilla",
"M Sahinsoy",
"Carlos Josue Buxo Vazquez",
"P Balek",
"S Adorni",
"Bertrand Laforge",
"Gregory Barbour",
"J L Nagle",
"P J Windischhofer",
"L Valery",
"N Morange",
"H Santos",
"Richard Bates",
"H Ye",
"J H Rawling",
"P Johansson",
"E Tagiev",
"M Spangenberg",
"V Sinetckii",
"D Scheirich",
"J D Chapman",
"R Goncalves Gama",
"S Hyrych",
"Jonathan Shlomi",
"S Barsov",
"M Della Pietra",
"Farkhad Khalil-zada",
"Mario Sannino",
"S Akatsuka",
"Omer Ogul Oncel",
"B M Barnett",
"Luiz Pereira Caloba",
"E Monnier",
"Jose Salt",
"K Zhukov",
"U Mallik",
"Alison Lister",
"M Pedersen",
"S Sottocornola",
"A Montalbano",
"E Nagy",
"Rui Zhang",
"M R Yexley",
"L Pascual Dominguez",
"Matthew Henry Klein",
"C Leonidopoulos",
"E Petit",
"D S Bhattacharya",
"Lluis M Mir",
"Laura Perini",
"D Sammel",
"G Callea",
"Umar Gul",
"X Lou",
"A T H Arce",
"A Miucci",
"G I Dyckes",
"J Machado Miguens",
"Miaoran Lu",
"D Madaffari",
"C Gemme",
"Bart Hommels",
"S Leone",
"Marc-Andre Pleier",
"V Chiarella",
"Peter William Phillips",
"Haicheng Wang",
"M Przybycien",
"Cigdem Issever",
"A Trofymov",
"C Grieco",
"O Rohne",
"J R Bensinger",
"A Marzin",
"A Bruni",
"T Farooque",
"Tomas Komarek",
"B Dong",
"Klaus Desch",
"J A Frost",
"Christian Weiser",
"Andy Buckley",
"G Unel",
"C Kato",
"E L Gkougkousis",
"I Gnesi",
"Giovanni Marchiori",
"S Willocq",
"F L Castillo",
"R A Mina",
"M Ikeno",
"M E Vasile",
"Christian Johnson",
"O Sidiropoulou",
"Marco Battaglia",
"P Klimek",
"T M Carter",
"Yanlin Liu",
"I Chiu",
"Y Afik",
"V Morisbak",
"C W Kalderon",
"C E Pandini",
"N Brahimi",
"E Kajomovitz",
"Andrew G Clark",
"Heinz Pernegger",
"Russell James Turner",
"Zhijun Liang",
"D M Rauch",
"F A Di Bello",
"H Wolters",
"Zhelun Li",
"Dan Andrei Ciubotaru",
"I Vivarelli",
"F Nechansky",
"Madalyn Ann McKay",
"Alessandro Guida",
"J C Grundy",
"Frank Fiedler",
"Gang Zhang",
"J Adelman",
"A Bogdanchikov",
"T Dai",
"Carlos Miguel Vergel Infante",
"G Gaycken",
"Edgar Kellermann",
"W Taylor",
"S J Dittmeier",
"Koji Terashi",
"D Della Volpe",
"G Carratta",
"B M Flierl",
"S Yu Sivoklokov",
"M Faraj",
"Ester Ricci",
"Emily Anne Thompson",
"Han Cui",
"P C F Glaysher",
"T Buanes",
"V Zhulanov",
"M Franklin",
"J Del Peso",
"Alessandro Polini",
"J A Kremer",
"Maosen Zhou",
"Zhen Yan",
"E Torro Pastor",
"L Rustige",
"T Trefzger",
"G Bruni",
"B Batool",
"G Cowan",
"A Armstrong",
"A Zhemchugov",
"Francisco Anuar Arduh",
"Dmitry Golubkov",
"J R Pater",
"D Vazquez Furelos",
"Carolina Michel Robles Gajardo",
"C Paraskevopoulos",
"B Ravina",
"M Dobre",
"S J Hillier",
"Susumu Terada",
"D Robinson",
"O E Vickey Boeriu",
"Norbert Wermes",
"J Love",
"F Neuhaus",
"S Hayashida",
"A A Geanta",
"Thomas Beermann",
"S Hellman",
"M T Dova",
"H Jivan",
"Chi Wing Ng",
"Yicheng Guo",
"E Yu Soldatov",
"W S Chan",
"A Kotwal",
"P Jacka",
"Emily Ann Smith",
"Koji Sato",
"P Urquijo",
"V Kartvelishvili",
"L Massa",
"Alevtina Shmeleva",
"M Safdari",
"B S Peralva",
"C Valderanis",
"J Jejelava",
"S J Haywood",
"F Thiele",
"O Estrada Pastor",
"D Lellouch",
"S Schaepe",
"Olaf Nackenhorst",
"A Ambler",
"S Francescato",
"A Hrynevich",
"Rodney Walker",
"S Argyropoulos",
"D Salvatore",
"H Okawa",
"A D'onofrio",
"M P Casado",
"L Di Ciaccio",
"R Rosten",
"F Deliot",
"C Lampoudis",
"Kaili Zhang",
"K F Di Petrillo",
"B S Dziedzic",
"Chen Zhou",
"Jean-Pierre Meyer",
"A Canesse",
"Attilio Andreazza",
"K Prokofiev",
"Marco Bruschi",
"Sebastian Mergelmeyer",
"Ivan Lopez Paz",
"E S Haaland",
"Salvador Marti i Garcia",
"Yi Jiang",
"I M Trigger",
"K O'Connor",
"J Novak",
"Joseph Peter Kinghorn-taenzer",
"Monika Mittal",
"S Falciano",
"Matthias Schott",
"J K Anders",
"L Beresford",
"Farida Fassi",
"S Yacoob",
"Francesco Lacava",
"G F Tartarelli",
"M Hrabovsky",
"Juraj Smiesko",
"A Wolf",
"M Khandoga",
"K E Varvell",
"Farid Ould-Saada",
"Libor Nozka",
"C Wiel",
"Siyuan Sun",
"R Soualah",
"G Giugliarelli",
"Wenhao Xu",
"O Igonkina",
"Timothee Theveneaux-Pelzer",
"C Tosciri",
"J Albert",
"Charlie Pitman Donaldson",
"A T Watson",
"Yasuyuki Okumura",
"Reda Tafirout",
"N Calace",
"L J Bergsten",
"R Di Nardo",
"Marcus De Beurs",
"G Sciolla",
"M Reale",
"M Svatos",
"K Lantzsch",
"A M Cooper-Sarkar",
"A Dimitrievska",
"H J Lubatti",
"Dhiman Chakraborty",
"M Errenst",
"B A Schumm",
"D Krasnopevtsev",
"P Zhao",
"R A Linck",
"L Iconomidou-Fayard",
"Dario Barberis",
"Yanwen Liu",
"Tomas Javurek",
"Kentaro Uno",
"M Romano",
"C R Kilby",
"William Brooks",
"Nils Krumnack",
"L Guan",
"J Pacalt",
"S R Paredes Saenz",
"P Vokac",
"B S Acharya",
"John Hill",
"Ahmed Tarek Abouelfadl Mohamed",
"C Adam Bourdarios",
"Renat Sadykov",
"O S AbouZeid",
"R Polifka",
"G Pownall",
"H El Jarrari",
"Keith Baker",
"Qi Li",
"P Loch",
"Xiang-Ting Meng",
"F Safai Tehrani",
"Weimin Song",
"A E Dumitriu",
"Jack Joseph Hall",
"Heather M Gray",
"Michael Strauss",
"B Safarzadeh Samani",
"D Pyatiizbyantseva",
"E A Starchenko",
"F Backman",
"Yuji Minegishi",
"B Brau",
"T Vickey",
"P D Thompson",
"A V Vaniachine",
"E J W Moyse",
"J P Biswal",
"Jorn Grosse-Knetter",
"A Ogrodnik",
"Joseph Patton",
"Yanyun Duan",
"B Moser",
"K C Tam",
"A Straessner",
"E J Gallas",
"E Cheremushkina",
"M F Daneri",
"Andrea Baroncelli",
"C D Booth",
"E Thomson",
"S Gurbuz",
"W Kozanecki",
"S Calvente Lopez",
"Hong Ma",
"S N Santpur",
"Wei Ding",
"M H Genest",
"Oxana Smirnova",
"R Hulsken",
"Bjarne Stugu",
"M Liberatore",
"Pablo S Rivadeneira",
"Kevin Hildebrand",
"M Gouighri",
"A K Kvam",
"E Tolley",
"F Jeanneau",
"Sau Lan Wu",
"Stefan Rave",
"N A Styles",
"S J Sekula",
"N Lehmann",
"A Laudrain",
"Susanne Kersten",
"N Smirnov",
"J-B de Vivie de Regie",
"Ryuichi Sawada",
"Dai Kobayashi",
"Y Shimogama",
"M Lefebvre",
"Ondrej Penc",
"Elio Alunno Camelia",
"Mario Campanelli",
"Yoichi Ninomiya",
"C Becot",
"Tomasz Bold",
"D Lacour",
"D Su",
"Markus Schumacher",
"Saverio D'Auria",
"Michael Glenn Eggleston",
"P Bartos",
"D Du",
"Lucia Castillo Garcia",
"H Herde",
"A C Dudder",
"I Siral",
"C Padilla Aranda",
"Andrej Gorisek",
"M Alvarez Estevez",
"Ankita Mehta",
"B Achkar",
"Yaquan Fang",
"F L Lucio Alves",
"Ian Peter Joseph Shipsey",
"Vincent Garonne",
"A Duperrin",
"A Durglishvili",
"A Emerman",
"B Lund-Jensen",
"Maike Christina Hansen",
"C M Gee",
"Ligang Xia",
"T Nobe",
"Konstantinos Papageorgiou",
"A Perrevoort",
"Silvia Resconi",
"L Truong",
"Shlomit Tarem",
"Craig Buttar",
"J Geisen",
"M Nessi",
"N Huseynov",
"S Mohapatra",
"Chase Shimmin",
"Claude Leroy",
"Patrick Moriishi Freeman",
"M F Watson",
"T Scanlon",
"J T P Burr",
"Mario Martinez Perez",
"A S Mete",
"D Derendarz",
"Andrew White",
"K H Mankinen",
"F Krieter",
"K Beloborodov",
"J A Valls Ferrer",
"Shawn Patrick Mc Kee",
"B Roland",
"Pavel Tsiareshka",
"L Sabetta",
"N I Zimine",
"A Renardi",
"Maria Smizanska",
"Wen Yi Song",
"You Zhou",
"C Gwenlan",
"Weitao Wang",
"Juergen Dr Thomas",
"H Wahlberg",
"S V Peleganchuk",
"K Gregersen",
"J Magro",
"Jiang-Xing Chen",
"Thomas Daniel Weston",
"R B Nickerson",
"J Wollrath",
"S Angelidakis",
"Xiaotian Liu",
"Peter Jenni",
"J Crane",
"C Moreno Martinez",
"S Sevova",
"A Warburton",
"A Blue",
"C Diez Pardos",
"H M Borecka-Bielska",
"P Reznicek",
"Hicham Atmani",
"D C O'Neil",
"G Darbo",
"X Chu",
"N E Pettersson",
"Marco Rimoldi",
"H Kurashige",
"H Hamdaoui",
"V A Bednyakov",
"J L Oliver",
"P Bokan",
"Greg Myers",
"J Urban",
"R Takashima",
"H C Beck",
"F Barreiro",
"D Sperlich",
"F Hinterkeuser",
"H Abreu",
"Michael Huebner",
"Jerome Samarati",
"Boyang Li",
"M Kaneda",
"J M Hays",
"M V Chizhov",
"V Fabiani",
"Santiago Gonzalez de la Hoz",
"Yanyan Gao",
"Alessandra Ciocio",
"H Mildner",
"Yanhui Ma",
"T Nitta",
"Xiangyang Ju",
"K Asai",
"R Gugel",
"Chenliang Wang",
"D M Mac Donell",
"S Valentinetti",
"C Feng",
"P Horn",
"F Petrucci",
"F Vazeille",
"M J R Olsson",
"T S Lau",
"B Heinemann",
"Shih-Chang Lee",
"Fabrizio Alfonsi",
"P Tas",
"S Mobius",
"Emre Celebi",
"V D'Amico",
"S Tisserant",
"V A Mitsou",
"A Camplani",
"M Hamer",
"K A Johns",
"Kazuki Yajima",
"Ondrej Hladik",
"Krisztian Peters",
"D A DeMarco",
"E Cheu",
"Uli Schaefer",
"Dmitri Dedovich",
"Philippe Farthouat",
"L Helary",
"G Frattari",
"Alan Kahn",
"Albert Olariu",
"R A Creager",
"S Hirose",
"Reina Coromoto Camacho Toro",
"Horst Oberlack",
"Toyonobu Okuyama",
"U Landgraf",
"A S Bell",
"M Schioppa",
"Ziyu Guo",
"Hucheng Chen",
"A Buzatu",
"M Marcisovsky",
"Ming-chung Chu",
"Jingbo Ye",
"H S Hayward",
"T G Zorbas",
"T Seiss",
"Juerg Beringer",
"E M Farina",
"A Linss",
"Hugh H Williams",
"Albert Francis Casha",
"L Rinaldi",
"D M S Sultan",
"S Bressler",
"Giovanna Lehmann Miotto",
"C Papadatos",
"P Czodrowski",
"A L Steinhebel",
"D Cinca",
"T R Van Daalen",
"K Iordanidou",
"F Dittus",
"L Henkelmann",
"S Hassani",
"S Popa",
"Juan Antonio Aguilar Saavedra",
"Aaron White",
"V Croft",
"Eunchong Kim",
"C M Delitzsch",
"M Livan",
"T Djobava",
"T Holm",
"Massimo Corradi",
"Ladislav Chytka",
"C Klein",
"G Gagliardi",
"Stephen Gibson",
"A I Mincer",
"David Rousseau",
"M Grandi",
"Alexander L Read",
"Enrico Tassi",
"Iwona Grabowska-Bold",
"Peter Love",
"S Alderweireldt",
"T Pham",
"H Arnold",
"A Trzupek",
"Bruce Rafael Mellado Garcia",
"Yesenia Hernandez Jimenez",
"M Hance",
"L Portales",
"L Longo",
"Markus Atkinson",
"Paul Harrison",
"P Murin",
"E Bergeaas Kuutmann",
"Konstantinos Kordas",
"Yoichi Ikegami",
"P Conde Muino",
"H Otono",
"Johannes Erdmann",
"S Kortner",
"Wenxiao Wang",
"G Brooijmans",
"E Nibigira",
"S A Cetin",
"C Rizzi",
"V S Bobrovnikov",
"G Spigo",
"Fabrizio Trovato",
"A K Morley",
"J Montejo Berlingen",
"S Guindon",
"N Andari",
"L Schoeffel",
"A Hadef",
"Sascha Caron",
"J Hejbal",
"M LeBlanc",
"A S Drobac",
"A Marantis",
"F Sauerburger",
"R Zou",
"Felix Buehrer",
"Marumi Kado",
"C Bittrich",
"Anne Kathrin Becker",
"Nicolas Maximilian Koehler",
"M Talby",
"Hao Zhou",
"J-F Arguin",
"S Richter",
"R Tombs",
"Benedict Allbrooke",
"Pierre Savard",
"Martin Barisits",
"Cheuk Yee Lo",
"Marcin Wolter",
"F Bauer",
"David Berge",
"Sergei Malyukov",
"T Ekelof",
"Eduardo Valdes Santurio",
"Federico Scutti",
"A Petridis",
"F Dachs",
"Max Fredrik Isacson",
"D Benjamin",
"Karolos Potamianos",
"N Orlando",
"Panagiotis Gkountoumis",
"C Allaire",
"Roger L Jones",
"E Vilucchi",
"M Escalier",
"Magdalena Slawinska",
"Verena Martinez Outschoorn",
"S Bruno",
"G Gonella",
"A Vallier",
"Fred Luehring",
"E Pianori",
"S Sacerdoti",
"A C Martyniuk",
"M Holzbock",
"Peter John Bussey",
"M Trzebinski",
"M Cano Bret",
"N Berger",
"Thomas Maier",
"Daniel Guest",
"D Hayden",
"G Duckeck",
"D Duda",
"Y Rozen",
"Savannah Thais",
"Jun Gao",
"Gueorgui Chelkov",
"L Pezzotti",
"M Gignac",
"Rimsky Rojas",
"J G Saraiva",
"M S Neubauer",
"Hanlin Xu",
"J Roggel",
"Steven Schramm",
"M Vreeswijk",
"E Gorini",
"Jose Manoel de Seixas",
"Alexander David Sherman",
"Alexander Linus Sopio",
"Namgyun Jeong",
"M Zgubic",
"R Cherkaoui El Moursli",
"Heiko Lacker",
"Zirui Wang",
"P Sherwood",
"A X Y Kong",
"Alison Elliot",
"Frank Siegert",
"G Brandt",
"J T Kuechler",
"Z Rurikova",
"K P Mistry",
"Rebeca Gonzalez Suarez",
"Jaroslav Guenther",
"Joany Andreina Manjarres Ramos",
"Giovanni Calderini",
"A Juste Rozas",
"V Petousis",
"C M Helling",
"Ann Miao Wang",
"I I Tsukerman",
"Gordon T Watts",
"Hao Xu",
"M Montella",
"K Dette",
"Benjamin P Nachman",
"Minghui Liu",
"Yutaka Okazaki",
"I R Boyko",
"Ademar Tavares Delgado",
"I K Lakomiec",
"A Adiguzel",
"S V Chekulaev",
"K Tokushuku",
"S Martin-Haugh",
"Vaclav Vacek",
"T Iizawa",
"V Araujo Ferraz",
"D Bruncko",
"V O Tikhomirov",
"T M Spieker",
"J Proudfoot",
"Adam Abed Abud",
"I Dawson",
"G Piacquadio",
"Umberto De Sanctis",
"Masahiro Morinaga",
"F Fischer",
"B P Jaeger",
"Siqi Yang",
"Alex Zeng Wang",
"K D McLean",
"F Conventi",
"D C Abbott",
"Werner Freund",
"James H Cochran",
"W Wagner",
"J Dolejsi",
"F Dubinin",
"F Ledroit-Guillon",
"Jack Cameron Macdonald",
"I Longarini",
"Liang Han",
"K R Schmidt-Sommerfeld",
"R Lafaye",
"Dalila Salamani",
"M Begalli",
"David Milstead",
"A R Buzykaev",
"A Ruiz-Martinez",
"R Hertenberger",
"Lianyou Shan",
"E Higon-Rodriguez",
"Blake Christopher Forland",
"Alexander Zaitsev",
"V M Walbrecht",
"G Artoni",
"D M Rebuzzi",
"Anindya Ghosh",
"Yu-heng Chen",
"Samuel Silverstein",
"J Metcalfe",
"S Passaggio",
"N A Rusakovich",
"W R Cunningham",
"P Rieck",
"J A Raine",
"L J Levinson",
"Maria Mironova",
"S Burdin",
"Lucrezia Stella Bruni",
"Zinonas Zinonos",
"Rodrigo Araujo Pereira",
"V Cavasinni",
"D Hohn",
"Balazs Konya",
"A Mirto",
"V J Martin",
"A Tsirigotis",
"A Khodinov",
"D Price",
"D Matakias",
"Rotem Barnea",
"Rongkun Wang",
"J Katzy",
"Andre Sopczak",
"Michael Ryan Clark",
"E Sauvan",
"D Krauss",
"J Strandberg",
"Alexandra Tudorache",
"O A Ducu",
"S Dahbi",
"A Mastroberardino",
"A Leisos",
"C S Pollard",
"S Monzani",
"Xingguo Li",
"I A Connelly",
"T Moskalets",
"E W Parrish",
"H Torres",
"Tohru Takeshita",
"Shuyang Hu",
"S Asai",
"Ana Maria Henriques Correia",
"E R Vandewall",
"P Yin",
"Bing Zhou",
"A Haas",
"Bartosz Mindur",
"D Britzger",
"J Glatzer",
"Sergey Timoshenko",
"David Francis",
"Saleh Sultanov",
"S K Boutle",
"Katie L Whalen",
"Jun-ming Liu",
"F Giuli",
"Xiaohu Sun",
"K Wraight",
"David R Wardrope",
"M Didenko",
"A Sansoni",
"J E Mdhluli",
"R Wakasa",
"G Sekhniaidze",
"R Lysak",
"Matthew J Sullivan",
"R Pedro",
"M Madugoda Ralalage Don",
"E S Kuwertz",
"Natalia Korotkova",
"S Ghasemi",
"F U Bernlochner",
"Avik Roy",
"L Adamczyk",
"S Czekierda",
"W K Di Clemente",
"I Ibragimov",
"J Nielsen",
"T Koffas",
"M Bona",
"B Stanislaus",
"H Cai",
"Randall J Sobie",
"E F Kay",
"G Khoriauli",
"W Islam",
"I Nikolic-Audit",
"J A Mcfayden",
"B J Gallop",
"M Stamenkovic",
"Joao Guimaraes da Costa",
"G Carducci",
"C B Gwilliam",
"M Morgenstern",
"Claire Alexandra Lee",
"G S Virdee",
"D Boye",
"F Djama",
"F Sforza",
"E M Asimakopoulou",
"J Leveque",
"T Barklow",
"Tristan Arnoldus Du Pree",
"L Pereira Sanchez",
"M Krivos",
"A Sfyrla",
"Dj Sijacki",
"R Madar",
"K M Ciesla",
"Nico de Groot",
"D Ponomarenko",
"Vasilis Konstantinides",
"S Berlendis",
"E Von Toerne",
"David William Thomas",
"T P Charman",
"D T Gil",
"Laura Havener",
"Erez Etzion",
"Phillip Allport",
"Heling Zhu",
"N Ellis",
"Matteo Mario Beretta",
"J Hobbs",
"Adam J Parker",
"R Vuillermet",
"G Fanourakis",
"U Parzefall",
"T Sykora",
"Marco Aurelio Diaz",
"B Trocme",
"Da Xu",
"M Birman",
"P Grenier",
"S Gonzalez Fernandez",
"C A Marin Tobon",
"W Y Chan",
"Harinder Singh Bawa",
"W Su",
"Rachel Elizabeth Lindley",
"Y Coadou",
"A Basalaev",
"Wladyslaw Dabrowski",
"M T Anthony",
"E Torrence",
"Cristinel Diaconu",
"C Helsens",
"J C Honig",
"Hongbo Zhu",
"A R Chomont",
"Sw Banerjee",
"I Yeletskikh",
"D Boumediene",
"A Chitan",
"S L Lloyd",
"Stefan Schlenker",
"S Todorova-Nova",
"J Cantero",
"A Betti",
"Stanislav Pospisil",
"Peter van Gemmeren",
"Alberto Aloisio",
"A Maio",
"Ricardo Woelker",
"Sergey Kuleshov",
"V R Pascuzzi",
"Takuya Tashiro",
"Lu Zhang",
"Kenji Hamano",
"Stephen McMahon",
"A M Sickles",
"Sanghoon Lim",
"G Mchedlidze",
"William P McCormack",
"V Kitali",
"C Vernieri",
"D Benchekroun",
"D A Trischuk",
"Xiangyu Xie",
"T Strebler",
"M Aleksa",
"Alexander Leopold",
"Lailin Xu",
"J A Krzysiak",
"Prajita Bhattarai",
"Ilija Vukotic",
"G Polesello",
"J Boudreau",
"S E Clawson",
"Jannicke Pearkes",
"Maximilian Georg Herrmann",
"Matthias Wittgen",
"J Kanzaki",
"E Khramov",
"G Gaudio",
"Michel Vetterli",
"Jiawei Wang",
"A S Chisholm",
"M Vanadia",
"Takahiko Kondo",
"Gerald Oakham",
"M Aliev",
"A Boveia",
"A R Cukierman",
"A Nisati",
"Charles Delporte",
"G J Bobbink",
"P Dervan",
"J S Bonilla",
"Stefania Spagnolo",
"Zhidong Zhang",
"Andreas Rummler",
"Haijun Yang",
"Douglas Davis",
"P Kluit",
"Shima Shimizu",
"Francesca Ungaro",
"Y Amaral Coutinho",
"P Wagner",
"I Nomidis",
"M Mlynarikova",
"D G Charlton",
"C Malone",
"Rafael Lopes de Sa",
"L Adam",
"R Les",
"C Gubbels",
"M Capua",
"Hayden Alexander Smith",
"Christopher Ryan Anelli",
"C M Macdonald",
"P C McNamara",
"J J Heinrich",
"Kyle James Read Cormier",
"I L Gavrilenko",
"Bowen Zhang",
"Artur Cardoso Coimbra",
"Charles A Nelson",
"Yumeng Cao",
"G Ripellino",
"V Tudorache",
"S Jiggins",
"Hai-ping Peng",
"S Kido",
"F Balli",
"Andreas Honle",
"Charles Young",
"R A Vallance",
"N Aranzabal Barrio",
"E C Graham",
"Francesco Lanni",
"K Han",
"Yan-ping Huang",
"P Bellos",
"S Gentile",
"A S Tee",
"D Zerwas",
"G Zobernig",
"Benjamin Pearson",
"S Tapia Araya",
"Martin D Sykora",
"U Barron",
"M Spalla",
"J E Derkaoui",
"W Lampl",
"G Aielli",
"Michael Rijssenbeek",
"H K Hadavand",
"T Fitschen",
"Andreas Salzburger",
"H A Gordon",
"V Vorobel",
"Paolo Calafiura",
"Adrian Bevan",
"Pavel Starovoitov",
"L Feligioni",
"V V Sulin",
"Alexander Oh",
"Saijie Chen",
"A Salnikov",
"M Muskinja",
"Kaushik De",
"C Di Donato",
"L M Scyboz",
"B Chargeishvili",
"Cheng Chen",
"E E Corrigan",
"J Balz",
"T Kwan",
"M Moreno Llacer",
"Lene Kristian Bryngemark",
"A Ferrer",
"A C Schaffer",
"P Mermod",
"D Pohl",
"G Facini",
"K Reeves",
"M Niemeyer",
"Moritz Kiehn",
"O Bulekov",
"K S Krowpman",
"P J Hsu",
"B Dutta",
"G Bella",
"A Sidoti",
"Yongsheng Gao",
"Masahiro Yamatani",
"Alexandre Rozanov",
"Tomoaki Nakamura",
"Siegfried Bethke",
"P Palni",
"Cinzia da Via",
"T Geralis",
"E Stanecka",
"G H A Viehhauser",
"R J Hawkings",
"M P Giordani",
"P R Newman",
"J B Hansen",
"Federico Meloni",
"Fan Li",
"V A Kramarenko",
"Yu-ting Shen",
"G Crosetti",
"Stefano Manzoni",
"Anthony Eric Lionti",
"Nicolo Magini",
"V V Kostyukhin",
"Peilian Liu",
"Junjie Zhu",
"Agostino Lanza",
"Nikolai Hartmann",
"Jose Luis Munoz Martinez",
"P Podberezko",
"E V Bouhova-Thacker",
"Norman Gee",
"I Pokharel",
"Katharina Behr",
"Suen Ray Hou",
"Timothy R Andeen",
"Jozsef A Toth",
"M Bomben",
"Matthew Feickert",
"Nathan Allen Grieser",
"Xin Chen",
"M Villa",
"L Ambroz",
"M G Foti",
"F G Gravili",
"L Franco",
"J Wagner-Kuhr",
"P U E Onyisi",
"Ruslan Mashinistov",
"B Macek",
"Bing Li",
"D Oliveira Damazio",
"A Peyaud",
"A Taffard",
"Stefan Stonjek",
"Quanyin Li",
"J Jongmanns",
"D M Portillo Quintero",
"F Tsai",
"R Leitner",
"P Pani",
"Cedric Serfon",
"Matthew Berg Epland",
"Emily L Nurse",
"Michael Phipps",
"K Schmieden",
"B M Wynne",
"R Pezoa",
"A Seiden",
"Takashi Mitani",
"S Rodriguez Bosca",
"B J Rosser",
"F Fabbri",
"Marcelo Ayumu Nomura",
"R Hyneman",
"M L Ojeda",
"S Heim",
"Stefano Veneziano",
"G E Orellana",
"J Lorenz",
"Sebastian Mario Weber",
"Joergen Sjoelin",
"J P Mc Gowan",
"Qun Ouyang",
"Fabrizio Napolitano",
"A Glazov",
"S M Shaw",
"A Santra",
"J Kretzschmar",
"R Piegaia",
"Stefano Rosati",
"T Xu",
"J Dietrich",
"Gregor Kramberger",
"Tae Min Hong",
"J H Foo",
"Lydia Brenner",
"C Gonzalez Renteria",
"John Stakely Keller",
"Katharina Bianca Jakobi",
"Gen Kawamura",
"J J Lozano Bahilo",
"Boping Chen",
"K Petukhova",
"E Perez Codina",
"M A Aparo",
"L Fiorini",
"Reinhild Yvonne Peters",
"Alessandro Gabrielli",
"Kevin Thomas Bauer",
"O Brandt",
"Ke Li",
"L D Corpe",
"Janusz Chwastowski",
"A G Rennie",
"B M Ciungu",
"Robert Langenberg",
"P Krieger",
"Gabriel Palacino",
"Y Abulaiti",
"A Guerguichon",
"M J Veen",
"F Schroeder",
"J Walder",
"M Fujimoto",
"T P A Akesson",
"Chen-Hsun Chan",
"G Cabras",
"S Muanza",
"K Nagano",
"Anna Macchiolo",
"A Lounis",
"Namig Javadov",
"M Calvetti",
"Andrew Mark Wharton",
"G Bartolini",
"A Poley",
"L G Gagnon",
"Alan James Taylor",
"Guillermo Nicolas Hamity",
"Edisher Tskhadadze",
"L Marchese",
"P S Jackson",
"Matteo Franchini",
"A Catinaccio",
"I Korolkov",
"R Newhouse",
"I Luise",
"M S Lutz",
"N Garelli",
"K O H Vadla",
"Andrew M Parker",
"Maurizio De Santis",
"N Scharmberg",
"David Michael South",
"C Schiavi",
"Shreya Saha",
"M Akbiyik",
"M D'uffizi",
"R Nicolaidou",
"Ren-jie Wang",
"Fabian Alexander Foerster",
"H Stenzel",
"C Heidegger",
"Natalie Wieseotte",
"F Sopkova",
"M T Camerlingo",
"M Owen",
"C Bini",
"T Zakareishvili",
"M Donadelli",
"E Dreyer",
"S Morgenstern",
"Marcos Vinicius Silva Oliveira",
"H L Snoek",
"Simona Serena Bocchetta",
"D L Briglin",
"Akanksha Vishwakarma",
"Elena V Plotnikova",
"Sebastian Grinstein",
"Michael Barnett",
"John Huth",
"S Ganguly",
"M Robin",
"M Pitt",
"Wolfgang Mader",
"Ehud Duchovni",
"A Sbrizzi",
"Pepijn Bakker",
"M Backes",
"Aidan Robson",
"W Fedorko",
"E D Mendes Gouveia",
"O Miu",
"Ghita Rahal",
"R J Atkin",
"M Guth",
"J Jimenez Pena",
"Flavia de Almeida Dias",
"Marco Delmastro",
"G Stoicea",
"Zhi Zhao",
"J F P Schouwenberg",
"D Mori",
"J J Junggeburth",
"M H Klein",
"Rafael Oreamuno Madriz",
"R Mazini",
"T R V Billoud",
"Paul Nilsson",
"Sean J Wang",
"Nadav Michael Tamir",
"Richard Nisius",
"B Cole",
"B Vachon",
"Yi Fang",
"R J Teuscher",
"H R Nindhito",
"D Bogavac",
"M Hirose",
"S Loffredo",
"Pierre Beauchemin",
"N A Gorasia",
"M Levchenko",
"J C Burzynski",
"P S Miyagawa",
"N Fomin",
"James Howarth",
"M Bedognetti",
"N Zakharchuk",
"A A Talyshev",
"O Kivernyk",
"N B Atlay",
"A Pizzini",
"D C Frizzell",
"V Dao",
"L Serin",
"Tobias Bisanz",
"A Coccaro",
"Anjali Krishnan",
"Michael Dueren",
"J Maeda",
"Elias Michael Ruettinger",
"Val O'Shea",
"C O Sander",
"Mauro Citterio",
"Rebecca Hayley Pickles",
"Ana Luisa Moreira de Carvalho",
"C Hayes",
"Gianpaolo Carlino",
"M Lokajicek",
"Y Horii",
"C Vergis",
"A Ahmad",
"D Paredes Hernandez",
"M Ishino",
"I Karkanias",
"J Jia",
"M Myska",
"F Beisiegel",
"Yusheng Wu",
"J Beyer",
"Mathieu Benoit",
"G Azuelos",
"M Cristinziani",
"Elena Korolkova",
"B W Whitmore",
"R Gamboa Goni",
"D V Perepelitsa",
"Junichi Tanaka",
"Adam James Bozson",
"Bill Murray",
"Kenta Uchida",
"G L Alberghi",
"Evangelos N Gazis",
"D Caforio",
"S Tsuno",
"Sebastian Andreas Merkt",
"A Kastanas",
"Andreas Sogaard",
"Z A Meadows",
"A Manousos",
"J Dickinson",
"Giulia Giannini",
"A G Goussiou",
"I Manthos",
"Yee Chinn Yap",
"Garrett Merz",
"M M Perego",
"Jos C Vermeulen",
"Christoph Amelung",
"T J A Chevalerias",
"N Hod",
"Robert Richter",
"Meirin Oan Evans",
"Urmila Soldevila Serrano",
"A M Lory",
"J Boyd",
"Tancredi Carli",
"J Reichert",
"J R Catmore",
"B H Smart",
"X Su",
"P Mogg",
"Marek Palka",
"O Biebel",
"S J Gasiorowski",
"O Sasaki",
"W D Heidorn",
"Roberto Iuppa",
"Y Yamaguchi",
"W J Fawcett",
"A Cortes-Gonzalez",
"A Bandyopadhyay",
"Ben Brueers",
"Antonio De Maria",
"S H Abidi",
"M K Bugge",
"Xinchou C Lou",
"F Ahmadov",
"A J Armbruster",
"M Garcia-Sciveres",
"Ralph Soeren Peter Mueller",
"C J E Suster",
"Rafael Teixeira de Lima",
"C D Milke",
"A Milic",
"Tommaso Lari",
"Cherifa Sabrina Amrouche",
"J Veatch",
"Adam Kaluza",
"Debottam Bakshi Gupta",
"Nishu Nishu",
"Akihiro Higashida",
"Chiara Meroni",
"Wen Guan",
"K Bierwagen",
"Q Buat",
"J G Panduro Vazquez",
"A M Deiana",
"I Ravinovich",
"F Scuri",
"U Kruchonak",
"Changqiao Li",
"A Murrone",
"Johanna Lena Gramling",
"Sally Seidel",
"Luis Roberto Flores Castillo",
"B T Winter",
"B Parida",
"A Krasznahorkay",
"Koji Nakamura",
"Borut Paul Kersevan",
"A Lleres",
"A P O'neill",
"D R Shope",
"Zakaria Chadi",
"R Poettgen",
"N Besson",
"Mario Jose Da Cunha Sargedas De Sousa",
"P G Scholer",
"R Ferrari",
"A G Myagkov",
"Lino Oscar Gerlach",
"D R Hlaluku",
"R Slovak",
"H Sandaker",
"C Rembser",
"Stefanie Todt",
"M Sessa",
"T Kono",
"S Shirabe",
"James Beacham",
"D Biswas",
"Antonio Onofre",
"A E Bolz",
"O Zenin",
"L Bellagamba",
"K K Hill",
"C Merlassino",
"P Ferrari",
"N Ilic",
"Hongxuan Li",
"K Lehmann",
"L P Rossi",
"T Kaji",
"Marija Marjanovic",
"V A Austrup",
"Marcin Stolarski",
"J Olszowska",
"Yun-ju Lu",
"E Schopf",
"V Tsulaia",
"Traian Tulbure",
"A J Beddall",
"M Michetti",
"E Lytken",
"Andrii Verbytskyi",
"Etienne Fortin",
"D R Zaripovas",
"K W Janas",
"Nicolin Govender",
"Marko Mikuz",
"M Verducci",
"Igor Mandic",
"Alice Polyxeni Morris",
"M Bosman",
"C D Sebastiani",
"Y Sano",
"Ilkay Turk Cakir",
"V P Maleev",
"M Stankaityte",
"M Pinamonti",
"Rob Henderson",
"M Vranjes Milosavljevic",
"L Sawyer",
"M W Krasny",
"J Hoya",
"S Prell",
"M Kolb",
"C Marcon",
"V Kukhtin",
"James E Brau",
"Jianhuan Xiang",
"C P Bee",
"Mogens Dam",
"Lucia Pedraza Diaz",
"Harald Stelzer",
"T C Herwig",
"Riccardo de Asmundis",
"M Klassen",
"P Steinberg",
"Andrey A Sapronov",
"M Demichev",
"T J Burch",
"Daniele Fournier",
"P Sicho",
"J Roloff",
"Alessandra Forti",
"D Sampsonidis",
"Carsten Dulsen",
"Atsuhiko Ochi",
"Yunjian He",
"C Sbarra",
"K Suruliz",
"Jing Li",
"M D'Onofrio",
"Jafar Shojaii",
"Hadar Yosef Cohen",
"V S Bhopatkar",
"Dylan Perry Kisliuk",
"J Damp",
"B M Waugh",
"Dan Ping Huang",
"M Queitsch-Maitland",
"N Zhou",
"G Redlinger",
"M Saimpert",
"Mz Zhou",
"G Otero y Garzon",
"G R Gledhill",
"Klaus Moenig",
"K A Looper",
"T Megy",
"Ricardo Gonzalez Lopez",
"W Buttinger",
"D Gillberg",
"V A Schegelsky",
"N P Hessey",
"C Zeitnitz",
"Michael Duehrssen",
"K Lie",
"X Zhuang",
"Zijun Xu",
"M Negrini",
"I M Gregor",
"S Franchino",
"X Wu",
"William Dmitri Breaden Madden",
"R E Long",
"M J Basso",
"E Pasqualucci",
"F Veloso",
"C Vittori",
"S Groh",
"V E Ozcan",
"L Masetti",
"Daniel James Lewis",
"Sergey P Denisov",
"Antonio Zoccoli",
"J Assahsah",
"A Fell",
"M Vozak",
"Alexander Fenyuk",
"A Puri",
"M Robles Manzano",
"T Adye",
"Henrik Oppen",
"Francesco M Follega",
"P T Koenig",
"Gerald Eigen",
"A Cheplakov",
"Y Benhammou",
"M Lavorgna",
"E Schanet",
"Kristian Bjoerke",
"Christopher Blake Martin",
"Vadim Gratchev",
"A Schulte",
"E E Khoda",
"S Lai",
"Tadej Novak",
"N Benekos",
"Andreas Hoecker",
"B A Bullard",
"Miguel Villaplana Perez",
"M Renda",
"A E Barton",
"A Cerri",
"Patrick Puzo",
"H Son",
"Vivek Jain",
"M Vandenbroucke",
"Maria Ines Abreu Juliao Ochoa De Castro",
"Massimo Lazzaroni",
"V Cavaliere",
"E B Diehl",
"Stefan Gadatsch",
"Jan-Hendrik Arling",
"David Calvet",
"Antonella De Santo",
"Alexander Sydorenko",
"Yicong Huang",
"G Barone",
"J Ferrando",
"P Gaspar",
"M Bauce",
"T R Wyatt",
"James Pinfold",
"M Wessels",
"N Viaux Maira",
"Z M Schillaci",
"B Abbott",
"J D Little",
"L N Smirnova",
"A Rimoldi",
"J Ouellette",
"O V Solovyanov",
"A Kamenshchikov",
"K E Schleicher",
"Ariella Cattai",
"F Cirotto",
"Rui Wang",
"Patricia Ward",
"William Trischuk",
"D M Handl",
"Keisuke Yoshihara",
"V Lyubushkin",
"Huajie Cheng",
"Tomomi Kawaguchi",
"N M Hartman",
"S Demers",
"B Wendland",
"F Lasagni Manghi",
"Anatoly V Borisov",
"R T Turra",
"Qing Wang",
"K Kawade",
"K Al Khoury",
"Sarah Williams",
"Zach Marshall",
"A Knue",
"A Gavrilyuk",
"I A Minashvili",
"A Romaniouk",
"N L Woods",
"Tadashi Maeno",
"S D Worm",
"Fairouz Malek",
"F Celli",
"H Nanjo",
"Halina Abramowicz",
"Xueyao Zhang",
"J M P Pasner",
"Gregorio Bernardi",
"T Lagouri",
"Ruchi Gupta",
"Hubert Kroha",
"T G McCarthy",
"A S Kozhin",
"K Maj",
"A Sahu",
"D Delgove",
"K K Heidegger",
"Stephen Albert Weber",
"Hongtao Yang",
"J J Teoh",
"Zhiying Li",
"Douglas M Gingrich",
"T Klioutchnikova",
"M Scornajenghi",
"Volker Buescher",
"M Schernau",
"Valerio Vercesi",
"Jonathan Jamieson",
"R Brock",
"Antonio Gaudiello",
"K Bouaouda",
"Elzbieta Richter-Was",
"Stefano De Castro",
"M Barbero",
"Rinat Fakhrutdinov",
"Alexander Mann",
"G Maccarrone",
"Sigve Haug",
"G Zemaityte",
"C J Potter",
"Fuquan Wang",
"Benoit Lefebvre",
"A Dattagupta",
"S Perrella",
"S Diez Cornell",
"Sourav Sen",
"W S Ahmed",
"J A Sabater Iglesias",
"A G Kharlamov",
"D Sampsonidou",
"Hans-Christian Schultz-Coulon",
"Tetsuro Mashimo",
"Yuji Yamazaki",
"P Berta",
"M P J Landon",
"K G Tomiwa",
"C A Gottardo",
"Jue Chen",
"C Clement",
"F Hubaut",
"Christopher Grud",
"E B Rye",
"L L Kurchaninov",
"A Bingul",
"K Yorita",
"A V Anisenkov",
"Y Tu",
"Richard Batley",
"R Keeler",
"J Khubua",
"C Escobar",
"S Nemecek",
"J C Rivera Vergara",
"Zdenek Dolezal",
"M Vogel",
"Lorenzo Paolozzi",
"Karsten Koeneke",
"Frank Filthaut",
"G Borissov",
"Amal Vaidya",
"Tony Doyle",
"C Guyot",
"Gerardo Alexis Vasquez Arenas",
"Laurent Chevalier",
"Michael Kagan",
"Veronika Magerl",
"B Liberti",
"Michal Racko",
"V Boisvert",
"Paola Giannetti",
"Liv Antje Mari Wiik",
"Zvi Hirsh Citron",
"O Cakir",
"Francesco La Ruffa",
"D P C Sankey",
"T Moa",
"L Poggioli",
"Bartlomiej Zabinski",
"I N Potrap",
"J Llorente Merino",
"Gavin Hesketh",
"Geoffrey N Taylor",
"Yan Wing Ng",
"D Cieri",
"J Fuster",
"L D Christopher",
"C Arcangeletti",
"F Costanza",
"F J Munoz Sanchez",
"Ahmed Hasib",
"Chiao-ying Lin",
"Emily Marie Duffield",
"Marilena Bandieramonte",
"M D Hank",
"A Kubota",
"M Goblirsch-Kolb",
"S Parajuli",
"Liming Zhang",
"J -F Grivaz",
"Alberto Stabile",
"Stefan Kluth",
"Yi Fei Han",
"Carlos Sandoval Usme",
"Giora Mikenberg",
"S E Tzamarias",
"S Gkaitatzis",
"M D M Capeans Garrido",
"A Dubreuil",
"Evgenii Baldin",
"Mikhail Mineev",
"R S Orr",
"S Oerdek",
"R Schwienhorst",
"P M Gravila",
"N Makovec",
"B Rottler",
"Sergei V Chekanov",
"R Brener",
"G Gilles",
"D Zieminska",
"Augusto Santiago Cerqueira",
"R Kehoe",
"G E Gallardo",
"M Bahmani",
"K Bachas",
"Javier Sanchez",
"V Tsiskaridze",
"E Bakos",
"Wei Wang",
"Konstantinos Nikolopoulos",
"S Calvet",
"G A Popeneciu",
"I Fleck",
"M Havranek",
"C Haber",
"O Majersky",
"E Reynolds",
"Troels C Petersen",
"Luciano Manhaes de Andrade Filho",
"O Kepka",
"Maximilian Hils",
"Francesco Ragusa",
"Samuel Van Stroud",
"M Pettee",
"Haifeng Li",
"P A Delsart",
"D Reikher",
"Olympia Dartsi",
"D Ta",
"Roberto Cardarelli",
"Yoshinobu Unno",
"A Koulouris",
"T J Khoo",
"K A Saoucha",
"A Gongadze",
"Robert McPherson",
"Giordon Stark",
"D Muenstermann",
"O Arnaez",
"J D Shahinian",
"B Yabsley",
"F Cormier",
"Francesco Crescioli",
"Andrea Dell'Acqua",
"E C Hanson",
"P Y Nechaeva",
"T Vazquez Schroeder",
"R E Ticse Torres",
"X Xiao",
"G Navarro",
"M Unal",
"S W Ferguson",
"C Glasman",
"R Stroynowski",
"I Sykora",
"R Di Sipio",
"A M Rodriguez Vera",
"Wolfgang Walkowiak",
"Tigran Mkrtchyan",
"C Goy",
"E M Carlson",
"Francisca Garay Walls",
"Theodoros Alexopoulos",
"P Seema",
"P Gadow",
"C Bernius",
"Daniel Joseph Antrim",
"P Bagnaia",
"R Vari",
"C D Burgard",
"T A Schwarz",
"V S Martoiu",
"Debarati Roy",
"Q Hu",
"R Moles-Valls",
"Christophe Deutsch",
"T Dado",
"A Cervelli",
"Thomas Daubney",
"N Yamaguchi",
"A Yamamoto",
"D Vannicola",
"P Francavilla",
"A Bitadze",
"Yakov Petrovich Kulinich",
"L Jeanty",
"David Britton",
"A A Solodkov",
"P Skubic",
"S George",
"Tianyi Yang",
"K Kroeninger",
"A Paramonov",
"E Sideras Haddad",
"M J Kareem",
"C Petridou",
"V Pleskot",
"W M Barbe",
"C Fukunaga",
"L Fayard",
"O Novgorodova",
"Zhi Li",
"Y Kulchitsky",
"M A B Do Vale",
"N J Kang",
"M Rybar",
"C Agapopoulou",
"M Primavera",
"K Ntekas",
"D Cameron",
"I Wingerter-Seez",
"F Huegging",
"Nuno Filipe Castro",
"M Feng",
"T Wengler",
"K Karava",
"D Kar",
"J Qian",
"J Jovicevic",
"D Amidei",
"S Balaji",
"Xuan Yang",
"Ketevi Adikle Assamagan",
"Jiayi Chen",
"Zihao Jiang",
"E P Takeva",
"H Kagan",
"H Evans",
"I A Cioara",
"N Nikiforou",
"S Rettie",
"Johann Collot",
"Sabine Lammers",
"B Giacobbe",
"A Angerami",
"J Chudoba",
"D Strom",
"E Kasimi",
"Andrea Formica",
"D Schaile",
"Yoshiji Yasu",
"Vladimir Smakhtin",
"M Dubovsky",
"S D Walker",
"D Malito",
"C Anastopoulos",
"James A Mueller",
"Ulf Fredrik Mikael Martensson",
"G Gessner",
"M Spina",
"J D Bossio Sola",
"E Zaffaroni",
"Torre J Wenaus",
"David M Malon",
"J Haley",
"F Spano",
"E D Resseguie",
"E J Thorpe",
"S Bentvelsen",
"Abhishek Sharma",
"M A L Leite",
"Nobuo Matsuzawa",
"A F Webb",
"Wouter Verkerke",
"V Vecchio",
"S Shrestha",
"D Hohov",
"S Meehan",
"Evangelos Kourlitis",
"C Wiglesworth",
"P F Giraud",
"F Gonnella",
"S Wenig",
"Anthony Salvucci",
"A Berthold",
"O L Rezanova",
"Bingxuan Liu",
"Fabricio Andres Jimenez Morales",
"F He",
"B T Carlson",
"P Pralavorio",
"V Ippolito",
"Konrad Kleinknecht",
"M C Stockton",
"Tobias Kupfer",
"F Winklmeier",
"Laura Fabbri",
"Andres Ramirez Morales",
"C Camincher",
"Luca Martinelli",
"L Roos",
"Matej Kocian",
"M Testa",
"D P Spiteri",
"M N Agaras",
"Hamish Teagle",
"C Dallapiccola",
"A Schoening",
"J Maurer",
"P Sinervo",
"R Stamen",
"S A Stucci",
"R Novotny",
"M Shimojima",
"L M Veloce",
"Gabriel Demontigny",
"P J Falke",
"A Sanchez Pineda",
"D Lynn",
"S Wahdan",
"E Brost",
"M J White",
"B D Seidlitz",
"Andrzej Olszewski",
"N Vranjes",
"Lamberto Luminari",
"D Duvnjak",
"P Kodys",
"Y Tayalati",
"G Zacharis",
"Manuel Silva",
"B Ngair",
"Abdeslam Hoummada",
"P Fleischmann",
"Christian Bohm",
"Piotr Janus",
"B J Gilbert",
"E Banas",
"Shu Li",
"Cheng Guang Zhu",
"A Lopez Solis",
"P Gutierrez",
"D Hirschbuehl",
"N Lorenzo Martinez",
"Y A Kurochkin",
"Shan Jin",
"G Mornacchi",
"J T Baines",
"L K Schildgen",
"Adriaan Koenig",
"Tristan Beau",
"L Asquith",
"Falk Bartels",
"C P A Roland",
"Mattias Ellert",
"J Dingfelder",
"F Schenck",
"T Cuhadar Donszelmann",
"S Cabrera Urban",
"Z M Karpova",
"Othmane Rifki",
"R Ospanov",
"Gunnar Jakel",
"N Rompotis",
"A Soloshenko",
"J Myers",
"Carmen Maidantchik",
"E M Freundlich",
"K Ran",
"A Salvador Salas",
"O L Fedin",
"W H Hopkins",
"Peng Huo",
"W Wiedenmann",
"K Hanagaki",
"M Shiyakova",
"Ivan Sayago Galvan",
"Mason Proffitt",
"P Martinez Agullo",
"M Tsopoulou",
"M J Shochet",
"A Lebedev",
"E Lancon",
"Xiangke Zhang",
"K Krizka",
"P A Erland",
"Maria Jose C Costa",
"A Smykiewicz",
"Jonathan David Long",
"C Kourkoumelis",
"Jana Schaarschmidt",
"R Bielski"
],
"corpus_id": 225921919,
"doc_id": "225921919",
"n_citations": 22,
"n_key_citations": 0,
"score": 0,
"title": "A search for the Zg decay mode of the Higgs boson in pp collisions at s 13 TeV with the ATLAS detector",
"venue": "",
"year": 2020
}
] |
Tuning the Electronic Properties of Semiconducting | [
{
"abstract": "Semiconducting transition metal dichalcogenides (TMDs) are emerging as the potential alternatives to graphene. As in the case of graphene, the monolayer of TMDs can easily be exfoliated using mechanical or chemical methods, and their properties can also be tuned. At the same time, semiconducting TMDs (MX(2) M Mo, W and X S, Se, Te) possess an advantage over graphene in that they exhibit a band gap whose magnitude is appropriate for applications in the opto electronic devices. Using ab initio simulations, we demonstrate that this band gap can be widely tuned by applying mechanical strains. While the electronic properties of graphene remain almost unaffected by tensile strains, we find TMDs to be sensitive to both tensile and shear strains. Moreover, compared to that of graphene, a much smaller amount of strain is required to vary the band gap of TMDs. Our results suggest that mechanical strains reduce the band gap of semiconducting TMDs causing an direct to indirect band gap and a semiconductor to metal transition. These transitions, however, significantly depend on the type of applied strain and the type of chalcogenide atoms. The diffuse nature of heavier chalcogenides require relatively more tensile and less shear strain (when the monolayer is expanded in y direction and compressed in x direction) to attain a direct to indirect band gap transition. In addition, our results demonstrate that the homogeneous biaxial tensile strain of around 10% leads to semiconductor to metal transition in all semiconducting TMDs, while through pure shear strain this transition can only be achieved by expanding and compressing the monolayer of MTe(2) in the y and x directions, respectively. Our results highlight the importance of tensile and pure shear strains in tuning the electronic properties of TMDs by illustrating a substantial impact of the strain on going from MS(2) to MSe(2) to MTe(2)",
"author_names": [
"Priya Johari",
"Vivek B Shenoy"
],
"corpus_id": 10388031,
"doc_id": "10388031",
"n_citations": 624,
"n_key_citations": 7,
"score": 1,
"title": "Tuning the electronic properties of semiconducting transition metal dichalcogenides by applying mechanical strains.",
"venue": "ACS nano",
"year": 2012
},
{
"abstract": "The first isolation of graphene in 2004 opened a new area of 2D Van der Waals materials with one or several elements arranged in one plane with atomic thin thickness, which attracts great attention for the potential in the miniaturization of electronic devices. Various spectacular properties that do not exist in their 3D bulk form also provide opportunities for the design of novel electronic devices. In this thesis, by first principle calculations, I investigate the electronic properties of several typical 2D systems and explore the possibility to tune them for practical application. In chapter 2, I study the ferroelectric field effect on the two dimensional electron gas at the n type LAO/STO (001) interface through a ferroelectric substrate BaTiO3 (BTO) In chapter 3, I explore the possibility of making a semiconducting monolayer of MoS2 ferromagnetic by introducing holes into the narrow Mo d band that forms the top of the valence band. In chapter 4, I carry out a systematic study of the structural and electronic properties of single acceptor and double acceptor (V, Nb, Ta, Ti, Zr, Hf) doped MX2 (M Cr;Mo;W, X S; Se;Te) monolayers in the single impurity limit. In chapter 5, I study the structural reconstruction at the bare zigzag edge of 2D Xene (X=Si, Ge, Sn)",
"author_names": [
"Y Z Gao"
],
"corpus_id": 218966620,
"doc_id": "218966620",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Tuning the electronic properties of two dimensional systems",
"venue": "",
"year": 2020
},
{
"abstract": "The two dimensional (2D) C3N has emerged as a material with promising applications in high performance device owing to its intrinsic bandgap and tunable electronic properties. Although there are several reports about the bandgap tuning of C3N via stacking or forming nanoribbon, bandgap modulation of bilayer C3N nanoribbons (C3NNRs) with various edge structures is still far from well understood. Here, based on extensive first principles calculations, we demonstrated the effective bandgap engineering of C3N by cutting it into hydrogen passivated C3NNRs and stacking them into bilayer het erostructures. It was found that armchair (AC) C3NNRs with three types of edge structures are all semiconductors, while only zigzag (ZZ) C3NNRs with edges composed of both C and N atoms (ZZ CN/CN) are semiconductors. The bandgaps of all semiconducting C3NNRs are larger than that of C3N nanosheet. More interestingly, AC C3NNRs with CN/CN edges (AC CN/CN) possess direct bandgap while ZZ CN/CN have indirect bandgap. Compared with the monolayer C3NNR, the bandgaps of bilayer C3NNRs can be greatly modulated via different stacking orders and edge structures, varying from 0.43 eV for ZZ CN/CN with AB' stacking to 0.04 eV for AC CN/CN with AA stacking. Particularly, transition from direct to indirect bandgap was observed in the bilayer AC CN/CN heterostructure with AA' stacking, and the indirect to direct transition was found in the bilayer ZZ CN/CN with AB stacking. This work provides insights into the effective bandgap engineering of C3N and offers a new opportunity for its applications in nano electronics and optoelectronic devices.",
"author_names": [
"Jia Liu",
"Xian-an Liao",
"Jiayu Liang",
"Mingchao Wang",
"Qinghong Yuan"
],
"corpus_id": 221319391,
"doc_id": "221319391",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Tuning the electronic properties of hydrogen passivated C3N nanoribbons through van der Waals stacking",
"venue": "",
"year": 2020
},
{
"abstract": "The family of two dimensional (2D) transition metal carbides and nitrides, known as MXenes, has attracted substantial attention in science and technology. The electronic structure, optical properties, and bandgap tuning under compressive and tensile strain (CTS) of 2D Sc2CF2 are investigated herein using density functional theory calculations. The results reveal that the bandgap of 2D Sc2CF2 can be tuned by applying CTS. The covalent bonding between the atoms become stronger under compressive strain. To investigate the optical properties, the absorption spectrum and percentage reflectivity of these structures along the x and z directions are calculated using the real and imaginary parts of the dielectric function. Upon applying 2% 4% and 6% compressive strain, the number of absorption peaks increases in the visible region along the x direction. These tunable electronic and optical properties of semiconducting 2D Sc2CF2 make it a candidate for the design of optoelectronic devices and in nanodevice applications.",
"author_names": [
"Ali Mostafaei",
"Edris Faizabadi",
"Ebrahim Heydari Semiromi"
],
"corpus_id": 218897743,
"doc_id": "218897743",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Tuning the Electronic and Optical Properties of Sc2CF2 MXene Monolayer Using Biaxial Strain",
"venue": "Journal of Electronic Materials",
"year": 2020
},
{
"abstract": "Abstract Density functional theory computations were carried out to examine the effects of doping 4d transition metals (Mo, Nb, Rh and Ru) on the structural and electronic properties of stanene monolayers. Our results suggested that Mo doped stanene nanosheet exhibited metallic behavior, while the Nb, Rh and Ru doped ones revealed semiconducting character. The metallic behavior of the Mo doped stanene is mainly attributed to the emergence of electronic band across the Fermi level. Our formation energy calculations also showed that all considered 4d transition metal doped stanene nanosheets are energetically stable. The lowest formation energy and highest stability belongs to Ru doped stanene system. The electron density difference graphs of the transition metal doped systems also showed the accumulation of charge density over the interaction sites between metal adatoms and neighboring tin atoms. Thus, all the studied transition metals strongly coordinated to the tin atoms, as confirmed by the projected density of states graphs. Our results could help to understand the influence of 4d transition metals in 2D buckled stanene nanosheets.",
"author_names": [
"Dan Wang",
"Huang-Gen Gao",
"Ya-Nan Xiang",
"Lilin Jiang"
],
"corpus_id": 218948622,
"doc_id": "218948622",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Tuning the structural and electronic properties of single layer stanene through doping 4d transition metals (Mo, Nb, Rh and Ru) A DFT study",
"venue": "",
"year": 2020
},
{
"abstract": "Abstract The first principles calculations based on Density Functional Theory are performed in order to investigate the effect of strain on the electronic structure and transport properties of the p type GaS monolayer. Our calculations show that unstrained GaS monolayer is semiconducting in nature having an indirect energy band gap of 2.35 eV. The application of compressive or tensile strain modifies the electronic band structure and energy band gap which further modifies the electronic transport coefficients of GaS monolayers. The energy band gap of GaS monolayer increases (decreases) under the application of compressive (tensile) strain. The Seebeck coefficient of p type GaS monolayer is increased by applying strain, giving rise to the increase in the power factor.",
"author_names": [
"Gurpal Singh Khosa",
"Shuchi Gupta",
"Ranjan Kumar"
],
"corpus_id": 226718554,
"doc_id": "226718554",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Tuning the electronic transport properties of p type GaS monolayer by the application of biaxial strain",
"venue": "",
"year": 2020
},
{
"abstract": "The structural and electronic properties of MoS2 sheets doped with carbon line domains are theoretically investigated through density functional theory calculations. It is primarily studied how the system's electronic properties change when different domain levels are considered. These changes are also reflected in the geometry of the system, which acquires new properties when compared to the pristine structure. We predict, both qualitative and quantitatively, how the energy gap changes as a function of domain types. Strikingly, the band structure for the doped system shows semiconducting behavior with an indirect bandgap, which is narrower than the one for bulk MoS2. This is an important feature as far as gap tuning engineering is concerned. It has a profound impact on the applicability of these systems in electronic devices, where an indirect bandgap favors the quantum yield efficiency.",
"author_names": [
"Wiliam Ferreira da Cunha",
"Ramiro Marcelo dos Santos",
"Rafael Timoteo de Sousa Junior",
"Renato Batista dos Santos",
"Geraldo Magela e Silva",
"Luiz Antonio Ribeiro Junior"
],
"corpus_id": 157056869,
"doc_id": "157056869",
"n_citations": 6,
"n_key_citations": 0,
"score": 0,
"title": "Tuning the electronic structure properties of MoS2 monolayers with carbon doping.",
"venue": "Physical chemistry chemical physics PCCP",
"year": 2019
},
{
"abstract": "By using density functional theory calculations, we evaluated the effects of vacancy defects on the electronic and magnetic properties of MoS2 nanotubes. While both zigzag and armchair MoS2 tubes are intrinsically semiconducting, armchair (6, 6) tubes with simple disulfur and mono molybdenum vacancies, as well as a large vacancy cluster consisting of both Mo and S vacancies (VMoS3) and zigzag (10, 0) tubes with a mono molybdenum defect are metallic. In particular, the (6, 6) tube with disulfur and VMoS3 defects is half metallic, which is promising for applications in spintronic devices. In addition, the (6, 6) tube exhibits an easily tunable magnetic property by introducing vacancies. We found that disulfur, mono molybdenum, VMoS3, and VMoS6 vacancies are able to cause spin polarization to induce net magnetic moment. This is mainly because the spin states prefer to couple through Mo atoms that are arranged along the zigzag direction (the axial direction of the armchair tube) In contrast, the zigzag (10, 0) tube is relatively hard to tune and is always nonmagnetic, except for the case of VMoS3. More importantly, atomic and orbital projected electron density of states analyses reveal that the net spins are mainly contributed by bare Mo atoms at or near the vacancy edge. For defect laden MoS2 tubes that are still semiconducting, the energy gap and effective masses of the charge carriers are highly dependent on tube chirality and defect species. Our present findings highlight the worthwhile semiconducting, metallic, and half metallic properties of MoS2 tubes, particularly armchair species, which can be obtained via defect engineering; this can find broad applications for the fabrication of nanoelectronic and spintronic devices.",
"author_names": [
"Yanmei Yang",
"Yaohua Liu",
"Baoyuan Man",
"Mingwen Zhao",
"Weifeng Li"
],
"corpus_id": 191166610,
"doc_id": "191166610",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Tuning the electronic and magnetic properties of MoS2 nanotubes with vacancy defects",
"venue": "",
"year": 2019
},
{
"abstract": "Abstract In this paper, we investigate the structural, electronic and magnetic properties of CN Fe with adsorbed atoms and molecules using a DFT+U approach. Our results show that the atoms (C, N, O, H) and molecules (CH4, N2, O2, H2, CO, CO2) chemisorbed on CN Fe systems. In all cases we have investigated, adsorption of adatoms result in blucking in the Fe embedded heptazine sheet, which in turns is a result of interplay between the sp2 and sp3 structures in the sheet. It is found that, in some cases, the metallic property of CN Fe systems can be modulated into semiconducting one. The appearance of the semiconducting electronic character is a result of the adatoms which causes the fermi level to shift into a higher energy when the atoms or molecules are adsobed on CN Fe The total magnetic moment of the CN Fe sheets after adsorption of atoms and molecules could be reduced or increased, depending on the magnetic coupling of the unpaired electrons in the 3d orbitals of Fe atom and the adsorbed atom/molecules and surrouding atoms. Our results can be potentailly useful for applications in catalysis and spintronics.",
"author_names": [
"Yusuf Zuntu Abdullahi",
"Tiem Leong Yoon"
],
"corpus_id": 104361813,
"doc_id": "104361813",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Tuning the electronic and magnetic properties of Fe atom embedded heptazine sheet by atomic and molecular adsorption: First principles calculations",
"venue": "Chinese Journal of Physics",
"year": 2019
},
{
"abstract": "Organic charge transfer compounds have received significant attention because of their tunable electronic properties, ranging from insulators to superconductors. It has been demonstrated that these compounds can be applied to both organic semiconducting active materials and organic conductors by appropriate molecular design. 7,7,8,8 Tetracyanoquinodimethane (TCNQ) and FxTCNQ (x 1, 2, 4) as acceptors and aromatic hydrocarbons form a variety of compounds in which the degree of charge transfer (DCT) is adjustable. The donor, acceptor, and stoichiometry of organic charge transfer compounds are the main factors for tuning the DCT. Tuning of the DCT by crystal engineering allows control of the delocalized electrons and thus the physical properties of materials in a range that is not available in one component organic solids.",
"author_names": [
"Hui Jiang",
"Peng Hu",
"Jun Ye",
"Keke K Zhang",
"Yi Long",
"Wenping Hu",
"Christian Kloc"
],
"corpus_id": 139273371,
"doc_id": "139273371",
"n_citations": 69,
"n_key_citations": 0,
"score": 0,
"title": "Tuning of the degree of charge transfer and the electronic properties in organic binary compounds by crystal engineering: a perspective",
"venue": "",
"year": 2018
}
] |
Sensitization of silicon by singlet exciton fission in tetracene | [
{
"abstract": "Silicon dominates contemporary solar cell technologies1. But when absorbing photons, silicon (like other semiconductors) wastes energy in excess of its bandgap2. Reducing these thermalization losses and enabling better sensitivity to light is possible by sensitizing the silicon solar cell using singlet exciton fission, in which two excited states with triplet spin character (triplet excitons) are generated from a photoexcited state of higher energy with singlet spin character (a singlet exciton)3 5. Singlet exciton fission in the molecular semiconductor tetracene is known to generate triplet excitons that are energetically matched to the silicon bandgap6 8. When the triplet excitons are transferred to silicon they create additional electron hole pairs, promising to increase cell efficiencies from the single junction limit of 29 per cent to as high as 35 per cent9. Here we reduce the thickness of the protective hafnium oxynitride layer at the surface of a silicon solar cell to just eight angstroms, using electric field effect passivation to enable the efficient energy transfer of the triplet excitons formed in the tetracene. The maximum combined yield of the fission in tetracene and the energy transfer to silicon is around 133 per cent, establishing the potential of singlet exciton fission to increase the efficiencies of silicon solar cells and reduce the cost of the energy that they generate. A silicon and tetracene solar cell employing singlet fission uses an eight angstrom thick hafnium oxynitride interlayer to promote efficient triplet transfer, increasing the efficiency of the cell.",
"author_names": [
"Markus Einzinger",
"Tony C Wu",
"Julia F Kompalla",
"Hannah L Smith",
"Collin F Perkinson",
"Lea Nienhaus",
"Sarah Wieghold",
"Daniel N Congreve",
"Antoine Kahn",
"Moungi G Bawendi",
"Marcello Baldo"
],
"corpus_id": 195791130,
"doc_id": "195791130",
"n_citations": 107,
"n_key_citations": 0,
"score": 1,
"title": "Sensitization of silicon by singlet exciton fission in tetracene",
"venue": "Nature",
"year": 2019
},
{
"abstract": "Singlet exciton fission is an efficient multiexciton generation process in organic molecules. But two concerns must be satisfied before it can be exploited in low cost solution processed organic solar cells. Fission must be combined with longer wavelength absorption in a structure that can potentially surpass the single junction limit, and its efficiency must be demonstrated in nanoscale domains within blended devices. Here, we report organic solar cells comprised of tetracene, copper phthalocyanine, and the buckyball C(60) Short wavelength light generates singlet excitons in tetracene. These are subsequently split into two triplet excitons and transported through the phthalocyanine. In addition, the phthalocyanine absorbs photons below the singlet exciton energy of tetracene. To test tetracene in nanostructured blends, we fabricate coevaporated bulk heterojunctions and multilayer heterojunctions of tetracene and C(60) We measure a singlet fission efficiency of (71 18) demonstrating that exciton fission can efficiently compete with exciton dissociation on the nanoscale.",
"author_names": [
"Priya J Jadhav",
"Aseema Mohanty",
"Jason Sussman",
"Jiye Lee",
"Marcello Baldo"
],
"corpus_id": 5537487,
"doc_id": "5537487",
"n_citations": 170,
"n_key_citations": 2,
"score": 0,
"title": "Singlet exciton fission in nanostructured organic solar cells.",
"venue": "Nano letters",
"year": 2011
},
{
"abstract": "Singlet exciton fission, a process that generates two excitons from a single photon, is perhaps the most efficient of the various multiexciton generation processes studied to date, offering the potential to increase the efficiency of solar devices. But its unique characteristic, splitting a photogenerated singlet exciton into two dark triplet states, means that the empty absorption region between the singlet and triplet excitons must be filled by adding another material that captures low energy photons. This has required the development of specialized device architectures. In this Account, we review work to develop devices that harness the theoretical benefits of singlet exciton fission. First, we discuss singlet fission in the archetypal material, pentacene. Pentacene based photovoltaic devices typically show high external and internal quantum efficiencies. They have enabled researchers to characterize fission, including yield and the impact of competing loss processes, within functional devices. We review in situ probes of singlet fission that modulate the photocurrent using a magnetic field. We also summarize studies of the dissociation of triplet excitons into charge at the pentacene buckyball (C60) donor acceptor interface. Multiple independent measurements confirm that pentacene triplet excitons can dissociate at the C60 interface despite their relatively low energy. Because triplet excitons produced by singlet fission each have no more than half the energy of the original photoexcitation, they limit the potential open circuit voltage within a solar cell. Thus, if singlet fission is to increase the overall efficiency of a solar cell and not just double the photocurrent at the cost of halving the voltage, it is necessary to also harvest photons in the absorption gap between the singlet and triplet energies of the singlet fission material. We review two device architectures that attempt this using long wavelength materials: a three layer structure that uses long and short wavelength donors and an acceptor and a simpler, two layer combination of a singlet fission donor and a long wavelength acceptor. An example of the trilayer structure is singlet fission in tetracene with copper phthalocyanine inserted at the C60 interface. The bilayer approach includes pentacene photovoltaic cells with an acceptor of infrared absorbing lead sulfide or lead selenide nanocrystals. Lead selenide nanocrystals appear to be the most promising acceptors, exhibiting efficient triplet exciton dissociation and high power conversion efficiency. Finally, we review architectures that use singlet fission materials to sensitize other absorbers, thereby effectively converting conventional donor materials to singlet fission dyes. In these devices, photoexcitation occurs in a particular molecule and then energy is transferred to a singlet fission dye where the fission occurs. For example, rubrene inserted between a donor and an acceptor decouples the ability to perform singlet fission from other major photovoltaic properties such as light absorption.",
"author_names": [
"Jiye Lee",
"Priya J Jadhav",
"Philip David Reusswig",
"Shane R Yost",
"Nicholas J Thompson",
"Daniel N Congreve",
"Eric R Hontz",
"Troy Van Voorhis",
"Marcello Baldo"
],
"corpus_id": 31428334,
"doc_id": "31428334",
"n_citations": 203,
"n_key_citations": 2,
"score": 0,
"title": "Singlet exciton fission photovoltaics.",
"venue": "Accounts of chemical research",
"year": 2013
},
{
"abstract": "Singlet exciton fission is the process in conjugated organic molecules bywhich a photogenerated singlet exciton couples to a nearby chromophore in the ground state, creating a pair of triplet excitons. Researchers first reported this phenomenon in the 1960s, an event that sparked further studies in the following decade. These investigations used fluorescence spectroscopy to establish that exciton fission occurred in single crystals of several acenes. However, research interest has been recently rekindled by the possibility that singlet fission could be used as a carrier multiplication technique to enhance the efficiency of photovoltaic cells. The most successful architecture to date involves sensitizing a red absorbing photoactive layer with a blue absorbing material that undergoes fission, thereby generating additional photocurrent from higher energy photons. The quest for improved solar cells has spurred a drive to better understand the fission process, which has received timely aid from modern techniques for time resolved spectroscopy, quantum chemistry, and small molecule device fabrication. However, the consensus interpretation of the initial studies using ultrafast transient absorption spectroscopy was that exciton fission was suppressed in polycrystalline thin films of pentacene, a material that would be otherwise expected to be an ideal model system, as well as a viable candidate for fission sensitized photovoltaic devices. In this Account, we review the results of our recent transient absorption and device based studies of polycrystalline pentacene. We address the controversy surrounding the assignment of spectroscopic features in transient absorption data, and illustrate how a consistent interpretation is possible. This work underpins our conclusion that singlet fission in pentacene is extraordinarily rapid ~80 fs) and is thus the dominant decay channel for the photoexcited singlet exciton. Further, we discuss our demonstration that triplet excitons generated via singlet fission in pentacene can be dissociated at an interface with a suitable electron acceptor, such as fullerenes and infrared absorbing inorganic semiconducting quantum dots. We highlight our recent reports of a pentacene/PbSe hybrid solar cell with a power conversion efficiency of 4.7% and of a pentacene/PbSe/amorphous silicon photovoltaic device. Although substantive challenges remain, both to better our understanding of the mechanism of singlet exciton fission and to optimize device performance, this realization of a solar cell where photocurrent is simultaneously contributed from a blue absorbing fission capable material and an infrared absorbing conventional cell is an important step towards a dual bandgap, single junction, fission enhanced photovoltaic device, which could one day surpass the Shockley Queisser limit.",
"author_names": [
"Mark W B Wilson",
"Akshay Rao",
"Bruno Ehrler",
"Richard H Friend"
],
"corpus_id": 36206316,
"doc_id": "36206316",
"n_citations": 175,
"n_key_citations": 2,
"score": 0,
"title": "Singlet exciton fission in polycrystalline pentacene: from photophysics toward devices.",
"venue": "Accounts of chemical research",
"year": 2013
},
{
"abstract": "The Sun is the main source of renewable energy on Earth. Our planet receives about 174 PW of solar power. At the same time, global energy consumption from all energy sources is orders of magnitude lower and is equal to approximately 16 TW. Clearly, solar energy has a tremendous potential, as well as numerous advantages over conventional sources of energy. In recent years the photovoltaic industry exhibited significant growth, however the main obstacles that are preventing widespread introduction of solar cells are their still low efficiency and high cost. The major factors contributing to the low efficiency are non efficient conversion and lack of absorption of sunlight by the active layer material. Sunlight has a broad spectrum spanning over the UV, visible, and NIR optical ranges. Commonly, solar cells are constructed using a single junction, which leads to two fundamental loss mechanisms: i) for photons with a higher energy than the band gap the energy surplus is lost as heat and only the band gap energy harvested, ii) photons with an energy lower than the band gap are not harvested at all. Together, these losses constitute a limitation of the efficiency of a silicon solar cell by half. In the work described in this thesis we have explored ways to decrease these losses by using advanced materials that allow to efficiently down convert high energy photons, and up convert low energy photons to the energies close to the band gap energy. Both of these approaches can be achieved in certain classes of organic materials and are known as singlet exciton fission and photochemical upconversion. This thesis combines the results of experimental research of both singlet exciton fission and photochemical upconversion in organic materials by means of laser spectroscopy tools: transient absorption and time resolved luminescence. Singlet exciton fission is a process by which a singlet excited state is converted into a combination two triplet excited states with half the energy. The two triplet together constitute an overall singlet state and hence it is a spin allowed process that can in principle be very efficient. In this thesis, singlet fission has been studied in a range of perylenediimide (PDI) derivatives in the crystalline state. Substitution at imide nitrogen position allows to obtain different crystal structures in the solid state. In this way the electronic coupling between neighboring molecules in a crystal can be varied without significantly changing the energetics of their singlet and triplet levels. Singlet exciton fission was experimentally detected for a variety of different crystal structures of PDI. The formation of triplet excited states was found to occur on a sub picosecond time scale. The experimentally detected fission rates and triplet yields were significantly higher than predicted by earlier theoretical calculations and were found to depend only very weakly on the crystal structure. The latter can be explained by intermolecular vibrational modes that could significantly speed up fission in perylenediimides. Photochemical upconversion can be seen as the reverse process of singlet fission. In this case, two triplet excited states, formed through a triplet sensitizer, are combined into a single higher lying singet excited state by triplet triplet annihilation. Using this approach it is possible to convert low energy photons that are normally not absorbed in a solar cell into higher energy photons that can be converted efficiently. In this thesis, photochemical upconversion was studied in bi component mixtures of triplet sensitizer and triplet acceptor in solutions. Metal based porphyrins were used as triplet sensizers, and diphenylanthracene was used as triplet acceptor. The triplet sensitizer produces triplets by fast intersystem crossing due to spin orbit coupling. The triplets are subsequently transferred to the triplet acceptor by Dexter energy transfer. When two acceptor molecules in the triplet state encounter each other, triplet triplet annihilation occurs which results in emission from a singlet state. The overall process can be described as conversion of two low energy photons into one high energy photon. In this way, photons that are normally not absorbed by an active material in a solar cell can be converted into photons that can be absorbed, leading to a significant potential enhancement of the overall efficiency. In this work the dependence of the photochemical upconversion process on the metal in triplet sensitizer (porphyrin) was studied. Efficient upconversion was observed for platinum and palladium based porphyrins 25 30% while for the zinc porphyrin the efficiency was considerably less 12% For free base porphyrin, no upconversion was detected. The upconversion process is the result of a series of individual steps outlined above where most steps are the same for all the combinations. The differences in efficiency are traced back to the dependence of energy transfer efficiency on core metal of the porphyrin. Finally, we have made an attempt to achieve upconversion from the near infrared region. For this purpose, we have used porphyrin oligomers consisting of two or four porphyrin rings that have a red shifted absorption beyond 700 nm. It is shown that using the two ring oligomer as a sensitizer for a perylene bisimid, it is possible to convert 700 nm light to the 500 600 nm range. For the four ring oligomer, no noticeable upconversion was observed, possibly because the triplet of the porphyrin oligomer is just below that of the perylene bisimid in this case.",
"author_names": [
"Yaroslav V Aulin"
],
"corpus_id": 101980406,
"doc_id": "101980406",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Singlet Exciton Fission and Photochemical Upconversion",
"venue": "",
"year": 2016
},
{
"abstract": "Splitting Singlets Solar cell efficiency is limited because light at wavelengths shorter than the cell's absorption threshold does not channel any of its excess energy into the generated electricity. Congreve et al. (p. 334) have developed a method to harvest the excess energy in carbon based absorbers through a process termed \"singlet fission.\" In this process, high energy photons propel two current carriers, rather than just one, by populating a singlet state that spontaneously divides into a pair of triplet states. Although it works in a functioning organic solar cell, the efficiency needs improving. Single photons are shown to propel more than one carrier in a carbon based solar cell. Singlet exciton fission transforms a molecular singlet excited state into two triplet states, each with half the energy of the original singlet. In solar cells, it could potentially double the photocurrent from high energy photons. We demonstrate organic solar cells that exploit singlet exciton fission in pentacene to generate more than one electron per incident photon in a portion of the visible spectrum. Using a fullerene acceptor, a poly(3 hexylthiophene) exciton confinement layer, and a conventional optical trapping scheme, we show a peak external quantum efficiency of (109 1) at wavelength l 670 nanometers for a 15 nanometer thick pentacene film. The corresponding internal quantum efficiency is (160 10) Analysis of the magnetic field effect on photocurrent suggests that the triplet yield approaches 200% for pentacene films thicker than 5 nanometers.",
"author_names": [
"Daniel N Congreve",
"Nicholas J Thompson",
"Eric R Hontz",
"Shane R Yost",
"Philip David Reusswig",
"Matthias Bahlke",
"Sebastian Reineke",
"Troy Van Voorhis",
"Marcello Baldo"
],
"corpus_id": 46185590,
"doc_id": "46185590",
"n_citations": 625,
"n_key_citations": 5,
"score": 0,
"title": "External Quantum Efficiency Above 100% in a Singlet Exciton Fission Based Organic Photovoltaic Cell",
"venue": "Science",
"year": 2013
},
{
"abstract": "Significance In the present work, we show compelling evidence for the unprecedented intramolecular singlet fission at room temperature and in dilute solutions within a set of three different regioisomeric pentacene dimers. Pump probe experiments, which were complemented by theoretical calculations using high level ab initio multireference perturbation theory methods, corroborate triplet quantum yields as high as 156 5% To this end, electronic couplings between the two pentacenes in the dimers, by virtue of through bond or through space interactions, are decisive in tuning the rates of singlet fission. Singlet fission (SF) has the potential to supersede the traditional solar energy conversion scheme by means of boosting the photon to current conversion efficiencies beyond the 30% Shockley Queisser limit. Here, we show unambiguous and compelling evidence for unprecedented intramolecular SF within regioisomeric pentacene dimers in room temperature solutions, with observed triplet quantum yields reaching as high as 156 5% Whereas previous studies have shown that the collision of a photoexcited chromophore with a ground state chromophore can give rise to SF, here we demonstrate that the proximity and sufficient coupling through bond or space in pentacene dimers is enough to induce intramolecular SF where two triplets are generated on one molecule.",
"author_names": [
"Johannes Zirzlmeier",
"Dan Lehnherr",
"Pedro B Coto",
"Erin T Chernick",
"Ruben Casillas",
"Bettina S Basel",
"Michael Thoss",
"Rik R Tykwinski",
"Dirk Michael Guldi"
],
"corpus_id": 5682670,
"doc_id": "5682670",
"n_citations": 232,
"n_key_citations": 0,
"score": 0,
"title": "Singlet fission in pentacene dimers",
"venue": "Proceedings of the National Academy of Sciences",
"year": 2015
},
{
"abstract": "Triplet excitons are ubiquitous in organic optoelectronics, but they are often an undesirable energy sink because they are spin forbidden from emitting light and their high binding energy hinders the generation of free electron hole pairs. Harvesting their energy is consequently an important technological challenge. Here, we demonstrate direct excitonic energy transfer from 'dark' triplets in the organic semiconductor tetracene to colloidal PbS nanocrystals, thereby successfully harnessing molecular triplet excitons in the near infrared. Steady state excitation spectra, supported by transient photoluminescence studies, demonstrate that the transfer efficiency is at least (90 13) The mechanism is a Dexter hopping process consisting of the simultaneous exchange of two electrons. Triplet exciton transfer to nanocrystals is expected to be broadly applicable in solar and near infrared light emitting applications, where effective molecular phosphors are lacking at present. In particular, this route to 'brighten' low energy molecular triplet excitons may permit singlet exciton fission sensitization of conventional silicon solar cells.",
"author_names": [
"Nicholas J Thompson",
"Mark W B Wilson",
"Daniel N Congreve",
"Patrick R Brown",
"Jennifer M Scherer",
"Thomas S Bischof",
"Mengfei Wu",
"Nadav Geva",
"Matthew Welborn",
"Troy A Van Voorhis",
"Vladimir Bulovic",
"Moungi G Bawendi",
"Marcello Baldo"
],
"corpus_id": 205410522,
"doc_id": "205410522",
"n_citations": 173,
"n_key_citations": 2,
"score": 0,
"title": "Energy harvesting of non emissive triplet excitons in tetracene by emissive PbS nanocrystals.",
"venue": "Nature materials",
"year": 2014
},
{
"abstract": "We demonstrate bilayer organic photovoltaic cells that incorporate a singlet exciton fission sensitizer layer to increase the external quantum efficiency (EQE) This solar cell architecture is realized by pairing the singlet exciton donor layer tris[4 (5 phenylthiophen 2 yl)phenyl]amine (TPTPA) with the singlet exciton fission layer 5,6,11,12 tetraphenylnaphthacene (rubrene) The presence of the rubrene layer at the donor acceptor interface allows for a singlet generated in TPTPA to undergo singlet exciton fission with a corresponding doubling in the TPTPA EQE from 12.8% to 27.6% This scheme de couples singlet exciton fission from photon absorption, exciton diffusion, and charge transport for very high EQE organic photovoltaic cells.",
"author_names": [
"Philip David Reusswig",
"Daniel N Congreve",
"Nicholas J Thompson",
"Marcello Baldo"
],
"corpus_id": 10185205,
"doc_id": "10185205",
"n_citations": 89,
"n_key_citations": 0,
"score": 0,
"title": "Enhanced external quantum efficiency in an organic photovoltaic cell via singlet fission exciton sensitizer",
"venue": "",
"year": 2012
},
{
"abstract": "Magnetic field effects provide a convenient and specific probe of singlet exciton fission within optoelectronic devices. Here, we demonstrate that this tool may also be applied to screen potential fission material candidates in solution. We characterize the phenomenon in diphenyl tetracene (DPT) which shows strong fluorescence modulation and the expected field dependence in its transient decay as a function of concentration. Solution measurements may also be used to test for the presence of an intermediate charge transfer state, but we observe no changes to the field dependence of DPT singlet exciton fission in toluene relative to chloroform.",
"author_names": [
"Nicholas J Thompson",
"Eric R Hontz",
"Wendi Chang",
"Troy Van Voorhis",
"Marcello Baldo"
],
"corpus_id": 21804190,
"doc_id": "21804190",
"n_citations": 6,
"n_key_citations": 0,
"score": 0,
"title": "Magnetic field dependence of singlet fission in solutions of diphenyl tetracene",
"venue": "Philosophical Transactions of the Royal Society A: Mathematical, Physical and Engineering Sciences",
"year": 2015
}
] |
Auger-process-induced charge separation in semiconductor nanocrystals | [
{
"abstract": "Femtosecond nonlinear transmission techniques are applied to study mechanisms for optical nonlinearities and ultrafast carrier dynamics in CdS nanocrystals (NC{close_quote}s) The obtained data indicate the change in a dominant hole relaxation channel at high pump levels where nonlinear recombination effects start to play a significant role. This is manifested as a distinct difference in nonlinear optical responses measured at low and high pump intensities in quasiequilibrium at long times after excitation. The analysis of the wavelength and time dependence of the nonlinear transmission over a wide pump intensity range shows clearly that this difference is due to an Auger process assisted trapping of holes at surface/interface related states. This trapping leads to efficient charge separation and the generation of a dc electric field that modifies the nonlinear optical response in NC{close_quote}s at high pump intensities. {copyright} {ital 1997} {ital The American Physical Society}",
"author_names": [
"Victor I Klimov",
"Duncan W Mcbranch"
],
"corpus_id": 122140252,
"doc_id": "122140252",
"n_citations": 97,
"n_key_citations": 0,
"score": 1,
"title": "Auger process induced charge separation in semiconductor nanocrystals",
"venue": "",
"year": 1997
},
{
"abstract": "We demonstrate novel methods for the study of multiple exciton generation from a single photon absorption event (carrier multiplication) in semiconductor nanocrystals (or nanocrystal quantum dots) that are complementary to our previously reported transient absorption method. By monitoring the time dependence of photoluminescence (PL) from CdSe nanocrystals via time correlated single photon counting, we find that carrier multiplication is observable due to the Auger decay of biexcitons. We compare these data with similar studies using transient absorption and find that the two methods give comparable results. In addition to the observation of dynamical signatures of carrier multiplication due to the Auger decay, we observe spectral signatures of multiple excitons produced from the absorption of a single photon. PL spectra at short times following excitation with high energy photons are red shifted compared to the single exciton emission band, which is consistent with previous observations of significant exciton exciton interactions in nanocrystals. We then show using a combination of transient absorption and time resolved PL studies that charge transfer between a nanocrystal and a Ru based catalyst model compound takes place on a time scale that is faster than Auger recombination time constants, which points toward a possible design of donor acceptor assemblies that can be utilized to take advantage of the carrier multiplication process.",
"author_names": [
"Richard D Schaller",
"Milan Sykora",
"Sohee Jeong",
"Victor I Klimov"
],
"corpus_id": 37183701,
"doc_id": "37183701",
"n_citations": 150,
"n_key_citations": 0,
"score": 0,
"title": "High efficiency carrier multiplication and ultrafast charge separation in semiconductor nanocrystals studied via time resolved photoluminescence.",
"venue": "The journal of physical chemistry. B",
"year": 2006
},
{
"abstract": "Abstract Slow carrier relaxation and extent of charge separation in semiconductor nanocrystals (NCs) are the desired parameters, which control the power conversion efficiency in photovoltaic. Although several synthesis approaches have explored, the spectroscopic analysis of the fundamental understanding of charge carrier dynamics in the quantum confined states of cadmium zinc selenide (CdxZn1 xSe) graded alloy NCs remain relatively unexplored. Here, we study the charge carrier dynamics of a series of CdxZn1 xSe alloy NCs by changing the metal (Cd and Zn; M) to selenide ratio adopting one step synthesis. Our findings underline that both excitonic absorption and band edge photoluminescence (PL) of all the alloys are red shifted concerning the binary analogs (CdSe and ZnSe) which implies the formation of gradient alloy structure where the core is CdSe rich that leads to increasing light absorption cross section in the solar spectrum. Femtosecond transient absorption (fs TA) measurements of the alloy NCs reveal that the CdxZn1 xSe alloy show three distinct bleaches due to the different electronic transition like 1S (1Se 1S3/2) 2S (1Se 2S3/2) and 1P (1Pe 1P3/2) while only CdSe shows two bleaches due to 1S, and 1P. The distinctive band structure (quasi type II) of the alloy leads to slow down the intraband carrier cooling time and prolonging the charge separation due to specially decoupled photo excited electron and hole. This finding offers new insights to understand the photophysical behavior and suggest that CdxZn1 xSe graded NCs can be used as efficient light harvesting materials in quantum dot solar cell.",
"author_names": [
"Partha Maity",
"Nandan Ghorai",
"Jayanta Dana",
"Hirendra N Ghosh"
],
"corpus_id": 208732422,
"doc_id": "208732422",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Impact of one step alloying on the carrier relaxation and charge separation dynamics of CdxZn1 xSe graded nanocrystals",
"venue": "",
"year": 2020
},
{
"abstract": "Type II quantum dots (QDs) are capable of light driven charge separation between their core and the shell structures; however, their light absorption is limited in the longer wavelength range. Biological light harvesting complex II (LHCII) efficiently absorbs in the blue and red spectral domains. Therefore, hybrid complexes of these two structures may be promising candidates for photovoltaic applications. Previous measurements had shown that LHCII bound to QD can transfer its excitation energy to the latter, as indicated by the fluorescence emissions of LHCII and QD being quenched and sensitized, respectively. In the presence of methyl viologen (MV) both fluorescence emissions are quenched, indicating an additional electron transfer process from QDs to MV. Transient absorption spectroscopy confirmed this notion and showed that electron transfer from QDs to MV is much faster than fluorescence energy transfer between LHCII and QD. The action spectrum of MV reduction by LHCII QD complexes reflected the LHCII absorption spectrum, showing that light absorbed by LHCII and transferred to QDs increased the efficiency of MV reduction by QDs. Under continuous illumination, at least 28 turnovers were observed for the MV reduction. Presumably, the holes in QD cores were filled by a reducing agent in the reaction solution or by the dihydrolipoic acid coating of the QDs. The LHCII QD construct can be viewed as a simple model of a photosystem with the QD component acting as reaction center.",
"author_names": [
"Mara Werwie",
"Lars Dworak",
"Anne Bottin",
"Lisa R Mayer",
"Thomas Basche",
"Josef Wachtveitl",
"Harald Paulsen"
],
"corpus_id": 21686233,
"doc_id": "21686233",
"n_citations": 11,
"n_key_citations": 0,
"score": 0,
"title": "Light harvesting chlorophyll protein (LHCII) drives electron transfer in semiconductor nanocrystals.",
"venue": "Biochimica et biophysica acta. Bioenergetics",
"year": 2018
},
{
"abstract": "Abstract Donor:acceptor systems are the core of many concepts for photovoltaic devices, because they provide a mean to separate photo generated electron hole pairs into extractable charge carriers. In organic photovoltaics, donor:acceptor systems consist of two different organic semiconductors. Alternatively, inorganic semiconductor nanocrystals are also suitable materials. Their use in combination with an organic material gives rise to hybrid systems. Charge separation being a key step in the energy conversion process, suitable methods are required to study this physical process. The present review article provides an overview on the use of light induced electron spin resonance as a powerful method to investigate charge separation in donor:acceptor blends. Thereby, organic and hybrid material systems are compared. Furthermore, detailed investigations of recombination processes are considered as well.",
"author_names": [
"Christopher Krause",
"Holger Dr Borchert"
],
"corpus_id": 99756836,
"doc_id": "99756836",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Light induced electron spin resonance as a probe for charge separation and recombination in hybrid donor:acceptor systems",
"venue": "",
"year": 2016
},
{
"abstract": "We synthesize colloidal CdSe@CdS octapod nanocrystals decorated with Pt domains, resulting in a metal semiconductor heterostructure. We devise a protocol to control the growth of Pt on the CdS surface, realizing both a selective tipping and a non selective coverage. Ultrafast optical spectroscopy, particularly femtosecond transient absorption, is employed to correlate the dynamics of optical excitations with the nanocrystal morphology. We find two regimes for capture of photoexcited electrons by Pt domains: a slow capture after energy relaxation in the semiconductor, occurring in tipped nanocrystals and resulting in large spatial separation of charges, and an ultrafast capture of hot electrons occurring in nanocrystals covered in Pt, where charge separation happens faster than energy relaxation and Auger recombination. Besides the relevance for fundamental materials science and control at the nanoscale, our nanocrystals may be employed in solar photocatalysis.",
"author_names": [
"Erika Conca",
"Mauro Aresti",
"Michele Saba",
"Maria Francesca Casula",
"Francesco Quochi",
"Guido Mula",
"Danilo Loche",
"Mee Rahn Kim",
"Liberato Manna",
"Anna Corrias",
"A Mura",
"Giovanni Bongiovanni"
],
"corpus_id": 3126784,
"doc_id": "3126784",
"n_citations": 14,
"n_key_citations": 0,
"score": 0,
"title": "Charge separation in Pt decorated CdSe@CdS octapod nanocrystals.",
"venue": "Nanoscale",
"year": 2014
},
{
"abstract": "Surface functionalization and shape modifications are the key strategies being utilized to overcome the limitations of semiconductors in advanced oxidation processes (AOP) Herein, the uniform a Fe2O3 nanocrystals (a Fe2O3 NCs) were effectively synthesized via a simple solvothermal route. Meanwhile, the sulfonic acid functionalization (SAF) and the impregnation of a Fe2O3 NCs on g C3N4 (a Fe2O3 NCs@CN SAF) were achieved through complete solvent evaporation technique. The surface functionalization of the sulfonic acid group on g C3N4 accelerates the faster migration of electrons to the surface owing to robust electronegativity. The incorporation of a Fe2O3 NCs with CN SAF significantly enhances the optoelectronic properties, ultrafast spatial charge separation, and rapid charge transportation. The a Fe2O3 HPs@CN SAF and a Fe2O3 NPs@CN SAF nanocomposites attained 97.41% and 93.64% of Cr (VI) photoreduction in 10 min, respectively. The photocatalytic efficiency of a Fe2O3 NCs@CN SAF nanocomposite is 2.4 and 2.1 times higher than that of pure g C3N4 and a Fe2O3, respectively. Besides, the XPS, PEC and recycling experiments confirm the excellent photo induced charge separation via Z scheme heterostructure and cyclic stability of a Fe2O3 NCs@CN SAF nanocomposites.",
"author_names": [
"Sridharan Balu",
"Yi-Lun Chen",
"Rei-Cheng Juang",
"Thomas Chung-Kuang Yang",
"Joon Ching Juan"
],
"corpus_id": 221622786,
"doc_id": "221622786",
"n_citations": 8,
"n_key_citations": 0,
"score": 0,
"title": "Morphology Controlled Synthesis of a Fe2O3 Nanocrystals Impregnated on g C3N4 SO3H with Ultrafast Charge Separation for Photoreduction of Cr (VI) Under Visible Light.",
"venue": "Environmental pollution",
"year": 2020
},
{
"abstract": "Semiconductor catalyst heterostructures have shown promising performances for light driven H2 generation, although further development of these materials is hindered by the lack of cost effective and efficient catalysts. In this paper, we adopt a colloidal method to prepare few layer WSe2 nanosheets without exfoliation and apply them as catalysts for forming heterostructures with a wide range of semiconductor absorbers (CdS nanorods, CdSe/CdS dot in rods, TiO2 nanoparticles, g C3N4 nanosheets) These WSe2 semiconductor heterostructures show enhanced solar to hydrogen conversion efficiencies compared with semiconductors without WSe2. The detailed mechanism of this enhancement has been investigated using WSe2 nanosheets decorated CdSe/CdS dot in rods as a model system, which display ~5.5 fold higher hydrogen generation apparent quantum efficiency compared with free CdSe/CdS dot in rods. Transient absorption spectroscopic studies reveal efficient charge separation in WSe2 decorated CdSe/CdS dot in rods, suggesting its key role in enhancing the H2 generation efficiency of WSe2 semiconductor heterostructures. This work demonstrates the great potentials of WSe2 nanosheets as catalysts for light driven hydrogen production and demonstrate the important effect of forming WSe2 semiconductor heterostructures in facilitating charge separation and photocatalysis.",
"author_names": [
"Xu Guo",
"Qiuyang Li",
"Yawei Liu",
"Tao Jin",
"Yubin Chen",
"Liejin Guo",
"Tianquan Lian"
],
"corpus_id": 221619277,
"doc_id": "221619277",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Enhanced Light Driven Charge Separation and H2 Generation Efficiency in WSe2 Nanosheet Semiconductor Nanocrystal Heterostructures\"",
"venue": "ACS applied materials interfaces",
"year": 2020
},
{
"abstract": "",
"author_names": [
"Lihua Wang",
"Mujin Cai",
"Wei Sun",
"Le He",
"Xiaohong Zhang"
],
"corpus_id": 139895842,
"doc_id": "139895842",
"n_citations": 17,
"n_key_citations": 0,
"score": 0,
"title": "Promoting Charge Separation in Semiconductor Nanocrystal Superstructures for Enhanced Photocatalytic Activity",
"venue": "",
"year": 2018
},
{
"abstract": "Semiconductor colloidal metal chalcogenides (II VI) in the form of quantum dots (QDs) and different heterostructures (core/shell, alloys, etc. are of extensive interest in scientific research for both a fundamental understanding and technological applications because of their quantized size and different optical properties; however, due to their small size, the exciton (bound electron and hole) experiences a strong Coulombic attraction, which has a remarkable impact on the charge separation and photophysical properties of QDs. Thus, to achieve an efficient charge separation, numerous attempts have been made via the formation of different heterostructures, QD/molecular adsorbate (either organic or inorganic) assemblies, etc. These hybrid materials ameliorated the absorption of the incident light as well as charge separation. This article reviews the strategies for extending charge separation in these colloidal nanocrystals (NCs) which is one of the crucial steps to elevate the solar to electrical energy conversion efficiency in a quantum dot sensitized solar cell (QDSC) The article summarizes the benefits of co sensitization and experimental shreds of evidence for the multiple charge transfer processes involved in a QDSC. Studies have shown that in the co sensitization process, prolonged charge separation occurs via the dual behavior of the molecular adsorbate, sensitization (electron injection) and capture of holes from photoexcited QDs. This perspective emphases band edge engineering and control of charge carrier dynamics in various core/shell structures. The impact of colloidal alloy NCs on charge separation and interesting photophysical properties was recapitulated via the steady state and time resolved photoluminescence (PL) and femtosecond transient absorption spectroscopic techniques. Finally, the prolonged lifetime and extent of charge separation for these hybrid NCs (or the composites) assisted in the development of a better light harvester as compared to the case of their pure counterparts.",
"author_names": [
"Partha Maity",
"Hirendra N Ghosh"
],
"corpus_id": 204116747,
"doc_id": "204116747",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Strategies for extending charge separation in colloidal nanostructured quantum dot materials.",
"venue": "Physical chemistry chemical physics PCCP",
"year": 2019
}
] |
nonreciprocal reflection less | [
{
"abstract": "A magnet less nonreciprocal metasurface (MNMs) exhibiting enhanced transmission is presented. The metasurface is constituted of a 2D periodic array of a stacked annular pair of slots loaded with semiconductor based unidirectional components sandwiching a dielectric slab spacer. The reflection and transmission dyadics of the metamaterial structure are computed using transmission line modeling with single layer annular slot impedance dyadic. A parametric study is conducted in terms of the spacer permittivity and thickness to achieve enhanced transmission. Possibility of designing total transmission or total reflection magnet less nonreciprocal metasurfaces is discussed.",
"author_names": [
"Burak Gurlek",
"Christophe Caloz"
],
"corpus_id": 35328813,
"doc_id": "35328813",
"n_citations": 0,
"n_key_citations": 0,
"score": 1,
"title": "Towards reflection less or total reflection magnet less nonreciprocal metasurface",
"venue": "2015 9th European Conference on Antennas and Propagation (EuCAP)",
"year": 2015
},
{
"abstract": "In this letter, we propose a magnet less nonreciprocal isolating system based on time varying metasurfaces. Two parallel time varying metasurfaces, one for frequency up conversion and one for down conversion by the same amount, are used for realizing a region of space where incident waves from opposite directions experience an opposite Doppler frequency shift. As a result, any device within this region becomes sensitive to the illumination direction, exhibiting a different scattering response from the opposite directions, and thus breaking reciprocity. Very importantly, thanks to the opposite frequency shift of the metasurfaces, the frequency of the transmitted electromagnetic field is the same as for the incident one. Here, we demonstrate this general approach by using a Bragg grating as the device between the time varying metasurfaces. The combined structure of the metasurfaces and the grating exhibits different transmission and reflection properties for opposite illumination direction, thereby realizing an isolator. More broadly, this letter presents a strategy for converting any conventional electromagnetic device to a nonreciprocal one by placing it between two time varying metasurfaces. This approach opens the door to several new nonreciprocal components based on thin and lightweight metasurfaces, which are simpler to realize compared to their volumetric counterparts.",
"author_names": [
"Davide Ramaccia",
"Dimitrios L Sounas",
"Angelica Viola Marini",
"Alessandro Toscano",
"Filiberto Bilotti"
],
"corpus_id": 219508273,
"doc_id": "219508273",
"n_citations": 6,
"n_key_citations": 0,
"score": 0,
"title": "Electromagnetic Isolation Induced by Time Varying Metasurfaces: Nonreciprocal Bragg Grating",
"venue": "IEEE Antennas and Wireless Propagation Letters",
"year": 2020
},
{
"abstract": "AbstractNonreciprocal effects in light reflection by a medium moving along an interface are considered in a weakly relativistic approximation. It is found that nonreciprocal effects are anomalously large in the vicinity of the Brewster and the total internal reflection (TIR) angles when light is reflected by transparent moving media. These effects exceed considerably (by several orders of magnitude) the ratio of the velocity of the reflecting medium to the velocity of light b and can be proportional to $V_q \\propto \\Delta \\sqrt n \\sqrt n rather than to b under certain conditions. We show new possibilities for the creation a method for investigation of the structure of nonuniform flows with a very small spatial scale (less than one millimeter) This method is based on measurement of amplitude and phase nonreciprocities in light reflection by these flows.",
"author_names": [
"Victor Ivanov",
"Mikhail A Novikov"
],
"corpus_id": 119792781,
"doc_id": "119792781",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Nonreciprocal effects in light reflection by a moving medium",
"venue": "",
"year": 1995
},
{
"abstract": "A tunable multichannel nonreciprocal perfect absorber with the asymmetric metal photonic crystal hetero structure is theoretically proposed. By using the transfer matrix method, the linear and nonlinear properties of the absorber are investigated. An ultra narrow perfect absorption channel is realized in the linear case due to the impedance matching, and the channel number can be adjusted by changing the period of the photonic crystal. Bistable absorption and reflection are achieved in the nonlinear case due to the positive feedback between the metal nonlinear permittivity and the inner electric field, while the bistable thresholds can be altered by varying the incident light wavelength. Moreover, the absorber exhibits the intrinsic nonreciprocity in both absorption and reflection, and shows the polarization independent directional characteristics when the incident angle is less than 40deg.",
"author_names": [
"Jun Zhou",
"Li Jin",
"Edwin Yue-Bun Pun"
],
"corpus_id": 121914034,
"doc_id": "121914034",
"n_citations": 16,
"n_key_citations": 0,
"score": 0,
"title": "Tunable multichannel nonreciprocal perfect absorber based on resonant absorption",
"venue": "",
"year": 2012
},
{
"abstract": "There is need for non reciprocal devices such as circulators and isolators. Although such devices are common at frequencies below 10 GHz, there is a lack of compact, low weight, devices at higher microwave frequencies. This paper examines the non reciprocal behavior associated with attenuated total reflection (ATR) for multi layered dielectric and magnetic structures. Non reciprocal behaviors produced by ATR have been explored for semi infinite magnetic materials. This paper focuses on ATR behavior with magnetic films of finite thickness, from thick layers of around 3 cm to thin layers of about 1 um. The results show significant non reciprocity even for magnetic layers less than 0.1 cm thick, with reflection loss differences of more than 30 dB between positive and negative signal propagation. Results are presented for yttrium iron garnet and M type barium hexagonal ferrites. The two materials allow nonreciprocal behavior at different frequencies, 5 20 GHz for the garnet and 45 80 GHz for the hexagonal fer.",
"author_names": [
"Timothy J Fal",
"Robert E Camley"
],
"corpus_id": 123378740,
"doc_id": "123378740",
"n_citations": 15,
"n_key_citations": 0,
"score": 0,
"title": "Non reciprocal devices using attenuated total reflection and thin film magnetic layered structures",
"venue": "",
"year": 2011
},
{
"abstract": "Impedance matched ferrite loaded waveguide nonreciprocal phase shifters are designed using the method of field expansion into eigenmodes, which includes higher order mode interaction between the step discontinuities. Computer optimized Ku band ferrite stepped design examples, of 45deg and 90deg nonreciprocal differential phase shifts, attain typically about 2deg phase error and less than 25 dB input reflection within a bandwidth of about 5 percent. Compact designs are achieved by thicker uniform ferrite slabs with dielectric transformer sections at each end. The theory is verified by comparison with available results from measurements and other methods.",
"author_names": [
"Jason Uher",
"Fritz Arndt",
"Jens Bornemann"
],
"corpus_id": 53490212,
"doc_id": "53490212",
"n_citations": 35,
"n_key_citations": 3,
"score": 0,
"title": "Field Theory Design of Ferrite Loaded Waveguide Nonreciprocal Phase Shifters with Multisection Ferrite Or Dielectric Slab Impedance Transformers",
"venue": "",
"year": 1987
},
{
"abstract": "A stable phase demodulation system for diaphragm based acoustic sensors is reported. The system is based on a modified fiber optic Sagnac interferometer with a stable quadrature phase bias, which is independent of the parameters of the sensor head. The phase bias is achieved passively by introducing a nonreciprocal frequency shift between the counter propagating waves, avoiding the use of complicated active servo control. A 100 nm thick graphite diaphragm based acoustic sensor interrogated by the proposed demodulation system demonstrated a minimum detectable pressure level of ~450 uPa/Hz(1/2) and an output signal stability of less than 0.35 dB over an 8 hour period. The system may be useful as a universal phase demodulation unit for diaphragm based acoustic sensors as well as other sensors operating in a reflection mode.",
"author_names": [
"Jun Ma",
"Yongqin Yu",
"Wei Jin"
],
"corpus_id": 35682321,
"doc_id": "35682321",
"n_citations": 49,
"n_key_citations": 0,
"score": 0,
"title": "Demodulation of diaphragm based acoustic sensor using Sagnac interferometer with stable phase bias.",
"venue": "Optics express",
"year": 2015
},
{
"abstract": "There is experimental evide nce t hat VLF signa ls propagating from west to east suffer less attenuation than from east to west. Earlier work t reating t he case of nonreciprocal propagation along t he magnetic equator is extended in latitude. T he nonreciprocity sh own by I I RII for highly oblique propagation a long t he magnetic equator p ersists when t he refl ection point moves towards a magnetic pole, but at the pole itself II RII i reciprocal. To a first approximatio n .L R.L is reciprocal at a ll magnetic lati tudes. The conversion coe fficients II a.L, .L a ll are greater for east to west propagation than for propagation in t h e opposite di rect io n, except at a magnetic pole where they are equal.",
"author_names": [
"Douglass D Crombie"
],
"corpus_id": 124235823,
"doc_id": "124235823",
"n_citations": 14,
"n_key_citations": 1,
"score": 0,
"title": "Reflection from a sharply bounded ionosphere for VLF propagation Perpendicular to the magnetic meridian",
"venue": "",
"year": 1961
},
{
"abstract": "Theories of integration and dependence approach the phenomenon of international interdependence from opposite sides of the spectrum of relations between nations. Integration emphasizes voluntarism in the study of relations characterized by more or less symmetric interdependence, joint participation, and mutual benefits. Dependence, on the other hand, is concerned with asymmetric interdependence and the nonreciprocal reliance this engenders, with emphasis on coercion. These two streams of theorizing share a predilection for a unidirectional focus as they analyze the process by which integration or domination is achieved and maintained. The unidirectional focus in integration theory has been, in part, a reflection of the initial optimism and commitment toward integration in West Europe. Moreover, it was sustained by the concept of spillover, the core of functionalist integration thinking, which attributed a high degree of automaticity to the process of integration once underway. If it was optimism that gave integration theory its unidirectional, quasi automatic orientation, it was the inherent pessimism of dependency analysts concerning the ability of peripheral countries to overcome the domestic dislocations stemming from integration into the global capitalist system which has given dependency theory its highly deterministic outlook. It cannot adequately explain periodic efforts by peripheral states to change the nature of their ties with metropole countries. To be sure, not all works on integration and dependence have adopted a unidirectional, highly deterministic framework. Pioneering",
"author_names": [
"Michael B Dolan",
"Brian W Tomlin",
"Harald von Riekhoff"
],
"corpus_id": 154593191,
"doc_id": "154593191",
"n_citations": 5,
"n_key_citations": 0,
"score": 0,
"title": "Integration and Autonomy in Canada United States Relations, 1963 1972",
"venue": "",
"year": 1982
},
{
"abstract": "It is known that it is impossible to construct certain types of n ports for which too many design contraints are required. For instance, a lossless, reciprocal three port cannot be completely matched. The paper is mainly concerned with n ports that provide an equal power split. Scattering matrices are derived which provide for maximum power transfer for the two limiting cases of zero absorption loss and zero reflection at the input ports. It is shown that the first of these cases corresponds to an absolute maximum power transfer and that no other division of the over all loss into reflection and absorption loss will yield better results. For matched inputs, it is shown that the optimum transmission can always be improved by going from a reciprocal to a nonreciprocal n port. While no matched, reciprocal three ports (providing an equal power split from all ports) can be built with less than 50 per cent loss, an equivalent nonreciprocal device can have losses as low as 33 1/3 per cent. Similar results are given for n ports in general.",
"author_names": [
"Harry Gruenberg"
],
"corpus_id": 110164542,
"doc_id": "110164542",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Some Optimum Properties of n Ports",
"venue": "",
"year": 1961
}
] |
Single phase inverter | [
{
"abstract": "This article presents a novel switched capacitor (SC) based (2n 1) level single phase inverter with a reduced number of components and input dc voltage supply. This inverter is designed in a way that just one dc source is required to generate different voltage levels. The circuit consists of three major parts, i.e. front end boost stage, active SC cell(s) in the middle, and H bridge inverter at the end. The total number of output voltage levels is up to (2n 1) levels, where n 2 is the number of switching cells, which consists of three active switches and two capacitors. Compared with conventional SC based multilevel inverter topologies, the proposed topology features many advantages, such as low number of semiconductor devices, quasi resonant charging of capacitors that reduce the inrush current and current stress on the devices, self balancing of capacitor, and reduced voltage stress on the switches. Moreover, a simple sinusoidal pulsewidth modulation technique is employed here to generate the modulation signals for the proposed inverter. The operating principle is presented in detail followed by comparative analysis, thermal modeling, and design guidelines. Finally, computer simulation and laboratory test results are carried out for a five level inverter with one SC cells as well as a seven level inverter with two SC cells as two examples to verify the performance of the proposed (2n 1) level inverter. Measurement results show that the proposed inverter has the 96.5 1% efficiency over a wide range of load with a peak efficiency of 98.56%",
"author_names": [
"Mojtaba Forouzesh",
"Yam Prasad Siwakoti",
"Liwei Li",
"Frede Blaabjerg"
],
"corpus_id": 212801354,
"doc_id": "212801354",
"n_citations": 15,
"n_key_citations": 0,
"score": 2,
"title": "Switched Capacitor Integrated (2n 1) Level Step Up Single Phase Inverter",
"venue": "IEEE Transactions on Power Electronics",
"year": 2020
},
{
"abstract": "This paper deals with the simulation and design of 1kw, 230 volt 50 Hz inverter. The elementary purpose of this device is to transmute 12V DC to 230V AC. We design a low cost inverter circuit using MOSFET motivated by an growing demand due to frequent power shortage. The system is design with microcontroller (ATMEGA 328) for control circuit. A 5 volts regulator (IC 7805) is used to supply fix 5V for biasing the switching and amplifying circuitry. Pure sine wave inverter are demand of modern era whenever it comes to utilization of DC power sources for both low and high power application. These inverters not only increase the efficiency of power system but also prevent the electrical component from damaging. In recent time research has been carried out on producing cost effective and efficient pure sine wave inverter. And the design of the paper proposes highly useful for low power based application. MATLAB/SIMULINK software is use for simulation verification of proposed circuit of inverter. The main advantage of this inverter is providing backup upto 1kw load.",
"author_names": [
"Ritesh C Ujawane",
"Parag G Shewane",
"Nitin P Choudhary",
"Smitesh Bobde",
"Rahul R Jichakar"
],
"corpus_id": 222242339,
"doc_id": "222242339",
"n_citations": 0,
"n_key_citations": 0,
"score": 2,
"title": "Simulation of Single Phase Inverter",
"venue": "",
"year": 2020
},
{
"abstract": "This paper proposes a single phase inverter to achieve high power factor, wide input voltage range, and ripple power decoupling without using large passive components. The proposed inverter is obtained by rearranging the positions of the switches and passive components in the exiting circuit. Given that, a wider dc input voltage range can be obtained since it is no longer bounded by half the grid peak voltage. In addition, the inherent low frequency ripple power is buffered, rather than through increasing the capacitance, by swinging the decoupling capacitor voltage resulting in an improvement of the power density and system lifetime. Furthermore, with adopting a closed loop control method, no dedicated power buffering controller is required. This paper starts with introducing the derivation of the proposed inverter followed by the operation principles and circuit analysis. A comparison between the derived circuit and the original one is carried out to show the pros and cons. Finally, a 200 W prototype is constructed to demonstrate the effectiveness of the proposed topology.",
"author_names": [
"Yonglu Liu",
"Mei Su",
"Fulin Liu",
"Minghui Zheng",
"Xiao Liang",
"Guo Xu",
"Yao Sun"
],
"corpus_id": 61808641,
"doc_id": "61808641",
"n_citations": 9,
"n_key_citations": 0,
"score": 3,
"title": "Single Phase Inverter With Wide Input Voltage and Power Decoupling Capability",
"venue": "IEEE Access",
"year": 2019
},
{
"abstract": "This paper presents the tradeoff study of heat sink and output filter volume of a GaN HEMT based single phase inverter. The selected topology is three level active neutral point clamped (ANPC) inverter, and the main aim is to explore the benefits of the GaN HEMTs at 600 V blocking class on the system level efficiency, and power density under the wide range of operating conditions. The paper starts by introducing the inverter topology, selected pulse width modulation scheme and followed by the device features, static, and dynamic characterization and continues with presenting and discussing the results of extensive experimental and analytical characterization. After this, the impact of GaN HEMTs on inverter volume is discussed in terms of heat sink and output filter volume analysis under different switching frequency and heat sink temperature conditions. The calculation of heat sink volume and single stage LC output filter volume are presented with respect to experimental results of the single phase prototype. The findings from static, dynamic characterization, and single phase prototype results clearly show that GaN HEMT has excellent switching performance under wide load current and heat sink temperature conditions. The high performance of the inverter leads to reduction of the combined total volume, including output filter and heat sink volume.",
"author_names": [
"Emre Gurpinar",
"Alberto Castellazzi"
],
"corpus_id": 3468037,
"doc_id": "3468037",
"n_citations": 25,
"n_key_citations": 3,
"score": 1,
"title": "Tradeoff Study of Heat Sink and Output Filter Volume in a GaN HEMT Based Single Phase Inverter",
"venue": "IEEE Transactions on Power Electronics",
"year": 2018
},
{
"abstract": "Active ripple decoupling technique of the single phase inverter is a popular topic to minimize the dc link capacitance. However, the existing control methods are based on tracking sinusoidal or predetermined voltage waveforms of the compensation capacitor, assuming the inverter outputs are pure sinusoidal voltage and current. Therefore, the performance of existing methods degrades when the inverter output voltage and current are not purely sinusoidal. Furthermore, the limited dynamic performance threatens the safety of dc link capacitor when the load changes. This happens, because the inrush ripple power is injected into dc link with small capacitance and the dc link voltage will suddenly rise up when the ripple power is not buffered during transients. In this paper, a direct instantaneous power predictive control is proposed for the decoupling circuit to buffer ripple power of the single phase inverter, which combines instantaneous ripple power control with model predictive control to overcome the issues above. The proposed method tracks the instantaneous ripple power rather than voltage or current waveforms. In this way, it can fully buffer all ripple powers in the system even for distorted output voltage and current of the inverter and enables the full utilization of storage capacitor. In addition, model predictive control makes the proposed method have fast dynamic and perfectly compensate ripple power during transients and steady states. The buck type active ripple decoupling circuit is chosen to implement the proposed method after comparing with another typical decoupling topology. The proposed method is also compared with conventional method using proportional integral resonant regulator to track the predetermined capacitor voltage waveform. Experimental tests verify the theoretical analysis and the proposed control method.",
"author_names": [
"Baoming Ge",
"Xiao Li",
"Haiyu Zhang",
"Yushan Liu",
"Robert S Balog",
"Haitham Abu-Rub",
"Lance Alpuerto"
],
"corpus_id": 47570732,
"doc_id": "47570732",
"n_citations": 15,
"n_key_citations": 1,
"score": 0,
"title": "Direct Instantaneous Ripple Power Predictive Control for Active Ripple Decoupling of Single Phase Inverter",
"venue": "IEEE Transactions on Industrial Electronics",
"year": 2018
},
{
"abstract": "When an existing photovoltaic (PV) system is upgraded to a residential PV/battery system, the single phase PV inverter under both input conditions of battery and PV should be properly controlled to restrain the input current ripple and grid current harmonics. To do this, equivalent circuits of PV array and Li ion battery pack are first constructed and respectively analyzed. The analysis results show that the input current under the battery pack may contain serious ripple component due to the low internal impedance of the battery pack, which cannot suppress the ripple current caused by the inherent power coupling problem of the grid connected single phase inverter. Then, based on the small signal model of the boost dc dc convertor, a novel active control method is proposed for mitigating the input current ripple, which adopts double channel current feedbacks including an additional ripple current feedback channel and the normal one. To provide the feedback signal, a third order general integrator is introduced to extract the current ripple. Besides, a proportional resonant controller is used to restrain the grid current harmonics. Finally, the control parameters are obtained using MATLAB toolbox, and the proposed control strategies are validated by the experimental results on a 5 kW prototype.",
"author_names": [
"Bin Liu",
"Lina Wang",
"Dongran Song",
"Mei Su",
"Jian Yang",
"Deqiang He",
"Zhiwen Chen",
"Shaojian Song"
],
"corpus_id": 52299150,
"doc_id": "52299150",
"n_citations": 17,
"n_key_citations": 1,
"score": 0,
"title": "Input Current Ripple and Grid Current Harmonics Restraint Approach for Single Phase Inverter Under Battery Input Condition in Residential Photovoltaic/Battery Systems",
"venue": "IEEE Transactions on Sustainable Energy",
"year": 2018
},
{
"abstract": "This paper introduces a new bidirectional single phase inverter topology. The proposed topology has three ports: a dc port, an ac port, and a ripple port. The ac and dc ports are bidirectional to support rectifier or inverter operation. A small inductor, which is of alternating current, exchanges power between different ports of the single phase system. The proposed topology is capable of accomplishing voltage step up or step down, suppressing the ripple power, and performing inversion or rectification operation in one stage of power conversion. The proposed configuration offers an active decoupling function, which not only eliminates the double frequency ripple power at the dc port but also achieves minimum capacitance requirements to minimize the size of the decoupling capacitor. This facilitates the use of a very small thin film capacitor, which offers a much longer life cycle and higher reliability compared with a bulky electrolytic capacitor. A control approach is also developed to regulate the dc port and ac port currents and manage the ripple power through proper distribution of power between each port. Moreover, a very small capacitor can be placed in parallel with the inductor to reinforce the proposed configuration with soft switching operation, which enhances the overall efficiency and minimizes the voltage stress over the semiconductor devices. This converter is capable of accommodating an arbitrary number of dc or single phase ac sources and/or loads configuring a multiple input multiple output inverter without introducing any additional passive elements or sacrificing the performance of the inverter. The primary focus of this paper is dc to ac conversion mode of operation, in spite of the fact that it can easily be configured to serve as a rectifier. Experimental and simulation results are presented to validate the operation of the proposed topology and its control strategy.",
"author_names": [
"Khalegh Mozaffari",
"Mahshid Amirabadi",
"Yateendra B Deshpande"
],
"corpus_id": 52022682,
"doc_id": "52022682",
"n_citations": 16,
"n_key_citations": 0,
"score": 0,
"title": "A Single Phase Inverter/Rectifier Topology With Suppressed Double Frequency Ripple",
"venue": "IEEE Transactions on Power Electronics",
"year": 2018
},
{
"abstract": "Stability analysis of single phase power converters controlled in stationary reference frame is now mature and well developed, by using either linear or nonlinear methods. However, for the single phase converters with synchronous reference frame (SRF) control loops, little work has been done on the evaluation of the nonlinear approaches for stability analysis. In this paper, the stability of a digital controlled single phase voltage source inverter (VSI) with SRF voltage control loop is investigated from the perspective of nonlinear system. The analysis is based on the discrete time model defined by the stroboscopic map, which is derived using the state space averaging (SSA) technique. Furthermore, two different nonlinear analysis methods, the Jacobian matrix method and the Lyapunov exponent method, are adopted to analyze the fast scale stability and the slow scale stability of the pulsewidth modulated (PWM) inverter under variations of control parameters; hence, the stability regions can be obtained. The theoretical results indicate that, for the established stroboscopic models, the Jacobian matrix method and the Lyapunov exponent method are mathematically equivalent, which means that the fast scale stability and the slow scale stability of the studied single phase VSI are consistent, especially under linear load conditions. Experimental results under resistive load, inductive resistive load, and diode rectifier load conditions are presented to support the theoretical results, which also proves that the discrete time model plus the Jacobian matrix method or the Lyapunov exponent method is capable to investigate the stability of a converter with SRF control loops accurately.",
"author_names": [
"Yang Han",
"Xu Fang",
"Ping Yang",
"Congling Wang",
"Lin Xu",
"Josep M Guerrero"
],
"corpus_id": 4081097,
"doc_id": "4081097",
"n_citations": 23,
"n_key_citations": 0,
"score": 0,
"title": "Stability Analysis of Digital Controlled Single Phase Inverter With Synchronous Reference Frame Voltage Control",
"venue": "IEEE Transactions on Power Electronics",
"year": 2018
},
{
"abstract": "This paper proposes new angles constraint for selective harmonic elimination pulsewidth modulation (SHE) PWM utilized in single phase inverter applications. The proposed angles constraint naturally eliminates all triplen harmonics, whereas they conventionally appeared in all single phase output voltages. The harmonic amplitude formula is developed based on the obtained angles constraint, and then modified single phase SHE equations are defined to eliminate only specified low nontriplen harmonics. Therefore, more harmonics would be eliminated with the same switching frequency in modified SHE PWM. Five level voltage waveform has been opted to implement the introduced method, but it can be applied on all multilevel voltage waveforms such as seven level with even number of angles. Unlike the conventional SHE technique, THD is analyzable in modified SHE to find optimum values for switching angles in order to control noneliminated harmonic amplitudes and minimize THD. Moreover, the cancellation of triplen harmonics empowers a single phase inverter to supply nonlinear loads beside linear ones. Some experimental tests are accomplished using a single phase five level modified packed U cell (MPUC) inverter. Results are discussed to prove the excellent performance of a single phase MPUC inverter under both normal and harmonic loads and accurate elimination of determined triplen and nontriplen harmonics by applying the presented angles constraint.",
"author_names": [
"Mohammad Sharifzadeh",
"Hani Vahedi",
"Kamal Al-Haddad"
],
"corpus_id": 52304777,
"doc_id": "52304777",
"n_citations": 11,
"n_key_citations": 0,
"score": 0,
"title": "New Constraint in SHE PWM for Single Phase Inverter Applications",
"venue": "IEEE Transactions on Industry Applications",
"year": 2018
},
{
"abstract": "A large amount of ripple at twice the output frequency will emerge in the input current due to the pulsating output power in a single phase inverter. A current fed type single stage single phase inverter is investigated. Based on the switch multiplexing technique, it can realise not only dc ac power conversion but also low frequency input current ripple reduction with a lower number of power switches. A control strategy is proposed, which is capable of controlling both the input and output port performance. The operation performance is analysed, including circuit parameters, efficiency and dynamic behaviour. Besides, the equivalence of control strategy and the similarity of circuit component rating are revealed between this single stage inverter and a conventional two stage inverter. The single stage inverter is preferred in the applications which are sensitive to the power switch number and low frequency input current ripple. Finally, some experimental results are performed to verify the theoretical analysis.",
"author_names": [
"Youjie Shi",
"Bangyin Liu",
"Shanxu Duan"
],
"corpus_id": 116317735,
"doc_id": "116317735",
"n_citations": 10,
"n_key_citations": 0,
"score": 0,
"title": "Modelling, control and performance analysis of a single stage single phase inverter with reduced low frequency input current ripple",
"venue": "",
"year": 2018
}
] |
Single stage Buck boost inverter | [
{
"abstract": "This paper presents the derivation of single stage single phase inverters by utilizing typical fourth order dc dc buck boost converters. Basically, these inverters contain two dcdc circuits which individually produce output of opposite polarity and are connected by using least possible number of semiconductor devices to generate bipolar voltage. In the beginning, four circuits capable of producing bipolar output voltage are derived, but eventually only two of them found suitable for a inverter system. Simulation and experimental results of some of the derived circuits are provided to check their operation ability.",
"author_names": [
"Ashok Kumar",
"Parthasarathi Sensarma"
],
"corpus_id": 148574365,
"doc_id": "148574365",
"n_citations": 3,
"n_key_citations": 0,
"score": 2,
"title": "Derivation of Single Stage Single Phase Fourth Order Buck boost Inverters",
"venue": "2018 IEEE International Conference on Power Electronics, Drives and Energy Systems (PEDES)",
"year": 2018
},
{
"abstract": "When low voltage unregulated fuel cell (FC) output is conditioned to generate AC power, two stages are usually required: a boost stage and an inversion one. In this paper, the buck boost inverter topology that achieves both boosting and inversion functions in a single stage is used as a building block to develop a single phase FC based energy system which offers high conversion efficiency, low cost and compactness. The proposed system incorporates additional battery based energy storage and a DC DC bi directional converter to support the slow dynamics of the FC. The single phase buck boost inverter is voltage mode controlled and the DC DC bi directional converter is current mode controlled. The low frequency current ripple is supplied by the battery which minimizes the effects of such ripple being drawn directly from the FC itself. Experimental results from a 1kW prototype are presented to validate the performance of the proposed system.",
"author_names": [
"Minsoo Jang",
"Mihai Ciobotaru",
"Vassilios G Agelidis"
],
"corpus_id": 22598529,
"doc_id": "22598529",
"n_citations": 5,
"n_key_citations": 0,
"score": 0,
"title": "A single stage fuel cell energy system based on a buck boost inverter with a back up unit",
"venue": "8th International Conference on Power Electronics ECCE Asia",
"year": 2011
},
{
"abstract": "",
"author_names": [
"Ye Manyuan",
"Cai Hong",
"Song Pinggang"
],
"corpus_id": 115330520,
"doc_id": "115330520",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Research of Single stage Boost buck Inverter with Three switch Devices",
"venue": "",
"year": 2016
},
{
"abstract": "In this paper, the results of a comparative analysis between single stage buck boost unfolding inverter and buckboost unfolding interleaved inverter are presented. The main goal of using the interleaved approach is to reduce the energy in inductances, and conduction losses in power transistors. Components design for interleaved inverter is derived. The results of the comparative study show the effectiveness of the use of the interleaved approach for the mentioned inverter in the reduction of energy in passive components, and conduction losses in semi conductive switches, thus improving the inverter efficiency, size and cost.",
"author_names": [
"Artem Fesenko",
"Oleksandr Matiushkin",
"Oleksandr Husev",
"Kamal Abd Al-Majeed Khandakji",
"Oleksandr Velihorskyi"
],
"corpus_id": 204862689,
"doc_id": "204862689",
"n_citations": 0,
"n_key_citations": 0,
"score": 1,
"title": "Feasibility Study of Interleaving Approach for Buck Boost Inverter with Unfolding Circuit",
"venue": "2019 IEEE 2nd Ukraine Conference on Electrical and Computer Engineering (UKRCON)",
"year": 2019
},
{
"abstract": "This paper proposes a single phase dual mode four switch Buck Boost transformerless PV inverter with inherent ground leakage current elimination. Via directly connecting the grid neutral point to the PV positive terminal, the common mode (CM) voltage is clamped to be constant by the DC link capacitor. Thus, the CM leakage currents are eliminated completely. Moreover, the DC link voltage for the proposed inverter is required to be only higher than the amplitude of the grid voltage, instead of higher than twice of the amplitude of the grid voltage for the half bridge based inverter. There are two operation modes for the proposed inverter: Buck mode for the negative half line cycle and Buck Boost mode for the positive half line cycle. Single stage energy process is achieved in both two operation modes for achieving high efficiency. The smooth mode transition is realized by the proposed dual mode dual carrier unipolar sinusoidal pulse width modulation (SPWM) Besides, since this PV inverter only uses four switches and one main inductor, low cost is achieved by this inverter. In summary, the proposed PV inverter achieves low leakage current, high efficiency, high density, low cost, and non unity power factor capability. Finally, the simulation results verify the concepts and demonstrate the performance of the topology, the modulation and the control strategy.",
"author_names": [
"Qingyun Huang",
"Qingxuan Ma",
"Alex Q Huang"
],
"corpus_id": 5030313,
"doc_id": "5030313",
"n_citations": 5,
"n_key_citations": 0,
"score": 1,
"title": "Single phase dual mode four switch Buck Boost Transformerless PV inverter with inherent leakage current elimination",
"venue": "2018 IEEE Applied Power Electronics Conference and Exposition (APEC)",
"year": 2018
},
{
"abstract": "Single phase voltage source bridge inverters usually suffer from low DC voltage utilization and power mismatch between the input and output sides. To tackle these issues, additional circuits and modulation techniques have been applied to the bridge inverters, but those methods generally add extra power electronics or complicate the control strategy. In this paper, a power decoupling method without additional power electronic components is proposed for a DC to single phase AC converter, which adds only a small film capacitor to the front end buck boost converter and the voltage source bridge inverter. The proposed topology is compared with single phase bridge inverter with active power decoupling based on boost converter to show its pros and cons. Simulation and experimental results verified the feasibility of the proposed power decoupling method on the two stage single phase bridge inverter with buck boost converter.",
"author_names": [
"Shuang Xu",
"Liuchen Chang",
"Riming Shao",
"A R Haider Mohomad"
],
"corpus_id": 54450130,
"doc_id": "54450130",
"n_citations": 2,
"n_key_citations": 0,
"score": 3,
"title": "Single Phase Bridge Inverter with Active Power Decoupling Based on Buck Boost Converter",
"venue": "2018 IEEE Energy Conversion Congress and Exposition (ECCE)",
"year": 2018
},
{
"abstract": "In conventional inverters, implementation of a transformer greatly enhances the Total Harmonic Distortion (THD) which is counted to have a negative impact on the inverter output. In order to overcome this limitation, transformer can be replaced by buck and boost converters thereby making a transformer less inverter which will greatly reduce the THD and enhance the efficiency. In this paper, the design of a single stage buck and boost converters has been presented for photovoltaic inverter applications. The proposed design employs a single stage switch mode buck converter and a single stage switch mode boost converter. The converters are so designed that the boost converter provides an output voltage of 312V DC from 24V source while the buck converter provides an output voltage of 7.07V pulsated DC from 312V AC grid. The designed buck and boost converters are then employed to run a single phase full bridge inverter. The circuit is simulated using the PSIM software. The simulation results show that the designed buck and boost converters can be used to replace transformers from conventional inverter circuit to make low THD, highly efficient and cost effective transformer less inverter topology IndexTerms PSIM, Buck, Boost, Buck Boost, Single Phase grid tie Inverter ________________________________________________________________________________________________________",
"author_names": [
"K Ashok Kumar",
"Prasad Ch",
"Srinivas Acharya"
],
"corpus_id": 214605274,
"doc_id": "214605274",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "DESIGN OF SINGLE STAGE BUCK BOOT CONVERTER FOR INVERTER APPLICATIONS",
"venue": "",
"year": 2017
},
{
"abstract": "In this paper, a novel single stage single phase transformer less buck boost inverter is proposed, in which a reduced number of passive components is used. The proposed inverter combines the conventional buck, boost, and buck boost converters in one converter in order to obtain a sinusoidal output voltage. In the proposed inverter, the input DC source and the load or grid have the same ground. Therefore, the leakage current problem in photovoltaic (PV) systems is eliminated. Furthermore, the proposed inverter supports the bi directional power flow capability and it can inject reactive power to the load or the grid. The analysis, principle of operation, and modulation of the proposed inverter are introduced in this paper, where simulation results using MATLAB/Simulink models in both islanded and grid tied modes of operation are shown.",
"author_names": [
"Ali Mostaan",
"Ahmed Abdelhakim",
"Mohsen N Soltani",
"Frede Blaabjerg"
],
"corpus_id": 32789565,
"doc_id": "32789565",
"n_citations": 6,
"n_key_citations": 0,
"score": 0,
"title": "Single phase transformer less buck boost inverter with zero leakage current for PV systems",
"venue": "IECON 2017 43rd Annual Conference of the IEEE Industrial Electronics Society",
"year": 2017
},
{
"abstract": "This paper proposes a novel highly reliable single stage buck boost inverter. It can be operated as grid connected and stand alone inverter. In a single stage, it can generate an output ac voltage higher and lower than the input dc voltage. The proposed inverter can be employed in grid connected single phase PV systems without injecting large dc current into the grid. In addition, it has no shoot through risk of voltage source or capacitor and can be operated without PWM dead time. Thus the proposed inverter is greatly enhancing the safety and reliability of the system. Further, it can use power MOSFET to obtain high efficiency and allows operation with higher switching frequencies without failure risk from the poor reverse recovery of MOSFET body diode. In this paper, detailed analysis, simulation and experimental results are provided to validate the operation and advantages of the proposed inverter.",
"author_names": [
"Ashraf Ali Khan",
"Honnyong Cha",
"Usman Ali Khan",
"Heung-Geun Kim"
],
"corpus_id": 37520270,
"doc_id": "37520270",
"n_citations": 5,
"n_key_citations": 0,
"score": 2,
"title": "Four switch buck boost inverter for stand alone and grid connected single phase PV systems",
"venue": "2017 IEEE 3rd International Future Energy Electronics Conference and ECCE Asia (IFEEC 2017 ECCE Asia)",
"year": 2017
},
{
"abstract": "This paper proposes a single phase five level buck boost inverter with a combination of Z source network and T type inverter. The proposed inverter has the following advantages as reducing a number of switches, buck boost voltage with single stage conversion and shoot through immunity. In this paper, operating principle, circuit analysis and PWM control are presented. Simulation and experimental results are shown to verify the validity of the proposed inverter and control strategy.",
"author_names": [
"Hoan-Tien Luong",
"Minh-Khai Nguyen",
"Myoung-Han Yoo",
"Youn-Ok Choi",
"Geum-Bae Cho"
],
"corpus_id": 38962164,
"doc_id": "38962164",
"n_citations": 0,
"n_key_citations": 0,
"score": 2,
"title": "Single phase five level buck boost inverter",
"venue": "2017 20th International Conference on Electrical Machines and Systems (ICEMS)",
"year": 2017
}
] |
FinFETs and Other Multi-Gate Transistors | [
{
"abstract": "FinFETs and Other Multi Gate Transistors provides a comprehensive description of the physics, technology and circuit applications of multigate field effect transistors (FETs) It explains the physics and properties of these devices, how they are fabricated and how circuit designers can use them to improve the performances of integrated circuits. The International Technology Roadmap for Semiconductors (ITRS) recognizes the importance of these devices and places them in the \"Advanced non classical CMOS devices\" category. Of all the existing multigate devices, the FinFET is the most widely known. FinFETs and Other Multi Gate Transistors is dedicated to the different facets of multigate FET technology and is written by leading experts in the field.",
"author_names": [
"J P Colinge"
],
"corpus_id": 35784578,
"doc_id": "35784578",
"n_citations": 760,
"n_key_citations": 51,
"score": 1,
"title": "FinFETs and Other Multi Gate Transistors",
"venue": "",
"year": 2007
},
{
"abstract": "To enable the advancement of Si based technology, necessary to increase computing power and the manufacture of more compact circuits, significant changes to the current planar transistor are a necessity. Novel transistor architectures and materials are currently being researched vigorously. This thesis, on the electrical characterisation of non standard orientated MOSFETs and multi gate transistors displays detailed insight into the carrier transport and resulting performance limiting mechanisms. The results are composed of three parts. Firstly, the standard method of extracting carrier effective mobility from electrical measurements on MOSFETs is reviewed and the assumptions implicit in this method are discussed. A novel technique is suggested that corrects the difference in drain bias during current voltage and capacitance voltage measurements. It is further shown that the lateral field and diffusion corrections, which are commonly neglected, in fact cancel each other. The efficacy of the proposed technique is demonstrated by application to data measured on a quasi planar SOI finFET at 300 K and 4 K. The second part is based on the electrical characterisation of n+poly Si/SiO2/Si nand p MOSFETs fabricated on (100) and (110) substrate orientations with the full range of channel directions. In depth analysis of the electron and hole mobility was performed at 300 K and 4 K. The 4 K mobilities were modelled in terms of ionised dopant impurity, local SiO2/Si interface charge and roughness scattering mechanisms. RMS (root mean squared) roughness values in the range 0.34 0.38nm and correlation lengths of 2.0 2.3 nm were extracted revealing comparable interface quality between the (100) and (110) surfaces. The third part examines the electrical characterisation of TiN/HfSiO2/Si n and pfinFETs. Fin top surface and sidewalls are in the (100) and (110) planes respectively. Fins have a height of 65 nm with widths in the range of 1872 nm (quasi planar) to 12 nm. Detailed analysis revealed vertical compressive strain induced by the gate into the fin sidewalls, which enhanced the electron mobility by 60% above the (110) reference, whilst leaving the hole mobility unaffected. Qualitative analysis of the 4 K mobilities suggests that roughness is higher on the sidewalls than on the top surface. This was attributed to the damage caused by the dry etch, used to pattern the fins. A model for remote charge scattering at the HfSiO2/SiO2 interface was developed. 4 K mobilities from the quasi planar n and pfinFETs were then modelled in terms of remote charge, ionised dopant impurity, local SiO2/Si interface charge and roughness scattering mechanisms. Remote charge densities of 8x1012 cm 2 were subsequently extracted. Scattering from these charges was shown to be the dominant scattering mechanism in the quasi planar n finFET mobility at 300 K.",
"author_names": [
"Stephen M Thomas"
],
"corpus_id": 110692615,
"doc_id": "110692615",
"n_citations": 8,
"n_key_citations": 1,
"score": 0,
"title": "Electrical Characterisation of Novel Silicon MOSFETs and finFETs.",
"venue": "",
"year": 2011
},
{
"abstract": "This thesis deals with device design guidelines for nanoscale FinFETs using various analytic models and numerical simulations. The term FinFETs here stands for 'Fin based Field Effect Transistors' including various multi gate transistors. The wrapping of the gate on multiple sides of channel region provides excellent electrostatics which reduces the short channel effects compared to the classical planar devices. These architectural benefits have been realized using tri gate FinFETs successfully integrated in logic CMOS 22 nm generation, also ready for the 14 nm generation. Despite the gate control improvement, the nanoscale FinFETs still suffer from other scaling challenges. One is an increase of parasitic capacitances and resistances. Those parastic components in the nanoscale FinFETs significantly degrade the device and circuit performance, particularly the RF performance. Thereby, it is important to develop the analytic models for the parasitics, to evaluate their effects on device and circuit performance, and ultimately to propose the simple design guidelines to the FinFET designers. First, the parasitic capacitances between gate and source/drain have been evaluated for both planar MOSFET and double gate FinFET. In terms of fin spacing and fin height, optimization to reduce the FinFET's parasitic capacitances has been investigated. It is found that the optimized FinFET may show comparable parasitic capacitances to the planar devices. Also, process induced variations on FinFET's parasitic capacitance have been studied, showing that fin width and height variation should be carefully controlled, otherwise, the uniformity will be worse for FinFETs than it is for planar devices. Then, a simple but accurate model has been developed to analyze source/drain series resistance in tri gate FinFETs. Non rectangular, i.e, triangular or pentagonal, shape of source/drain epitaxy has been specially considered. Among spreading, sheet, and contact resistance contributions, the spreading and sheet resistance contributions have been calculated using standard 1 D or 2 D models. The contact resistance contribution is newly modeled using equivalent models of lossy transmission lines and transformations of 3 D to 2 D geometry. The source/drain series resistance model has been verified by comparing with the 3 D numerical simulations where the epitaxy geometry, silicide contact resistivity, and source/drain doping concentration are varied. It is found that to satisfy an allowable series resistance of 130 O*cm in the semiconductor roadmap with 22 nm node, both materials and geometry for source/drain region should to be optimized. That is, silicide contact resistivity lower than 10 8 O*cm2, source/drain doping concentration higher than 5x1020 cm 3, and contact surface optimization are required simultaneously. Next, the analog/RF performance of tri gate FinFETs has been evaluated to provide insightful design guidelines. Using analytic models, geometry dependent parasitics were calculated as functions of fin spacing and fin height. It is.",
"author_names": [
""
],
"corpus_id": 107620625,
"doc_id": "107620625",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "A Study on Device Design for Nanoscale FinFETs using Analytic Models and Simulations",
"venue": "",
"year": 2013
},
{
"abstract": "[1] J. P. Colinge et al. \"FinFETs and Other Multi Gate Transistors\" Springer, ISBN 978 0 387 71751 7, 2007. [2] B. Doyle et al. VLSI Tech. Dig. pp. 132 133, 2003. [3] J. T. Park e[2] t al. IEEE EDL, vol. 22, no. 8, pp. 405 406, Aug. 2001. [4] F. L. Yang et al. IEDM'02 Technical Digest, pp. 255 258, 2002. [5] R. Ritzenthaler et al. \"3D Analytical Modelling of Subthreshold Characteristics in Pi Gate FinFET Transistors \" proc. of the 40th ESSDERC conference, 2010. [6] K. Suzuki et al. \"Scaling theory for Double gate SOI MOSFETs\" IEEE TED, vol. 40, no. 12, 1993. 1.E 15 1.E 14 1.E 13 1.E 12 1.E 11 1.E 10 1.E 09 1.E 08 1.E 07 1.E 06 1.E 05",
"author_names": [
"Romain Ritzenthaler",
"Francois Lime",
"Olivier Faynot",
"Sorin Cristoloveanu",
"Benjamin Iniguez"
],
"corpus_id": 114636797,
"doc_id": "114636797",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Analytical modelling of short channel planar FDSOI and triple gateFET transistors.",
"venue": "",
"year": 2010
},
{
"abstract": "In this work, we demonstrate the preparation of nickel monosilicide (NiSi) layers on silicon using a conformal NiO ALD process and thin sacrificial Ge interlayers. The interlayers protect the underlying Si from oxidizing during the NiO growth, while allowing for Ni diffusion during a silicidation anneal. The NiSi layers prepared have low amounts of impurities and near bulk resistivities, therefore making the processes promising candidates for applications in advanced semiconductor devices where high quality NiSi layers are needed, such as source drain contacts. Good step coverage provided by ALD enables their use for example in non planar transistors such as FinFETs and other multi gate transistors with complex topographies.",
"author_names": [
"Viljami Pore",
"Eva Tois",
"Raija Matero",
"S Haukka",
"Mark T Tuominen",
"Jacob Woodruff",
"Brennan Milligan",
"F Tang",
"Michael Eugene Givens"
],
"corpus_id": 42009113,
"doc_id": "42009113",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Nickel silicide for source drain contacts from ALD NiO films",
"venue": "2015 IEEE International Interconnect Technology Conference and 2015 IEEE Materials for Advanced Metallization Conference (IITC/MAM)",
"year": 2015
},
{
"abstract": "The subject of the PhD is focused on theoretical and experimental studies ofnanoscale multi gate Metal Oxide Semiconductor Field Effect Transistors. The theoretical part is orientated towards the derivation of analytical expressions for the potential distribution within the channel of the transistors, from which characteristic parameters ofthe transistors are derived. The final aim of the work is to obtain analytical compact expressions for the drain current, valid in aH regions of operation. First, symmetrical Double Gate MOSFETs are studied, whereas the study of all other types of multi gate MOSFETs (triple gate and gate all around) is based on the derived model of DG MOSFETs. For the experimental part, the transfer characteristics of single FinFETs and 5 FinFETs were measured at room temperature. For analysis of the experimental data, numerical simulations were performed to verify the theoretical speculations and optimize the device performance.",
"author_names": [
"Andreas Tsormpatzoglou"
],
"corpus_id": 106821912,
"doc_id": "106821912",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Caracterisation et modelisation des composants MOS a multiples grilles nanometriques",
"venue": "",
"year": 2009
},
{
"abstract": "In this paper by showing the results of an analytical model for the different variations of Multi Gate MOSFETs including the FinFET. All Around Gate MOSFET. Double Gate MOSFET. we present a complete and detailed investigation of all the performance aspects of these novel device structures. By having the values of all the performance metrics of the transistors such as drain current. subthreshold swing. threshold voltage. and gate capacitance. we present detailed discussions on the several advantages of all the Multi Gate structures including the FinFET.",
"author_names": [
"Javaneh Mohseni",
"James D Meindl"
],
"corpus_id": 10097036,
"doc_id": "10097036",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Reliability challenges of FinFET and other multi gate MOSFETs",
"venue": "2013 IEEE Conference on Reliability Science for Advanced Materials and Devices",
"year": 2013
},
{
"abstract": "The structure with vertical channel is attractive due to suppression of the short channel effect, where the shape of silicon fin determines the device performance. In this work, the DC characteristics of single fin FinFET are simulated, which shows a better immunity against fluctuation induced by random dopant than that of tri gate and quasi planar fin shapes. Increasing the number of silicon fins of FinFET can further improve the performance. Examining the fluctuation induced by random dopant in CMOS inverter and SRAM circuits with triple fin structure shows that the fluctuation of intrinsic gate delay and SNM in triple fin FinFET are smaller and larger, respectively, than that of others due to higher driving current.",
"author_names": [
"Hui-Wen Cheng",
"Yiming Li"
],
"corpus_id": 189809583,
"doc_id": "189809583",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Electrical characteristics of 16 nm multi gate and multi fin field effect transistors and digital circuits",
"venue": "",
"year": 2010
},
{
"abstract": "Multi gate transistors enable the pace of Moore's Law for another decade. In its 22nm technology node Intel switched to multi gate transistors called TriGate, whereas IBM, TSMC, Samsung and others will do so in their 20nm and 14nm nodes with multi gate transistors called FinFET. Several recent publications studied the drawing of multi gate transistors layout. Designing new VLSI cell libraries and blocks requires massive re drawing of layout. Hard IP reuse is an alternative method taking advantage of existing source layout by automatically mapping it into new target technology, which was used in Intel's Tick Tock marketing strategy for several product generations. This paper presents a cell level hard IP reuse algorithm, converting planar transistors to multi gate ones. We show an automatic, robust transformation of bulk diffusion polygons into fins, while addressing the key requirements of cell libraries, as maximizing performance and interface compatibility across a variety of driving strength. We present a layout conversion flow comprising time efficient geometric manipulations and discrete optimization algorithms, while generating manually drawn layout quality. Those can easily be used in composing larger functional blocks.",
"author_names": [
"Shmuel Wimer"
],
"corpus_id": 1952100,
"doc_id": "1952100",
"n_citations": 5,
"n_key_citations": 0,
"score": 0,
"title": "Planar CMOS to multi gate layout conversion for maximal fin utilization",
"venue": "Integr.",
"year": 2014
},
{
"abstract": "Device and isolation regions in a semiconductor substrate, a semiconductor substrate, a fin field effect transistor, and a (Fin Field Effect Transistor FinFET) FinFET comprises a further semiconductor region between the semiconductor substrate above the channel region, the channel region and the side wall surface on the top of the gate dielectric, the gate dielectric a gate electrode over the source drain region and a source drain region and the channel region. The channel region and the additional semiconductor region is formed from a different semiconductor material, and is substantially horizontal to each other.",
"author_names": [
"kweo ci-wei",
"cyao wian-",
"cen hou-yu",
"yang swi-hong"
],
"corpus_id": 117486980,
"doc_id": "117486980",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Multi gate devices with replaced channels and methods for forming the same",
"venue": "",
"year": 2012
}
] |
all optical computing | [
{
"abstract": "Abstract. Internet based communication has become a major field, with a user base that is expanding day by day. The needs have overloaded the available bandwidth, and higher speed has become a mandatory requirement to satisfy the user demands and increased use of the internet. Therefore, there is a need for high performance computers due to increasing dependence on computing technology. Optics is emerging as the best possible solution over electronics because it is capable of providing parallel data processing with fewer expenses and greater speed of more than 10,000 times faster than electronic computers. The main building block of optical computing is the all optical logic gates, which are designed using different techniques. Different types of photonic crystal based all optical logic gates have been reviewed in detail by discussing the key characteristics and demonstrating the advantages over the previously available technologies (semiconductor optical amplifier and nonlinear waveguide) that are used to build all optical logic gates.",
"author_names": [
"Jeevan Jot Singh",
"Divya Dhawan",
"Neena Gupta"
],
"corpus_id": 227275179,
"doc_id": "227275179",
"n_citations": 2,
"n_key_citations": 0,
"score": 1,
"title": "All optical photonic crystal logic gates for optical computing: an extensive review",
"venue": "",
"year": 2020
},
{
"abstract": "This presentation will review recent work on the realization and application of two fundamental all optical analog signal processing functionalities, namely photonic temporal differentiation and photonic temporal integration, using customized grating devices directly written in optical fibers.",
"author_names": [
"Jose Azaa"
],
"corpus_id": 29862999,
"doc_id": "29862999",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Ultrafast photonic signal processing based on fiber grating devices for all optical computing, metrology and communications",
"venue": "2009 IEEE LEOS Annual Meeting Conference Proceedings",
"year": 2009
},
{
"abstract": "This presentation will review recent work on the realization and application of two fundamental all optical analog signal processing functionalities, namely photonic temporal differentiation and photonic temporal integration, using customized grating devices directly written in optical fibers.",
"author_names": [
"Jose Azana"
],
"corpus_id": 122755993,
"doc_id": "122755993",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Ultrafast photonic signal processing based on fiber grating devices for all optical computing, metrology and communications",
"venue": "",
"year": 2009
},
{
"abstract": "Abstract Optical modulation technique plays a crucial role in photonics technologies, and there is an ever increasing demand for broadband and ultrafast optical modulation in the era of artificial intelligence. All optical modulation is known to be able to operate in an ultrafast way and has a broadband response, showing great potential in applications for ultrafast information processing and photonic computing. Two dimensional (2D) materials with exotic optoelectronic properties bring tremendous new opportunities for all optical modulators with excellent performance, which have attracted lots of attention recently. In this review, we cover the state of art all optical modulation based on 2D materials, including graphene, transitional metal dichalcogenides, phosphorus, and other novel 2D materials. We present the operations mechanism of different types of all optical modulators with various configurations, such as fiber integrated and free space ones. We also discuss the challenges and opportunities faced by all optical modulation, as well as offer some future perspectives for the development of all optical modulation based on 2D materials.",
"author_names": [
"Haitao Chen",
"Cong Wang",
"Hao Ouyang",
"Yufeng Song",
"Tian Jiang"
],
"corpus_id": 212938424,
"doc_id": "212938424",
"n_citations": 9,
"n_key_citations": 0,
"score": 0,
"title": "All optical modulation with 2D layered materials: status and prospects",
"venue": "",
"year": 2020
},
{
"abstract": "The ability to process optical signals without passing into the electrical domain has always attracted the attention of the research community. Processing photons by photons unfolds new scenarios, in principle allowing for unseen signal processing and computing capabilities. Optical computation can be seen as a large scientific field in which researchers operate, trying to find solutions to their specific needs by different approaches; although the challenges can be substantially different, they are typically addressed using knowledge and technological platforms that are shared across the whole field. This significant know how can also benefit other scientific communities, providing lateral solutions to their problems, as well as leading to novel applications. The aim of this Roadmap is to provide a broad view of the state of the art in this lively scientific research field and to discuss the advances required to tackle emerging challenges, thanks to contributions authored by experts affiliated to both academic institutions and high tech industries. The Roadmap is organized so as to put side by side contributions on different aspects of optical processing, aiming to enhance the cross contamination of ideas between scientists working in three different fields of photonics: optical gates and logical units, high bit rate signal processing and optical quantum computing. The ultimate intent of this paper is to provide guidance for young scientists as well as providing research funding institutions and stake holders with a comprehensive overview of perspectives and opportunities offered by this research field.",
"author_names": [
"Paolo Minzioni",
"Cosimo Lacava",
"Takasumi Tanabe",
"Jianji Dong",
"Xiaoyong Hu",
"Gyorgy Csaba",
"Wolfgang Porod",
"Ghanshyam Singh",
"Alan E Willner",
"Ahmed Almaiman",
"Victor Torres-Company",
"Jochen Schroder",
"Anna C Peacock",
"Michael J Strain",
"Francesca Parmigiani",
"Giampiero Contestabile",
"David A I Marpaung",
"Zhixin Liu",
"John E Bowers",
"Lin Chang",
"Simon J Fabbri",
"Maria Ramos Vazquez",
"Vibhav Bharadwaj",
"Shane M Eaton",
"Peter Lodahl",
"Xin-yang Zhang",
"Benjamin J Eggleton",
"William J Munro",
"Kae Nemoto",
"Olivier Morin",
"Julien Laurat",
"Joshua Nunn"
],
"corpus_id": 182331141,
"doc_id": "182331141",
"n_citations": 57,
"n_key_citations": 0,
"score": 0,
"title": "Roadmap on all optical processing",
"venue": "Journal of Optics",
"year": 2019
},
{
"abstract": "We review our recent results on the linear optical sampling (LOS) enabled all optical modulation format analyzer. Precise characterizations of arbitrary 128 Gbps PDM QPSK wavelength channel from 1550 nm to 1570 nm are successfully achieved, when a 101.3 MHz frequency spaced comb with 3 dB spectral power ripple of 20 nm is used.",
"author_names": [
"Songnian Fu",
"Zuying Xu",
"Zhichao Wu",
"Deming Liu"
],
"corpus_id": 201813711,
"doc_id": "201813711",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Fiber optics frequency comb enabled all optical modulation format analyzer",
"venue": "2019 24th OptoElectronics and Communications Conference (OECC) and 2019 International Conference on Photonics in Switching and Computing (PSC)",
"year": 2019
},
{
"abstract": "Abstract The rapid growth of packet based Internet traffic and big data, associated with interconnected Data Centers (DC) and High Performance Computing (HPC) systems have imposed the need for ultrahigh link capacities and ultrahigh packet switching speeds, at network nodes. In order to overcome these ultrahigh demands, and particularly packet routing and forwarding, All Optical Label Swapping (AOLS) has been considered for many years as an established solution. This survey paper gives an updated and thorough investigation on AOLS technology and trends, based on a vast reference amount of research milestones throughout all this long time era. All types of labeling techniques are presented and compared, and their pros and cons are summarized all together. Moreover, important issues and directions for research are discussed, giving researchers guidelines and motivation for extended investigation.",
"author_names": [
"Efthymios N Lallas"
],
"corpus_id": 57208285,
"doc_id": "57208285",
"n_citations": 7,
"n_key_citations": 0,
"score": 0,
"title": "A survey on all optical label swapping techniques: Comparison and trends",
"venue": "Opt. Switch. Netw.",
"year": 2019
},
{
"abstract": "The all optical encoder (AOE) based on photonic crystals (Ph.Cs. is one of the most important devices in computing systems. The essential related parameters are the delay time, the switching speed and the contrast ratio (CR) Moreover, the design simplicity, the compact size and the multi wavelength operation have come as a fabrication and functional relevant attributes. Throughout the upcoming lines, an introduction for the important assessment factors and definitions will be presented. Finite difference time domain (FDTD) and plane wave expansion (PWE) methods were used for analyzing all structures. An intensive overview of the photonic crystals (AOE) was achieved for the recently published (4x2) and (8x3) types. The corresponding functional parameters for each design were explored, and comparison tables were organized. Finally, numerical methods were discussed with the accompanying commercial software packages; then a future view for the higher performance operation was attained.",
"author_names": [
"Tamer S Mostafa",
"El-Sayed M El-Rabaie"
],
"corpus_id": 214093618,
"doc_id": "214093618",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Literature Review on All Optical Photonic Crystal Encoders and Some Novel Trends",
"venue": "Menoufia Journal of Electronic Engineering Research",
"year": 2019
},
{
"abstract": "The ability to process optical signals without passing into the electrical domain has always attracted the attention of the research community. Processing photons by photons unfolds new scenarios, in principle allowing for unseen signal processing and computing capabilities. Optical computation can be seen as a large scientific field in which researchers operate, trying to find solutions to their specific needs by different approaches; although the challenges can be substantially different, they are typically addressed using knowledge and technological platforms that are shared across the whole field. This significant know how can also benefit other scientific communities, providing lateral solutions to their problems, as well as leading to novel applications. The aim of this Roadmap is to provide a broad view of the state of the art in this lively scientific research field and to discuss the advances required to tackle emerging challenges, thanks to contributions authored by experts affiliated to both academic institutions and high tech industries. The Roadmap is organized so as to put side by side contributions on different aspects of optical processing, aiming to enhance the cross contamination of ideas between scientists working in three different fields of photonics: optical gates and logical units, high bit rate signal processing and optical quantum computing. The ultimate intent of this paper is to provide guidance for young scientists as well as providing research funding institutions and stake holders with a comprehensive overview of perspectives and opportunities offered by this research field.",
"author_names": [
"Paolo Minzioni",
"Cosimo Lacava",
"Takasumi Tanabe",
"Jianji Dong",
"Xiaoyong Hu",
"Gyorgy Csaba",
"Wolfgang Porod",
"Ghanshyam Singh",
"Alan E Willner",
"Ahmed Almaiman",
"Victor Torres-Company",
"Jochen Schroder",
"Anna C Peacock",
"Michael J Strain",
"Francesca Parmigiani",
"Giampiero Contestabile",
"David A I Marpaung",
"Zhixin Liu",
"John E Bowers",
"Lin Chang",
"Simon J Fabbri",
"Maria Ramos Vazquez",
"Vibhav Bharadwaj",
"Shane M Eaton",
"Peter Lodahl",
"Xin-yang Zhang",
"Benjamin J Eggleton",
"William J Munro",
"Kae Nemoto",
"Olivier Morin",
"Julien Laurat",
"Joshua Nunn"
],
"corpus_id": 197641876,
"doc_id": "197641876",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Universitet Roadmap on all optical processing",
"venue": "",
"year": 2019
},
{
"abstract": "Optical computation is the most desirable technology that enhances the speed, data transmission rate and processing power by replacing the electronics with the optical switches. Optical switching is efficiently performed in high speed signal processing by all optical gates. This paper reviews the progressive development of the optical switching technology, highlights the different technologies of all optical gates and other switching circuits in all optical processing. Basic gates and other logic circuits in optical computing based on nonlinear regimes using semiconductor optical amplifier (SOA) fiber and photonic crystals are discussed, compared and the challenges along with future direction is outlined.",
"author_names": [
"V Sasikala",
"Krishnan Chitra"
],
"corpus_id": 115599027,
"doc_id": "115599027",
"n_citations": 20,
"n_key_citations": 0,
"score": 0,
"title": "All optical switching and associated technologies: a review",
"venue": "",
"year": 2018
}
] |
Thermoelectricity for IoT-A review | [
{
"abstract": "Abstract We are witnessing an unprecedented expansion of Internet of Things (IoT) market, whose nodes are already outnumbering human population several times. Despite the huge popularity of IoT, its further expansion is slowed down by a lack of viable power supply methods capable to replace wires or batteries. Due to IoT demand for alternative supply, energy harvesting (EH) gathers attention from scientific groups all around the world. In particular, thermoelectricity (TE) seems to be a natural and intuitive candidate for IoT owing to magnitude and omnipresence of heat losses and amenability to direct, vibrationless, noiseless and reliable conversion. This review provides up to date comparison and evaluation of a recent progress in the field of thermoelectricity, resulting primarily from multidisciplinary optimization of materials, topologies and controlling circuitry. The improvement in materials integrates two trends: nanostructural modulation of pre existing, conventional thermoelectric materials and synthesis of novel ones. Regarding topology, TE responds better and better to miniaturization trend of semiconductor industry, driven by miniaturization trend, by proposing alternatives to conventional p type topology. And finally, recently developed controlling circuits consume extremely low power while idle, exhibit above 90% efficiency and start up with ultra low input voltages. Combined, these improvements position TE closer to marketization than ever before.",
"author_names": [
"Maciej Haras",
"Thomas Skotnicki"
],
"corpus_id": 139775484,
"doc_id": "139775484",
"n_citations": 81,
"n_key_citations": 0,
"score": 1,
"title": "Thermoelectricity for IoT A review",
"venue": "",
"year": 2018
},
{
"abstract": "In our environment, the large availability of wasted heat has motivated the search for methods to harvest heat. As a reliable way to supply energy, SiGe has been used for thermoelectric generators (TEGs) in space missions for decades. Recently, micro thermoelectric generators (mTEG) have been shown to be a promising way to supply energy for the Internet of Things (IoT) by using daily waste heat. Combining the predominant CMOS compatibility with high electric conductivity and low thermal conductivity performance, Si nanowire and SiGe nanowire have been a candidate for mTEG. This review gives a comprehensive introduction of the Si, SiGe nanowires, and their possibility for mTEG. The basic thermoelectric principles, materials, structures, fabrication, measurements, and applications are discussed in depth.",
"author_names": [
"You Li",
"Guilei Wang",
"Mehdi Akbari-Saatlu",
"Marcin Procek",
"Henry H Radamson"
],
"corpus_id": 232358380,
"doc_id": "232358380",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Si and SiGe Nanowire for Micro Thermoelectric Generator: A Review of the Current State of the Art",
"venue": "Frontiers in Materials",
"year": 2021
},
{
"abstract": "In recent years, with the increase awareness towards environmental degradation due to the production, use and discharge of ChloroFluoro Carbons (CFCs) and Hydro Chlorofluorocarbons (HCFCs) as heat carrier fluids in conventional refrigeration systems has become a subject of great concern and resulted in extensive research into development of refrigeration technologies. Thermoelectric operated cooler provides a best alternative in refrigeration technology due to their distinct advantages. While using thermoelectric effect in system the efficiency of the system also increases. A small introduction of principal of thermoelectric cooling and thermoelectric materials has been presented in this paper. The purpose of this paper to review on application of thermoelectric phenomenon by using thermoelectric module technology",
"author_names": [
"Sanket Baba Nikat",
"Vijay Jaywant Kshirsagar",
"Saikant Sudhir Purude",
"Siddhasen R Patil"
],
"corpus_id": 115291046,
"doc_id": "115291046",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Peltier Device thermoelectric Generator Based on IoT",
"venue": "",
"year": 2018
},
{
"abstract": "In the past few years, triboelectric nanogenerator based (TENG based) hybrid generators and systems have experienced a widespread and flourishing development, ranging among almost every aspect of our lives, e.g. from industry to consumer, outdoor to indoor, and wearable to implantable applications. Although TENG technology has been extensively investigated for mechanical energy harvesting, most developed TENGs still have limitations of small output current, unstable power generation, and low energy utilization rate of multisource energies. To harvest the ubiquitous/coexisted energy forms including mechanical, thermal, and solar energy simultaneously, a promising direction is to integrate TENG with other transducing mechanisms, e.g. electromagnetic generator, piezoelectric nanogenerator, pyroelectric nanogenerator, thermoelectric generator, and solar cell, forming the hybrid generator for synergetic single source and multisource energy harvesting. The resultant TENG based hybrid generators utilizing integrated transducing mechanisms are able to compensate for the shortcomings of each mechanism and overcome the above limitations, toward achieving a maximum, reliable, and stable output generation. Hence, in this review, we systematically introduce the key technologies of the TENG based hybrid generators and hybridized systems, in the aspects of operation principles, structure designs, optimization strategies, power management, and system integration. The recent progress of TENG based hybrid generators and hybridized systems for the outdoor, indoor, wearable, and implantable applications is also provided. Lastly, we discuss our perspectives on the future development trend of hybrid generators and hybridized systems in environmental monitoring, human activity sensation, human machine interaction, smart home, healthcare, wearables, implants, robotics, Internet of things (IoT) and many other fields.",
"author_names": [
"Qiongfeng Shi",
"Zhongda Sun",
"Zixuan Zhang",
"Chengkuo Lee"
],
"corpus_id": 232228651,
"doc_id": "232228651",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Triboelectric Nanogenerators and Hybridized Systems for Enabling Next Generation IoT Applications",
"venue": "Research",
"year": 2021
},
{
"abstract": "As we are advancing our world to smart living, a critical challenge is increasingly pressing increased energy demand. While we need mega power supplies for running data centers and other emerging applications, we also need instant small scale power supply for trillions of electronics that we are using and will use in the age of Internet of Things (IoT) and Internet of Everything (IoE) Such power supplies must meet some parallel demands: sufficient energy supply in reliable, safe and affordable manner. In that regard, thermoelectric generators emerge as important renewable energy source with great potential to take advantage of the widely abundant and normally wasted thermal energy. Thanks to the advancements of nano engineered materials, thermoelectric generators' (TEG) performance and feasibility are gradually improving. However, still innovative engineering solutions are scarce to sufficiently take the TEG performance and functionalities beyond the status quo. Opportunities exist to integrate them with emerging fields and technologies such as wearable electronics, bio integrated systems, cybernetics and others. This review will mainly focus on unorthodox but effective engineering solutions to notch up the overall performance of TEGs and broadening their application base. First, nanotechnology's influence in TEGs' development will be introduced, followed by a discussion on how the introduction of mechanically reconfigurable devices can shape up the emerging spectrum of novel TEG technologies. (c) The Author(s) 2017. Published by ECS. This is an open access article distributed under the terms of the Creative Commons Attribution Non Commercial No Derivatives 4.0 License (CC BY NC ND, http:/creativecommons.org/licenses/by nc nd/4.0/ which permits non commercial reuse, distribution, and reproduction in any medium, provided the original work is not changed in any way and is properly cited. For permission for commercial reuse, please email: [email protected]. [DOI: 10.1149/2.0081703jss] All rights reserved.",
"author_names": [
"Jhonathan P Rojas",
"Devendra Singh",
"Salman Bin Inayat",
"Galo A Torres Sevilla",
"Hossain M Fahad",
"Muhammad Mustafa Hussain"
],
"corpus_id": 99665735,
"doc_id": "99665735",
"n_citations": 40,
"n_key_citations": 1,
"score": 0,
"title": "Review Micro and Nano Engineering Enabled New Generation of Thermoelectric Generator Devices and Applications",
"venue": "",
"year": 2017
},
{
"abstract": "Abstract Power costs increasing, environmental pollution and global warming are issues that we are dealing with in the present time. To reduce their effects, scientists are focusing on improving energy harvesting based power generators. Thermoelectric generators (TEGs) have demonstrated their ability to directly convert thermal energy into an electrical one via the Seebeck effect. Also, they are environmentally friendly because they do not contain chemical products, they operate silently because they do not have mechanical structures and/or moving parts, and they can be fabricated on many types of substrates like silicon, polymers, and ceramics. Furthermore, TEGs are position independent, present a long operating lifetime and are suitable for integration into bulk and flexible devices. This paper presents in depth analysis of TEGs, starting by an extensive description of their working principle, types (planar, vertical and mixed) used materials, figure of merit, improvement techniques including different thermoelectric materials arrangement (conventional, segmented and cascaded) and used technologies and substrates types (silicon, ceramics and polymers) This manuscript also describes the exploitation of TEGs in various fields starting from low power applications (medical and wearable devices, IoT: internet of things, and WSN: wireless sensor network) to high power applications (industrial electronics, automotive engines, and aerospace)",
"author_names": [
"Nesrine Jaziri",
"A Boughamoura",
"Brahim Mezghani",
"Fares Tounsi",
"Mohammed N Ismail"
],
"corpus_id": 212902804,
"doc_id": "212902804",
"n_citations": 76,
"n_key_citations": 1,
"score": 0,
"title": "A comprehensive review of Thermoelectric Generators: Technologies and common applications",
"venue": "",
"year": 2019
},
{
"abstract": "Malware has become a widely used means in cyber attacks in recent decades because of various new obfuscation techniques used by malwares. In order to protect the systems, data and information, detection of malware is needed as early as possible. There are various studies on malware detection techniques that have been done but there is no method which can detect the malware completely and make malware detection problematic. Static Malware analysis is very effective for known malwares but it does not work for zero day malware which leads to the need of dynamic malware detection and the behaviour based malware detection is comparatively good among all detection techniques like signature based, deep learning based, mobile/IOT and cloud based detection but still it is not able to detect all zero day malware which shows the malware detection is very challenging task and need more techniques for malware detection. This paper describes a literature review of various methods of malware detection. A short description of each method is provided and discusses various studies already done in the advanced malware detection field and their comparison based on the detection method used, accuracy and other parameters. Apart from this we will discuss various malware detection tools, dataset and their sources which can be used in further study. This paper gives you the detailed knowledge of advanced malwares, its detection methods, how you can protect your devices and data from malware attacks and it gives the comparison of different studies on malware detection.",
"author_names": [
"Heena Center of excellence in cybersecurity",
"Institute for Development",
"Research in Banking Technology",
"",
"School of Materials Science",
"Information Sciences",
"University of Hyderabad"
],
"corpus_id": 234358867,
"doc_id": "234358867",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Advances In Malware Detection An Overview",
"venue": "",
"year": 2021
},
{
"abstract": "Breakthrough discoveries in high throughput formulation of abundant materials and advanced engineering approaches are both in utter need as prerequisites for developing novel large scale energy conversion technologies required to address our planet's rising energy demands. Nowadays, the rapid deployment of Internet of Things (IoT) associated with a distributed network of power demanding smart devices, concurrently urges for miniaturized systems powered by ambient energy harvesting. Graphene and other related two dimensional materials (GRM) consist a perfect fit to drive this innovation owing to their extraordinary optoelectronic, physical and chemical properties that emerge at the limit of two dimensions. In this review, after a critical analysis of GRM's emerging properties that are beneficial for power generation, novel approaches are presented for developing ambient energy conversion devices covering a wide range of scales. Notable examples vary from GRM enabled large scale photovoltaic panels and fuel cells, smart hydrovoltaics and blue energy conversion routes, to miniaturized radio frequency, piezoelectric, triboelectric, and thermoelectric energy harvesters. The insights from this review demonstrate that GRM enabled energy harvesters, apart from enabling the self powered operation of individual IoT devices, have also the potential to revolutionize the way that grid electricity is provided in the cities of the future. This approach is materialized by two complementary paradigms: cross coupled integration of GRM into firstly, a network consisted of a vast number of miniaturized, in series connected harvesters and secondly, into up scaled, multi energy hybrid harvesters, both approaches having the potential for on grid energy generation under all ambient conditions. At the end of the discussion, perspectives on the trends, limitations and commercialisation potential of these emerging, up scalable energy conversion technologies are provided. This review aims to highlight the importance of building a network of GRM based, cross scaled energy conversion systems and their potential to become the guideline for the energy sustainable cities of the future.",
"author_names": [
"Konstantinos Rogdakis",
"Nikolaos Karakostas",
"Emmanuel Kymakis"
],
"corpus_id": 236302229,
"doc_id": "236302229",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Up scalable emerging energy conversion technologies enabled by 2D materials: from miniature power harvesters towards grid connected energy systems",
"venue": "",
"year": 2021
},
{
"abstract": "Abstract The last decade has witnessed significant advances in energy harvesting technology for the realization of self charging electronics and self powered wireless sensor nodes (WSNs) To conquer the energy insufficiency issue of a single energy harvester, hybrid energy harvesting systems have been proposed in recent years. Hybrid harvesting includes not only scavenging energy from multiple sources, but also converting energy into electricity by multiple types of transduction mechanisms. A reasonable hybridization of multiple energy conversion mechanisms not only improves the space utilization efficiency but can also boost the power output significantly. Given the continuously growing trend of hybrid energy harvesting technology, herein we present a comprehensive review of recent progress and representative works, especially focusing on vibrational and thermal energy harvesters which play the dominant role in hybrid energy harvesting. The working principles and typical configurations for piezoelectric, electromagnetic, triboelectric, thermoelectric and pyroelectric transduction effects are briefly introduced. On this basis, a variety of hybrid energy harvesting systems, including mechanisms, configurations, output performance and advantages, are elaborated. Comparisons and perspectives on the effectiveness of hybrid vibrational and thermal harvesters are provided. A variety of potential application prospects of the hybrid systems are discussed, including infrastructure health monitoring, industry condition monitoring, smart transportation, human healthcare monitoring, marine monitoring systems, and aerospace engineering, towards the future Internet of Things (IoT) era.",
"author_names": [
"Huicong Liu",
"Hailing Fu",
"Lining Sun",
"Chengkuo Lee",
"Eric M Yeatman"
],
"corpus_id": 226340271,
"doc_id": "226340271",
"n_citations": 34,
"n_key_citations": 0,
"score": 0,
"title": "Hybrid energy harvesting technology: From materials, structural design, system integration to applications",
"venue": "",
"year": 2020
},
{
"abstract": "At present, InGaAs and HgCdTe are still the primary choices of materials for 1 3mm short wavelength infrared (SWIR) photodetectors (photodiodes) Besides lattice matched 1.7mm cutoff standard InGaAs photodetectors, demands for extended wavelength (EW) InGaAs photodetectors (1.9 2.6mm cutoff) continue to grow in a broad range of markets such as Internet of Things (IoT) gas sensing, food processing, etc. This paper reviews recent progress in EW InGaAs photodetectors at Teledyne Judson Technologies (TJT) For 1.7mm cutoff at room temperature, InGaAs detectors generally have higher performance (lower dark current and higher shunt resistance) than the conventional SWIR HgCdTe detectors as characterized by the famous Rule 07 formula. In contrast, up to just recent years, EW InGaAs detectors generally had performance below the corresponding SWIR HgCdTe per Rule 07 for the same cutoff wavelength and operating temperature. The performance gap between the two materials became larger as the cutoff wavelength increases. This performance difference is primarily due to the lattice mismatch or strain induced defects in EW InGaAs materials. However, the recent progress in both EW InGaAs material growth and detector fabrication has resulted in dramatic improvement of EW InGaAs detector performance. The performance gap between the two materials is becoming much smaller or negligible at some wavelengths, while at other wavelengths, EW InGaAs even exceeds SWIR HgCdTe per Rule 07. In this paper, we will present recent detector performance data taken from EW InGaAs, as well as SWIR HgCdTe photodetectors, manufactured at TJT through state of the art technologies. These discrete frontside illuminated detectors have sizes ranging from <0.25mm up to 5mm dia. and operate at temperatures from thermoelectric cooled (TEC, 20degC to 85degC) to above room temperature. An in depth analysis of dark current density at reverse biases, as well as shunt resistance area product at zero bias (R0A) over a broad temperature range, is performed. The data is compared with Rule 07 over the wavelength and temperature ranges of interest. Other detector performance parameters, such as spectral responsivity (quantum efficiency) and capacitance, are also compared between the two materials.",
"author_names": [
"Henry Yuan",
"Jiawen Zhang",
"Jongwoo Kim",
"David Bond",
"Joyce G Laquindanum",
"Joe Kimchi",
"Mary Grace DeForest"
],
"corpus_id": 203480869,
"doc_id": "203480869",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Recent progress in extended wavelength InGaAs photodetectors and comparison with SWIR HgCdTe photodetectors",
"venue": "Optical Engineering Applications",
"year": 2019
}
] |
in SiC Power MOSFETs During Heavy-Ion Irradiation---Part II | [
{
"abstract": "This article presents the results of a 2 D finite element simulation study of the gate damages induced by heavy ion irradiation in SiC power metal oxide semiconductor field effect transistors (MOSFETs) The time evolution of the electric field in the gate oxide is studied. Two effects are investigated: the first is associated with the charge deposition in the SiC portion of the MOSFET, with the time evolution studied using the 2 D finite element simulator; the second one results from holes generated during the ion transit, trapped in the gate oxide after the fast electrons have been quickly swept away by the electric field. Two different techniques have been combined for estimating the hole concentration in the gate oxide: the well known recombination rate was modified to consider the trapped charge yield, as was recently done to better interpret single event gate rupture (SEGR) failure of silicon power MOSFETs. Under ion irradiation test conditions at which the gate damage experimentally starts to be observed, we demonstrate that, because of the ion impact, regardless of the ion linear energy transfer (LET) the peak value of the electric field in the gate oxide becomes practically equal to the oxide breakdown field ~12 15 MV/cm) Moreover, we show that simulations can be used to predict the test conditions at which gate damage starts to appear as a function of LET and the range of heavy ions used in the irradiation experiments.",
"author_names": [
"Carmine Abbate",
"Giovanni Busatto",
"D Tedesco",
"Annunziata Sanseverino",
"Francesco Velardi",
"Jeffery Wyss"
],
"corpus_id": 202145059,
"doc_id": "202145059",
"n_citations": 9,
"n_key_citations": 0,
"score": 1,
"title": "Gate Damages Induced in SiC Power MOSFETs During Heavy Ion Irradiation Part II",
"venue": "IEEE Transactions on Electron Devices",
"year": 2019
},
{
"abstract": "Experimental characterization of the damage induced to SiC power MOSFETs by heavy ion irradiation is presented as a premise to a finite element simulation study aimed at gaining an insight into the physics of damage formation. Thanks to the new experimental setup, which is capable of accurately measuring the time evolution of the gate and drain leakage currents during irradiation, we herein demonstrate that the gate of a SiC power MOSFET is damaged by heavy ion irradiation at biasing drain voltages lower than 100 V, which is less than 10% of the nominal blocking voltage of the tested devices. The evolution of the gate and drain leakage currents during irradiation is explained considering that localized resistive paths due to damage sites are formed across the gate oxide. The results presented herein indicate the strong sensitivity of SiC power MOSFETs to gate rupture even if the main concern in the literature addressed so far is the rupture of the drain structure due to single event burnout.",
"author_names": [
"Carmine Abbate",
"Giovanni Busatto",
"D Tedesco",
"Annunziata Sanseverino",
"L Silvestrin",
"Francesco Velardi",
"Jeffery Wyss"
],
"corpus_id": 202729635,
"doc_id": "202729635",
"n_citations": 13,
"n_key_citations": 1,
"score": 0,
"title": "Gate Damages Induced in SiC Power MOSFETs During Heavy Ion Irradiation Part I",
"venue": "IEEE Transactions on Electron Devices",
"year": 2019
},
{
"abstract": "Heavy ion induced single event burnout (SEB) is investigated in high voltage silicon carbide power MOSFETs. Experimental data for 1200 V SiC power MOSFETs show a significant decrease in SEB onset voltage for particle linear energy transfers greater than 10 MeV/cm2/mg, above which the SEB threshold voltage is nearly constant at half of the rated maximum operating voltage for these devices. TCAD simulations show a parasitic bipolar junction transistor turn on mechanism, which drives the avalanching of carriers and leads to runaway drain current, resulting in SEB.",
"author_names": [
"Arthur F Witulski",
"Dennis R Ball",
"Kenneth F Galloway",
"Arto Javanainen",
"Jean-Marie Lauenstein",
"Andrew L Sternberg",
"Ronald D Schrimpf"
],
"corpus_id": 52048120,
"doc_id": "52048120",
"n_citations": 30,
"n_key_citations": 4,
"score": 0,
"title": "Single Event Burnout Mechanisms in SiC Power MOSFETs",
"venue": "IEEE Transactions on Nuclear Science",
"year": 2018
},
{
"abstract": "The transient response of Silicon Carbide (SiC) Metal Oxide Semiconductor Field Effect Transistors (MOSFETs) with three different gates due to a single ion strike is studied. Comparing the experiment and numerical simulation, it is suggested that the charge enhancement is due to the bipolar effect. We find the bipolar gain depends on the quality of gate oxide. The impact of fixed charge in SiO2 and interface traps at SiC/SiO2 on the charge collection is discussed.",
"author_names": [
"Shinobu Onoda",
"Takahiro Makino",
"Naoya Iwamoto",
"Gyorgy Vizkelethy",
"K Kojima",
"Shinji Nozaki",
"Takeshi Ohshima"
],
"corpus_id": 19609052,
"doc_id": "19609052",
"n_citations": 8,
"n_key_citations": 1,
"score": 0,
"title": "Charge Enhancement Effects in 6H SiC MOSFETs Induced by Heavy Ion Strike",
"venue": "IEEE Transactions on Nuclear Science",
"year": 2010
},
{
"abstract": "Heavy ion induced degradation and catastrophic failure data for SiC power MOSFETs and Schottky diodes are examined to provide insight into the challenge of single event effect hardening of SiC power devices.",
"author_names": [
"Jean-Marie Lauenstein",
"Megan C Casey",
"Alyson D Topper",
"Edward P Wilcox",
"Anthony M Phan",
"Stanley A Ikpe",
"Kenneth A Label"
],
"corpus_id": 136670645,
"doc_id": "136670645",
"n_citations": 15,
"n_key_citations": 1,
"score": 0,
"title": "Silicon Carbide Power Device Performance Under Heavy Ion Irradiation",
"venue": "",
"year": 2015
},
{
"abstract": "The condition monitoring problem of power devices is significant for diagnostics and prognostics of a switched mode power supply (SMPS) system. For power mosfet, the gate oxide degradation often occurs in various applications. However, there is no online condition monitoring method for gate oxide degradation so far. In this paper, a new precursor that can be used for online condition monitoring of power mosfet gate oxide degradation is proposed. Gate oxide degradation mechanisms and effect are summarized, and the mosfet turn on process is analyzed. Then, a theoretical model is established to describe the relationship between miller platform voltage and two types of gate oxide defects, and miller platform voltage is identified as a new precursor. The precursor can be extracted without impacting system operation, thus online condition monitoring can be accomplished. The accelerated degradation test is carried out for power mosfets with both high electric field and gamma irradiation methods, and the degraded devices injection and in situ monitoring of miller platform voltage are conducted on a BOOST circuit to verify the feasibility of the new precursor. Experimental results demonstrate that the new precursor can be applied to online condition monitoring of power mosfet gate oxide degradation in the SMPS system.",
"author_names": [
"Xuerong Ye",
"Cen Chen",
"Yixing Wang",
"Guofu Zhai",
"George J Vachtsevanos"
],
"corpus_id": 667770,
"doc_id": "667770",
"n_citations": 56,
"n_key_citations": 6,
"score": 0,
"title": "Online Condition Monitoring of Power MOSFET Gate Oxide Degradation Based on Miller Platform Voltage",
"venue": "IEEE Transactions on Power Electronics",
"year": 2017
},
{
"abstract": "Linear PA Design. Conventional High Efficiency Amplifier Modes. Class AB PAs at GHz Frequencies. Practical Design of Class AB PAs. Overdrive and the Class F Mode. Switching Mode Amplifiers for RF Applications. Switching PA Modes at GHz Frequencies. Signals, Modulation Systems, and PA Nonlinearities. Efficiency Enhancement Techniques. Power Amplifier Bias Circuit Design. Power Amplifier Architecture. PA Linearization Techniques.",
"author_names": [
"Steve C Cripps"
],
"corpus_id": 109960674,
"doc_id": "109960674",
"n_citations": 1846,
"n_key_citations": 222,
"score": 0,
"title": "RF Power Amplifiers for Wireless Communications",
"venue": "",
"year": 1999
},
{
"abstract": "Heavy ion induced single event burnout (SEB) is studied experimentally for several types of 4H SiC Schottky power diodes with various bias voltages applied. Safe operating voltage area for each type was defined and analyzed. The comparison with Si power devices was carried out.",
"author_names": [
"P S Gromova",
"Georgii G Davydov",
"Alexander S Tararaksin",
"A S Kolosova",
"D V Boychenko",
"V N Vyuginov",
"Victor V Luchinin"
],
"corpus_id": 207901816,
"doc_id": "207901816",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Heavy Ion Induced Single Event Burnout in SiC Schottky Diodes: Safe Operating Area",
"venue": "2019 IEEE 31st International Conference on Microelectronics (MIEL)",
"year": 2019
},
{
"abstract": "SiC trench structures having a width of 0.6 mm and a depth of 2.0 mm are fabricated by reactive ion etching (RIE) using a gas mixture of SF6, Ar, and O2. Further, SiC trench structures are cleaved to expose the sidewall for the channel region of a trench MOSFET. These structures were analyzed by pin point photoelectron spectroscopy using a 100 nm soft X ray beam. It is observed that around 2 nm thick homogeneous carbon rich layer containing 1 2% F forms on the SiC sidewalls. This may be caused due to the re deposition of RIE reaction products, CF4 and SiF4, under appropriate conditions to fabricate the trench walls that are approximately vertical using RIE. Further, a carbon rich layer having a thickness of about 2.4 nm is also formed on the bottom of the SiC trench, suggesting the possibility of selective etching of Si from the SiC substrates. The position of the dominant peak that is associated with the SiC component remains constant regardless of the trench depth, suggesting homogeneous band bending due to the RIE defects, which may explain the reason for no variation being observed in the gate oxide/SiC interface trap density values. Further, the band bending of 1.50 eV that is observed on the sidewall can be attributed to a positively charged carbon vacancy (V+C) [DOI: 10.1380/ejssnt.2018.257]",
"author_names": [
"Masaharu Oshima"
],
"corpus_id": 201678105,
"doc_id": "201678105",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Conference ISSS 8 Photoelectron Nano spectroscopy of Reactive Ion Etching Induced Damages to the Trench Sidewalls and Bottoms of 4 H SiC Trench MOSFETs",
"venue": "",
"year": 2018
},
{
"abstract": "In 2011, after many years of research and development SiC power MOSFETs became available in the commercial marketplace. This paper presents the results of Co60 total ionizing dose (TID) effects for the new high power high current 24 A SiC devices irradiated at room temperature and 125degC. These commercially available components remained operational after a radiation dose of more than 100 krad. However, gamma ray irradiation gave rise to changes in current voltage and capacitance voltage characteristics. Specifically, threshold voltage decreased, resulting in increased current drive. We also observed rises in interface state densities, as well as input, output and reverse transfer capacitances with increasing accumulated doses.",
"author_names": [
"Akin Akturk",
"James McGarrity",
"Siddharth Potbhare",
"Neil Goldsman"
],
"corpus_id": 42161370,
"doc_id": "42161370",
"n_citations": 67,
"n_key_citations": 2,
"score": 0,
"title": "Radiation Effects in Commercial 1200 V 24 A Silicon Carbide Power MOSFETs",
"venue": "IEEE Transactions on Nuclear Science",
"year": 2012
}
] |
Few-layer MoS2: a promising layered semiconductor | [
{
"abstract": "Due to the recent expanding interest in two dimensional layered materials, molybdenum disulfide (MoS2) has been receiving much research attention. Having an ultrathin layered structure and an appreciable direct band gap of 1.9 eV in the monolayer regime, few layer MoS2 has good potential applications in nanoelectronics, optoelectronics, and flexible devices. In addition, the capability of controlling spin and valley degrees of freedom makes it a promising material for spintronic and valleytronic devices. In this review, we attempt to provide an overview of the research relevant to the structural and physical properties, fabrication methods, and electronic devices of few layer MoS2. Recent developments and advances in studying the material are highlighted.",
"author_names": [
"Rudren Ganatra",
"Qing Yu Zhang"
],
"corpus_id": 207650539,
"doc_id": "207650539",
"n_citations": 875,
"n_key_citations": 7,
"score": 1,
"title": "Few layer MoS2: a promising layered semiconductor.",
"venue": "ACS nano",
"year": 2014
},
{
"abstract": "Two dimensional materials are attractive for use in next generation nanoelectronic devices because, compared to one dimensional materials, it is relatively easy to fabricate complex structures from them. The most widely studied two dimensional material is graphene, both because of its rich physics and its high mobility. However, pristine graphene does not have a bandgap, a property that is essential for many applications, including transistors. Engineering a graphene bandgap increases fabrication complexity and either reduces mobilities to the level of strained silicon films or requires high voltages. Although single layers of MoS(2) have a large intrinsic bandgap of 1.8 eV (ref. 16) previously reported mobilities in the 0.5 3 cm(2) V( 1) s( 1) range are too low for practical devices. Here, we use a halfnium oxide gate dielectric to demonstrate a room temperature single layer MoS(2) mobility of at least 200 cm(2) V( 1) s( 1) similar to that of graphene nanoribbons, and demonstrate transistors with room temperature current on/off ratios of 1 x 10(8) and ultralow standby power dissipation. Because monolayer MoS(2) has a direct bandgap, it can be used to construct interband tunnel FETs, which offer lower power consumption than classical transistors. Monolayer MoS(2) could also complement graphene in applications that require thin transparent semiconductors, such as optoelectronics and energy harvesting.",
"author_names": [
"Branimir Radisavljevic",
"Aleksandra Radenovic",
"Jacopo Brivio",
"Valentina Giacometti",
"Andras Kis"
],
"corpus_id": 205446552,
"doc_id": "205446552",
"n_citations": 9689,
"n_key_citations": 117,
"score": 0,
"title": "Single layer MoS2 transistors.",
"venue": "Nature nanotechnology",
"year": 2011
},
{
"abstract": "Molybdenum disulfide (MoS(2) of single and few layer thickness was exfoliated on SiO(2)/Si substrate and characterized by Raman spectroscopy. The number of S Mo S layers of the samples was independently determined by contact mode atomic force microscopy. Two Raman modes, E(1)(2g) and A(1g) exhibited sensitive thickness dependence, with the frequency of the former decreasing and that of the latter increasing with thickness. The results provide a convenient and reliable means for determining layer thickness with atomic level precision. The opposite direction of the frequency shifts, which cannot be explained solely by van der Waals interlayer coupling, is attributed to Coulombic interactions and possible stacking induced changes of the intralayer bonding. This work exemplifies the evolution of structural parameters in layered materials in changing from the three dimensional to the two dimensional regime.",
"author_names": [
"Changgu Lee",
"Hugen Yan",
"Louis E Brus",
"Tony F Heinz",
"James C Hone",
"Sunmin Ryu"
],
"corpus_id": 6543876,
"doc_id": "6543876",
"n_citations": 3172,
"n_key_citations": 44,
"score": 0,
"title": "Anomalous lattice vibrations of single and few layer MoS2.",
"venue": "ACS nano",
"year": 2010
},
{
"abstract": "A new phototransistor based on the mechanically exfoliated single layer MoS(2) nanosheet is fabricated, and its light induced electric properties are investigated in detail. Photocurrent generated from the phototransistor is solely determined by the illuminated optical power at a constant drain or gate voltage. The switching behavior of photocurrent generation and annihilation can be completely finished within ca. 50 ms, and it shows good stability. Especially, the single layer MoS(2) phototransistor exhibits a better photoresponsivity as compared with the graphene based device. The unique characteristics of incident light control, prompt photoswitching, and good photoresponsivity from the MoS(2) phototransistor pave an avenue to develop the single layer semiconducting materials for multifunctional optoelectronic device applications in the future.",
"author_names": [
"Zongyou Yin",
"Hai Li",
"Hong Li",
"Lin Jiang",
"Yumeng Shi",
"Yinghui Sun",
"Gang Lu",
"Qing Yu Zhang",
"Xiaodong Chen",
"Hua Zhang"
],
"corpus_id": 27038582,
"doc_id": "27038582",
"n_citations": 2570,
"n_key_citations": 16,
"score": 0,
"title": "Single layer MoS2 phototransistors.",
"venue": "ACS nano",
"year": 2012
},
{
"abstract": "Large area MoS(2) atomic layers are synthesized on SiO(2) substrates by chemical vapor deposition using MoO(3) and S powders as the reactants. Optical, microscopic and electrical measurements suggest that the synthetic process leads to the growth of MoS(2) monolayer. The TEM images verify that the synthesized MoS(2) sheets are highly crystalline.",
"author_names": [
"Yi-Hsien Lee",
"Xin-Quan Zhang",
"Wenjing Zhang",
"M T Chang",
"Cheng-Te Lin",
"Kai Chang",
"Ya-Chu Yu",
"Jacob Tse-Wei Wang",
"Chia-Seng Chang",
"Lain-Jong Li",
"Tsung-Wu Lin"
],
"corpus_id": 11713759,
"doc_id": "11713759",
"n_citations": 2501,
"n_key_citations": 41,
"score": 0,
"title": "Synthesis of large area MoS2 atomic layers with chemical vapor deposition.",
"venue": "Advanced materials",
"year": 2012
},
{
"abstract": "In this work we present a low cost and scalable technique, via ambient pressure chemical vapor deposition (CVD) on polycrystalline Ni films, to fabricate large area approximately cm2) films of single to few layer graphene and to transfer the films to nonspecific substrates. These films consist of regions of 1 to approximately 12 graphene layers. Single or bilayer regions can be up to 20 mum in lateral size. The films are continuous over the entire area and can be patterned lithographically or by prepatterning the underlying Ni film. The transparency, conductivity, and ambipolar transfer characteristics of the films suggest their potential as another materials candidate for electronics and opto electronic applications.",
"author_names": [
"Alfonso Reina",
"Xiaoting Jia",
"John T Ho",
"Daniel Nezich",
"Hyungbin Son",
"Vladimir Bulovic",
"Mildred S Dresselhaus",
"Jing Kong"
],
"corpus_id": 2796618,
"doc_id": "2796618",
"n_citations": 4937,
"n_key_citations": 87,
"score": 0,
"title": "Large area, few layer graphene films on arbitrary substrates by chemical vapor deposition.",
"venue": "Nano letters",
"year": 2009
},
{
"abstract": "A two dimensional crystal of molybdenum disulfide (MoS2) monolayer is a photoluminescent direct gap semiconductor in striking contrast to its bulk counterpart. Exfoliation of bulk MoS2 via Li intercalation is an attractive route to large scale synthesis of monolayer crystals. However, this method results in loss of pristine semiconducting properties of MoS2 due to structural changes that occur during Li intercalation. Here, we report structural and electronic properties of chemically exfoliated MoS2. The metastable metallic phase that emerges from Li intercalation was found to dominate the properties of as exfoliated material, but mild annealing leads to gradual restoration of the semiconducting phase. Above an annealing temperature of 300 degC, chemically exfoliated MoS2 exhibit prominent band gap photoluminescence, similar to mechanically exfoliated monolayers, indicating that their semiconducting properties are largely restored.",
"author_names": [
"Goki Eda",
"Hisato Yamaguchi",
"Damien Voiry",
"Takeshi Fujita",
"Mingwei Chen",
"Manish Chhowalla"
],
"corpus_id": 9304314,
"doc_id": "9304314",
"n_citations": 2729,
"n_key_citations": 30,
"score": 0,
"title": "Photoluminescence from chemically exfoliated MoS2.",
"venue": "Nano letters",
"year": 2011
},
{
"abstract": "Logic circuits and the ability to amplify electrical signals form the functional backbone of electronics along with the possibility to integrate multiple elements on the same chip. The miniaturization of electronic circuits is expected to reach fundamental limits in the near future. Two dimensional materials such as single layer MoS(2) represent the ultimate limit of miniaturization in the vertical dimension, are interesting as building blocks of low power nanoelectronic devices, and are suitable for integration due to their planar geometry. Because they are less than 1 nm thin, 2D materials in transistors could also lead to reduced short channel effects and result in fabrication of smaller and more power efficient transistors. Here, we report on the first integrated circuit based on a two dimensional semiconductor MoS(2) Our integrated circuits are capable of operating as inverters, converting logical \"1\" into logical \"0\" with room temperature voltage gain higher than 1, making them suitable for incorporation into digital circuits. We also show that electrical circuits composed of single layer MoS(2) transistors are capable of performing the NOR logic operation, the basis from which all logical operations and full digital functionality can be deduced.",
"author_names": [
"Branimir Radisavljevic",
"Michael Brian Whitwick",
"Andras Kis"
],
"corpus_id": 7161527,
"doc_id": "7161527",
"n_citations": 995,
"n_key_citations": 6,
"score": 0,
"title": "Integrated circuits and logic operations based on single layer MoS2.",
"venue": "ACS nano",
"year": 2011
},
{
"abstract": "Layered compounds such as MoS2, WS2, MoSe2, TaSe2, NbSe2, NiTe2, BN, and Bi2Te3 are exfoliated by sonication of powders of the materials in a number of solvents with varying surface tensions and deposited as individual flakes or formed into films.",
"author_names": [
"Jonathan N Coleman"
],
"corpus_id": 104382969,
"doc_id": "104382969",
"n_citations": 611,
"n_key_citations": 21,
"score": 0,
"title": "Two Dimensional Nanosheets Produced by Liquid Exfoliation of Layered Materials.",
"venue": "",
"year": 2011
},
{
"abstract": "Based on first principles plane wave calculations, we studied the functionalization of the two dimensional single layer MoS2 structure through adatom adsorption and vacancy defect creation. Minimum energy adsorption sites were determined for 16 different adatoms, each of which gives rise to diverse properties. Bare, single layer MoS2, which is normally a nonmagnetic, direct band gap semiconductor, attains a net magnetic moment upon adsorption of specific transition metal atoms, as well as silicon and germanium atoms. The localized donor and acceptor states in the band gap expand the utilization of MoS2 in nanoelectronics and spintronics. Specific adatoms, such as C and O, attain significant excess charge upon adsorption onto single layer MoS2, which might be useful for tribological applications. Each MoS2 triple vacancy created in a single layer of MoS2 gives rise to a net magnetic moment, whereas other vacancy defects related to Mo and S atoms do not influence the nonmagnetic ground state. The present re.",
"author_names": [
"Can Ataca",
"Salim Ciraci"
],
"corpus_id": 95029274,
"doc_id": "95029274",
"n_citations": 394,
"n_key_citations": 2,
"score": 0,
"title": "Functionalization of Single Layer MoS2 Honeycomb Structures",
"venue": "",
"year": 2010
}
] |
Real-time optical imaging using quantum dot and related nanocrystals | [
{
"abstract": "Biomedical optical imaging is rapidly evolving because of its desirable features of rapid frame rates, high sensitivity, low cost, portability and lack of radiation. Quantum dots are attractive as imaging agents owing to their high brightness, and photo and bio stability. Here, the current status of in vitro and in vivo real time optical imaging with quantum dots is reviewed. In addition, we consider related nanocrystals based on solid state semiconductors, including upconverting nanoparticles and bioluminescence resonance energy transfer quantum dots. These particles can improve the signal to background ratio for real time imaging largely by suppressing background signal. Although toxicity and biodistribution of quantum dots and their close relatives remain prime concerns for translation to human imaging, these agents have many desirable features that should be explored for medical purposes.",
"author_names": [
"Nobuyuki Kosaka",
"Thomas E McCann",
"Makoto Mitsunaga",
"Peter L Choyke",
"Hisataka Kobayashi"
],
"corpus_id": 13764163,
"doc_id": "13764163",
"n_citations": 42,
"n_key_citations": 0,
"score": 1,
"title": "Real time optical imaging using quantum dot and related nanocrystals.",
"venue": "Nanomedicine",
"year": 2010
},
{
"abstract": "The surgical management of brain tumors requires the precise localization of tumor tissues within normal brain parenchyma in order to achieve accurate diagnostic biopsy and complete surgical resection. Quantum dots are optical semiconductor nanocrystals that exhibit stable, bright fluorescence. The intravenous injection of quantum dots is accompanied by reticuloendothelial system and macrophage sequestration. Macrophages infiltrate brain tumors and phagocytize intravenously injected quantum dots, optically labeling the tumors. Macrophage mediated delivery of quantum dots to brain tumors may represent a novel technique to label tumors preoperatively. Quantum dots within tumors may be detected with optical imaging and optical spectroscopy tools, providing the surgeon with real time optical feedback during the resection and biopsy of brain tumors.",
"author_names": [
"M A Popescu",
"Steven A Toms"
],
"corpus_id": 11532928,
"doc_id": "11532928",
"n_citations": 36,
"n_key_citations": 2,
"score": 0,
"title": "In vivo optical imaging using quantum dots for the management of brain tumors",
"venue": "Expert review of molecular diagnostics",
"year": 2006
},
{
"abstract": "Imaging agents and drug carriers are commonly targeted toward cancer cell through functionalization with specific recognition molecules. Quantum dots (QDs) are fluorescent semiconductor nanocrystals whose extraordinary brightness and photostability make them attractive for direct fluorescent labeling of biomolecules or optical encoding of the membranes and cells. Here, we analyse the cytotoxicity of QD encoded microcapsules, validate an approach to the activation of the microcapsule's surface for further functionalization with monoclonal antibody Trastuzumab, a humanized monoclonal antibody targeting the extracellular domain of the human epidermal growth factor receptor 2 (HER2) and already in clinical use for the treatment of HER2 positive breast cancer. In addition, we characterize the cell specific targeting activity of the resultant bio conjugate by immunofluorescence assay (IFA) and real time analysis of interaction of the conjugates with live HER2 overexpressing human breast cancer cells. We demonstrate, that encapsulation of QDs into the polymer shell using the layer by layer deposition method yields highly fluorescent polyelectrolyte microcapsules with a homogeneous size distribution and biocompatibility upon in vitro treatment of cancer cells. Carbodiimide surface activation ensures optimal disperse and optical characteristics of the QD encoded microcapsules before antibody conjugation. The prepared conjugates of the microcapsules with cancer specific monoclonal antibody targeting HER2 provide sufficiently sensitive and specific antibody mediated binding of the microcapsules with live cancer cells, which demonstrated their potential as prospective cancer cell targeting agents.",
"author_names": [
"Galina Nifontova",
"Fernanda Ramos-Gomes",
"Maria Baryshnikova",
"Frauke Alves",
"Igor R Nabiev",
"Alyona Sukhanova"
],
"corpus_id": 59336039,
"doc_id": "59336039",
"n_citations": 19,
"n_key_citations": 0,
"score": 0,
"title": "Cancer Cell Targeting With Functionalized Quantum Dot Encoded Polyelectrolyte Microcapsules",
"venue": "Front. Chem.",
"year": 2019
},
{
"abstract": "RATIONALE AND OBJECTIVE Deep tissue optical imaging is of particular interest, as the equipment costs are lower than for competing technologies such as MRI. For this purpose, the development of novel contrast agents with near infrared (NIR) fluorescence is especially important. We report on the use of NIR semiconductor nanocrystals in deep tissue in vivo optical imaging. MATERIALS AND METHODS Semiconductor nanocrystals of CdMnTe/Hg were grown in aqueous solution and then coated with bovine serum albumin (BSA) The nanocrystals were approximately 5 nm in diameter and have a broad fluorescence peak in the NIR (770 nm) Nanocrystals were injected either subcutaneously or intravenously into athymic NCR NU/NU and C3H/HENCR MTV mice and then excited with a spatially broad 633 nm source; the resulting fluorescence was captured with a sensitive CCD camera. RESULTS We have demonstrated that the nanocrystals are a useful angiographic contrast agent for vessels surrounding and penetrating a murine squamous cell carcinoma in a C3H mouse. Preliminary assessment of the depth of penetration for excitation and emission was done by imaging a beating mouse heart, both through an intact thorax and after a thoracotomy. The temporal resolution associated with imaging the nanocrystals in circulation has been addressed, and the blood clearance for this contrast agent has also been measured. CONCLUSIONS There was no significant photobleaching or degradation of the nanocrystals after an hour of continuous excitation. The stability of the nanocrystals together with the time resolution of the optical detection makes them particularly attractive candidates for pharmacokinetic imaging studies.",
"author_names": [
"Nicole Y Morgan",
"Sean J English",
"Wei Chen",
"Victor Chernomordik",
"Angelo Russo",
"Paul D Smith",
"Amir Gandjbakhche"
],
"corpus_id": 7393838,
"doc_id": "7393838",
"n_citations": 73,
"n_key_citations": 0,
"score": 0,
"title": "Real time in vivo non invasive optical imaging using near infrared fluorescent quantum dots.",
"venue": "Academic radiology",
"year": 2005
},
{
"abstract": "Colloidal quantum dots (cQDs) are now a mature nanomaterial with optical properties customizable through varying size and composition. However, their use in optical devices is limited as they are not widely available in convenient forms such as optical fibers. With advances in polymerization methods incorporating nanocrystals, nanocomposite materials suitable for processing into high quality hybrid active fibers can be achieved. We demonstrate a plastic optical fiber fabrication method which ensures homogeneous dispersion of cQDs within a polymer core matrix. Loading concentrations between 10${11} 10${13} CdSe/CdS cQDs per cm${3} in polystyrene were electronically imaged, confirming only sporadic sub wavelength aggregates. Rayleigh scattering losses are therefore dominant at energies below the semiconductors' band gap, but are overtaken by a sharp CdS related absorption onset around 525 nm facilitating cQD excitation. The redshifted photoluminescence emission is then minimally reabsorbed along the fiber with a spectrum barely affected by the polymerization and a quantum yield staying at \\sim$65$ of its initial value. The latter, along with the glass transition temperature and refractive index, is independent of the cQD concentration hence yielding a proportionally increasing light output. Our cQD doped fibers are photostable to within 5$ over days showing great promise for functional material applications.",
"author_names": [
"Carly Whittaker",
"Arthur Perret",
"Charles W Fortier",
"Olivier Tardif",
"Sebastien A Lamarre",
"Steeve Morency",
"Dominic Lariviere",
"Luc Beaulieu",
"Younes Messaddeq"
],
"corpus_id": 219530478,
"doc_id": "219530478",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Light Generating CdSe/CdS Colloidal Quantum Dot Doped Plastic Optical Fibers",
"venue": "",
"year": 2020
},
{
"abstract": "Despite broad applications of quantum dots (QDs) in vitro, severe toxicity and dominant liver uptake have limited their clinical application. QDs that excite and emit in the ultraviolet and visible regions have limited in vivo applicability due to significant optical interference exerted by biological fluids and tissues. Hence we devised a new biocompatible hybrid fluorophore composed of near infrared emitting PbSe quantum dots encapsulated in solid fatty ester nanoparticles (QD FEN) for in vivo imaging. The quantum yield and tissue penetration depth of the QD FEN were characterized, and their biological fate was examined in a breast tumor bearing animal model. It was found for the first time that chemical modification of the headgroup of QD encapsulating organic fatty acids was a must as these groups quenched the photoluminescence of PbSe nanocrystals. The use of fatty esters enhanced aqueous quantum yields of PbSe QDs up to ~45% which was 50% higher than that of water soluble PbSe nanocrystals in an aqueous medium. As a result, a greater than previously reported tissue penetration depth of fluorescence was recorded at 710 nm/840 nm excitation/emission wavelengths. The QD FEN had much lower short term cytotoxicity compared to nonencapsulated water soluble QDs. More importantly, reduced liver uptake, increased tumor retention, lack of toxic response, and nearly complete clearance of QD FEN from the tested animals was demonstrated. With a combination of near infrared spectral properties, enhanced optical properties,and significantly improved biosafety profile, this novel hybrid nanoparticulate fluorophore system demonstrably provides real time, deep tissue fluorescent imaging of live animals, laying a foundation for further development toward clinical application.",
"author_names": [
"Adam J Shuhendler",
"Preethy Prasad",
"Ho-Ka Carol Chan",
"Claudia Regina Gordijo",
"Behrouz Soroushian",
"Michael C Kolios",
"Kui Yu",
"Peter James O'Brien",
"Andrew M Rauth",
"Xiao Yu Wu"
],
"corpus_id": 5188397,
"doc_id": "5188397",
"n_citations": 57,
"n_key_citations": 4,
"score": 0,
"title": "Hybrid quantum dot fatty ester stealth nanoparticles: toward clinically relevant in vivo optical imaging of deep tissue.",
"venue": "ACS nano",
"year": 2011
},
{
"abstract": "Quantum dots (QDs) are highly fluorescent nanocrystals with advanced photophysical and spectral properties: high brightness and stability against photobleaching accompanied by broad excitation and narrow emission spectra. Water soluble QDs functionalized with biomolecules, such as proteins, peptides, antibodies, and drugs, are used for biomedical applications. The advantages of QD based approaches to immuno histochemical analysis, single molecule tracking, and in vivo imaging (over traditional methods with organic dyes and fluorescent proteins) are explained. The unique spectral properties of QDs offer opportunities for designing systems for multiplexed analysis by multicolor imaging for the simultaneous detection of multiple targets. Conjugation of drug molecules with QDs or their incorporation into QD based drug delivery particles makes it possible to monitor real time drug tracking and carry out image guided therapy. Because of the tunability of their photophysical properties, QDs emitting in the near infrared have become an attractive tool for deep tissue mono and multiphoton in vivo imaging. We review recent achievements in QD applications for bioimaging, targeting, and drug delivery, as well as challenges related to their toxicity and non biodegradability. Key and perspectives for further development of advanced QD based nanotools are addressed.",
"author_names": [
"Regina Bilan",
"Igor R Nabiev",
"Alyona Sukhanova"
],
"corpus_id": 10779122,
"doc_id": "10779122",
"n_citations": 94,
"n_key_citations": 0,
"score": 0,
"title": "Quantum Dot Based Nanotools for Bioimaging, Diagnostics, and Drug Delivery",
"venue": "Chembiochem a European journal of chemical biology",
"year": 2016
},
{
"abstract": "Two color emitting colloidal semiconductor nanocrystals (NCs) are of interest for applications in multimodal imaging, sensing, lighting, and integrated photonics. Dual color emission from core and shell related optical transitions has been recently obtained using so called dot in bulk (DiB) CdSe/CdS NCs comprising a quantum confined CdSe core embedded into an ultrathick ~7 9 nm) CdS shell. The physical mechanism underlying this behavior is still under debate. While a large shell volume appears to be a necessary condition for dual emission, comparison between various types of thick shell CdSe/CdS NCs indicates a critical role of the interface \"sharpness\" and the presence of potential barriers. To elucidate the effect of the interface morphology on the dual emission, we perform side by side studies of CdSe/CdS DiB NCs with nominally identical core and shell dimensions but different structural properties of the core/shell interface arising from the crystal structure of the starting CdSe cores (zincblende vs wurtzite) While both structures exhibit dual emission under comparable pump intensities, NCs with a zincblende core show a faster growth of shell luminescence with excitation fluence and a more readily realized regime of amplified spontaneous emission (ASE) even under \"slow\" nanosecond excitation. These distinctions can be linked to the structure of the core/shell interface: NCs grown from the zincblende cores contain a ~3.5 nm thick zincblende CdS interlayer, which separates the core from the wurtzite CdS shell and creates a potential barrier for photoexcited shell holes inhibiting their relaxation into the core. This helps maintain a higher population of shell states and simplifies the realization of dual emission and ASE involving shell based optical transitions.",
"author_names": [
"Valerio Pinchetti",
"Francesco Meinardi",
"Andrea Camellini",
"Gianluca Sirigu",
"Sotirios Christodoulou",
"Wan Ki Bae",
"Francesco De Donato",
"Liberato Manna",
"Margherita Zavelani-Rossi",
"Iwan Moreels",
"Victor I Klimov",
"Sergio Brovelli"
],
"corpus_id": 206702701,
"doc_id": "206702701",
"n_citations": 42,
"n_key_citations": 0,
"score": 0,
"title": "Effect of Core/Shell Interface on Carrier Dynamics and Optical Gain Properties of Dual Color Emitting CdSe/CdS Nanocrystals.",
"venue": "ACS nano",
"year": 2016
},
{
"abstract": "Water dispersible 1 thioglycerol (TG) capped Mn doped ZnS quantum dots were prepared in aqueous solution using the nucleation doping strategy. Using 4% Mn relative to Zn and a Zn(OAc)2/Na2S ratio of 0.9, Mn:ZnS nanocrystals with an average diameter of 3.9 0.5 nm, with pure Mn2+ related photoluminescence (PL) at 585 nm, and with a PL quantum yield of 13.2% were obtained. Transmission electron microscopy, X ray powder diffraction, electron spin resonance, X ray photoelectron spectroscopy, UV visible spectroscopy and spectrofluorometry have been used to characterize the crystal structure, the doping status, and the optical properties of the doped dots. Folic acid (FA) was linked to TG capped Mn:ZnS nanocrystals to produce Mn:ZnS@TG FA nanobioconjugates that were used for targeted in vitro delivery to a human cancer cell line. Folate receptor mediated cellular uptake of FA functionalized dots is proven via confocal and two photon imaging.",
"author_names": [
"Malgorzata Geszke-Moritz",
"Hanna Piotrowska",
"Marek Murias",
"Lavinia Balan",
"Michal Moritz",
"Janina Lulek",
"Raphael Schneider"
],
"corpus_id": 138069819,
"doc_id": "138069819",
"n_citations": 83,
"n_key_citations": 1,
"score": 0,
"title": "Thioglycerol capped Mn doped ZnS quantum dot bioconjugates as efficient two photon fluorescent nano probes for bioimaging.",
"venue": "Journal of materials chemistry. B",
"year": 2013
},
{
"abstract": "Quantum dots (QDs) are light emitting semi conductor nanocrystals with novel optical properties including superior photostability, narrow emission spectra with continuous excitation spectra. These properties make QDs especially suitable for multiplexed fluorescent labeling, live cell imaging, and in vivo animal imaging. The multiplexing potential has been recognized but real applications of biological/clinical significance are few. In this study, we used quantum dots to study epithelial mesenchymal transition (EMT) an important process involved in the bone metastasis of prostate cancer. Two prostate cancer cells lines with distinct molecular profiles, representing the two ends of the EMT process, were selected for this study. Four EMT related biomarkers including E cadherin, N cadherin, Vimentin, and RANKL were stained with QD antibody conjugates with elongation factor 1alpha as the internal control. Morphological information of the QD stained cells was obtained by digital color imaging and quantitative information obtained by spectra analysis using a spectrometer. Two types of analysis were performed: abundance of each biomarker in the same cell line relative to the internal control; and the relative abundance of these markers between the two cell lines. Our results demonstrate the feasibility of QDs for multiplexed profiling of FFPE cells/tissue of clinical significance; however, the standardization and quantification still awaits optimization.",
"author_names": [
"Yun Xing",
"Takeo Numora",
"Leland W K Chung",
"Haiyen E Zhau",
"Shuming Nie"
],
"corpus_id": 119800165,
"doc_id": "119800165",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Multiplexed molecular profiling of prostate cancer specimens using semiconductor quantum dot bioconjugates",
"venue": "SPIE BiOS",
"year": 2007
}
] |
carbon quantum dots hcc | [
{
"abstract": "Fluorescent carbon nanoparticles or carbon quantum dots (CQDs) are a new class of carbon nanomaterials that have emerged recently and have garnered much interest as potential competitors to conventional semiconductor quantum dots. In addition to their comparable optical properties, CQDs have the desired advantages of low toxicity, environmental friendliness low cost and simple synthetic routes. Moreover, surface passivation and functionalization of CQDs allow for the control of their physicochemical properties. Since their discovery, CQDs have found many applications in the fields of chemical sensing, biosensing, bioimaging, nanomedicine, photocatalysis and electrocatalysis. This article reviews the progress in the research and development of CQDs with an emphasis on their synthesis, functionalization and technical applications along with some discussion on challenges and perspectives in this exciting and promising field.",
"author_names": [
"Shi Ying Lim",
"Wei Shen",
"Zhiqiang Gao"
],
"corpus_id": 19393519,
"doc_id": "19393519",
"n_citations": 2473,
"n_key_citations": 21,
"score": 1,
"title": "Carbon quantum dots and their applications.",
"venue": "Chemical Society reviews",
"year": 2015
},
{
"abstract": "Therapeutic options for the highly pathogenic human coronavirus (HCoV) infections are urgently needed. Anticoronavirus therapy is however challenging, as coronaviruses are biologically diverse and rapidly mutating. In this work, the antiviral activity of seven different carbon quantum dots (CQDs) for the treatment of human coronavirus HCoV 229E infections was investigated. The first generation of antiviral CQDs was derived from hydrothermal carbonization of ethylenediamine/citric acid as carbon precursors and postmodified with boronic acid ligands. These nanostructures showed a concentration dependent virus inactivation with an estimated EC50 of 52 8 mg mL 1. CQDs derived from 4 aminophenylboronic acid without any further modification resulted in the second generation of anti HCoV nanomaterials with an EC50 lowered to 5.2 0.7 mg mL 1. The underlying mechanism of action of these CQDs was revealed to be inhibition of HCoV 229E entry that could be due to interaction of the functional groups of the CQDs with HCoV 229E entry receptors; surprisingly, an equally large inhibition activity was observed at the viral replication step.",
"author_names": [
"Aleksandra Loczechin",
"Karin Seron",
"Alexandre Barras",
"Emerson Giovanelli",
"Sandrine Belouzard",
"Yen-Ting Chen",
"Nils Metzler-Nolte",
"Rabah Boukherroub",
"Jean Dubuisson",
"Sabine Szunerits"
],
"corpus_id": 204814784,
"doc_id": "204814784",
"n_citations": 104,
"n_key_citations": 2,
"score": 0,
"title": "Functional Carbon Quantum Dots as Medical Countermeasures to Human Coronavirus",
"venue": "ACS applied materials interfaces",
"year": 2019
},
{
"abstract": "Abstract Carbon quantum dots (CQDs) are a new class of fluorescence small carbon nanoparticles with a particle size of less than 10 nm and have vast applications in the field of bioimaging, biosensing and disease detection. These are promising materials for nano biotechnology since it has smaller particle size, excellent biocompatibility and excitation wavelength dependent photoluminescence (PL) behavior, photo induced electron transfer, chemical inertness and low toxicity. These materials have excellent fluorescent properties such as broad excitation spectra, narrow and tunable emission spectra, and high photostability against photo bleaching and blinking than other fluorescent semiconductor quantum dots. This review article demonstrate the recent progress in the synthesis, functionalization and technical applications of carbon quantum dots using electrochemical oxidation, combustion/thermal, chemical change, microwave heating, arc discharge, and laser ablation methods from various natural resources. Natural carbon sources are used for the preparation of CQDs due to its low cost, environmental friendly and widely available.",
"author_names": [
"Rashmita Das",
"Rajib Bandyopadhyay",
"Panchanan Pramanik"
],
"corpus_id": 139946434,
"doc_id": "139946434",
"n_citations": 232,
"n_key_citations": 1,
"score": 0,
"title": "Carbon quantum dots from natural resource: A review",
"venue": "",
"year": 2018
},
{
"abstract": "It is demonstrated that carbon quantum dots derived from curcumin (Cur CQDs) through one step dry heating are effective antiviral agents against enterovirus 71 (EV71) The surface properties of Cur CQDs, as well as their antiviral activity, are highly dependent on the heating temperature during synthesis. The one step heating of curcumin at 180 degC preserves many of the moieties of polymeric curcumin on the surfaces of the as synthesized Cur CQDs, resulting in superior antiviral characteristics. It is proposed that curcumin undergoes a series of structural changes through dehydration, polymerization, and carbonization to form core shell CQDs whose surfaces remain a pyrolytic curcumin like polymer, boosting the antiviral activity. The results reveal that curcumin possesses insignificant inhibitory activity against EV71 infection in RD cells [half maximal effective concentration (EC50 >200 ug mL 1 but exhibits high cytotoxicity toward RD cells (half maximal cytotoxic concentration (CC50 <13 ug mL 1 The EC50 (0.2 ug mL 1 and CC50 (452.2 ug mL 1 of Cur CQDs are >1000 fold lower and >34 fold higher, respectively, than those of curcumin, demonstrating their far superior antiviral capabilities and high biocompatibility. In vivo, intraperitoneal administration of Cur CQDs significantly decreases mortality and provides protection against virus induced hind limb paralysis in new born mice challenged with a lethal dose of EV71.",
"author_names": [
"Chin-Jung Lin",
"Lung Chang",
"Han-Wei Chu",
"Han-Jia Lin",
"Pei-Ching Chang",
"Robert Y L Wang",
"Binesh Unnikrishnan",
"Ju-Yi Mao",
"Shiow-Yi Chen",
"Chih-Ching Huang"
],
"corpus_id": 201672600,
"doc_id": "201672600",
"n_citations": 45,
"n_key_citations": 1,
"score": 0,
"title": "High Amplification of the Antiviral Activity of Curcumin through Transformation into Carbon Quantum Dots.",
"venue": "Small",
"year": 2019
},
{
"abstract": "Highly active, stable, and cheap Pt free catalysts for the hydrogen evolution reaction (HER) are facing increasing demand as a result of their potential use in future energy conversion systems. However, the development of HER electrocatalysts with Pt like or even superior activity, in particular ones that can function under alkaline conditions, remains a significant challenge. Here, the synthesis of a novel carbon loaded ruthenium nanoparticle electrocatalyst (Ru@CQDs) for the HER, using carbon quantum dots (CQDs) is reported. Electrochemical tests reveal that, even under extremely alkaline conditions (1 m KOH) the as formed Ru@CQDs exhibits excellent catalytic behavior with an onset overpotential of 0 mV, a Tafel slope of 47 mV decade 1 and good durability. Most importantly, it only requires an overpotential of 10 mV to achieve the current density of 10 mA cm 2 Such catalytic characteristics are superior to the current commercial Pt/C and most noble metals, non noble metals, and nonmetallic catalysts under basic conditions. These findings open a new field for the application of CQDs and add to the growing family of metal@CQDs with high HER performance.",
"author_names": [
"Weidong Li",
"Yuan Liu",
"Min Wu",
"Xiaolei Feng",
"Simon A T Redfern",
"Yuan Shang",
"Xue Yong",
"Tanglue Feng",
"Kaifeng Wu",
"Zhong-xia Liu",
"Baojun Li",
"Zhimin Chen",
"John S Tse",
"Siyu Lu",
"Bai Yang"
],
"corpus_id": 205286721,
"doc_id": "205286721",
"n_citations": 237,
"n_key_citations": 0,
"score": 0,
"title": "Carbon Quantum Dots Loaded Ruthenium Nanoparticles as an Efficient Electrocatalyst for Hydrogen Production in Alkaline Media.",
"venue": "Advanced materials",
"year": 2018
},
{
"abstract": "Nucleolus tracking and nucleus targeted photodynamic therapy are attracting increasing attention due to the importance of nucleolus and the sensitivity of nucleus to various therapeutic stimuli. Herein, a new class of multifunctional fluorescent carbon quantum dots (or carbon dots, CDs) synthesized via the one pot hydrothermal reaction of m phenylenediamine and l cysteine was reported to effectively target nucleolus. The as prepared CDs possess superior properties, such as low cost and facile synthesis, good water dispersibility, various surface groups for further modifications, prominent photostability, excellent compatibility, and rapid/convenient/wash free staining procedures. Besides, as compared with SYTO RNASelect (a commonly used commercial dye for nucleolus imaging) that can only image nucleolus in fixed cells, the CDs can realize high quality nucleolus imaging in not only fixed cells but also living cells, allowing the real time tracking of nucleolus related biological behaviors. Furthermore, after conjugating with protoporphyrin IX (PpIX) a commonly used photosensitizer, the resultant CD PpIX nanomissiles showed remarkably increased cellular uptake and nucleus targeting properties and achieved greatly enhanced phototherapeutic efficiency because the nuclei show poor tolerance to reactive oxygen species produced during the photodynamic therapy. The in vivo experiments revealed that the negatively charged CD PpIX nanomissiles could rapidly and specifically target a tumor site after intravenous injection and cause efficient tumor ablation with no toxic side effects after laser irradiation. It is believed that the present CD based nanosystem will hold great potential in nucleolus imaging and nucleus targeted drug delivery and cancer therapy.",
"author_names": [
"Xian-Wu Hua",
"Yan-Wen Bao",
"Fu-Gen Wu"
],
"corpus_id": 4162008,
"doc_id": "4162008",
"n_citations": 140,
"n_key_citations": 1,
"score": 0,
"title": "Fluorescent Carbon Quantum Dots with Intrinsic Nucleolus Targeting Capability for Nucleolus Imaging and Enhanced Cytosolic and Nuclear Drug Delivery.",
"venue": "ACS applied materials interfaces",
"year": 2018
},
{
"abstract": "Neuro transmitters have been considered to be essential biochemical molecules, which monitor physiological and behavioral function in the peripheral and central nervous systems. Thus, it is of high pharmaceutical and biological significance to analyze neuro transmitters in the biological samples. So far, researchers have devised a lot of techniques for assaying these samples. It has been found that electro chemical sensors possess features of robustness, selectivity, and sensitivity as well as real time measurement. Graphene quantum dots (GQDs) and carbon QDs (CQDs) are considered some of the most promising carbon based nanomaterials at the forefront of this research area. This is due to their characteristics including lower toxicity, higher solubility in various solvents, great electronic features, strong chemical inertness, high specific surface areas, plenty of edge sites for functionalization, and versatility, in addition to their ability to be modified via absorbent surface chemicals and the addition of modifiers or nano materials. Hence in the present review, the synthesis methods of GQDs and CQDs has been summarized and their characterization methods also been analyzed. The applications of carbon based QDs (GQDs and CQDs) in biological and sensing areas, such as biological imaging, drug/gene delivery, antibacterial and antioxidant activity, photoluminescence sensors, electrochemiluminescence sensors and electrochemical sensors, have also been discussed. This study then covers sensing features of key neurotransmitters, including dopamine, tyrosine, epinephrine, norepinephrine, serotonin and acetylcholine. Hence, issues and challenges of the GQDs and CQDs were analyzed for their further development.",
"author_names": [
"S Tajik",
"Zahra Dourandish",
"Kaiqiang Zhang",
"Hadi Beitollahi",
"Quyet Van Le",
"Ho Won Jang",
"Mohammadreza Shokouhimehr"
],
"corpus_id": 218818997,
"doc_id": "218818997",
"n_citations": 78,
"n_key_citations": 0,
"score": 0,
"title": "Carbon and graphene quantum dots: a review on syntheses, characterization, biological and sensing applications for neurotransmitter determination",
"venue": "",
"year": 2020
},
{
"abstract": "Nitrogen doped carbon quantum dots (N CQDs) were successfully synthesized using rice residue and glycine as carbon and nitrogen sources by one step hydrothermal method. High quantum yield (23.48% originated from the effective combination of nitrogen with various functional groups (CO, NH, CN, COOH and COC) The N CQDs showed a fluorescence with the wavelength varied from 420 to 500 nm and the maximum emission wavelength being at 440 nm. N CQDs have been importantly applied as probe to detect Fe3+ and tetracycline (TCs) antibiotics with remarkable performance. Using the linear relationship between fluorescence intensity and Fe3+ concentration, the N CQDs could be employed as a simple, efficient sensor for ultrasensitive Fe3+ detection ranging from 3.32 to 32.26 uM, with a limit of detection (LOD) of 0.7462 uM. The N CQDs showed the applicability to detect TCs. The detection limits of tetracycline, terramycin and chlortetracycline were 0.2367, 0.3739 and 0.2791 uM, respectively. The results of TC by fluorescence method in real water samples were in good agreement with standard Ultraviolet visible (UV vis) method. The N CQDs have various potential applications including sensitive and selective detection of Fe3+ and TCs, and cellular imaging with low cytotoxicity, good biocompatibility and high permeability.",
"author_names": [
"Houjuan Qi",
"Min Teng",
"Miao Liu",
"Shouxin Liu",
"Jian Li",
"Haipeng Yu",
"Chunbo Teng",
"Zhanhua Huang",
"Hu Liu",
"Qian Shao",
"Ahmad Umar",
"Tao Ding",
"Qiang Gao",
"Zhanhu Guo"
],
"corpus_id": 58602387,
"doc_id": "58602387",
"n_citations": 187,
"n_key_citations": 1,
"score": 0,
"title": "Biomass derived nitrogen doped carbon quantum dots: highly selective fluorescent probe for detecting Fe3+ ions and tetracyclines.",
"venue": "Journal of colloid and interface science",
"year": 2019
},
{
"abstract": "Abstract In this work, highly photoluminescence nitrogen doped carbon quantum dots (N CQD) were synthesized via a simple hydrothermal method from a very low cost and green material. Different analysis were used to approve synthesis of the quantum dots such as X ray diffraction pattern (XRD) energy dispersive X ray analysis (EDAX) and Fourier transform infrared spectroscopy (FT IR) The morphology of the product was investigated by scanning electron microscopy (SEM) and transmission electron microscopy (TEM) images. In addition, the surface topography was studied by atomic force microscopy (AFM) and it was found the product has tiny and uniform particles. The photoluminescence (PL) analysis was served to study the photoluminescence intensity and it was found the product has high photoluminescence intensity. To investigate the photocatalytic activity of the product, five dyes namely Acid Blue, Acid Red, Eosin Y, Eriochrome Black T, Methyl orange and Methylene blue were decomposed under radiation. Surface activity of the product was evaluated by adsorption Cd2+ and Pb2+ from the water and the results showed the carbon dots can remove these two heavy metal ions from the water with 37% and 75% respectively.",
"author_names": [
"Mohammad Sabet",
"Kamran Mahdavi"
],
"corpus_id": 104667226,
"doc_id": "104667226",
"n_citations": 56,
"n_key_citations": 0,
"score": 0,
"title": "Green synthesis of high photoluminescence nitrogen doped carbon quantum dots from grass via a simple hydrothermal method for removing organic and inorganic water pollutions",
"venue": "",
"year": 2019
},
{
"abstract": "In the present study, an ecofriendly and zero cost approach has been demonstrated for the preparation of carbon quantum dots by one pot hydrothermal treatment of leaf extracts of neem (Azadirachta indica) The as synthesized neem carbon quantum dots (N CQDs) exhibited high fluorescent quantum yields (QYs) up to 27.2% Moreover, N CQDs also act with a peroxidase like mimetic activity toward the oxidation of peroxidase substrate 3,3',5,5' tetramethylbenzidine (TMB) in association with hydrogen peroxide (H2O2) Further, the kinetics of peroxidase like catalytic activity follows the Michaelis Menten and ping pong pathway. In addition, the H2O2 sensitive TMB oxidation motivated the colorimetric detection of H2O2 which showed linearity from 0.1 to 0.5 mmol/L with a detection limit (LOD) of 0.035 mmol/L. Furthermore, the blue colors of oxidized TMB (ox TMB) were selectively reduced in native TMB with ascorbic acid (AA) without any interference of other reducing agents. The linear range of AA detection was lying between 5 and 40 mM with a LOD up to 1.773 mM. The practicability assay of the proposed sensing system toward the detection of AA was also investigated in real sample analysis such as common fruits which showed good sensitivity to the presence of AA. Therefore, this convenient, ecofriendly, and cost effective peroxidase based sensing system opens a new platform for analysis of AA in real samples and in complex biological systems.",
"author_names": [
"Pradeep Kumar Yadav",
"Vikas Kumar Singh",
"Subhash Chandra",
"Daraksha Bano",
"Vijay Kumar",
"Mahe Talat",
"Syed Hadi Hasan"
],
"corpus_id": 104311778,
"doc_id": "104311778",
"n_citations": 53,
"n_key_citations": 1,
"score": 0,
"title": "Green Synthesis of Fluorescent Carbon Quantum Dots from Azadirachta indica Leaves and Their Peroxidase Mimetic Activity for the Detection of H2O2 and Ascorbic Acid in Common Fresh Fruits.",
"venue": "ACS biomaterials science engineering",
"year": 2019
}
] |
P type semiconductor | [
{
"abstract": "Photoelectric detectors are the central part of modern photodetection systems with numerous commercial and scientific applications. p Type semiconductor materials play important roles in optoelectronic devices. Photodetectors based on p type semiconductor materials have attracted a great deal of attention in recent years because of their unique properties. Here, a comprehensive summary of the recent progress mainly on photodetectors based on inorganic p type semiconductor materials is presented. Various structures, including photoconductors, phototransistors, homojunctions, heterojunctions, p i n junctions, and metal semiconductor junctions of photodetectors based on inorganic p type semiconductor materials, are discussed and summarized. Perspectives and an outlook, highlighting the promising future directions of this research field, are also given.",
"author_names": [
"Feng Teng",
"Kai Hu",
"Weixin Ouyang",
"Xiaosheng Fang"
],
"corpus_id": 47016453,
"doc_id": "47016453",
"n_citations": 151,
"n_key_citations": 0,
"score": 2,
"title": "Photoelectric Detectors Based on Inorganic p Type Semiconductor Materials.",
"venue": "Advanced materials",
"year": 2018
},
{
"abstract": "Development of high performance p type semiconductor based gas sensors exhibiting fast response/recovery times with ultra high response are of major importance for gas sensing applications. Recent reports demonstrated the excellent properties of p type semiconducting oxide for various practical applications, especially for selective oxidation of volatile organic compounds (VOCs) In this work, sensors based on CuO nanowire (NW) networks have been successfully fabricated via a simple thermal oxidation process on pre patterned Au/Cr pads. Our investigation demonstrates high impact of the process temperature on aspect ratio and density of copper oxide NWs. An optimal temperature for growth of thin and densely packed NWs was found to be at 425 degrees C. The fabricated sensors demonstrated ultra high gas response by a factor of 313 to ethanol vapour (100 ppm) at an operating temperature of 250 degrees C. High stability and repeatability of these sensors indicate the efficiency of p type oxide based gas sensors for selective detection of VOCs. A high performance nanodevice was fabricated in a FIB SEM system using a single CuO NW, demonstrating an ethanol response of 202 and rapid response and recovery of similar to 198 ms at room temperature. The involved gas sensing mechanism of CuO NW networks has been described. We consider that the presented results will be of a great interest for the development of higherperformance p type semiconductor based sensors and bottomup nanotechnologies. (C) 2016 WILEY VCH Verlag GmbH Co. KGaA, Weinheim",
"author_names": [
"Oleg Lupan",
"Vasile Postica",
"Vasilii Cretu",
"Niklas Wolff",
"Viola Duppel",
"Lorenz Kienle",
"Rainer Adelung"
],
"corpus_id": 102204897,
"doc_id": "102204897",
"n_citations": 76,
"n_key_citations": 1,
"score": 0,
"title": "Single and networked CuO nanowires for highly sensitive p type semiconductor gas sensor applications",
"venue": "",
"year": 2016
},
{
"abstract": "This review reports the properties of p type semiconductors with nanostructured features employed as photocathodes in photoelectrochemical cells (PECs) Light absorption is crucial for the activation of the reduction processes occurring at the p type electrode either in the pristine or in a modified/sensitized state. Beside thermodynamics, the kinetics of the electron transfer (ET) process from photocathode to a redox shuttle in the oxidized form are also crucial since the flow of electrons will take place correctly if the ET rate will overcome that one of recombination and trapping events which impede the charge separation produced by the absorption of light. Depending on the nature of the chromophore, i.e. if the semiconductor itself or the chemisorbed dye sensitizer, different energy levels will be involved in the cathodic ET process. An analysis of the general properties and requirements of electrodic materials of p type for being efficient photoelectrocatalysts of reduction processes in dye sensitized solar cells (DSC) will be given. The working principle of p type DSCs will be described and extended to other p type PECs conceived and developed for the conversion of the solar radiation into chemical products of energetic/chemical interest like non fossil fuels or derivatives of carbon dioxide.",
"author_names": [
"Matteo Bonomo",
"Danilo Dini"
],
"corpus_id": 31929,
"doc_id": "31929",
"n_citations": 40,
"n_key_citations": 0,
"score": 0,
"title": "Nanostructured p Type Semiconductor Electrodes and Photoelectrochemistry of Their Reduction Processes",
"venue": "",
"year": 2016
},
{
"abstract": "Li4Ti5O12 is a promising anode material for rechargeable lithium batteries due to its well known zero strain and superb kinetic properties. However, Li4Ti5O12 shows low energy density above 1 V vs Li(/Li. In order to improve the energy density of Li4Ti5O12, its low voltage intercalation behavior beyond Li7Ti5O12 has been demonstrated. In this approach, the extended voltage window is accompanied by the decomposition of liquid electrolyte below 1 V, which would lead to an excessive formation of solid electrolyte interphase (SEI) films. We demonstrate an effective method to improve electrochemical performance of Li4Ti5O12 in a wide working voltage range by coating Li4Ti5O12 powder with p type semiconductor NiOx. Ex situ XRD, XPS, and FTIR results show that the NiOx coating suppresses electrochemical reduction reactions of the organic SEI components to Li2CO3, thereby promoting reversibility of the charge/discharge process. The NiOx coating layer offers a stable SEI film for enhanced rate capability and cyclability.",
"author_names": [
"Mi Ru Jo",
"Gi-Hyeok Lee",
"Yong-Mook Kang"
],
"corpus_id": 206408965,
"doc_id": "206408965",
"n_citations": 23,
"n_key_citations": 0,
"score": 0,
"title": "Controlling Solid Electrolyte Interphase Layer by Coating P Type Semiconductor NiOx on Li4Ti5O12 for High Energy Density Lithium Ion Batteries.",
"venue": "ACS applied materials interfaces",
"year": 2015
},
{
"abstract": "Abstract This study adopted the sol gel method to synthesize p type semiconductor CuCrO 2 films and analyzed the effects of an annealing treatment, under a controlled argon atmosphere by changing the temperature and time, on the phase transformation, micro and nano structure, composition, and semiconductor properties of thin films. In the Cu Cr O phase transformation system, CuO, Cr 2 O 3 and CuCr 2 O 4 were the intermediate phases of the reaction for forming CuCrO 2 in the metastable state reaction process, the composite phases changed into a single phase, CuCrO 2 in the stable state reaction process of CuCrO 2 carbon elements of precursors were released and eliminated; and finally the optoelectronic properties of the CuCrO 2 thin film were adjusted and changed. The CuCrO 2 thin film possessed cell and polygon like shaped microstructures. The carbon content in the CuCrO 2 film decreased, so the copper, chromium, and oxygen contents increased accordingly. The optical band gap of CuCrO 2 thin film increased from 2.81 eV to 3.05 eV, while the resistivity decreased. The nanoscale crystal was identified which also of the delafossite CuCrO 2 structure. Using the sol gel method to prepare the CuCrO 2 thin films, an appropriate annealing temperature and time were helpful in forming the single phase CuCrO 2 the decrease of precursor elements in the thin film could enhance the band gap and the conductivity of the material.",
"author_names": [
"Ruei-Sung Yu",
"De-He Hu"
],
"corpus_id": 95532803,
"doc_id": "95532803",
"n_citations": 15,
"n_key_citations": 1,
"score": 0,
"title": "Formation and characterization of p type semiconductor CuCrO2 thin films prepared by a sol gel method",
"venue": "",
"year": 2015
},
{
"abstract": "Achieving stable operation of photoanodes used as components of solar water splitting devices is critical to realizing the promise of this renewable energy technology. It is shown that p type transparent conducting oxides (p TCOs) can function both as a selective hole contact and corrosion protection layer for photoanodes used in light driven water oxidation. Using NiCo2O4 as the p TCO and n type Si as a prototypical light absorber, a rectifying heterojunction capable of light driven water oxidation was created. By placing the charge separating junction in the Si using a np( structure and by incorporating a highly active heterogeneous Ni Fe oxygen evolution catalyst, efficient light driven water oxidation can be achieved. In this structure, oxygen evolution under AM1.5G illumination occurs at 0.95 V vs RHE, and the current density at the reversible potential for water oxidation (1.23 V vs RHE) is >25 mA cm( 2) Stable operation was confirmed by observing a constant current density over 72 h and by sensitive measurements of corrosion products in the electrolyte. In situ Raman spectroscopy was employed to investigate structural transformation of NiCo2O4 during electrochemical oxidation. The interface between the light absorber and p TCO is crucial to produce selective hole conduction to the surface under illumination. For example, annealing to produce more crystalline NiCo2O4 produces only small changes in its hole conductivity, while a thicker SiOx layer is formed at the n Si/p NiCo2O4 interface, greatly reducing the PEC performance. The generality of the p TCO protection approach is demonstrated by multihour, stable, water oxidation with n InP/p NiCo2O4 heterojunction photoanodes.",
"author_names": [
"Le Chen",
"Jinhui Yang",
"Shannon Klaus",
"Lyman J Lee",
"Rachel Woods-Robinson",
"Jie Ma",
"Yanwei Lum",
"Jason K Cooper",
"Francesca M Toma",
"Lin-wang Wang",
"Ian D Sharp",
"Alexis T Bell",
"Joel W Ager"
],
"corpus_id": 207155559,
"doc_id": "207155559",
"n_citations": 79,
"n_key_citations": 0,
"score": 0,
"title": "p Type Transparent Conducting Oxide/n Type Semiconductor Heterojunctions for Efficient and Stable Solar Water Oxidation.",
"venue": "Journal of the American Chemical Society",
"year": 2015
},
{
"abstract": "Abstract In this study, a H2S gas sensing element, hexagonal YMnO3 nanopowder, was prepared using a novel citrate method followed by calcination at different temperatures. Structural and microstructural characterizations were carried out using X ray diffraction (XRD) and transmission electron microscopy (TEM) respectively. XRD studies confirmed the formation of YMnO3 with a perovskite phase. The electrical properties were studied using impedance analysis; the results indicated that the conductivity depends on temperature. The presence of functional groups was determined using Fourier transform infrared spectroscopy (FT IR) Thermal stability was determined by thermogravimetric analysis (TG/DTA) Moreover, the pore size distribution measurements and scanning electron microscopy (SEM) images of the perovskite YMnO3 reveal the mesoporous characteristics of the material. Hexagonal YMnO3 nanopowder annealed at 700 degC was used in a chemoresistive gas sensing device. The response, selectivity, and sensitivity of the sensing material were measured by changing the electrical resistance of the material. The results demonstrated that the hexagonal YMnO3 nanopowder exhibited good sensing performance for hydrogen sulfide gas (H2S) with a rapid response and high sensitivity at 100 degC for 20 ppm. The cross sensitivity was also checked for reducing gases such as CO, H2, and LPG. The sensing mechanism of the YMnO3 sensor to H2S is also discussed.",
"author_names": [
"Chandran Balamurugan",
"Dong-Weon Lee"
],
"corpus_id": 94135053,
"doc_id": "94135053",
"n_citations": 40,
"n_key_citations": 0,
"score": 0,
"title": "Perovskite hexagonal YMnO3 nanopowder as p type semiconductor gas sensor for H2S detection",
"venue": "",
"year": 2015
},
{
"abstract": "A practical strategy is proposed to facilitate the migration of holes in semiconductor (the low rate of which limits photocatalytic efficiency) by taking advantage of the Schottky barrier between p type semiconductor and metal. A high work function is found to serve as an important selection rule for building such desirable Schottky junction between semiconductor surface facets and metal. The intrinsic charge spatial distribution has to be taken into account when selecting the facets, as it results in accumulation of photoexcited electrons and holes on certain semiconductor facets. Importantly, the facets have a high work function, the same characteristic required for the formation of Schottky junction in a p type semiconductor metal hybrid structure. As a result, the semiconductor crystals in the hybrid design may be better enclosed by single facets with high work function, so as to synergize the two effects: Schottky barrier versus charge spatial separation.",
"author_names": [
"Li-li Wang",
"Jing Ge",
"Ailun Wang",
"Mingsen Deng",
"Xijun Wang",
"Song Bai",
"Rui Li",
"Jun Jiang",
"Qun Zhang",
"Yi Luo",
"Yujie Xiong"
],
"corpus_id": 205379230,
"doc_id": "205379230",
"n_citations": 144,
"n_key_citations": 0,
"score": 0,
"title": "Designing p type semiconductor metal hybrid structures for improved photocatalysis.",
"venue": "Angewandte Chemie",
"year": 2014
},
{
"abstract": "Rhodium doped barium titanate (BaTiO3:Rh) powder was prepared by the polymerized complex (PC) method, and the photocatalytic activity for H2 evolution from water was examined. BaTiO3 is a wide gap n type semiconductor having a band gap of 3.0 eV. Doping Rh species into the lattice of BaTiO3 resulted in the formation of new absorption bands in visible light region. Upon visible light (l 420 nm) BaTiO3:Rh modified with nanoparticulate Pt as a water reduction promoter was capable of producing H2 from water containing an electron donor such as methanol and iodide. The best material prepared by the PC method exhibited higher activity than that made by a conventional solid state reaction method. Visible light driven Z scheme water splitting was also accomplished using Pt/BaTiO3:Rh as a building block for H2 evolution in combination with PtOx loaded WO3 as an O2 evolution photocatalyst in the presence of an IO3( /I( shuttle redox mediator. Photoelectrochemical analysis indicated that a porous BaTiO3:Rh electrode exhibited cathodic photoresponse due to water reduction in a neutral aqueous Na2SO4 solution upon visible light.",
"author_names": [
"Kazuhiko Maeda"
],
"corpus_id": 5399056,
"doc_id": "5399056",
"n_citations": 111,
"n_key_citations": 1,
"score": 0,
"title": "Rhodium doped barium titanate perovskite as a stable p type semiconductor photocatalyst for hydrogen evolution under visible light.",
"venue": "ACS applied materials interfaces",
"year": 2014
},
{
"abstract": "The failure to develop a degenerate, wide band gap, p type oxide material has been a stumbling block for the optoelectronics industry for decades. Mg doped LaCuOSe has recently emerged as a very promising p type anode layer for optoelectronic devices, displaying high conductivities and low hole injection barriers. Despite these promising results, many questions regarding the defect chemistry of this system remain unanswered, namely (i) why does this degenerate semiconductor not display a Moss Burnstein shift? (ii) what is the origin of conductivity in doped and un doped samples? and (iii) why is Mg reported to be the best dopant, despite the large cation size mismatch between Mg and La? In this article we use screened hybrid density functional theory to study both intrinsic and extrinsic defects in LaCuOSe, and identify for the first time the source of charge carriers in this system. We successfully explain why LaCuOSe does not exhibit a Moss Burstein shift, and we identify the source of the subgap optical absorption reported in experiments. Lastly we demonstrate that Mg doping is not the most efficient mechanism for p type doping LaCuOSe, and propose an experimental reinvestigation of this system.",
"author_names": [
"David O Scanlon",
"John Buckeridge",
"C Richard A Catlow",
"Graeme W Watson"
],
"corpus_id": 53627537,
"doc_id": "53627537",
"n_citations": 41,
"n_key_citations": 2,
"score": 0,
"title": "Understanding doping anomalies in degenerate p type semiconductor LaCuOSe",
"venue": "",
"year": 2014
}
] |
ingle-mode semiconductor nanowire lasers with coupled cavities | [
{
"abstract": "Semiconductor nanowires are one of the most fascinating topics over the past few decades. As miniaturized coherent light sources, semiconductor nanowires have been attracting tremendous attention in recent years for scientific and technological interest as potential ultra compact, low cost, high efficiency, and low power consumption. Among different types of lasers, one dimensional nanowires are of great interest as a promising material for next generation nanophotonics and nanoelectronics applications due to their unique optical and electrical properties. Semiconductor nanowire lasers with single mode output are vital in a variety of practical applications ranging from signal processing, spectroscopy, displays, optical sensing, on chip communications, and biological studies. This article reviews the basic technology and research progress of single mode semiconductor nanowire lasers. Afterward, the key methods and development of the different types of coupling to achieved single mode laser output are elaborated. Finally, the challenges faced by each scheme are summarized.",
"author_names": [
"Salman Ullah",
"Sijie Pian",
"Fangbo Dai",
"Yilun Wang",
"Yaoguang Ma",
"Qing Yang"
],
"corpus_id": 231607198,
"doc_id": "231607198",
"n_citations": 0,
"n_key_citations": 0,
"score": 1,
"title": "Single Mode Semiconductor Nanowire Lasers With Coupled Cavities",
"venue": "Frontiers in Chemistry",
"year": 2020
},
{
"abstract": "Author(s) Kim, Hyunseok Advisor(s) Huffaker, Diana L Abstract: Chip scale integrated light sources are a crucial component in a broad range of photonics applications. III V semiconductor nanowire emitters have gained attention as a fascinating approach due to their superior material properties, extremely compact size, and capability to grow directly on lattice mismatched substrates including silicon. However, their practical applications are still in the early stages due to the difficulties in achieving high performance nanowire emitters and integrating nanowire emitters with photonic platforms. In this dissertation, we demonstrate III V nanowire based lasers monolithically integrated on silicon on insulator (SOI) platforms, which can be potentially employed for chip scale optical communications and photonic integrated circuits. For this, selective area epitaxy of InGaAs nanowires on 3D structured SOI platforms is developed by catalyst free metal organic chemical vapor deposition. Nanowires are precisely positioned on 3D structures, including waveguides and gratings, with nearly 100 nanowire growth yield and wide bandgap tuning ranges. Next, nanowire array based bottom up photonic crystal cavities are demonstrated on SOI substrates. InGaAs/InGaP core/shell nanowire arrays form 1D and 2D photonic crystal cavities on SOI layers, and single mode room temperature lasing from these bottom up cavities is achieved by optically pumping the nanowire arrays. We also show that the nanowire array lasers are effectively coupled with SOI waveguides, which is achieved by integrating bottom up nanowires on pre patterned SOI platforms. The lasing wavelengths of nanowire array lasers are in the ranges of 1,100 1,440 nm, which covers telecommunication wavelengths, all operating at room temperature. It is also shown that arrays of proposed lasers with individually tunable wavelengths can be integrated on a single chip by lithographically tuning the cavity geometries. In summary, the III V nanowire lasers on silicon demonstrated in this dissertation represent a new platform for ultracompact and energy efficient light sources for silicon photonics and unambiguously point the way toward practical and functional nanowire lasers.",
"author_names": [
"Hyunseok Kim"
],
"corpus_id": 139131450,
"doc_id": "139131450",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "III V semiconductor nanowire lasers on silicon",
"venue": "",
"year": 2018
},
{
"abstract": "The miniaturization of optoelectronic devices is essential for the continued success of photonic technologies. Nanowires have been identified as potential building blocks that mimic conventional photonic components such as interconnects, waveguides, and optical cavities at the nanoscale. Semiconductor nanowires with high optical gain offer promising solutions for lasers with small footprints and low power consumption. Although much effort has been directed toward controlling their size, shape, and composition, most nanowire lasers currently suffer from emitting at multiple frequencies simultaneously, arising from the longitudinal modes native to simple Fabry Perot cavities. Cleaved coupled cavities, two Fabry Perot cavities that are axially coupled through an air gap, are a promising architecture to produce single frequency emission. The miniaturization of this concept, however, imposes a restriction on the dimensions of the intercavity gaps because severe optical losses are incurred when the cross sectional dimensions of cavities become comparable to the lasing wavelength. Here we theoretically investigate and experimentally demonstrate spectral manipulation of lasing modes by creating cleaved coupled cavities in gallium nitride (GaN) nanowires. Lasing operation at a single UV wavelength at room temperature was achieved using nanoscale gaps to create the smallest cleaved coupled cavities to date. Besides the reduced number of lasing modes, the cleaved coupled nanowires also operate with a lower threshold gain than that of the individual component nanowires. Good agreement was found between the measured lasing spectra and the predicted spectral modes obtained by simulating optical coupling properties. This agreement between theory and experiment presents design principles to rationally control the lasing modes in cleaved coupled nanowire lasers.",
"author_names": [
"Hanwei Gao",
"Anthony Fu",
"Sean C Andrews",
"Peidong Yang"
],
"corpus_id": 14077492,
"doc_id": "14077492",
"n_citations": 96,
"n_key_citations": 3,
"score": 0,
"title": "Cleaved coupled nanowire lasers",
"venue": "Proceedings of the National Academy of Sciences",
"year": 2013
},
{
"abstract": "Semiconductor nanowires (or other wire like nanostructures, including nanoribbons and nanobelts) synthesized by bottom up chemical growth show single crystalline structures, excellent geometric uniformities, subwavelength transverse dimensions, and relatively high refractive indices, making these one dimensional structures ideal optical nanowaveguides with tight optical confinement and low scattering loss. When properly pumped by optical or electrical means, lasing oscillation can be readily established inside these high gain active nanowires with feedback from endface reflection or near field coupling effects, making it possible to realize nanowire lasers with miniature sizes and high flexibilities. Also, the wide range material availability bestows the semiconductor nanowire with lasing wavelength selectable within a wide spectral range from ultraviolet (UV) to near infrared (IR) As nanoscale coherent light sources, in recent years, nanowire lasers have been attracting intensive attention for both fundamental research and technological applications ranging from optical sensing, signal processing, and on chip communications to quantum optics. Here, we present a review of the status and perspectives of semiconductor nanowire lasers, with a particular emphasis on their optical characteristics categorized in two groups: (1) waveguiding related properties in Section 3, which includes waveguide modes, near field coupling, endface reflection, substrate induced effects, and nanowire microcavities, and (2) optically pumped semiconductor nanowire lasers in Section 4, starting from principles and basic types of UV, visible, and near IR nanowire lasers relying on Fabry Perot cavities, to advanced configurations including wavelength tunable, single mode operated, fiber coupled, and metal incorporated nanowire lasing structures for more possibilities. In addition, the material aspects of semiconductor nanowires, including nanowire synthesis and electrically driven nanowire lasers, are briefly reviewed in Sections 2 and 5, respectively. Finally, in Section 6 we present a brief summary of semiconductor nanowire lasers regarding their current challenges and future opportunities.",
"author_names": [
"Yaoguang Ma",
"Xin Guo",
"Xiaoqin Wu",
"Lun Dai",
"Limin Tong"
],
"corpus_id": 121063102,
"doc_id": "121063102",
"n_citations": 77,
"n_key_citations": 1,
"score": 0,
"title": "Semiconductor nanowire lasers",
"venue": "",
"year": 2013
},
{
"abstract": "We present a model to describe the spatiotemporal evolution of guided modes in semiconductor nanowires based on a coupled mode formalism. Light matter interaction is modelled based on semiconductor Bloch equations, including many particle effects in the screened Hartree Fock approximation. Appropriate boundary conditions are used to incorporate reflections at waveguide endfacets, thus allowing for the simulation of nanowire lasing. We compute the emission characteristics and temporal dynamics of CdS and ZnO nanowire lasers and compare our results both to Finite Difference Time Domain simulations and to experimental data. Finally, we explore the dependence of the lasing emission on the nanowire cavity and on the materials relaxation time.",
"author_names": [
"Robert Buschlinger",
"M Lorke",
"Ulf Peschel"
],
"corpus_id": 119074473,
"doc_id": "119074473",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "Coupled Mode Theory for Semiconductor Nanowires",
"venue": "",
"year": 2016
},
{
"abstract": "Chip scale integrated light sources are a crucial component in a broad range of photonics applications. III V semiconductor nanowire emitters have gained attention as a fascinating approach due to their superior material properties, extremely compact size, and capability to grow directly on lattice mismatched silicon substrates. Although there have been remarkable advances in nanowire based emitters, their practical applications are still in the early stages due to the difficulties in integrating nanowire emitters with photonic integrated circuits. Here, we demonstrate for the first time optically pumped III V nanowire array lasers monolithically integrated on silicon on insulator (SOI) platform. Selective area growth of InGaAs/InGaP core/shell nanowires on an SOI substrate enables the nanowire array to form a photonic crystal nanobeam cavity with superior optical and structural properties, resulting in the laser to operate at room temperature. We also show that the nanowire array lasers are effectively coupled with SOI waveguides by employing nanoepitaxy on a prepatterned SOI platform. These results represent a new platform for ultracompact and energy efficient optical links and unambiguously point the way toward practical and functional nanowire lasers.",
"author_names": [
"Hyunseok Kim",
"Wook-Jae Lee",
"Alan C Farrell",
"Juan S D Morales",
"Pradeep Senanayake",
"Sergey V Prikhodko",
"Tomasz J Ochalski",
"Diana L Huffaker"
],
"corpus_id": 206739370,
"doc_id": "206739370",
"n_citations": 65,
"n_key_citations": 1,
"score": 0,
"title": "Monolithic InGaAs Nanowire Array Lasers on Silicon on Insulator Operating at Room Temperature.",
"venue": "Nano letters",
"year": 2017
},
{
"abstract": "Semiconductor nanowires (NWs) are promising for realizing various on chip nonlinear optical devices, due to their nanoscale lateral confinement and strong light matter interaction. However, high intensity pulsed pump lasers are typically needed to exploit their optical nonlinearity because light couples poorly with nanometric size wires. Here, we demonstrate microwatts continuous wave light pumped second harmonic generation (SHG) in AlGaAs NWs by integrating them with silicon planar photonic crystal cavities. Light NW coupling is enhanced effectively by the extremely localized cavity mode at the subwavelength scale. Strong SHG is obtained even with a continuous wave laser excitation with a pump power down to ~3 uW, and the cavity enhancement factor is estimated around 150. Additionally, in the integrated device, the NW's SHG is more than two order of magnitude stronger than third harmonic generations in the silicon slab, though the NW only couple s with less than 1% of the cavity mode. This significantly reduced power requirement of NW's nonlinear frequency conversion would promote NW based building blocks for nonlinear optics, specially in chip integrated coherent light sources, entangled photon pairs and signal processing devices.",
"author_names": [
"Qingchen Yuan",
"Liang Fang",
"He Yang",
"Xuetao Gan",
"Vladislav Khayrudinov",
"Harri Lipsanen",
"Zhipei Sun",
"Jianlin Zhao"
],
"corpus_id": 119022696,
"doc_id": "119022696",
"n_citations": 6,
"n_key_citations": 0,
"score": 0,
"title": "Low Power Continuous Wave Second Harmonic Generation in Semiconductor Nanowires",
"venue": "",
"year": 2018
},
{
"abstract": "Mid infrared (MIR) photonics is a developing technology for sensing materials by their characteristic MIR absorptions. Since silicon (Si) is a low loss material in most of the MIR region, Si photonic structures have been fabricated to guide and confine MIR light, and they allow us to achieve sensitive and integrated sensing devices. However, since the implementation of MIR light sources on Si is still challenging, we propose a thick indium arsenide (InAs) nanowire as an MIR laser that can couple to Si photonic structures with material manipulation. In this study, thick InAs nanowires are grown on an indium phosphide substrate with a self catalyst vapor liquid solid method and transferred to gold deposited SiO2/Si substrates. Low temperature micro photoluminescence (PL) spectroscopy shows that InAs nanowires exhibit broad PL peaking at a wavelength of around 2.6 um (3850 cm 1 in frequency) which corresponds to the bandgap energy of wurtzite InAs. At high optical pump fluences, single InAs nanowire exhibits sharp emission peaks while their integrated intensity and polarization degree increase abruptly at the threshold pump fluence. These nonlinear behaviors indicate that the MIR lasing action takes place in the InAs nanowire in its cavity mode. Our demonstration of the MIR nanowire laser expands the wavelength coverage and potential application of semiconductor nanowires.",
"author_names": [
"Hisashi Sumikura",
"Guoqiang Zhang",
"Masato Takiguchi",
"Naotomo Takemura",
"Akihiko Shinya",
"Hideki Gotoh",
"Masaya Notomi"
],
"corpus_id": 204849466,
"doc_id": "204849466",
"n_citations": 10,
"n_key_citations": 0,
"score": 0,
"title": "Mid Infrared Lasing of Single Wurtzite InAs Nanowire.",
"venue": "Nano letters",
"year": 2019
},
{
"abstract": "High quality factor and small mode volume in nanocavities enable the demonstration of efficient nanophotonic devices with low power consumption, strong nonlinearity, and high modulation speed, due to the strong light matter interaction. In this review, we focus on recent state of the art nanocavities and their applications. We introduce single nanocavities including semiconductor nanowires, plasmonic cavities, and nanostructures based on quasi bound states in the continuum (quasi BIC) for laser, photovoltaic, and nonlinear applications. In addition, nanocavity arrays with unique feedback mechanisms, including BIC cavities, parity time symmetry coupled cavities, and photonic topological cavities, are introduced for laser applications. These various cavity designs and underlying physics in single and array nanocavities are useful for the practical implementation of promising nanophotonic devices.",
"author_names": [
"Min-Soo Hwang",
"Kwang-yong Jeong",
"Kyoung-Ho Kim",
"Ha-Reem Kim",
"Jae-Pil So",
"Hoo-Cheol Lee",
"Jungki Kim",
"Soon-Hong Kwon",
"Hong-Gyu Park"
],
"corpus_id": 233326282,
"doc_id": "233326282",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Recent advances in nanocavities and their applications.",
"venue": "Chemical communications",
"year": 2021
},
{
"abstract": "2018 Science China Press. Published by Elsevier B.V. and Science China Press. All rights reserved. Self assembled semiconductor quantum dots (QDs) are potentially scalable candidates for solid state single photon emitters which deterministically generate one single photon per excitation. Such on demand single photon sources serve as one of the key elements in modern photonic quantum technologies [1] Until very recently, near optimal QD single photon sources with simultaneous high degree of brightness, single photon purity and indistinguishability [2] have been achieved in QD micropillar systes, showing superior performance in photonic quantum computation/simulation, e.g. Boson sampling [3] thanks to the large Purcell effect and the resonant excitation scheme. In particular, advances in resonant excitation have shown that the excitonic states in single QDs can be coherently driven and manipulated, so as to minimize the undesirable dephasing processes via the reduction in fluctuations of the electrostatic environments and elimination of incoherent phonon assisted carrier relaxation [4,5] However, direct implementations of resonant excitation on QDs in other nanostructures for efficient single photon generation [6] such as nanowire [7] micro lens [8] circular Bragg grating [9,10] and photonic crystal nanocavity [11] etc. is still a challenge because it is technically nontrivial to differentiate the fluorescence from the same frequency laser scattered by the photonic nanostructures. Up converted (UC) photoluminescence (PL) in semiconductors, describing emissions at energies higher than that of the excitation energy, has attracted growing interest in the last few years, e.g. UC excitation can be used to excite the QDs via the two photon absorption (TPA) [12] or Auger processes [13] A common drawback is that the UC process, especially a direct TPA process, is always associated with a significantly lower efficiency as compared to its down converted counterpart. A typical QD TPA Elsevier B.V. and Science China Pr u) [email protected] experiment requires an ensemble of QDs as well as pulsed laser to provide enough excitation power [14] In this short communication, we present the first realization of UC excitation, to the best of our knowledge, on single QDs for efficient single photon generations. The experimental setup and micropillar cavity modes are shown in Supplementary data (Note 1 and 2 online) We investigate a QD that was deterministically embedded in a micropillar via the fluorescence imaging technique [15,16] The energy levels of the QD system are schematically shown in Fig. 1a, describing the aboveband (blue line) and UC (red line) excitation processes. In the aboveband excitation, the carriers are directly generated in the valence band and conduction band by using a laser with the energy higher than the bandgap of GaAs material. The generated carriers then relax to the wetting layer and further down to the first excited state of QD via a phonon mediated process before the radiative singlephoton emission. On the other hand, the carriers are directly generated in the QD excited states in the UC excitation scenario that we will explain in details. Fig. 1b and c show the PL spectra of the QD continuously excited via aboveband (780 nm) and UC (940 nm) excitations at the saturation power, respectively. Spectral resonance between fundamental mode (FM) and the QD is introduced at the measurement temperature of 53 K. The detected fluorescent intensity in silicon charged couple device (CCD) from the QD via the UC excitation scheme is 22.8 counts/s which is very close to the number (24.6 counts/s) achieved in the aboveband excitation. Furthermore, the wetting layer (WL) emission at 865 nm shown in the aboveband excitation is strongly suppressed in the UC excitation scheme, indicating the reduction of carrier re capture process that we shall present in the photon correlation measurements. Fig. 1d demonstrates the signal intensities as a function of the excitation power for both aboveband excitation and UC excitation in the logarithm scale. The quadratic dependency (Px 1.73 0.11) in UC excitation indicates that the ess. All rights reserved. Fig. 1. Sketch of the excitation mechanism, PL spectra and PLE measurements. (a) Schematic energy level diagram illustrating aboveband and UC excitation schemes. The blue line connecting the lowest quantum dot states indicates spontaneous emission under the aboveband excitation, while the red line indicates spontaneous emission under the UC excitation. (b) (c) PL spectra of a single QD in a micropillar under 780 and 940 nm CW excitation at saturated power. (d) Excitation power dependence of the fluorescence intensity under aboveband (blue squares) and UC (red circles) excitations. The slope of each power density dependence is indicated. (e) A typical PL from QD inmicropillar excited under 780 nm CW excitation at very high excitation power. (f) (g) The integrated intensity of the emission with varied CW excitation wavelength with half saturated excitation power at 53 and 10 K, respectively.",
"author_names": [
"Rongling Su",
"Shunfa Liu",
"Yuming Wei",
"Ying Yu",
"Jin Liu",
"Siyuan Yu",
"Xuehua Wang"
],
"corpus_id": 104201970,
"doc_id": "104201970",
"n_citations": 5,
"n_key_citations": 0,
"score": 0,
"title": "Bright and pure single photons from quantum dots in micropillar cavities under up converted excitation",
"venue": "",
"year": 2018
}
] |
SiC MOSCAP high k oxides | [
{
"abstract": "Aluminum based high permittivity (high k) gate dielectrics and suitable metal electrodes were systematically designed for advanced SiC power metal oxide semiconductor field effect transistors (MOSFETs) Although electron injection into alumina (Al2O3) was significantly suppressed by nitrogen incorporation (aluminum oxynitride: AlON) gate leakage current under negative gate bias and hole trapping into the dielectrics were observed. Adding hafnium into the AlON (HfAlON) was investigated to overcome these drawbacks, and an atomic layer deposition based method for HfAlON was developed in terms of permittivity, energy bandgap, and hole conduction under negative stressing conditions. Consequently, the reliability of metal/high k gate stacks under both positive and negative bias temperature stresses was improved by using an optimized HfAlON gate dielectric in combination with a high work function TiN gate electrode. Thanks to the higher permittivity of HfAlON, peak transconductance was successfully enhanced up to 3.4 times with an acceptable reliability margin in the state of the art trench SiC MOSFETs by implementing a TiN/HfAlON gate stack.",
"author_names": [
"Takuji Hosoi",
"Shuji Azumo",
"Yusaku Kashiwagi",
"Shigetoshi Hosaka",
"Kenji Yamamoto",
"Masatoshi Aketa",
"Hirokazu Asahara",
"Takashi Nakamura",
"Tsunenobu Kimoto",
"Takayoshi Shimura",
"Heiji Watanabe"
],
"corpus_id": 213944352,
"doc_id": "213944352",
"n_citations": 1,
"n_key_citations": 0,
"score": 1,
"title": "Comprehensive and systematic design of metal/high k gate stack for high performance and highly reliable SiC power MOSFET",
"venue": "",
"year": 2020
},
{
"abstract": "We report on a novel method of stacking multiple oxide layers on 4H SiC 20 kV IGBT. Instead of SiO2/SiC interface which is common for any SiC based MOS device, we found that the AlN/SiC interface would yield better results. We have performed 2D numerical simulations to analyze static characteristics for three combinations of dielectric stacks on IGBT: HfO2 SiO2, HfO2 AlN, and HfO2 SiO2 AlN (by maintaining the same equivalent oxide thickness value) In addition to higher transconductance (gm) and lower subthreshold swing (SS) the device with AlN/SiC interface offer comparatively lower RSP,ON and higher mobility with respect to temperature. Nevertheless, with a partial compromise on device characteristics improvement, insertion of SiO2 in the dielectric stack helps in suppressing the subthreshold current owing to higher band offset with respect to SiC. The turn off characteristics of the device is analysed using a clamped inductive circuit. Device with AlN/SiC has shown better dIc/dt and fall time compared to SiO2/SiC interface.",
"author_names": [
"Siva Kotamraju",
"Pavan Vudumula"
],
"corpus_id": 200045163,
"doc_id": "200045163",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Improved Device Characteristics Obtained Using a Novel High K Dielectric Stack for 4H SiC n IGBT: HfO2 SiO2 AlN",
"venue": "Materials Science Forum",
"year": 2019
},
{
"abstract": "Abstract We report promising results regarding the possible use of AlN or Al2O3 as a gate dielectric in 4H SiC MISFETs. The crystalline AlN films are grown by hot wall metal organic chemical vapor deposition (MOCVD) at 1100 degC. The amorphous Al2O3 films are grown by repeated deposition and subsequent low temperature (200 degC) oxidation of thin Al layers using a hot plate. Our investigation shows a very low density of interface traps at the AlN/4H SiC and the Al2O3/4H SiC interface estimated from capacitance voltage (CV) analysis of MIS capacitors. Current voltage (IV) analysis shows that the breakdown electric field across the AlN or Al2O3 is 3 MV/cm or 5 MV/cm respectively. By depositing an additional SiO2 layer by plasma enhanced chemical vapor deposition at 300 degC on top of the AlN or Al2O3 layers, it is possible to increase the breakdown voltage of the MIS capacitors significantly without having pronounced impact on the quality of the AlN/SiC or Al2O3/SiC interfaces.",
"author_names": [
"Rabia Yasmin Khosa",
"J T Chen",
"Michael Winters",
"K Palsson",
"Robin Karhu",
"Jawad Ul Hassan",
"Niklas Rorsman",
"E O Sveinbjornsson"
],
"corpus_id": 132991024,
"doc_id": "132991024",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Electrical characterization of high k dielectrics for 4H SiC MIS devices",
"venue": "Materials Science in Semiconductor Processing",
"year": 2019
},
{
"abstract": "Abstract The GaSb on GaAs growth was optimized for the fabrication of metal oxide semiconductor (MOS) capacitors (Caps) with low interface state trap density D it using in situ deposited amorphous silicon (a Si) interface passivation layer (IPL) and high k oxides. The best top surface with the average roughness R a =0.37 nm and with spiral type \"step flow\" growth mode was observed in the GaSb structure with the initial 0.5 mm grown at 410 degC and the top 0.5 mm grown at 485 degC. N and p type GaSb MOSCaps with reasonable capacitance voltage(C V) characteristics at room temperature (RT) were demonstrated using all in situ 0.5 nm a Si IPL and 10 nm Al 2 O 3 +HfO 2 or Al 2 O 3 A Si IPL was found essential for n MOSCaps but not in the case of p MOSCaps where comparable C V characteristics with a similarly low D it =1 2x10 12 cm 2 eV 1 were demonstrated without IPL.",
"author_names": [
"Vadim Tokranov",
"Shailesh Madisetti",
"Michael Yakimov",
"Padmaja Nagaiah",
"Nikolai N Faleev",
"Serge Oktyabrsky"
],
"corpus_id": 97321728,
"doc_id": "97321728",
"n_citations": 9,
"n_key_citations": 0,
"score": 0,
"title": "All in situ GaSb MOS structures on GaAs (001) Growth, passivation and high k oxides",
"venue": "",
"year": 2013
},
{
"abstract": "Abstract A novel method of stacking dielectric layers on top of Silicon carbide (SiC) is proposed to address the most common Silicon dioxide (SiO2) SiC interface issues in SiC based metal oxide semiconductor (MOS) devices. Aluminum nitride (AlN) as an interfacial layer, instead of SiO2, between hafnium oxide (HfO2) and SiC showed improved device characteristics. However, incorporating SiO2 along with AlN as an interfacial layer is found to be the best way of stacking dielectric layers. This is concluded, based on the changes observed in the electrical characteristics of the device by intentionally varying lattice temperature (T) interface trap density (Dit) and junction field effect transistor (JFET) width. All the investigations are done in 4H SiC half cell planar n channel MOS field effect transistor (MOSFET) using commercially available technology computer aided design (TCAD) software sentaurus device. Theoretical calculations show good agreement with the simulated results, and are compared with the published results.",
"author_names": [
"V S K Reddy",
"Siva Kotamraju"
],
"corpus_id": 102630876,
"doc_id": "102630876",
"n_citations": 8,
"n_key_citations": 0,
"score": 0,
"title": "Improved device characteristics obtained in 4H SiC MOSFET using high k dielectric stack with ultrathin SiO2 AlN as interfacial layers",
"venue": "",
"year": 2018
},
{
"abstract": "Due to its high Baliga and Johnson FoMs [1] [2] (Table I) GaN is a promising material for high voltage power and high frequency, high power RF applications [3] [6] Epitaxial integration of GaN on foreign substrates such as SiC [7] [14] [30] [32] sapphire [15] [16] and vastly lattice mismatched substrates including Si(111) [17] [24] Si(110) [25] [26] and miscut Si(100) [27] [29] have already been demonstrated to achieve similarly outstanding mobility, 2DEG charge density and sheet resistance, \\mathrm{R}_{\\mathrm{sh} (Fig. 1) Therefore, to realize compact integration of energy efficient applications such as integrated voltage regulators and RFFE power amplifiers for e.g. in lower power system on chip (SoC) it comes down to more than just a choice of the type of substrate, but more importantly, choosing the right transistor architecture. Such a GaN transistor will need to operate in enhancement mode (e mode) with low \\mathrm{I}_{\\mathrm{OFF} at 0V gate voltage, low gate leakage and provide high performance, i.e. low ON resistance \\mathrm{R}_{\\mathrm{ON} high drive current, good RF output power, and power efficiency, all at lower SoC compatible voltages [31] [32] As such, high k gate dielectric is needed to enable low gate leakage in e mode and scale the oxide \\mathrm{T}_{\\mathrm{oxe} to improve DIBL and \\mathrm{I}_{\\mathrm{OFF} and regrown n+ source/drain is needed for high performance [30] [32]",
"author_names": [
"Han Wui Then",
"Sansaptak Dasgupta",
"Marko Radosavljevic",
"S Gardner",
"Seung Hoon Sung",
"Paul Fischer"
],
"corpus_id": 214691341,
"doc_id": "214691341",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "High K Gate Dielectric GaN MOS HEMTs with Regrown n+ InGaN Source/Drain (Invited Paper)",
"venue": "2019 Device Research Conference (DRC)",
"year": 2019
},
{
"abstract": "Considerable challenges are encountered with polysilicon gate as the channel length and gate oxide thickness is aggressively reduced in case of CMOS devices when scaled into submicron regime. Metal gates and alternative gate dielectrics with high permittivity shows promising results to overcome the limitations like high gate resistance, high gate tunneling leakage current and boron penetration into the channel region. Therefore, there is immense interest in electrical characterization of a metaloxide semiconductor (MOS) capacitor structure with high K dielectrics. Here capacitance Voltage (C V) characteristics of metal oxide semiconductor (MOS) capacitor is plotted for high k dielectric materials such as, Aluminum Oxide (Al2O3) Hafnium Oxide (HfO2) Titanium Oxide (TiO2) Yttrium Oxide (Y2O3) as oxide material, Si as substrate and compared it with conventional SiO2 based MOS device. The MOSCAP structure was simulated to obtain the C V characteristics using TCAD. From the results various parameters such as threshold voltage, flat band voltage, interface trap density etc. were calculated. The simulation results were then verified with the reported experimental values.",
"author_names": [
"Barnana Dutta",
"Shilpa Jaiswal",
"Sweta Kumari Jha",
"Divya Sharma",
"Manoj Mishra"
],
"corpus_id": 139377671,
"doc_id": "139377671",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Interface Trap Analysis of HIGH K MOSCAP Using T CAD",
"venue": "",
"year": 2018
},
{
"abstract": "MOS Capacitors are demonstrated on 4H SiC using an octahedral ABO3 ferroic thin film as a dielectric prepared on several buffer layers. Five samples were prepared: ABO3 on SiC, ABO3 on SiC with a SiO2 buffer (10 nm and 40 nm) and ABO3 on SiC with an Al2O3 buffer (10nm and 40 nm) Depending on the buffer material the oxide forms in either the pyrochlore or perovskite phase. A better lattice match with the Al2O3 buffer yields a perovskite phase with internal switchable dipoles. Hysteresis polarization voltage loops show an oxide capacitance of 0.2 mF/cm2 in the accumulation region indicating a dielectric constant of ~120.",
"author_names": [
"Stephen A O Russell",
"M R Jennings",
"T Dai",
"Fan Li",
"Dean Hamilton",
"Craig A J Fisher",
"Yogesh Kumar Sharma",
"Philip A Mawby",
"Amador Perez-Tomas"
],
"corpus_id": 12655297,
"doc_id": "12655297",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Functional Oxide as an Extreme High k Dielectric towards 4H SiC MOSFET Incorporation",
"venue": "2016 European Conference on Silicon Carbide Related Materials (ECSCRM)",
"year": 2016
},
{
"abstract": "In this report, we demonstrate a novel high temperature Hall effect sensor that is based on quasi free standing monolayer graphene epitaxially grown on high purity semiinsulating (SI) on axis 4H SiC(0001) substrate in a chemical vapor deposition process. To ensure statistical perspective, characteristics of 23 elements are determined as a function of temperature ranging from 300 to 770 K. Passivated with a 100 nm thick atomic layer deposited aluminum oxide, the sensor offers current mode sensitivity of 80 V/AT with thermal stability of 0.02%/K within the range between 300 and 573 K, and 0.06%/K between 573 and 770 K. The sensor's room temperature output voltage is monitored in the magnetic field from 300 to +300 mT and its offset voltage at 0 T is assessed. Its high temperature electrical properties are explained through a double carrier transport involving spontaneous polarization induced holes in the graphene layer and thermally activated electrons emitted from a deep acceptor level related to silicon vacancy \\text{V}_{\\textsf {Si}\\textsf {1 /2 occupying the {k} site of the 4H SiC lattice. The sensor is compared with a previously reported one on vanadium compensated SI on axis 6H SiC(0001) The new sensor's applicability to magnetic field detection at high temperatures is verified.",
"author_names": [
"Tymoteusz Ciuk",
"Beata Stanczyk",
"Krystyna Przyborowska",
"Dariusz Czolak",
"Artur Dobrowolski",
"Jakub Jagiello",
"Wawrzyniec Kaszub",
"Michal Kozubal",
"R W H Kozlowski",
"Pawel Kaminski"
],
"corpus_id": 182456548,
"doc_id": "182456548",
"n_citations": 8,
"n_key_citations": 0,
"score": 0,
"title": "High Temperature Hall Effect Sensor Based on Epitaxial Graphene on High Purity Semiinsulating 4H SiC",
"venue": "IEEE Transactions on Electron Devices",
"year": 2019
},
{
"abstract": "Top gated field effect transistors have been created from bilayer epitaxial graphene samples that were grown on SiC substrates by a vacuum sublimation approach. A high quality dielectric layer of Al2O3 was grown by atomic layer deposition to function as the gate oxide, with an e beam evaporated seed layer utilized to promote uniform growth of Al2O3 over the graphene. Electrical characterization has been performed on these devices, and temperature dependent measurements yielded a rise in the maximum transconductance and a significant shifting of the Dirac point as the operating temperature of the transistors was increased.",
"author_names": [
"T Hopf",
"Konstantin Vassilevski",
"Enrique Escobedo-Cousin",
"Peter J B King",
"Nicolas G Wright",
"Anthony O'Neill",
"Alton B Horsfall",
"Jonathan P Goss",
"George Wells",
"Michael R C Hunt"
],
"corpus_id": 138212458,
"doc_id": "138212458",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Electrical Characterization of Epitaxial Graphene Field Effect Transistors with High k Al2O3 Gate Dielectric Fabricated on SiC Substrates",
"venue": "",
"year": 2015
}
] |
Transition metal ion doping perovskite nanocrystals for high luminescence quantum yield | [
{
"abstract": "Abstract All inorganic perovskite nanocrystals (NCs) have become a new generation of low cost semiconductor luminescent materials for optoelectronic applications due to their excellent optical properties. Prepared perovskite NCs undergo different ion doping and surface modification, which makes the NCs photo luminescence quantum yield (PLQY) basically uniform. However, stability of perovskite NCs solution in the environment has always been an important factor restricting its practical application. Here, we used melt quenching method to prepare a series of different Ni2+ doped CsPbBr3 NCs glass. Through experimental characterization and DFT calculation it is found that the entry of Ni2+ into the NCs lattice can eliminate the structural defects of NCs and improve the order of the perovskite lattice. Ni2+ doped perovskite NCs have strong band edge emission and high quantum yield (up to 84.3%",
"author_names": [
"Chenyang Shen",
"Yi Zhao",
"Lin Yuan",
"Ling Ding",
"Ya Chen",
"Haishen Yang",
"Shimin Liu",
"Jingheng Nie",
"Weidong Xiang",
"Xiaojuan Liang"
],
"corpus_id": 203942662,
"doc_id": "203942662",
"n_citations": 10,
"n_key_citations": 0,
"score": 1,
"title": "Transition metal ion doping perovskite nanocrystals for high luminescence quantum yield",
"venue": "",
"year": 2020
},
{
"abstract": "The luminescence and charge transport properties of inorganic CsPbX3 perovskite nanocrystals (NCs) make them attractive candidates for various optoelectronic applications, such as lasing, X ray imaging, light communication, and light emitting diodes (LEDs) However, to realize cutting edge device performance, high quality NCs with high photoluminescence quantum yields (PLQYs) are essential. Therefore, substantial efforts and progress have been made to attain superior design/engineering and optimization of the inorganic NCs with a focus on surface quality, reduced nonradiative charge carrier recombination centers, and improved colloidal stabilities. Metal ion doping has been proven to have a robust influence on the electronic band structure, PL behavior, and charge carrier recombination dynamics. Thus, in this perspective, we summarize the recent progress of the significant impact of metal cation doping on the optical properties, including the PL enhancement of CsPbCl3, CsPbBr3, and CsPbI3 perovskite NCs. Moreover, we shed light on the mechanism behind such improved properties. We conclude by recommending possible aspects and strategies to be further explored and considered for better utilization of these doped NCs in thin film optoelectronic and energy conversion devices.",
"author_names": [
"Ghada H Ahmed",
"Jun Yin",
"Osman M Bakr",
"Omar F Mohammed"
],
"corpus_id": 210331530,
"doc_id": "210331530",
"n_citations": 13,
"n_key_citations": 0,
"score": 0,
"title": "Near unity photoluminescence quantum yield in inorganic perovskite nanocrystals by metal ion doping.",
"venue": "The Journal of chemical physics",
"year": 2020
},
{
"abstract": "Metal halide perovskite nanocrystals (NCs) have attracted great attention in the fields of light emitting diodes, lasers, X ray imaging, solar cells and photoelectric detectors due to their excellent optoelectronic properties. Compared with organic inorganic hybrid perovskite NCs, all inorganic perovskite CsPbX3 (X Cl, Br, I) NCs have good photoelectric properties and high stability. To further improve the photoluminescence (PL) quantum yields (QYs) and stability of CsPbX3 NCs, researchers reduced the defects as nonradiative recombination centers in NCs by the following strategies: 1) surface treatment with different ligands; 2) control of synthesis conditions with halide rich compounds; 3) doping of metal ions. Among them, metal doping is considered as a universal and effective way to adjust the optoelectronic properties of semiconductors. It is found that the type and the concentration of metal ions have great influence on the electronic band structure and PL performance of NCs after the metal ions have been doped into CsPbX3 NCs. At the same time, compared with II VI and III V semiconductors, the unique structure of all inorganic perovskite NCs makes the doping of metal ions easier. Appropriate doping can not only enhance the intrinsic optical properties of the NCs without affecting their crystal structure, but also introduce new electronic energy levels into the NCs and new luminescent properties of doped metal ions. Based on metal ions doping strategy, the PLQYs of doped CsPbX3 NCs have been enhanced to nearly 100% In this work, we summarize recent advances in metal doping of the four typical kinds of perovskite NCs, including CsPbCl3, CsPbBr3, CsPbI3, and Mn2+ doped CsPbX3, and discuss the physical mechanisms of the improved properties through doping metal ions. It should be pointed out that the doping of some metal ions such as Ni2+ and Cd2+ into the above four kinds of NC systems can effectively passivate NC defects, thus improving the PL QY and stability of NCs. In addition, we put forward some personal perspectives on the future research subjects of interest and directions of metal doping for enhanced PL of CsPbX3 NCs, which needs to be further explored in order to promote extensive application of perovskite NCs to various optoelectronic devices.",
"author_names": [
"Pengchao Yu",
"Sheng Cao",
"Ruosheng Zeng",
"Bingsuo Zou",
"Jialong Zhao"
],
"corpus_id": 235005600,
"doc_id": "235005600",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Advances in improved photoluminescence properties of all inorganic perovskite nanocrystals via metal ion doping",
"venue": "Acta Physica Sinica",
"year": 2020
},
{
"abstract": "Lanthanides have been widely explored as optically active dopants in inorganic crystal lattices, which are often insulating in nature. Doping trivalent lanthanide (Ln 3+ into traditional semiconductor nanocrystals, such as CdSe, is challenging because of their tetrahedral coordination. Interestingly, CsPbX 3 (X Cl, Br, I) perovskite nanocrystals provide the octahedral coordination suitable for Ln 3+ doping. Over the last two years, tremendous success has been achieved in doping Ln 3+ into CsPbX 3 nanocrystals, combining the excellent optoelectronic properties of the host with the f f electronic transitions of the dopants. For example, the efficient quantum cutting phenomenon in Yb 3+ doped CsPb(Cl,Br) 3 nanocrystals yields a photoluminescence quantum yield close to 200% Other approaches of Ln 3+ doping and codoping have enabled promising proof of principle demonstration of solid state lighting and solar photovoltaics. In this perspective article, we highlight the salient features of the material design (including doping in Pb free perovskites) optical properties and potential optoelectronic applications of lanthanide doped metal halide perovskite nanocrystals. While review articles on doping different metal ions into perovskite nanocrystals are present, the present review type article is solely dedicated to lanthanide doped metal halide perovskite nanocrystals. Methods for integrating lanthanide materials into light emitting devices to improve their performance have been reviewed by scientists from India and China. Semiconductors create light when the energy of a high energy electron is converted to a single photon. Conventionally, the minimum energy of this photon is determined by an intrinsic material property known as the bandgap. Wasim Mir from the Indian Institute of Science Education and Research Pune, and colleagues, summarize developments in using lanthanide ions to enable the generation of lower energy light. Lanthanide ions provide an energy \"step\" within the bandgap that means the electron is converted to two low energy photons rather than a single high energy one. The authors review how embedding lanthanum ions within nanoparticles made from so called metal halide perovskites makes them easier to integrate into common optoelectronic semiconductors such as silicon. Metal halide perovskites are extraordinary defect tolerant semiconductors. A unique structural aspect of perovskites is the octahedral coordination for (B site) metal ions, unlike other semiconductors that exhibit tetrahedral coordination. This octahedral coordination helped to achieve lanthanide doping in halide perovskite nanocrystals in 2017. Fundamental understanding of material design, luminescence and quantum cutting phenomena in lanthanides (with focus on Yb 3+ doped in CsPbX 3 (X Cl, Br, I) and Cs 2 AgInCl 6 nanocrystals are reported. Subsequently, these doped systems are applied for solar energy harvesting and lighting in both visible and near infrared region. This perspective article summarizes everything important that has happened so far in field and discusses about the future research directions.",
"author_names": [
"Wasim J Mir",
"Tariq Sheikh",
"Habibul Arfin",
"Zhiguo Xia",
"Angshuman Nag"
],
"corpus_id": 210865264,
"doc_id": "210865264",
"n_citations": 46,
"n_key_citations": 0,
"score": 0,
"title": "Lanthanide doping in metal halide perovskite nanocrystals: spectral shifting, quantum cutting and optoelectronic applications",
"venue": "NPG Asia Materials",
"year": 2020
},
{
"abstract": "Cesium lead halide (CsPbX3; X Cl, Br, and I) perovskite nanocrystals (PNCs) have drawn much attention toward their synthesis and optoelectronic properties, but violet emitting CsPbCl3 PNCs fall behind CsPbBr3 (green) and CsPbI3 (red) ones in terms of the photoluminescence quantum yield (PLQY) and material durability limiting their commercial use in devices. Herein, we synthesized highly stable Zn incorporated CsPbCl3 PNCs via a hot injection method and demonstrated their optical performances (PLQY) and thermal moisture photostabilities. A wide range of structural characterizations were used to demonstrate the substitution of Pb2+ sites by Zn2+ ions without altering the CsPbCl3 PNC crystal lattice. The optical measurements revealed that Zn doping had substantially improved the overall PLQY >85% at around 408 410 nm and had also enhanced the short range lattice order by eliminating intrinsic defects like halide vacancies and octahedral distortions. The Zn doped CsPbCl3 PNCs retained 49% of their initial luminescence even after annealing at 413 K and exhibited good moisture and photostability. Blue emitting Zn doped CsPb(Cl/Br)3 PNCs with a PLQY above 90% at around 445 450 nm were synthesized and blended with PMMA to use them as a color conversion layer in combination with CsPbBr3(green) and CsPb(Br/I)3 (red) @PMMA/glasses to generate white light using a commercial ultraviolet LED chip (365 nm) The designed 20 mA driven prototype white LED device displayed a bright white light with a luminous efficiency of 67.5 lm/W, a CCT of 6285 K, and a CRI of 86.3. The designed white LED device also achieved a wide color gamut of ~118% NTSC and 87% Rec. 2020.",
"author_names": [
"V Naresh",
"Nohyun Lee"
],
"corpus_id": 225465075,
"doc_id": "225465075",
"n_citations": 8,
"n_key_citations": 0,
"score": 0,
"title": "Zn(II) Doped Cesium Lead Halide Perovskite Nanocrystals with High Quantum Yield and Wide Color Tunability for Color Conversion Light Emitting Displays",
"venue": "",
"year": 2020
},
{
"abstract": "Abstract Mn doped CsPbCl3 nanocrystals are considered to be a promising material for luminescence and display applications owing to Mn doping provides a way to introduce new optical properties and reduce the use of toxic Pb. Complicate ion exchange and hot injection are commonly used for the fabrication of the nanocrystals. Developing a fast and efficient synthesis method remains a challenge so far. Herein, we developed a simplified solid state mechanochemical approach to synthesize Mn doped CsPbCl3 nanocrystals and investigated the structure and luminescence properties of the as synthesized nanocrystals. Cubic morphology of Mn doped CsPbCl3 nanocrystals with average size of 13 nm have been obtained by this approach. Mn was successfully incorporated in CsPbCl3 perovskite nanocrystals, resulting in a high photoluminescence quantum yield of 40.7% with an improvement of stability. Emission peak of 594 nm that can be assigned to the luminescence of Mn2+ d d transition was observed under 365 nm UV excitation. The color of the luminescence changed from blue to warm white to yellow depended on the amount of Mn doping, exhibiting a tunable color property. It is expected that this approach provides an effective route that would help for synthesis of perovskite nanocrystals doped with different dopants.",
"author_names": [
"Jianing Cheng",
"Ya'nan Li",
"Wei Feng Qu",
"Min Sun",
"Yiwen Liu",
"Wang-zhou Shi",
"Weijie Du",
"Yiwen Zhang"
],
"corpus_id": 213924031,
"doc_id": "213924031",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Mechanochemical synthesis and characterization of Mn doped CsPbCl3 perovskite nanocrystals",
"venue": "",
"year": 2020
},
{
"abstract": "All inorganic perovskite nanocrystals (NCs) have drawn enormous attention recently for their excellent optoelectronic properties in many fields. However, their poor stability in polar solvents and at high temperatures hinders practical applications. Moreover, PbX2 (X Cl, Br or I) is employed both as lead and halide precursor in common protocols, which imposes limitations on the precise adjustment of the amount of reaction species and the composition of the final NCs. Here, we demonstrate that transition metal halides (FeX3, CoX2, NiX2, CuX2 and ZnX2; X Cl, Br or I) can be efficiently used as halide sources, which not only allows efficient control of the amount of halide precursors and emission wavelength, but also significantly improves the stability of NCs. Since the metal ions are mainly doped on the surface of NCs as ligand stabilizers, all excellent optical properties are preserved, such as high photoluminescent quantum yield and narrow full width at half maxima. Finally, by using green emitting FeBr3 assisted CsPbBr3 NCs and red emitting BaGe4O9:Eu3+ phosphors as color converters, a warm white light emitting diode (WLED) device can be fabricated, with the CIE coordinates of (0.3804, 0.3813) the color temperature of 4033 K, and luminous efficiency of 38.89 lm W 1 under a driving current of 20 mA. This device also shows potential applications in backlit displays, with a wide color gamut a National Television System Committee (NTSC) value of 125% and ITU R Recommendation B.T. 2020 (Rec. 2020) of 95%",
"author_names": [
"Hongwei Ding",
"Weiwei Liu",
"Youkun Zheng",
"Chunmei Li",
"Hui Jiang",
"Xuemei Wang"
],
"corpus_id": 139394966,
"doc_id": "139394966",
"n_citations": 9,
"n_key_citations": 0,
"score": 0,
"title": "Transition metal halide doped, highly stable all inorganic perovskite nanocrystals for fabrication of white light emitting diodes",
"venue": "",
"year": 2019
},
{
"abstract": "Abstract Room temperature phase instability of cubic CsPbI3 perovskite nanocrystals is one of the notorious limitations for practical applications in optoelectronic fields. Herein, the incorporation of Ni2+ ions into CsPbI3 lattice was successfully achieved by a modified hot injection method using nickel acetate as doping precursor. The as prepared Ni2+ (3.3 mol% CsPbI3 nanocrystals exhibited an improved, near unity (95%~100% photoluminescence quantum yield owing to the enhanced radiative decay rate and the decreased non radiative decay rate. Additionally, Ni doping was demonstrated to stabilize CsPbI3 lattice and the Ni2+ CsPbI3 film and colloidal solution can retain their red luminescence up to 15 days and 7 months in atmosphere, respectively. First principle calculations verified that the significantly improved optical performance and stability of Ni2+ CsPbI3 nanocrystals arose primarily from the increased formation energy due to the successful doping of Ni2+ in CsPbI3. Benefiting from such an effective doping strategy, the as prepared Ni2+ doped CsPbI3 perovskite nanocrystals can function well as efficient red light emitter toward the fabrication of high performance perovskite LED with a peak external quantum efficiency of ~7%",
"author_names": [
"Menglong Liu",
"Naizhong Jiang",
"Hai Huang",
"Jidong Lin",
"Feng Huang",
"Yongping Zheng",
"Daqin Chen"
],
"corpus_id": 228964158,
"doc_id": "228964158",
"n_citations": 7,
"n_key_citations": 0,
"score": 0,
"title": "Ni2+ doped CsPbI3 perovskite nanocrystals with near unity photoluminescence quantum yield and superior structure stability for red light emitting devices",
"venue": "",
"year": 2020
},
{
"abstract": "Cesium lead halide perovskite nanocrystals (NCs) have emerged as promising luminescent materials for a range of applications. However, the creation of highly luminescent violet emitting CsPbCl3 NCs mostly relies on doping of a limited number of small sized metal ions or post synthetic surface treatment of NCs. Alkaline earth (AE) metals (e.g. Ca2+ Sr2+ and Ba2+ have been proposed to be able to substitute Pb2+ in halide perovskites, yet it remains incompletely understood whether AE metal ions can be incorporated into the perovskite lattice or can be merely situated at the surface. Here, we explore the possibility of using AE metal ions for the suppression of the formation of trap centers, which leads us to develop a one pot synthetic passivation strategy to boost the violet emitting efficiency of CsPbCl3 NCs through the creation of a Ca2+/Sr2+ involved passivation layer. The photoluminescence quantum yield of violet emission reaches 77.1% by incorporating an optimal amount of Ca2+ A wide range of opti.",
"author_names": [
"Jia-Kai Chen",
"Ju-Ping Ma",
"Shaoqiang Guo",
"Ya-Meng Chen",
"Qing Zhao",
"Bin-Bin Zhang",
"Zhi-Yong Li",
"Yang Zhou",
"Jingshan Hou",
"Yoshihiro Kuroiwa",
"Chikako Moriyoshi",
"Osman M Bakr",
"Junying Zhang",
"Hongtao Sun"
],
"corpus_id": 165123042,
"doc_id": "165123042",
"n_citations": 44,
"n_key_citations": 0,
"score": 0,
"title": "High Efficiency Violet Emitting All Inorganic Perovskite Nanocrystals Enabled by Alkaline Earth Metal Passivation",
"venue": "Chemistry of Materials",
"year": 2019
},
{
"abstract": "Abstract Doping metal ions into lead halide perovskite nanocrystals (NCs) has attracted great attention over the past few years due to the emergence of novel properties relevant to optoelectronic applications. Here, the synthesis of Mn2+/Yb3+ codoped CsPbCl3 NCs through a hot injection technique is reported. The resulting NCs show a unique triple wavelength emission covering ultraviolet/blue, visible, and near infrared regions. By optimizing the dopant concentrations, the total photoluminescence quantum yield (PL QY) of the codoped NCs can reach 125.3% due to quantum cutting effects. Mechanism studies reveal the efficient energy transfer processes from host NCs to Mn2+ and Yb3+ dopant ions, as well as a possible inter dopant energy transfer from Mn2+ to Yb3+ ion centers. Owing to the high PL QYs and minimal reabsorption loss, the codoped perovskite NCs are demonstrated to be used as efficient emitters in luminescent solar concentrators, with greatly enhanced external optical efficiency compared to that of using solely Mn2+ doped CsPbCl3 NCs. This study presents a new model system for enriching doping chemistry studies and future applications of perovskite NCs.",
"author_names": [
"Tong Cai",
"Junyu Wang",
"Wenhao Li",
"Katie Hills-Kimball",
"Hanjun Yang",
"Yasutaka Nagaoka",
"Yucheng Yuan",
"Rashid Zia",
"Ou Chen"
],
"corpus_id": 221997154,
"doc_id": "221997154",
"n_citations": 23,
"n_key_citations": 0,
"score": 0,
"title": "Mn2+/Yb3+ Codoped CsPbCl3 Perovskite Nanocrystals with Triple Wavelength Emission for Luminescent Solar Concentrators",
"venue": "Advanced science",
"year": 2020
}
] |
boron nitride radio-isotope | [
{
"abstract": "Hexagonal boron nitride is a model lamellar compound where weak, non local van der Waals interactions ensure the vertical stacking of two dimensional honeycomb lattices made of strongly bound boron and nitrogen atoms. We study the isotope engineering of lamellar compounds by synthesizing hexagonal boron nitride crystals with nearly pure boron isotopes (10B and 11B) compared to those with the natural distribution of boron (20 at% 10B and 80 at% 11B) On the one hand, as with standard semiconductors, both the phonon energy and electronic bandgap varied with the boron isotope mass, the latter due to the quantum effect of zero point renormalization. On the other hand, temperature dependent experiments focusing on the shear and breathing motions of adjacent layers revealed the specificity of isotope engineering in a layered material, with a modification of the van der Waals interactions upon isotope purification. The electron density distribution is more diffuse between adjacent layers in 10BN than in 11BN crystals. Our results open perspectives in understanding and controlling van der Waals bonding in layered materials.",
"author_names": [
"T Q P Vuong",
"S Liu",
"Arie van der Lee",
"Ramon Cusco",
"L Artus",
"Thierry Michel",
"Pierre Valvin",
"James H Edgar",
"Guillaume Cassabois",
"Bernard Gil"
],
"corpus_id": 205416699,
"doc_id": "205416699",
"n_citations": 23,
"n_key_citations": 0,
"score": 0,
"title": "Isotope engineering of van der Waals interactions in hexagonal boron nitride.",
"venue": "Nature materials",
"year": 2018
},
{
"abstract": "A cool way to use isotopes Thermal management of electronics requires materials that can efficiently remove heat. Several promising materials have been found recently, but diamond remains the bulk material with the highest thermal conductivity. Chen et al. found that isotopically pure cubic boron nitride has an ultrahigh thermal conductivity, 75% that of diamond. Using only boron 11 or boron 10 allows the crystal vibrations that carry heat to move more efficiently through the material. This property could be exploited for better regulating the temperature of high power devices. Science, this issue p. 555 Isotopically pure cubic boron nitride has a thermal conductivity almost as high as that of diamond. Materials with high thermal conductivity (k) are of technological importance and fundamental interest. We grew cubic boron nitride (cBN) crystals with controlled abundance of boron isotopes and measured k greater than 1600 watts per meter kelvin at room temperature in samples with enriched 10B or 11B. In comparison, we found that the isotope enhancement of k is considerably lower for boron phosphide and boron arsenide as the identical isotopic mass disorder becomes increasingly invisible to phonons. The ultrahigh k in conjunction with its wide bandgap (6.2 electron volts) makes cBN a promising material for microelectronics thermal management, high power electronics, and optoelectronics applications.",
"author_names": [
"Ke Chen",
"Bai Song",
"Navaneetha Krishnan Ravichandran",
"Qiye Zheng",
"Xiyao Chen",
"Hwijong Lee",
"Haoran Sun",
"Shexin Li",
"Geethal Amila Gamage Udalamatta Gamage",
"Fei Tian",
"Zhiwei Ding",
"Qichen Song",
"Akash Rai",
"Hanlin Wu",
"Pawan Koirala",
"Aaron J Schmidt",
"Kenji Watanabe",
"Bing Lv",
"Zhifeng Ren",
"Li Shi",
"David G Cahill",
"Takashi Taniguchi",
"David Broido",
"Gang Chen"
],
"corpus_id": 210131908,
"doc_id": "210131908",
"n_citations": 43,
"n_key_citations": 1,
"score": 1,
"title": "Ultrahigh thermal conductivity in isotope enriched cubic boron nitride",
"venue": "Science",
"year": 2020
},
{
"abstract": "The thermal conductivity, k, of single layers of hexagonal boron nitride (h BN) as well as that of bulk h BN have been calculated utilizing an exact numerical solution of the phonon Boltzmann transport equation. The stronger phonon phonon scattering in h BN is revealed as the cause for its lower k compared to graphite. A reduction in such scattering in the single layer arising mainly from a symmetry based selection rule leads to a substantial increase in k, with calculated room temperature values of over 600WmK. Isotopic enrichment further increases k, with the calculated enhancement exhibiting a peak with temperature whose magnitude shows a dramatic sensitivity to crystallite size. PACS: 63.20.kg, 63.22.Rc, 66.70. f, 65.80.Ck",
"author_names": [
"David Broido",
"Lucas Lindsay"
],
"corpus_id": 102470501,
"doc_id": "102470501",
"n_citations": 252,
"n_key_citations": 8,
"score": 0,
"title": "Enhanced thermal conductivity and isotope effect in single layer hexagonal boron nitride",
"venue": "",
"year": 2011
},
{
"abstract": "Abstract Graphitic carbon nitride (g C3N4) has enormous potential for photocatalysis, but only possesses moderate activity because of excitonic effects and sluggish charge transfer. Herein, metal free heterostructure photocatalyst constructed by boron nitride quantum dots (BNQDs) and ultrathin porous g C3N4 (UPCN) was successfully developed for overcoming these defects. Results showed that the BNQDs loaded UPCN can simultaneously promote the dissociation of excitons and accelerate the transfer of charges owing to the negatively charged functional groups on the surface of BNQDs as well as the ultrathin and porous nanostructure of g C3N4. Benefiting from the intensified exciton dissociation and charge transfer, the BNQDs/UPCN (BU) photocatalyst presented superior visible light driven molecular oxygen activation ability, such as superoxide radical O2 generation and hydrogen peroxide (H2O2) production. The average O2 generation rate of the optimal sample (BU 3) was estimated to be 0.25 mmol L 1 min 1, which was about 2.3 and 1.6 times than that of bulk g C3N4 and UPCN. Moreover, the H2O2 production by BU 3 was also higher than that of bulk g C3N4 (22.77 mmol L 1) and UPCN (36.13 mmol L 1) and reached 72.30 mmol L 1 over 60 min. This work reveals how rational combination of g C3N4 with BNQDs can endow it with improved photocatalytic activity for molecular oxygen activation, and provides a novel metal free and highly efficient photocatalyst for environmental remediation and energy conversion.",
"author_names": [
"Yang Yang",
"Chen Zhang",
"Danlian Huang",
"Guangming Zeng",
"Jinhui Jeanne Huang",
"Cui Lai",
"Chengyun Zhou",
"Wenjun Wang",
"Hai Guo",
"Wen-jing Xue",
"Rui Deng",
"Min Cheng",
"Weiping Xiong"
],
"corpus_id": 104301620,
"doc_id": "104301620",
"n_citations": 293,
"n_key_citations": 0,
"score": 0,
"title": "Boron nitride quantum dots decorated ultrathin porous g C3N4: Intensified exciton dissociation and charge transfer for promoting visible light driven molecular oxygen activation",
"venue": "Applied Catalysis B: Environmental",
"year": 2019
},
{
"abstract": "For more than seven decades, hexagonal boron nitride (hBN) has been employed as an inert, thermally stable engineering ceramic; since 2010, it has also been used as the optimal substrate for graphene in nanoelectronic and optoelectronic devices. Recent research has revealed that hBN exhibits a unique combination of optical properties that enable novel (nano)photonic functionalities. Specifically, hBN is a natural hyperbolic material in the mid IR range, in which photonic material options are sparse. Furthermore, hBN hosts defects that can be engineered to obtain room temperature, single photon emission; exhibits strong second order nonlinearities with broad implications for practical devices; and is a wide bandgap semiconductor well suited for deep UV emitters and detectors. Inspired by these promising attributes, research on the properties of hBN and the development of large area bulk and thin film growth techniques has dramatically expanded. This Review offers a snapshot of current research exploring the properties underlying the use of hBN for future photonics functionalities and potential applications, and covers some of the remaining obstacles.Hexagonal boron nitride (hBN) is highly sought after for mid IR nanophotonics, nonlinear and quantum optics, and as an efficient UV emitter. This Review surveys its fundamental physical properties, applications and synthesis.",
"author_names": [
"Joshua D Caldwell",
"Igor Aharonovich",
"Guillaume Cassabois",
"James H Edgar",
"Bernard Gil",
"Dmitri N Basov"
],
"corpus_id": 197402258,
"doc_id": "197402258",
"n_citations": 190,
"n_key_citations": 2,
"score": 0,
"title": "Photonics with hexagonal boron nitride",
"venue": "Nature Reviews Materials",
"year": 2019
},
{
"abstract": "As the first in a large family of 2D van der Waals (vdW) materials, graphene has attracted enormous attention owing to its remarkable properties. The recent development of simple experimental techniques for combining graphene with other atomically thin vdW crystals to form heterostructures has enabled the exploration of the properties of these so called vdW heterostructures. Hexagonal boron nitride is the second most popular vdW material after graphene, owing to the new physics and device properties of vdW heterostructures combining the two. Hexagonal boron nitride can act as a featureless dielectric substrate for graphene, enabling devices with ultralow disorder that allow access to the intrinsic physics of graphene, such as the integer and fractional quantum Hall effects. Additionally, under certain circumstances, hexagonal boron nitride can modify the optical and electronic properties of graphene in new ways, inducing the appearance of secondary Dirac points or driving new plasmonic states. Integrating other vdW materials into these heterostructures and tuning their new degrees of freedom, such as the relative rotation between crystals and their interlayer spacing, provide a path for engineering and manipulating nearly limitless new physics and device properties.This is an overview of the new physics that emerges in van der Waals heterostructures consisting of graphene and hexagonal boron nitride, including the integer and fractional quantum Hall effects, novel plasmonic states and the effects of emergent moire superlattices.Key pointsAtomically thin flakes of van der Waals materials such as graphene and hexagonal boron nitride (hBN) can be mixed and matched into heterostructures with fundamentally new optoelectronic properties.Graphene encapsulated in hBN has very high mobility, with very low charge carrier inhomogeneity and ballistic transport characteristics over micrometre length scales at low temperature.High mobility graphene devices exhibit well developed multicomponent integer and fractional quantum Hall effects, as well as additional exotic correlated electronic phases in a magnetic field.When the graphene and hBN crystals are rotationally aligned, a long wavelength moire superlattice emerges, which creates new, finite energy Dirac points in the graphene bandstructure and leads to the Hofstadter butterfly spectrum.Graphene hBN heterostructures host new hybrid polaritons, as well as plasmonic excitations with exceptionally long lifetimes that can be tuned with a moire superlattice.",
"author_names": [
"Matthew Yankowitz",
"Qiong Ma",
"Pablo Jarillo-Herrero",
"Brian J LeRoy"
],
"corpus_id": 140034689,
"doc_id": "140034689",
"n_citations": 140,
"n_key_citations": 0,
"score": 0,
"title": "van der Waals heterostructures combining graphene and hexagonal boron nitride",
"venue": "",
"year": 2019
},
{
"abstract": "A recent experiment reported a large anomalous Hall effect in Magic Angle Twisted Bilayer Graphene (TBG) aligned with a hexagonal boron nitride(h BN) substrate at \\frac{3}{4} filling of the conduction band. In this paper we study this system theoretically, and propose explanations of this observation. We emphasize that the physics for this new system is qualitatively different from the pure TBG system. The aligned h BN breaks in plane two fold rotation symmetry and gaps out the Dirac crossings of ordinary TBG. The resulting valence and conduction bands of each valley carry equal and opposite Chern numbers $C=\\pm 1$ A useful framework is provided by a lattice extended Hubbard model for this system which we derive. An obvious possible explanation of the anomalous Hall effect is that at $3/4$ filling the system is a spin valley polarized ferromagnetic insulator where the electrons completely fill a Chern band. We also examine an alternate more radical proposal of a compressible valley polarized but spin unpolarized composite ferm liquid metallic state. We argue that either state is compatible with current experiments, and propose ways to distinguish between them in the future. We also briefly discuss the physics at $1/2$ filling.",
"author_names": [
"Ya-hui Zhang",
"Dan Mao",
"T Senthil"
],
"corpus_id": 119089537,
"doc_id": "119089537",
"n_citations": 90,
"n_key_citations": 1,
"score": 0,
"title": "Twisted bilayer graphene aligned with hexagonal boron nitride: Anomalous Hall effect and a lattice model",
"venue": "",
"year": 2019
},
{
"abstract": "We investigate the electronic structure of the flat bands induced by moire superlattices and electric fields in nearly aligned ABC trilayer graphene (TLG) boron nitride (BN) interfaces where Coulomb effects can lead to correlated gapped phases. Our calculations indicate that valley spin resolved isolated superlattice flat bands that carry a finite Chern number C=3 proportional to the layer number can appear near charge neutrality for appropriate perpendicular electric fields and twist angles. When the degeneracy of the bands is lifted by Coulomb interactions, these topological bands can lead to anomalous quantum Hall phases that embody orbital and spin magnetism. Narrow bandwidths of ~10 meV achievable for a continuous range of twist angles th0.6deg with moderate interlayer potential differences of ~50 meV make the TLG BN systems a promising platform for the study of electric field tunable Coulomb interaction driven spontaneous Hall phases.",
"author_names": [
"Bheema Lingam Chittari",
"Guorui Chen",
"Yuanbo Zhang",
"Feng Wang",
"Jeil Jung"
],
"corpus_id": 73539730,
"doc_id": "73539730",
"n_citations": 73,
"n_key_citations": 0,
"score": 0,
"title": "Gate Tunable Topological Flat Bands in Trilayer Graphene Boron Nitride Moire Superlattices.",
"venue": "Physical review letters",
"year": 2019
},
{
"abstract": "Industrial production of NH3 from N2 and H2 significantly relies on Haber Bosch process, which suffers from high energy consume and CO2 emission. As a sustainable and environmentally benign alternative process, electrochemical artificial N2 fixation at ambient conditions, however, is highly required efficient electrocatalysts. In this study, we demonstrate that hexagonal boron nitride nanosheet (h BNNS) is able to electrochemically catalyze N2 to NH3. In acidic solution, h BNNS catalyst attains a high NH3 formation rate of 22.4 mg*h 1*mg 1cat. and a high Faradic efficiency of 4.7% at 0.75 V vs. reversible hydrogen electrode, with excellent stability and durability. Density functional theory calculations reveal that unsaturated boron at the edge site can activate inert N2 molecule and significantly reduce the energy barrier for NH3 formation.",
"author_names": [
"Ya Juan Zhang",
"Huitong Du",
"Yongjun Ma",
"Lei Ji",
"Haoran Guo",
"Ziqi Tian",
"Hongyu Chen",
"Hong Huang",
"Guanwei Cui",
"Abdullah Mohamed Asiri",
"Fengli Qu",
"Liang Chen",
"Xuping Sun"
],
"corpus_id": 107643802,
"doc_id": "107643802",
"n_citations": 59,
"n_key_citations": 1,
"score": 0,
"title": "Hexagonal boron nitride nanosheet for effective ambient N2 fixation to NH3",
"venue": "Nano Research",
"year": 2019
},
{
"abstract": "Atomically thin boron nitride is one of the best thermal conductors among semiconductors and insulators. Heat management has become more and more critical, especially in miniaturized modern devices, so the exploration of highly thermally conductive materials with electrical insulation is of great importance. Here, we report that high quality one atom thin hexagonal boron nitride (BN) has a thermal conductivity (k) of 751 W/mK at room temperature, the second largest k per unit weight among all semiconductors and insulators. The k of atomically thin BN decreases with increased thickness. Our molecular dynamic simulations accurately reproduce this trend, and the density functional theory (DFT) calculations reveal the main scattering mechanism. The thermal expansion coefficients of monolayer to trilayer BN at 300 to 400 K are also experimentally measured for the first time. Owing to its wide bandgap, high thermal conductivity, outstanding strength, good flexibility, and excellent thermal and chemical stability, atomically thin BN is a strong candidate for heat dissipation applications, especially in the next generation of flexible electronic devices.",
"author_names": [
"Qiran Cai",
"Declan Scullion",
"Weiliang Gan",
"Aleksey Falin",
"Shenmin Zhang",
"Kenji Watanabe",
"Takashi Taniguchi",
"Ying Ian Chen",
"Elton J G Santos",
"Luhua Li"
],
"corpus_id": 84842248,
"doc_id": "84842248",
"n_citations": 92,
"n_key_citations": 0,
"score": 0,
"title": "High thermal conductivity of high quality monolayer boron nitride and its thermal expansion",
"venue": "Science Advances",
"year": 2019
}
] |
Large-Scale Silicon Photonic Circuits for Optical Phased Arrays | [
{
"abstract": "We review recent advances in integrated large scale optical phased arrays. The design and fabrication of large scale optical phased arrays using silicon photonic circuits are discussed from device designs including the directional couplers, thermo optic phase shifters, and optical nanoantennas, to system studies including phased array synthesis and noise analysis. By taking advantage of the well developed silicon complementary metal oxide semiconductor (CMOS) fabrication technology, several large scale integrated silicon photonic phased arrays are demonstrated, including two passive phased arrays (64 x 64 and 32 x 32) with the ability to generate complex holographic images, an 8 x 8 active phased array for dynamic optical beamforming, and an 8 x 8 active antenna array with amplitude apodization. These optical phased array demonstrations, with up to 12 000 integrated optical elements, represent the largest and densest silicon photonic circuits demonstrated to date.",
"author_names": [
"Jie Sun",
"Erman Timurdogan",
"Ami Yaacobi",
"Zhan Su",
"Ehsan S Hosseini",
"David B Cole",
"Michael Robert Watts"
],
"corpus_id": 15482348,
"doc_id": "15482348",
"n_citations": 62,
"n_key_citations": 1,
"score": 2,
"title": "Large Scale Silicon Photonic Circuits for Optical Phased Arrays",
"venue": "IEEE Journal of Selected Topics in Quantum Electronics",
"year": 2014
},
{
"abstract": "We present several optical phased arrays enabled by state of the art large scale silicon photonic integration which could find potential applications in optical switching, optical communications, light detection and ranging, and holography.",
"author_names": [
"Jie Sun",
"Ami Yaacobi",
"Erman Timurdogan",
"Zhan Su",
"David B Cole",
"Ehsan S Hosseini",
"Michele Moresco",
"Gerald Leake",
"Douglas D Coolbaugh",
"Michael Robert Watts"
],
"corpus_id": 17318992,
"doc_id": "17318992",
"n_citations": 9,
"n_key_citations": 0,
"score": 0,
"title": "Large Scale Integrated Silicon Photonic Circuits for Optical Phased Arrays",
"venue": "",
"year": 2014
},
{
"abstract": "The realization of a low cost and robust optical beam steering platform is a key enabler for a number of applications, including light detection and ranging (LIDAR) and free space optical communications (FSO) Optical phased arrays (OPAs) have emerged as a promising solution, due to advancements in photonic integrated circuits (PIC) foundry processes, which have enabled high precision fabrication of PICs with a large number of components [1] [3] In order to meet steering range and directivity requirements in systems such as autonomous vehicles, a clear path to scaling OPAs to millimeter scale apertures with thousands of tight pitched antenna elements is critical. As the element count grows, independent phase control for each element becomes crucial since maintaining coherence between elements becomes more difficult due to process variations. Moreover, independent control allows for unique system capabilities, such as multi beam formation and converging/adaptive beams, which makes OPAs a particularly attractive solid state beamforming technology.",
"author_names": [
"Taehwan Kim",
"Pavan Bhargava",
"Christopher Vincent Poulton",
"Jelena Notaros",
"Ami Yaacobi",
"Erman Timurdogan",
"Christopher Baiocco",
"Nicholas M Fahrenkopf",
"S A Kruger",
"Tat Ngai",
"Yukta P Timalsina",
"Michael Robert Watts",
"Vladimir M Stojanovic"
],
"corpus_id": 71149282,
"doc_id": "71149282",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "29.5 A Single Chip Optical Phased Array in a 3D Integrated Silicon Photonics/65nm CMOS Technology",
"venue": "2019 IEEE International Solid State Circuits Conference (ISSCC)",
"year": 2019
},
{
"abstract": "Today, generic foundry silicon photonic platforms use only one waveguide layer, implemented in the top silicon (Si) device layer of a silicon on insulator (SOI) wafer. However, very large scale integrated photonic circuits. comprising of hundreds or even thousands of devices, require complex on chip optical routing networks that become difficult or impractical to implement using only one Si waveguide layer because of waveguide crossing loss, crossing crosstalk, optical power handling limitations, and/or waveguide loss. Such very large scale integrated photonic circuits enable applications in, for example, optical switch fabrics and optical phased arrays. Integrated photonic platforms that have several waveguide layers make possible three dimensional (3D) on chip optical routing to overcome the limitations of a single layer. For example, multilayer platforms support extraordinarily low loss over/under pass types of crossings, wherein a waveguide in the topmost (bottommost) layer can pass over (under) many waveguides in the lower (upper) levels.",
"author_names": [
"Joyce K S Poon",
"Wesley D Sacher"
],
"corpus_id": 44682357,
"doc_id": "44682357",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "Multilayer silicon nitride on silicon photonic platforms for three dimensional integrated photonic devices and circuits",
"venue": "2017 75th Annual Device Research Conference (DRC)",
"year": 2017
},
{
"abstract": "This talk presents my group's progress in foundry compatible multilayer silicon nitride on silicon integrated photonic platforms. Silicon nitride enables improved passive photonic components that can be integrated with active components in the silicon layer, including highly efficient modulators, high speed photodetectors, and potentially hybrid lasers. Multilayer platforms also allow for new device geometries for grating couplers and polarization rotator splitters that take advantage of the strong optical coupling between the waveguide levels. Further, multilayer platforms make possible extraordinarily low loss over/under pass types of crossings. Taken together, these capabilities make multilayer silicon nitride on silicon photonic platforms ideal for the implementation of very large scale, three dimensional silicon photonic circuits, which are needed for applications such as optical switching and phased arrays.",
"author_names": [
"Joyce K S Poon"
],
"corpus_id": 38097355,
"doc_id": "38097355",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Multilayer silicon integrated photonic platforms for 3D photonic devices and circuits",
"venue": "2017 IEEE Photonics Conference (IPC)",
"year": 2017
},
{
"abstract": "This talk presents my group's progress in foundry compatible multilayer silicon nitride on silicon integrated photonic platforms. Silicon nitride enables improved passive photonic components that can be integrated with active components in the silicon layer, including highly efficient modulators, high speed photodetectors, and potentially hybrid lasers. Multilayer platforms also allow for new device geometries for grating couplers and polarization rotator splitters that take advantage of the strong optical coupling between the waveguide levels. Further, multilayer platforms make possible extraordinarily low loss over/under pass types of crossings. Taken together, these capabilities make multilayer silicon nitride on silicon photonic platforms ideal for the implementation of very large scale, three dimensional silicon photonic circuits, which are needed for applications such as optical switching and phased arrays.",
"author_names": [
"Joyce K S Poon"
],
"corpus_id": 125906214,
"doc_id": "125906214",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Multilayer silicon integrated photonic platforms for 3D photonic devices and circuits",
"venue": "",
"year": 2017
},
{
"abstract": "Optical phased arrays are a promising beam steering technology for ultra small solid state lidar and free space communication systems. Long range, high performance arrays require a large beam emission area densely packed with thousands of actively phase controlled, power hungry light emitting elements. To date, such large scale phased arrays have been impossible to realize since current demonstrated technologies would operate at untenable electrical power levels. Here we show a multi pass photonic platform integrated into a large scale phased array that lowers phase shifter power consumption by nearly 9 times. The multi pass structure decreases the power consumption of a thermo optic phase shifter to a \\rm P}_\\pi $Pp of {1.7}\\rm mW/\\pi $1.7mW/p without sacrificing speed or optical bandwidth. Using this platform, we demonstrate a silicon photonic phased array containing 512 actively controlled elements, consuming only 1.9 W of power while performing 2D beam steering over a {70}\\circ \\times {6}\\circ $70x6 field of view. Our results demonstrate a path forward to building scalable phased arrays containing thousands of active elements.",
"author_names": [
"Steven A Miller",
"You-Chia Chang",
"Christopher T Phare",
"Min Chul Shin",
"Moshe Zadka",
"Samantha Pamela Roberts",
"Brian Stern",
"Xingchen Ji",
"Aseema Mohanty",
"Oscar A Jimenez Gordillo",
"Utsav D Dave",
"Michal Lipson"
],
"corpus_id": 214350285,
"doc_id": "214350285",
"n_citations": 52,
"n_key_citations": 1,
"score": 0,
"title": "Large scale optical phased array using a low power multi pass silicon photonic platform",
"venue": "",
"year": 2020
},
{
"abstract": "multi pass silicon photonic platform: supplementary material STEVEN A. MILLER, YOU CHIA CHANG, CHRISTOPHER T. PHARE, MIN CHUL SHIN, MOSHE ZADKA, SAMANTHA P. ROBERTS, BRIAN STERN, XINGCHEN JI, ASEEMA MOHANTY, OSCAR A. JIMENEZ GORDILLO, UTSAV D. DAVE, AND MICHAL LIPSON Department of Electrical Engineering, Columbia University, New York, New York 10027, USA Department of Photonics and Institute of Electro Optical Engineering, National Chiao Tung University, Hsinchu 30010, Taiwan contributed equally to work",
"author_names": [
"",
"M A",
"P T"
],
"corpus_id": 210964610,
"doc_id": "210964610",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Large scale optical phased array using a low power multi pass silicon photonic platform: supplementary material",
"venue": "",
"year": 2019
},
{
"abstract": "In the current work we present Si and SiN combined photonic built up for optical phased arrays (OPAs) and other large area photonic integrated circuits. We report low loss co integrated SiN waveguides and nearly lossless vertical transitions between Si and SiN layers, as well as efficient Si thermo optical phase shifter module. OPA consisting of 64 optical antennas forming highly collimated beam with 0.4deg x 0.47deg divergence is reported. By changing input wavelength, solid state beam steering of 0deg 10deg is achieved.",
"author_names": [
"Aleksandrs Marinins",
"Sarvagya Dwivedi",
"Jon Oyvind Kjellman",
"Sarp Kerman",
"Tangla David",
"Bruno Figeys",
"Roelof Jansen",
"Deniz Sabuncuoglu Tezcan",
"Xavier Rottenberg",
"Philippe Soussan"
],
"corpus_id": 213788322,
"doc_id": "213788322",
"n_citations": 5,
"n_key_citations": 1,
"score": 0,
"title": "Silicon photonics co integrated with silicon nitride for optical phased arrays",
"venue": "",
"year": 2020
},
{
"abstract": "Optical phased arrays (OPAs) implemented in integrated photonic circuits could enable a variety of 3D sensing, imaging, illumination, and ranging applications, and their convergence in new LIDAR technology. However, current integrated OPA approaches do not scale in control complexity, power consumption, and optical efficiency to the large aperture sizes needed to support medium to long range LIDAR. We present the serpentine optical phased array (SOPA) a new OPA concept that addresses these fundamental challenges and enables architectures that scale up to large apertures. The SOPA is based on a serially interconnected array of low loss grating waveguides and supports fully passive, two dimensional (2D) wavelength controlled beam steering. A fundamentally space efficient design that folds the feed network into the aperture also enables scalable tiling of SOPAs into large apertures with a high fill factor. We experimentally demonstrate the first SOPA, using a 1450 1650 nm wavelength sweep to produce 16,500 addressable spots in a 27x610 array. We also demonstrate, for the first time, far field interference of beams from two separate OPAs on a single silicon photonic chip, as an initial step towards long range computational imaging LIDAR based on novel active aperture synthesis schemes.",
"author_names": [
"Nathan Dostart",
"Bohan Zhang",
"Anatol Khilo",
"Michael Brand",
"Kenaish Al Qubaisi",
"Deniz Onural",
"Daniel Feldkhun",
"Kelvin H Wagner",
"Milos A Popovic"
],
"corpus_id": 211133249,
"doc_id": "211133249",
"n_citations": 26,
"n_key_citations": 0,
"score": 0,
"title": "Serpentine optical phased arrays for scalable integrated photonic lidar beam steering",
"venue": "",
"year": 2020
}
] |
flying capacitor medium voltage | [
{
"abstract": "With the commercialization of wide bandgap (WBG) semiconductor devices, the design strategy of medium voltage inverters has to change. This paper compares SiC MOSFET in two medium voltage multilevel inverters: Flying Capacitor Converter (FCC) and Modular Multilevel Converter (MMC) Both topologies have a single DC source and floating capacitors. Capacitor selection and power loss analysis are compared and the simulation results of both topologies are presented. The result shows that FCC is superior in terms of power density because the capacitor size of the FCC is directly related to the switching frequency whereas the capacitor size of the MMC is related to AC line frequency. FCC also has fewer switching devices and capacitors, and better utilization of switching devices. On the other hand, the MMC has better modularity, lower capacitor current, lower total harmonic distortion (THD) and a more mature voltage balance strategy. The efficiency of both topologies is comparable.",
"author_names": [
"Daxin Jiao",
"Qingyun Huang",
"Alex Q Huang"
],
"corpus_id": 226293155,
"doc_id": "226293155",
"n_citations": 0,
"n_key_citations": 0,
"score": 1,
"title": "Evaluation of Medium Voltage SiC Flying Capacitor Converter and Modular Multilevel Converter",
"venue": "2020 IEEE Energy Conversion Congress and Exposition (ECCE)",
"year": 2020
},
{
"abstract": "This paper presents a medium voltage (1.5 kV) flying capacitor DC/DC converter rated at 30 kW. The fast switching 1.2 kV/11 mO SiC MOSFETs converter operates in quasi 2 level (Q2L) mode at high frequency (100 kHz) to obtain a low size of the flying capacitor (330 nF) Moreover, a novel switching pattern is introduced and ZVS at turn on is also enabled. Such control method leads to lower switching losses, higher switching frequency and minimized inductor volume compared to more conventional topologies. Presented experimental results prove correct operation of the proposed converter and control method.",
"author_names": [
"Rafal Kopacz",
"Przemyslaw Trochimiuk",
"Grzegorz Wrona",
"Jacek Rabkowski"
],
"corpus_id": 222219520,
"doc_id": "222219520",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "High Frequency SiC Based Medium Voltage Quasi 2 Level Flying Capacitor DC/DC Converter With Zero Voltage Switching",
"venue": "2020 22nd European Conference on Power Electronics and Applications (EPE'20 ECCE Europe)",
"year": 2020
},
{
"abstract": "Medium voltage converters are becoming more relevant in future grid applications. Especially more wind and PV generation systems will be have to added and integrated into the grid in order to achieve the required reduction in CO2 emissions. High power generation units will be integrated into the grid at medium voltage level. Furthermore meshed MV grids will require interties based on MV converter technology. Today's standard medium voltage converters are either based on the MMC technology or us 3L/5L approaches operated at low switching frequencies and containing bulky filters. Both concepts still lead to relatively high costs and low efficiencies which are major reasons for the slow spread of power converters in medium voltage grid applications. Future grid applications demand less distortion, higher reliability and lower costs for converter systems at each voltage level. The Quasi Two Level operation of the flying capacitor multilevel converter with silicone carbide (SiC) based semiconductors is a method for achieving these requirements for medium voltage converters. This paper presents a new concept for minimizing capacitance and balancing the capacitors with fast switching semiconductors. Moreover, a novel approach for limiting the overvoltage stress caused by the Quasi Two Level modulation of a flying capacitor converter is presented.",
"author_names": [
"Stefan Mersche",
"Daniel Bernet",
"Marc Hiller"
],
"corpus_id": 208631459,
"doc_id": "208631459",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Quasi Two Level Flying Capacitor Converter for Medium Voltage Grid Applications",
"venue": "2019 IEEE Energy Conversion Congress and Exposition (ECCE)",
"year": 2019
},
{
"abstract": "This paper proposes the coupled inductor instead of four noncoupled inductors in each leg of the flying capacitor modular multilevel converter (MMC) to reduce the dimension, weight and cost of the magnetic core. The simulation results have verified the effectiveness of the proposed coupled inductor.",
"author_names": [
"Duc Dung Le",
"Dong-Choon Lee"
],
"corpus_id": 221780742,
"doc_id": "221780742",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Flying Capacitor Modular Multilevel Converters with Coupled Inductors for Medium Voltage Motor Drive System",
"venue": "",
"year": 2019
},
{
"abstract": "This paper presents a flying capacitor modular multilevel converter (FC MMC) based on series connected submodules. It is intended for completely improving the performance of a medium voltage motor drive system in the entire speed range especially at zero/low speed under rated torque condition. The proposed FC MMC circuit is characterized by the cross connection of upper and lower arm middle taps through a flying capacitor in per phase leg. By properly controlling the ac current flowing through the flying capacitor, the power balance between upper and lower arms is achieved, leading to very small voltage ripples on submodule dc capacitors in the entire speed range from standstill to rated speed even under the rated torque condition. Meanwhile, no common mode voltage is injected. Simulation results obtained from a 4160 V 1 MW model show that the proposed FC MMC along with the proposed control method performances satisfactorily in dynamic and static state even when operated at zero/low speed. Experiments on a downscaled prototype also prove the effectiveness of the proposal.",
"author_names": [
"Sixing Du",
"Bin Wu",
"Navid Reza Zargari",
"Zhongyuan Cheng"
],
"corpus_id": 26793994,
"doc_id": "26793994",
"n_citations": 73,
"n_key_citations": 7,
"score": 0,
"title": "A Flying Capacitor Modular Multilevel Converter for Medium Voltage Motor Drive",
"venue": "IEEE Transactions on Power Electronics",
"year": 2017
},
{
"abstract": "",
"author_names": [
"Jaison Mathew"
],
"corpus_id": 114256800,
"doc_id": "114256800",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Investigation On Dodecagonal Multilevel Voltage Space Vector Structures By Cascading Flying Capacitor And Floating H Bridge Cells For Medium Voltage IM Drives",
"venue": "",
"year": 2017
},
{
"abstract": "",
"author_names": [
"Sofia Krogerus"
],
"corpus_id": 63334197,
"doc_id": "63334197",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Design and analysis of a hysteresis based controller for a flying capacitor active output filter with specific application to medium voltage drives.",
"venue": "",
"year": 2016
},
{
"abstract": "Nowadays, integration of intermittent and distributed renewable energy generations into power grid causes to have power quality problems as concern. These problems are usually causing very costly consequences such as production line and/or sensitive load interruption. Hence, it is crucial to have stable voltage with good quality. One of the most effective power electronics based approach to alleviate the mentioned problems is dynamic voltage restorer (DVR) DVR is capable to restore the voltage at load side whenever grid voltage is disturbed. This paper presents a DVR structure for medium voltage power grid based on double flying capacitor multicell inverter. In the proposed DVR circuit, an energy storage connected to the dc link of the inverter provides the needed active power to restore the voltage quality. In order to minimize the required energy from energy storage, the energy optimized strategy is implemented to compensate the voltage sag. Furthermore, d q synchronous reference frame based method is implemented to calculate the DVR reference voltages. To validate the operation of the presented DVR circuit, simulation results are provided for different cases.",
"author_names": [
"Arash Khoshkbar-Sadigh",
"Saleh Farzamkia",
"Vahid Dargahi"
],
"corpus_id": 220366368,
"doc_id": "220366368",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Dynamic Voltage Restorer Controlled with Energy Minimized Compensation Method Based on Double Flying Capacitor Multicell Inverter",
"venue": "2020 Clemson University Power Systems Conference (PSC)",
"year": 2020
},
{
"abstract": "A Space Vector Pulse Width Modulation (SVPWM) control strategy is implemented for capacitor voltage balancing of Flying Capacitor (FC) based 4 level Neutral Point Clamped (NPC) inverter. This flying capacitor based 4 level NPC inverter is developed for medium voltage and high power applications. This system includes 6 power switching devices, 2 clamping diodes and 2 flying capacitors per leg. The flying capacitors ensure to operate inverter normally and all the switching devices share the voltage stresses in identical manner. Also it controls the voltage across each capacitor and maintained at Vdc/3 times of applied dc voltage. The proposed SVPWM method takes advantage of utilizing redundancy switching states to control and balance the voltage across the capacitor using nearest switching state vector selection method. Moreover, this system provides reduced total harmonic distortion (THD) and minimized voltage stress. The control technique is implemented without using any look up tables or effective logic tables or trigonometric functions. The simulation and experimental results of this proposed verified are verified using Matlab simulink and FPGA controller respectively.",
"author_names": [
"Rajan Palanisamy",
"Venkatrama Shanmugasundaram",
"S Vidyasagar",
"Vishwa Kalyanasundaram",
"Krishnasamy Vijayakumar"
],
"corpus_id": 225308619,
"doc_id": "225308619",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "A SVPWM Control Strategy for Capacitor Voltage Balancing of Flying Capacitor Based 4 Level NPC Inverter",
"venue": "",
"year": 2020
},
{
"abstract": "This paper presents three phase four level FLC based electric motor drive for mining application supplied directly from a 6 kV ac grid. It describes complete control algorithms of the drive with active voltage balancing control. The proposed control is verified by experiments carried out on down scale drive prototype of rated power of 35 kVA.",
"author_names": [
"Dusan Janik",
"Tomas Kosan",
"Vojtech Blahnik",
"Petr Kamenicky",
"Zdenek Peroutka",
"Michal Danek"
],
"corpus_id": 14024921,
"doc_id": "14024921",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Complete solution of 4 level flying capacitor converter for medium voltage drives with active voltage balancing control with phase disposition PWM",
"venue": "2014 16th European Conference on Power Electronics and Applications",
"year": 2014
}
] |
improve the performance of semiconductor photocatalyst | [
{
"abstract": "Abstract MOF 5 is an emerging semiconductor material with a great potential in photocatalytic wastewater treatment. However, its inherent deficiencies, such as the narrow range of photo response, the fast recombination of photoinduced carriers and the unstable structure, largely limit its further application. In this work, super biocompatible N doped carbon quantum dots (N CQD) derived from the green precursor, coconut shells, were in situ grown on MOF 5 via a facile solvothermal method to construction of 0D/3D N CQD/MOF 5 photocatalyst with an enhanced photoreduction ability. A sustainable and pollution free preparation process of N CQD/MOF 5 photocatalyst was delivered and biomass waste resource was effectively utilized via this method. This well designed photocatalyst with the optimized 1% N CQD loading presented a considerable photoreduction rate of Cr (VI) which was 2.5 times higher that of pure MOF 5. It also possessed a strong structural stability and reusability even after multiple tests. The boosting photocatalysis activity could be attributed to the doping of N CQD, who not only act as an electron acceptor to separate the photoexcited electrons and holes effectively, but also play a vital role as a photosensitizer to improve the visible light absorption. Furthermore, the influence of activation methods on the photocatalytic activity of MOF 5 were also investigated theoretically. For the purpose of clarifying the potentially photocatalytic mechanism of N CQD/MOF 5 in photoreduction Cr (VI) a series of tests involving photoelectrical characterizations and fluorescence spectroscopy were executed. Therefore, this study provides an innovative photocatalytic system for an enhanced photoreduction performance of Cr (VI) of MOF 5.",
"author_names": [
"Xiang Qin",
"Tao Qiang",
"Lu Chen",
"Shaoting Wang"
],
"corpus_id": 234048484,
"doc_id": "234048484",
"n_citations": 4,
"n_key_citations": 0,
"score": 1,
"title": "Construction of 3D N CQD/MOF 5 photocatalyst to improve the photocatalytic performance of MOF 5 by changing the electron transfer path",
"venue": "",
"year": 2021
},
{
"abstract": "Air and water pollution have become a significant environmental problem all over the world. Recently, an advanced oxidation process emerging to solve these problems has been based on the usage of abundant sunlight for the complete mineralization of toxic pollutants in the air and water. Although TiO2 semiconductor photocatalysts attract considerable attention owing to its high oxidizing property under ultraviolet (UV) light, the UV light occupies only 5% of solar energy. In the present study, the upconversion nanoparticles of NaYF4:Yb,Ho and NaYF4:Yb,Tm which convert the near infrared (NIR) to visible light and UV region are used to combine with anatase TiO2 aerogel with large specific surface area via a green and easy chemical process.",
"author_names": [
"Fu Li",
"Yoshitaka Kitamoto"
],
"corpus_id": 99288066,
"doc_id": "99288066",
"n_citations": 6,
"n_key_citations": 0,
"score": 0,
"title": "Fabrication of UCNPs/TiO2 aerogel photocatalyst to improve photocatalytic performance",
"venue": "",
"year": 2017
},
{
"abstract": "Abstract Semiconductor photocatalytic technology has become a new green way to control NOX emissions. In order to remove NOX effectively, a multi stage electron transport channel was constructed to improve the photocatalytic activity of graphitic carbon nitride (g C3N4) which was realized by doping Fe ions and compounding with reductive graphene oxide (rGO) simultaneously. The results of NO removal test showed that the as prepared rGO/Fe doped g C3N4 visible light driven composite photocatalyst exhibited significantly improved photocatalytic activity, and the optimum mass ratio of rGO to Fe was 2:3. This was attributed to the positive synergistic effect of Fe and rGO and the formation of a multi stage electron transport channel between rGO and protonated Fe doped g C3N4 (Fe C3N4) which further accelerated the separation and transfer of photo induced carriers, broadened the visible light response range and enhanced the light absorption intensity. This work develops a g C3N4 based visible light photocatalyst that can effectively remove NO and provides a new perspective for the modification of photocatalyst.",
"author_names": [
"Xiaoyu Yang",
"Xuejuan Cao",
"Boming Tang",
"Bailin Shan",
"Mei Deng",
"Yugui Liu"
],
"corpus_id": 104460864,
"doc_id": "104460864",
"n_citations": 12,
"n_key_citations": 0,
"score": 0,
"title": "rGO/Fe doped g C3N4 visible light driven photocatalyst with improved NO removal performance",
"venue": "Journal of Photochemistry and Photobiology A: Chemistry",
"year": 2019
},
{
"abstract": "Abstract Semiconductor driven photocatalysis has galvanized great attention as it holds tremendous promise to address the worldwide environmental and energy issues. Photocatalysis, in which photons are used for redox reactions, is at the central point to achieve this goal. The heterogeneous photocatalysts with integrated functional nano composites can combine the advantages of different nano composites to overcome the drawbacks of single nano photocatalysts. Coupling of TiO2 with narrow band gap semiconductor nanocomposites has been a strategy used by researchers to obtain visible light active photocatalysts. In this work, graphene has been used to improve the performance of photocatalysts based on its great charge conductivity as well as other exciting properties. The edge effect has been removed by introducing the 2D graphene into circular rolls inserted in the 65 140 nm TiO2, TiO2 CuO (TC) and TiO2/ZnO/Bi2O3 (TZB) nanofibers (NFs) and free electrons can only travel in specific direction along the axis of the TiO2, TiO2 CuO (TC) and TiO2/ZnO/Bi2O3 (TZB) (NFs) The resulting (NFs) has less band gap energy that facilitates harvesting of the visible light spectrum. The graphene incorporation helps to harvest more energy from the entire UV vis spectrum and almost doubled the surface area of the (NFs) when maximum amount of graphene is embedded into the (NFs) The T Gr, TC Gr and TZB Gr photocatalyst, after optimized with as much as 32.18% 16.87% and 26.5% respectively by mass of graphene in the (NFs) has superior photoactivity in degradation of formaldehyde under solar irradiation. The kinetics and fundamental mechanism of formaldehyde degradation are also addressed. The graphene insertion controls the work function of photocatalysts, which is critical for photocatalytic reactions.",
"author_names": [
"Muzafar A Kanjwal",
"Wallace Woon-Fong Leung"
],
"corpus_id": 139836984,
"doc_id": "139836984",
"n_citations": 8,
"n_key_citations": 0,
"score": 0,
"title": "Titanium based composite graphene nanofibers as high performance photocatalyst for formaldehyde gas purification",
"venue": "Ceramics International",
"year": 2019
},
{
"abstract": "Abstract A facile method was developed to synthesize a series of hollow mesoporous SiO 2 BiOCl@PANI@Pd (HBPP) photocatalysts with super adsorption performance, plasmonic effect and fast interfacial charge migration. The samples were characterized by XRD, FETEM, FT IR, XPS, DRS, etc. Photocatalytic degradation of methyl orange (MO) by HBPP composites was investigated. Results showed that the photocatalytic property of HBPP composite was superior to that of hollow mesoporous SiO 2 BiOCl@PANI (HBP) composite under visible light irradiation, and the HBPP 5wt% photocatalyst synthesized under 140 degC exhibits the highest photocatalytic activity. In this photocatalysis system, the orderly mesoporous opening structure of the hollow mesoporous SiO 2 sphere could effectively facilitate the transfer of reactant molecules, and the existence of the internal cavities would effectively prolong the action time of the irradiated light for the multiple reflections. Moreover, the formation of interacted interfaces between the semiconductor BiOCl and conducting polymer (polyaniline) could effectively improve the separation of the photogenerated electron hole pairs, and the palladium nanoparticles (Pd NPs) with strong localized surface plasmon resonance (LSPR) absorption band in the near UV region could effectively induce the generation of the photoexcited electron hole pairs in BiOCl, thus the as obtained photocatalyst exhibits superior photocatalytic activity under visible light irradiation. The work may set foundation for application of the new photocatalyst of HBPP based LSPR and make an important step forward remedying environmental pollution.",
"author_names": [
"Yi Tian",
"Wei Li",
"Chenhui Zhao",
"Yufei Wang",
"Baoliang Zhang",
"Qiuyu Zhang"
],
"corpus_id": 102425912,
"doc_id": "102425912",
"n_citations": 42,
"n_key_citations": 0,
"score": 0,
"title": "Fabrication of hollow mesoporous SiO2 BiOCl@PANI@Pd photocatalysts to improve the photocatalytic performance under visible light",
"venue": "",
"year": 2017
},
{
"abstract": "In this paper, an all solid Z scheme Ag3PO4/GO/NCD photocatalyst has been prepared through anchoring nitrogen doped carbon dots (NCDs) on the Ag3PO4/GO (GO graphene oxide) composite. The Ag3PO4/GO/NCD photocatalyst exhibits excellent photocatalytic activity for the degradation of organic pollutants, methylene blue (MB) rhodamine B (RhB) and phenol, under visible light irradiation. The pollutants MB (10 mg L 1) RhB (10 mg L 1) and phenol (50 mg L 1) could be efficiently degraded within 2.5 min, 5 min and 120 min, respectively, which are much better than that over Ag3PO4 and Ag3PO4/GO, indicating that the introduction of NCDs can further improve the photocatalytic activity of Ag3PO4/GO. Moreover, after four photocatalytic cycles, the photocatalytic activity of Ag3PO4/GO/NCDs shows only a slight decrease, demonstrating high photocatalytic stability. It is revealed that GO in the photocatalyst acts as a semiconductor and forms a Z scheme heterojunction with Ag3PO4, while NCDs improve the oxygen activation, enhance the light harvesting capacity and serve as the reaction sites during the photocatalytic process. This study highlights the potential application of highly efficient NCD modified photocatalysts in waste water purification.",
"author_names": [
"Xuli Miao",
"Xiaoyang Yue",
"Xiaoping Shen",
"Zhenyuan Ji",
"Hu Zhou",
"Guoxing Zhu",
"Jiheng Wang",
"Lirong Kong",
"Miaomiao Liu",
"Chunsen Song"
],
"corpus_id": 104288274,
"doc_id": "104288274",
"n_citations": 32,
"n_key_citations": 0,
"score": 0,
"title": "Nitrogen doped carbon dot modified Ag3PO4/GO photocatalyst with excellent visible light driven photocatalytic performance and mechanism insight",
"venue": "",
"year": 2018
},
{
"abstract": "Absorption of visible light and separation of photogenerated charges are two primary pathways to improve the photocurrent performance of semiconductor photoelectrodes. Here, we present a unique design of tricomponent photocatalyst comprising of TiO2 multileg nanotubes (MLNTs) reduced graphene oxide (rGO) and CdS nanoparticles. The tricomponent photocatalyst shows a significant red shift in the optical absorption 2.2 eV) compared to that of bare TiO2 MLNTs 3.2 eV).The availability of the both inner and outer surfaces areas of MLNTs, visible light absorption of CdS, and charge separating behavior of reduced graphene oxide layers contribute coherently to yield a photocurrent density of ~11 mA/cm2 0 V vs. Ag/Cl (100 mW/cm2, AM 1.5 G) Such a high PEC performance from TiO2/rGO/CdS photoelectrode system has been analyzed using diffused reflectance (DRS) and electrochemical impedance (EIS) spectroscopy techniques. The efficient generation of charge carriers under light irradiation and easy separation because of favourable band alignment are attributed to the high photoelectrochemical current density in these tricomponent photocatalyst system.",
"author_names": [
"Rambabu Yalavarthi",
"Swati Dhua",
"Manu Jaiswal",
"Somnath Chanda Roy"
],
"corpus_id": 214734714,
"doc_id": "214734714",
"n_citations": 5,
"n_key_citations": 0,
"score": 0,
"title": "High photoelectrochemical performance of reduced graphene oxide wrapped, CdS functionalized, TiO2 multi leg nanotubes.",
"venue": "Nanotechnology",
"year": 2020
},
{
"abstract": "Abstract Among the different alternatives to generate hydrogen, photocatalysis can play an important role since it is based on the use of solar radiation and a suitable semiconductor. Starting from the most commonly researched TiO2 catalyst, many efforts have been devoted to improve its efficacy. This work, based on the potential of reduced graphene oxide (rGO) to carry charges and platinum nanoparticles to act as efficient traps for photogenerated electrons, assesses the performance of synthesized binary and ternary photocatalysts (TiO2/rGO, TiO2/Pt and TiO2/rGO/Pt) for hydrogen generation. The addition of rGO to TiO2 almost duplicates (1.95 factor) the hydrogen production rate compared to bare TiO2. Moreover, the binary TiO2/Pt photocatalyst reported the best performance, with an increase in the hydrogen production rate by a factor of 15.26 compared to TiO2. However, the ternary catalyst performed worse than the binary TiO2/Pt probably due to the use of non optimized co catalyst ratios. Since the addition of rGO reduces the cost of the catalyst, the trade off between the catalyst performance and cost is worth of future research.",
"author_names": [
"Maria J Rivero",
"Olalla Iglesias",
"Paula Ribao",
"Inmaculada Ortiz"
],
"corpus_id": 103026933,
"doc_id": "103026933",
"n_citations": 28,
"n_key_citations": 0,
"score": 0,
"title": "Kinetic performance of TiO2/Pt/reduced graphene oxide composites in the photocatalytic hydrogen production",
"venue": "",
"year": 2019
},
{
"abstract": "Metal complex/semiconductor hybrids have attracted attention as photocatalysts for visible light CO2 reduction, and electron transfer from the metal complex to the semiconductor is critically important to improve the performance. Here rutile TiO2 nanoparticles having 5 10 nm in size were employed as modifiers to improve interfacial charge transfer between semiconducting carbon nitride nanosheets (NS C3N4) and a supramolecular Ru(II) Re(I) binuclear complex (RuRe) The RuRe/TiO2/NS C3N4 hybrid was capable of photocatalyzing CO2 reduction into CO with high selectivity under visible light (l 400 nm) outperforming an analogue without TiO2 by a factor of 4, in terms of both CO formation rate and turnover number (TON) The enhanced photocatalytic activity was attributed primarily to prolonged lifetime of free and/or shallowly trapped electrons generated in TiO2/NS C3N4 under visible light irradiation, as revealed by transient absorption spectroscopy. Experimental results also indicated that the TiO2 modifier served as a good adsorption site for RuRe, which resulted in the suppression of undesirable desorption of the complex, thereby contributing to the improved photocatalytic performance. This study presents the first successful example of interfacial manipulation in a metal complex/semiconductor hybrid photocatalyst for improved visible light CO2 reduction to produce CO.",
"author_names": [
"Keisuke Wada",
"Chandana Sampath Kumara Ranasinghe",
"Ryo Kuriki",
"Akira Yamakata",
"Osamu Ishitani",
"Kazuhiko Maeda"
],
"corpus_id": 206458245,
"doc_id": "206458245",
"n_citations": 42,
"n_key_citations": 0,
"score": 0,
"title": "Interfacial Manipulation by Rutile TiO2 Nanoparticles to Boost CO2 Reduction into CO on a Metal Complex/Semiconductor Hybrid Photocatalyst.",
"venue": "ACS applied materials interfaces",
"year": 2017
},
{
"abstract": "The coupling of carbon nanomaterials with semiconductor photocatalysts is a promising route to improve their photocatalytic performance. Herein, density functional theory was used to investigate the electronic structure, charge transfer, photocatalytic activity, and stability in a series of hybrid fullerene (C20, Li@C20, C26, Li@C26)/Ag3PO4(100) composites. When a Li atom is incorporated in fullerene, the adsorption energies significantly increase, although the change of interface distance is negligibly small due to the weak interface interaction. The charge transfer between constituents decreases with the C atom number of fullerene. Compared to pure Ag3PO4, the band gap of the composites is smaller, which enhances the visible light absorption and photoinduced electron transfer. Most importantly, a type II, staggered band alignment could be obtained in the C26 Ag3PO4(Li@C26 Ag3PO4) interface, leading to significantly reduced charge recombination and thus enhanced photocatalytic activity. These results reveal that fullerene modification would be an effective strategy to improve the photocatalytic performance of Ag3PO4 semiconductor photocatalysts.",
"author_names": [
"Caiping Luo",
"Wei-Qing Huang",
"Liang Xu",
"Yingbin Yang",
"Xiaofang Li",
"Wangyu Hu",
"Ping Peng",
"Gui-Fang Huang"
],
"corpus_id": 205955691,
"doc_id": "205955691",
"n_citations": 21,
"n_key_citations": 0,
"score": 0,
"title": "Enhanced photocatalytic performance of an Ag3PO4 photocatalyst via fullerene modification: first principles study.",
"venue": "Physical chemistry chemical physics PCCP",
"year": 2016
}
] |
simplis ic model | [
{
"abstract": "This paper introduces some most recent results in a research project that aims at developing computer simulation models of integrated circuit (IC) controller chips inside switch mode power supplies. These models play a very important role to smooth operation of the electronic design verification test (eDVT) system. Based on engineering practice, the challenges to model power supply controllers are discussed and some strategies and methodology for such modeling activities in SIMPLIS environment are proposed in this paper. The way to model NCP1230A, an IC controller delivered by ON Semiconductor, is taken as an example to show the modeling process. Simulation waveforms of several established models and the related experimental results are given to verify the validity of the proposed strategies and methodology",
"author_names": [
"Runxin Wang",
"Jinjun Liu",
"Pu Zhang",
"Junfeng Hou"
],
"corpus_id": 35625919,
"doc_id": "35625919",
"n_citations": 4,
"n_key_citations": 0,
"score": 1,
"title": "Study and engineering practice of modeling IC controllers for switch mode power supplies in SIMPLIS environment",
"venue": "Twenty First Annual IEEE Applied Power Electronics Conference and Exposition, 2006. APEC '06.",
"year": 2006
},
{
"abstract": "This paper proposes a modeling method for switched mode power supplies (SMPSs) mainly focusing on IC model for conductive noise simulation. In modern automobiles, the number of electronic control unit (ECU) are increasing in order to implement advanced features and introducing an all electric or hybrid drive system draws a high current. Since this requires higher efficiency power systems, SMPSs are widely used. Although systems using SMPSs produce switching noise as a side effect, they have to be designed to work as expected and to satisfy EMC regulation, too. To achieve this goal, it is imperative to predict and control the amount of noise at an early stage in the design by using accurate simulation models to reproduce the system behavior. Doing so greatly contributes to reducing design cost. However, it is very difficult to build SMPS IC models for noise simulation. In this paper, induced conductive EMI noise was evaluated through Electro Magnetic (EM) and SPICE co simulation following CISPR25 regulations. The SMPS, PCB, load device, line impedance stabilization network (LISN) and cables were all modeled to reproduce the regulation test environment. This model was validated by measurement on the same conditions as simulation.",
"author_names": [
"Asuma Imamura",
"Mitsuharu Umekawa"
],
"corpus_id": 22872162,
"doc_id": "22872162",
"n_citations": 2,
"n_key_citations": 1,
"score": 1,
"title": "Importance of switched mode power supply IC model for conductive EMI noise simulation",
"venue": "2016 International Conference on Electronics Packaging (ICEP)",
"year": 2016
},
{
"abstract": "The Chandra X ray observatory has discovered several dozen anomalously X ray bright jets associated with powerful quasars. A popular explanation for the X ray flux from the knots in these jets is that relativistic synchrotron emitting electrons inverse Compton scatter Cosmic Microwave Background (CMB) photons to X ray energies (the IC/CMB model) This model predicts a high gamma ray flux which should be detectable by the Fermi Large Area Telescope (LAT) for many sources. GeV band upper limits from Fermi/LAT for the well known anomalous X ray jet in PKS 0637 752 were previously shown in Meyer et al. (2015) to violate the predictions of the IC/CMB model. Previously, measurements of the jet synchrotron spectrum, important for accurately predicting the gamma ray flux level, were lacking between radio and infrared wavelengths. Here we present new Atacama Large Millimeter/submillimeter Array (ALMA) observations of the large scale jet at 100, 233, and 319 GHz which further constrain the synchrotron spectrum, supporting the previously published empirical model. We also present updated limits from the Fermi/LAT using the new `Pass 8' calibration and approximately 30% more time on source. With these deeper limits we rule out the IC/CMB model at the 8.7 sigma level. Finally, we demonstrate that complete knowledge of the synchrotron SED is critical in evaluating the IC/CMB model.",
"author_names": [
"Eileen T Meyer",
"Peter Breiding",
"Markos Georganopoulos",
"I Oteo",
"Martin A Zwaan",
"Robert A Laing",
"Leith E H Godfrey",
"R J Ivison"
],
"corpus_id": 119494155,
"doc_id": "119494155",
"n_citations": 23,
"n_key_citations": 2,
"score": 0,
"title": "New ALMA and Fermi/LAT Observations of the large scale jet of PKS 0637 752 Strengthen the Case Against the IC/CMB Model",
"venue": "",
"year": 2017
},
{
"abstract": "We apply the capillary wave method, based on measurements of fluctuations in a ribbon like interfacial geometry, to determine the solid liquid interfacial free energy for both polytypes of ice I and the recently proposed ice 0 within a mono atomic model of water. We discuss various choices for the molecular order parameter, which distinguishes solid from liquid, and demonstrate the influence of this choice on the interfacial stiffness. We quantify the influence of discretisation error when sampling the interfacial profile and the limits on accuracy imposed by the assumption of quasi one dimensional geometry. The interfacial free energies of the two ice I polytypes are indistinguishable to within achievable statistical error and the small ambiguity which arises from the choice of order parameter. In the case of ice 0, we find that the large surface unit cell for low index interfaces constrains the width of the interfacial ribbon such that the accuracy of results is reduced. Nevertheless, we establish that the interfacial free energy of ice 0 at its melting temperature is similar to that of ice I under the same conditions. The rationality of a core shell model for the nucleation of ice I within ice 0 is questioned within the context of our results.",
"author_names": [
"Michael Ambler",
"Bart Vorselaars",
"Michael P Allen",
"David Quigley"
],
"corpus_id": 15538730,
"doc_id": "15538730",
"n_citations": 18,
"n_key_citations": 1,
"score": 0,
"title": "Solid liquid interfacial free energy of ice Ih, ice Ic, and ice 0 within a mono atomic model of water via the capillary wave method.",
"venue": "The Journal of chemical physics",
"year": 2017
},
{
"abstract": "We describe high spectral resolution, high dynamic range integral field spectroscopy of IC418 covering the spectral range 3300 8950{\\AA} and compare with earlier data. We determine line fluxes, derive chemical abundances, provide a spectrum of the central star, and determine the shape of the nebular continuum. Using photoionisation models, we derive the reddening function from the nebular continuum and recombination lines. The nebula has a very high inner ionisation parameter. Consequently, radiation pressure dominates the gas pressure and dust absorbs a large fraction of ionising photons. Radiation pressure induces increasing density with radius. From a photoionisation analysis we derive central star parameters; \\log T_{\\mathrm eff} 4.525$K, \\log L_*/L_{\\odot} 4.029$ \\log g 3.5$ and using stellar evolutionary models we estimate an initial mass of $2.5 M/M_{\\odot} 3.0$ The inner filamentary shell is shocked by the rapidly increasing stellar wind ram pressure, and we model this as an externally photoionised shock. In addition, a shock is driven into the pre existing Asymptotic Giant Branch stellar wind by the strong D Type ionisation front developed at the outer boundary of the nebula. From the dynamics of the inner mass loss bubble, and from stellar evolutionary models we infer that the nebula became ionised in the last $100 200$,yr, but evolved structurally during the \\sim 2000$ yr since the central star evolved off the AGB. The estimated current mass loss rate \\dot M 3.8\\times 10^ 8} M_{\\odot}$yr$ 1} and terminal velocity $v_{\\infty} \\sim 450$ km/s) is sufficient to excite the inner mass loss bubble. While on the AGB, the central star lost mass at \\dot M 2.1\\times 10^ 5} M_{\\odot}$yr$ 1} with outflow velocity \\sim 14$ km/s.",
"author_names": [
"Michael A Dopita",
"A Farag Ali",
"R S Sutherland",
"David C Nicholls",
"M A Rafih Amer"
],
"corpus_id": 119098685,
"doc_id": "119098685",
"n_citations": 10,
"n_key_citations": 3,
"score": 0,
"title": "IFU spectroscopy of southern planetary nebulae IV: a physical model for IC 418",
"venue": "",
"year": 2017
},
{
"abstract": "Most type Ic core collapse supernovae (CCSNe) produce {56}$Ni and neutron stars (NSs) or black holes (BHs) The dipole radiation of nascent NSs has usually been neglected in explaining supernovae (SNe) with peak absolute magnitude $M_{\\rm peak} in any band are \\gtrsim 19.5$~mag, while the {56}$Ni can be neglected in fitting most type Ic superluminous supernovae (SLSNe Ic) whose $M_{\\rm peak} in any band are \\lesssim 21$~mag, since the luminosity from a magnetar (highly magnetized NS) can outshine that from a moderate amount of {56}$Ni. For luminous SNe Ic with 21 \\lesssim M_{\\rm peak}\\lesssim 19.5$~mag, however, both contributions from {56}$Ni and NSs cannot be neglected without serious modeling, since they are not SLSNe and the {56}$Ni mass could be up to \\sim 0.5 M_{\\odot} In this paper we propose a unified model that contain contributions from both {56}$Ni and a nascent NS. We select three luminous SNe Ic BL, SN~2010ay, SN~2006nx, and SN~14475, and show that, if these SNe are powered by {56}$Ni, the ratio of $M_{\\rm Ni} to $M_{\\rm ej} are unrealistic. Alternatively, we invoke the magnetar model and the hybrid {56}$Ni NS) model and find that they can fit the observations, indicating that our models are valid and necessary for luminous SNe Ic. Owing to the lack of late time photometric data, we cannot break the parameter degeneracy and thus distinguish among the model parameters, but we can expect that future multi epoch observations of luminous SNe can provide stringent constraints on {56}$Ni yields and the parameters of putative magnetars.",
"author_names": [
"Su Q Wang",
"Ling Jun Wang",
"Z G Dai",
"X F Wu"
],
"corpus_id": 73628665,
"doc_id": "73628665",
"n_citations": 28,
"n_key_citations": 14,
"score": 0,
"title": "A Unified Energy Reservoir Model Containing Contributions from {56}$Ni and Neutron Stars and Its Implication to Luminous Type Ic Supernovae",
"venue": "",
"year": 2015
},
{
"abstract": "Many studies have addressed the issues of the evaluation and the selection of new technology. In this paper, we add to this literature by formulating a more general model that incorporates the evaluation, the selection and the improvement of new technology. The improvement of new technology refers to the modification of the development of the underlying technology. We accomplish this by combining an Importance Score Report for each of the factors of the new technology to the adopting firm and a Performance Score Report of the alternative new technologies' performance on each of the factors into a two dimensional graph. This graph helps to reveal the specific factors of the new technology for the adopting firm to work on improving. Processes such as this will be an informative and powerful planning tool for decision maker when choosing among competing new technologies. We then use a case of selecting a 3D IC TSV technology for a Taiwanese IC manufacturer to illustrate the application of the PTSM. Three critical factors of the 3D IC TSV technology were then identified for improvement.",
"author_names": [
"Chih-Young Hung",
"Wen-Yi Lee"
],
"corpus_id": 154374522,
"doc_id": "154374522",
"n_citations": 13,
"n_key_citations": 0,
"score": 0,
"title": "A proactive technology selection model for new technology: The case of 3D IC TSV",
"venue": "",
"year": 2016
},
{
"abstract": "This paper presents a behavioral model for amorphous indium gallium zinc oxide thin film transistor using artificial neural network (ANN) based equivalent circuit (EC) approach to predict static and dynamic behavior of the device. In addition, TFT parasitic capacitances (CGS and CGD) characterization through measurements is also reported. In the proposed model, an EC is derived from the device structure, in terms of electrical lumped elements. Each electrical element in the EC is modeled with an ANN. Then these ANNs are connected together as per the EC and implemented in Verilog A. The proposed model performance is validated by comparing the circuit simulation results with the measured response of a simple common source amplifier, which has shown 12.2 dB gain, 50 mW power consumption and 85 kHz 3 dB frequency with a power supply of 6 V. The same circuit is tested as an inverter and its response is also presented up to 50 kHz, from both simulations and measurements. These results show that the model is capable of capturing both small and large signal behavior of the device to good accuracy, even including the harmonic distortion of the signal (that emphasizes the nonlinear behavior of the parasitic capacitance) making the model suitable for IC design.",
"author_names": [
"Pydi Bahubalindrun",
"Vitor M Grade Tavares",
"Pedro Barquinha",
"Pedro Guedes de Oliveira",
"Rodrigo Martins",
"Elvira Fortunato"
],
"corpus_id": 61598728,
"doc_id": "61598728",
"n_citations": 17,
"n_key_citations": 0,
"score": 0,
"title": "InGaZnO TFT behavioral model for IC design",
"venue": "",
"year": 2016
},
{
"abstract": "Abstract 3D integrated circuits (3 D ICs) technology is a promising approach for next generation semiconductor microelectronics. A 3D IC is formed by vertical interconnection of multiple substrates containing active devices which offer reduced die footprint and interconnect length. Thermal management of a multi die stack is a significant research challenge, for which rapid temperature computation in a 3D IC is desirable. This manuscript presents a non iterative heat transfer model for predicting the three dimensional temperature field in a multi die 3D IC. The non iterative model is much faster and accurate in comparison to finite element simulation and recently presented iterative models for temperature computation. The analytical model is used to compute the temperature field of a 3D IC with a large number of die. The model is also used to examine the effect of various parameters on the computed temperature field. In particular, the effect of thermal contact resistance is presented. The model and results presented in this manuscript are expected be useful in rapid computation and effective thermal design of 3D ICs.",
"author_names": [
"Leila Choobineh",
"Ankur Jain"
],
"corpus_id": 53980781,
"doc_id": "53980781",
"n_citations": 26,
"n_key_citations": 0,
"score": 0,
"title": "An explicit analytical model for rapid computation of temperature field in a three dimensional integrated circuit (3D IC)",
"venue": "",
"year": 2015
},
{
"abstract": "The interstellar medium of the radio galaxy IC 5063 is highly perturbed by an AGN jet expanding in the gaseous disc of the galaxy. We model this interaction with relativistic hydrodynamic simulations and multiphase initial conditions for the interstellar medium and compare the results with recent observations. As the jets flood through the intercloud channels of the disc, they ablate, accelerate, and disperse clouds to velocities exceeding 400 km s( 1) Clouds are also destroyed or displaced in bulk from the central regions of the galaxy. Our models with jet powers of 10(44) and 10(45) erg s( 1) are capable of reproducing many of the observed features in the position velocity diagram of IC 5063, and confirm the notion that the jet is responsible for the strongly perturbed gas dynamics seen in the ionized, neutral, and molecular gas phases. In our simulations, we also see strong venting of the jet plasma perpendicular to the disc, which entrains clumps and diffuse filaments into the halo of the galaxy. Our simulations are the first 3D hydrodynamic simulations of the jet and interstellar matter of IC 5063.",
"author_names": [
"Dipanjan Mukherjee",
"Alexander Y Wagner",
"Geoffrey V Bicknell",
"Raffaella Morganti",
"Tom Oosterloo",
"Nicole P H Nesvadba",
"R S Sutherland"
],
"corpus_id": 54557094,
"doc_id": "54557094",
"n_citations": 28,
"n_key_citations": 11,
"score": 0,
"title": "The jet ISM interactions in IC 5063",
"venue": "",
"year": 2018
}
] |
Tunnel field-effect transistors as energyefficient electronic switches | [
{
"abstract": "Power dissipation is a fundamental problem for nanoelectronic circuits. Scaling the supply voltage reduces the energy needed for switching, but the field effect transistors (FETs) in today's integrated circuits require at least 60 mV of gate voltage to increase the current by one order of magnitude at room temperature. Tunnel FETs avoid this limit by using quantum mechanical band to band tunnelling, rather than thermal injection, to inject charge carriers into the device channel. Tunnel FETs based on ultrathin semiconducting films or nanowires could achieve a 100 fold power reduction over complementary metal oxide semiconductor (CMOS) transistors, so integrating tunnel FETs with CMOS technology could improve low power integrated circuits.",
"author_names": [
"Adrian M Ionescu",
"Heike E Riel"
],
"corpus_id": 4322368,
"doc_id": "4322368",
"n_citations": 1935,
"n_key_citations": 64,
"score": 1,
"title": "Tunnel field effect transistors as energy efficient electronic switches",
"venue": "Nature",
"year": 2011
},
{
"abstract": "Conventional field effect transistors (FETs) have long been considered a fundamental electronic component for a diverse range of devices. However, nanoelectronic circuits based on FETs are not energy efficient because they require a large supply voltage for switching applications. To reduce the supply voltage in standard FETs, which is hampered by the 60 mV/decade limit established by the subthreshold swing (SS) a new class of FETs have been designed, tunnel FETs (TFETs) A TFET utilizes charge carrier transportation in device channels using quantum mechanical based band to band tunneling despite of conventional thermal injection. The TFETs fabricated with thin semiconducting film or nanowires can attain a 100 fold power drop compared to complementary metal oxide semiconductor (CMOS) transistors. As a result, the use of TFETs and CMOS technology together could ameliorate integrated circuits for low power devices. The discovery of two dimensional (2D) materials with a diverse range of electronic properties has also opened new gateways for condensed matter physics, nanotechnology, and material science, thus potentially improving TFET based devices in terms of device design and performance. In this review, state of art TFET devices exhibiting different semiconducting channels and geometries are comprehensively reviewed followed by a brief discussion of the challenges that remain for the development of high performance devices. Lastly, future prospects are presented for the improvement of device design and the working efficiency of TFETs.",
"author_names": [
"Ghazanfar Nazir",
"Adeela Rehman",
"Soo-Jin Park"
],
"corpus_id": 221619378,
"doc_id": "221619378",
"n_citations": 13,
"n_key_citations": 0,
"score": 0,
"title": "Energy efficient tunneling field effect transistors for low power device applications: challenges and opportunities.",
"venue": "ACS applied materials interfaces",
"year": 2020
},
{
"abstract": "The research field on tunnel FETs (TFETs) has been rapidly developing in the last ten years, driven by the quest for a new electronic switch operating at a supply voltage well below 1 V and thus delivering substantial improvements in the energy efficiency of integrated circuits. This paper reviews several aspects related to physics based modeling in TFETs, and shows how the description of these transistors implies a remarkable innovation and poses new challenges compared to conventional MOSFETs. A hierarchy of numerical models exist for TFETs covering a wide range of predictive capabilities and computational complexities. We start by reviewing seminal contributions on direct and indirect band to band tunneling (BTBT) modeling in semiconductors, from which most TCAD models have been actually derived. Then we move to the features and limitations of TCAD models themselves and to the discussion of what we define non self consistent quantum models, where BTBT is computed with rigorous quantum mechanical models starting from frozen potential profiles and closed boundary Schrodinger equation problems. We will then address models that solve the open boundary Schrodinger equation problem, based either on the non equilibrium Green's function NEGF or on the quantum transmitting boundary formalism, and show how the computational burden of these models may vary in a wide range depending on the Hamiltonian employed in the calculations. A specific section is devoted to TFETs based on 2D crystals and van der Waals hetero structures. The main goal of this paper is to provide the reader with an introduction to the most important physics based models for TFETs, and with a possible guidance to the wide and rapidly developing literature in this exciting research field.",
"author_names": [
"David Esseni",
"Marco G Pala",
"Pierpaolo Palestri",
"Cem Alper",
"Tommaso Rollo"
],
"corpus_id": 4839656,
"doc_id": "4839656",
"n_citations": 38,
"n_key_citations": 2,
"score": 0,
"title": "A review of selected topics in physics based modeling for tunnel field effect transistors",
"venue": "",
"year": 2017
},
{
"abstract": "Graphene and molybdenum disulfide are two dimensional novel materials considered promising for nanoscale electronic devices. Due to high carrier mobility and in spite of lacking a bandgap, nanoscale graphene transistors have been demonstrated to reach a cut off frequency above 400 GHz. The absence of bandgap in graphene leads to a remarkable band to band tunneling property in electron devices with negative differential resistance. Ultra thin field effect transistors fabricated with graphene as gate conducting channels have been shown experimentally to exhibit negative differential resistance (NDR) with widespread appeal for both digital and analog electronics. NDR devices like the Esaki p n junction have been known to have applications for high frequency oscillators, fast logic switches, memories and low power amplifiers. In this work, a semi analytical model equation for transfer characteristics of graphene transistors is developed to successfully model the NDR. Data from three known experimental devices exhibiting NDR with gate length from 500 nm to 3 mm are shown to match well with theoretical modeled results. Numerical calculations using the model equation show that at a fixed gate bias, NDR can be modulated by tuning the value of contact resistance. The result also shows that separate onset of NDR in purely electron current or hole current can be modeled with this equation and matches experimental data.",
"author_names": [
"Phuoc X Tran"
],
"corpus_id": 102857741,
"doc_id": "102857741",
"n_citations": 5,
"n_key_citations": 0,
"score": 0,
"title": "Modulation of Negative Differential Resistance in Graphene Field Effect Transistors by Tuning the Contact Resistances",
"venue": "Journal of Electronic Materials",
"year": 2018
},
{
"abstract": "Germanane (GeH) a hydrogenated germanium monolayer, is a new family of 2 D semiconductors, exhibiting promising potential for electronic device applications. Here, we investigate GeH Schottky barrier (SB) field effect transistors (FETs) using atomistic quantum transport simulations. Our simulation results reveal that the ohmic contact device with zero SB height <inline formula> <tex math notation=\"LaTeX\"\\Phi _{\\text {Bn} /tex math>/inline formula> exhibits ~20% lower ON current than the metal oxide semiconductor (MOS) FET counterpart due to the inherent tunnel barrier at the metal semiconductor junction. We also compare 14 nm channel GeH and black phosphorus (BP) SBFETs with a finite SB height of <inline formula> <tex math notation=\"LaTeX\"\\Phi _{\\text {Bn} 0.22$ /tex math>/inline formula> eV for both devices. Our results show that GeH outperforms BP in the ON state, but it can suffer from larger leakage current in the OFF state. We further investigate the effect of barrier height in GeH SBFET by varying <inline formula> <tex math notation=\"LaTeX\"\\Phi _{\\text {Bn} /tex math>/inline formula> from 0 eV to a half bandgap (0.78 eV) In general, as barrier height increases, both ON current and the minimum leakage current are reduced. It is also observed that, with increasing SB height, intrinsic delay increases but the required energy per switching decreases, indicating the trade off between the device speed and the energy dissipation. Our benchmarking of GeH SBFET against GeH and BP MOSFETs demonstrates that GeH generally outperforms BP in terms of energy delay product (EDP) By performing careful engineering of SB height along with the device threshold voltage, we show that the minimum EDP of GeH SBFET can be as comparable as that of the MOSFET counterpart, suggesting great potential of GeH SBFETs for future switching device applications.",
"author_names": [
"Yiju Zhao",
"Demin Yin",
"Youngki Yoon"
],
"corpus_id": 52302370,
"doc_id": "52302370",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Intrinsic Performance of Germanane Schottky Barrier Field Effect Transistors",
"venue": "IEEE Transactions on Electron Devices",
"year": 2018
},
{
"abstract": "Abstract Reducing supply voltage is a promising way to address the power dissipation in nano electronic circuits. However, the fundamental lower limit of subthreshold slope (SS) within metal oxide semiconductor field effect transistors (MOSFETs) is a major obstacle to further scaling the operation voltage without degrading ON/OFF ratio in current integrated circuits. Tunnel field effect transistors (TFETs) benefit from steep switching characteristics due to the quantum mechanical tunneling injection of carriers from source to channel, rather than by conventional thermionic emission in MOSFETs. TFETs based on group III V compound semiconductor materials further improve the ON state current and reduce SS due to the low band gap energies and smaller carrier tunneling mass. The mixed arsenide/antimonide (As/Sb) InxGa1 xAs/GaAsySb1 y heterostructures allow a wide range of band gap energies and various staggered band alignments depending on the alloy compositions in the source and channel materials. Band alignments at source/channel heterointerface can be well modulated by carefully controlling the compositions of the mixed As/Sb material system. In particular, this review introduces and summarizes the progress in the development and optimization of low power TFETs using mixed As/Sb based heterostructures including basic working principles, design considerations, material growth, interface engineering, material characterization, device fabrication, device performance investigation, band alignment determination, and high temperature reliability. A review of TFETs using mixed As/Sb based heterostructures shows superior structural properties and distinguished device performance, both of which indicate the mixed As/Sb staggered gap TFET as a promising option for high performance, low standby power, and energy efficient logic circuit application.",
"author_names": [
"Yan Zhu",
"Mantu K Hudait"
],
"corpus_id": 53309857,
"doc_id": "53309857",
"n_citations": 31,
"n_key_citations": 1,
"score": 0,
"title": "Low power tunnel field effect transistors using mixed As and Sb based heterostructures",
"venue": "",
"year": 2013
},
{
"abstract": "As a layered, two dimensional material with high charge carrier mobility and photoresponsivity, exfoliated indium selenide (InSe) is being actively studied for a variety of optoelectronic applications. While significant effort has been devoted to characterizing the in plane electronic properties of InSe, charge transport in the out of plane direction has been underreported despite its importance in vertical field effect transistors, photodetectors, and related van der Waals heterostructure devices. Here, we fill this knowledge gap by performing variable temperature and variable thickness charge transport measurements in the out of plane direction for exfoliated InSe crystals. A vertical field effect transistor geometry is utilized with a bulk metal top contact and single layer graphene bottom contact such that electrostatic gating can be performed via the underlying Si substrate. In contrast to lateral InSe transistors, vertical InSe transistors show decreasing conductance at low temperatures, which is explained by the temperature dependence of tunneling and field emission currents. While thinner InSe crystals are dominated by Fowler Nordheim tunneling, thicker InSe crystals show increasing contribution from thermionic emission. In addition, the graphene/InSe barrier height can be modulated by the gate potential, resulting in vertical field effect transistor current switching ratios up to 104. Overall, this study provides fundamental insight into the out of plane electronic properties of exfoliated InSe, which will inform ongoing efforts to realize ultrathin InSe device applications.",
"author_names": [
"Vinod K Sangwan",
"Junmo Kang",
"Mark C Hersam"
],
"corpus_id": 213966750,
"doc_id": "213966750",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "Thickness dependent charge transport in exfoliated indium selenide vertical field effect transistors",
"venue": "",
"year": 2019
},
{
"abstract": "In a recent paper, Biswas et al. demonstrated a onetransistor dynamic random access memory (1T DRAM) based on asymmetrical tunneling field effect transistor (TFET) with an underlapped front gate. The device operates in reverse bias between source and drain, with charge stored in the underlapped LIN region modifying the electric field at the source channel tunnel junction and leading to a modulation of the TFET current that distinguishes the \"0\" and \"1\" states. In this comment, we compare the mechanism and performance of the TFET 1T DRAM device of Biswas et al. with a different feedback based operation mode of the same physical device used for the same 1T DRAM application, which we have characterized in recent years. In our version of the device, which we called the Z FET (for zero subthreshold swing and zero impact ionization) the same asymmetrical structure is operated in forward bias, with front and back gates providing controllable injection barriers. The resulting performance appears significantly better in terms of operating current, current sensing margin, and speed. The two structures are compared in Fig. 1. Both the TFET DRAM and the Z FET DRAM (which comes in ptype and n type configurations) originate from the same asymmetrical TFET, in which only a part of the channel is covered by the front gate (LG) and the rest is uncovered (LIN) in order to reduce the ambipolar leakage current. 5 In both devices, the front and back gates are biased to form potential barriers in LG and LIN regions. However, in the TFET DRAM, there is reverse bias (VDS> 0) between drain and source, leading to the potential profile in Fig. 1(c) whereas in the Z FET, the drain source bias is forward (VDS< 0) leading to the potential profile in Fig. 1(d) for the p type Z FET. Other important differences are the charge location and the reading mechanism. In the TFET DRAM, the positive (hole) charge, stored within the potential well formed in the LIN region, is used to differentiate the memory states by modulating the VG controlled tunneling current. However, due to the LG region separating the stored charge and the tunneling junction (Pth body) the stored charge has a weak effect on the tunneling current, leading to the weak hysteresis in the IDVBG curves in Fig. 2(a) and a very small 20 nA) current difference between \"1\" and \"0,\" as shown in Fig. 2(b) In the Z FET, the source drain junction is forward biased but the device stays in the off state under low jVDj due to the carrier injection barriers formed by the front and back gates. As the jVDj ramps up approaching to jVGj, the barrier on the drain side is lowered and this triggers a feedback process between the hole and electron flows, which turns on the Z FET abruptly. In the on state, the Z FET is essentially a forward biased diode showing high current in the mA range. Figure 2(c) shows the ID VD curves of Z FET with pronounced hysteresis controlled linearly by the front gate VG. For DRAM operation, the Z FET stores the positive charge directly under the front gate in LG region. These charges are read out using a fast VD pulse and the discharge current turns on Z FET abruptly through the feedback process. Figure 2(d) shows the Z FET 1T DRAM operation, in which the readout current of \"1\" state reaches 90 lA/lm and the device stays in the off state during the readout of \"0,\" leading to a large sensing margin. At the same time, the operating voltages required for the Z FET 1T DRAM are considerably lower, see Fig. 2. The reason for this is the low TFET tunneling current, which requires large gate and drain source voltages of 4 V to achieve the low measured currents of Fig. 2(b) Conversely, in the Z FET, positive feedback collapses the injection barriers in the on state, leading to far higher current at lower operating voltage. The low TFET current is likely responsible for the slow access speeds of the device reported by Biswas et al. <500 Hz) whereas the Z FET 1T DRAM was experimentally measured to work at 500 MHz (limited by the available test equipment) and has <1 ns access speed in simulation. In case of the advent of TFETs replacing MOSFETs in CMOS technology as logic switches, the device of Biswas et al. would be the first TFET based memory concept. We note that if the TFET tunneling current were higher, due to sharper junctions or the use of a lower bandgap material in the channel, the operating voltage of the TFET 1T DRAM could conceivably fall below that of the Z FET DRAM, but for now the operating voltage comparison is clearly in the Z FET's favor (see Fig. 2) Other useful properties of the Z FET DRAM include the non destructive reading, thanks to the regeneration of the stored charge, and the relatively small stored charge needed to distinguish the \"0\" and \"1\" states due to amplification of discharge current for short pulses. In conclusion, we agree with Biswas et al. that the underlapped asymmetric TFET structure of Fig. 1 has interesting memory properties, but we believe the previously reported feedback based forward bias operation is superior Author to whom correspondence should be addressed. Electronic mail: [email protected]",
"author_names": [
"Jing Wan",
"Alexander Zaslavsky",
"Sorin Cristoloveanu"
],
"corpus_id": 122339143,
"doc_id": "122339143",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Comment on \"Investigation of tunnel field effect transistors as a capacitor less memory cell\" [Appl. Phys. Lett. 104, 092108 (2014)",
"venue": "",
"year": 2015
},
{
"abstract": "The down scaling of regular MOSFETs has prompted a looming power emergency, in which static power utilization is ending up noticeably too high. Keeping in mind the end goal to enhance the vitality productivity of electronic circuits, little swing switches are intriguing contender to supplant or supplement the MOSFETs utilized today. TFETs, which are gated p i n diodes whose on current rises up out of band to band burrowing, are engaging new devices for low control applications due to their low off current and their potential for a little subthreshold swing. Aside from every one of these points of interest TFET experiences low ON current. So to enhance this low ON current many gate engineering structures have been proposed. This paper clarifies every one of the strategies which are utilized till now and furthermore clarifies device structure and execution assessment. Index Terms TFET (Tunnel Field Effect Transistor) MOSFET (Metal Oxide Semiconductor Field Effect Transistor) ON",
"author_names": [
"H R Bharathi"
],
"corpus_id": 165157677,
"doc_id": "165157677",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "The Future Device Tunnel Field Effect Transistor A survey",
"venue": "",
"year": 2017
},
{
"abstract": "The down scaling of regular MOSFETs has prompted a looming power emergency, in which static power utilization is ending up noticeably too high. Keeping in mind the end goal to enhance the vitality productivity of electronic circuits, little swing switches are intriguing contender to supplant or supplement the MOSFETs utilized today. TFETs, which are gated p i n diodes whose on current rises up out of band to band burrowing, are engaging new devices for low control applications due to their low off current and their potential for a little subthreshold swing. Aside from every one of these points of interest TFET experiences low ON current. So to enhance this low ON current many gate engineering structures have been proposed. This paper clarifies every one of the strategies which are utilized till now and furthermore clarifies device structure and execution assessment.",
"author_names": [
"R Helen Ramya Bharathi",
"T Evangeline Santhia",
"P S Karthikeyan"
],
"corpus_id": 27450759,
"doc_id": "27450759",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Ultra low consumption device for future electronics tunnel field effect transistor A survey",
"venue": "2017 IEEE International Conference on Electrical, Instrumentation and Communication Engineering (ICEICE)",
"year": 2017
}
] |
power line Insulator | [
{
"abstract": "Topological insulators are new states of quantum matter which cannot be adiabatically connected to conventional insulators and semiconductors. They are characterized by a full insulating gap in the bulk and gapless edge or surface states which are protected by time reversal symmetry. These topological materials have been theoretically predicted and experimentally observed in a variety of systems, including HgTe quantum wells, BiSb alloys, and Bi2Te3 and Bi2Se3 crystals. Theoretical models, materials properties, and experimental results on two dimensional and three dimensional topological insulators are reviewed, and both the topological band theory and the topological field theory are discussed. Topological superconductors have a full pairing gap in the bulk and gapless surface states consisting of Majorana fermions. The theory of topological superconductors is reviewed, in close analogy to the theory of topological insulators.",
"author_names": [
"Xiao-liang Qi",
"Shou-Cheng Zhang"
],
"corpus_id": 118373714,
"doc_id": "118373714",
"n_citations": 7037,
"n_key_citations": 128,
"score": 0,
"title": "Topological insulators and superconductors",
"venue": "",
"year": 2011
},
{
"abstract": "Topological insulators are electronic materials that have a bulk band gap like an ordinary insulator but have protected conducting states on their edge or surface. These states are possible due to the combination of spin orbit interactions and time reversal symmetry. The two dimensional (2D) topological insulator is a quantum spin Hall insulator, which is a close cousin of the integer quantum Hall state. A three dimensional (3D) topological insulator supports novel spin polarized 2D Dirac fermions on its surface. In this Colloquium the theoretical foundation for topological insulators and superconductors is reviewed and recent experiments are described in which the signatures of topological insulators have been observed. Transport experiments on \\mathrm{Hg}\\mathrm{Te}\\mathrm{Cd}\\mathrm{Te} quantum wells are described that demonstrate the existence of the edge states predicted for the quantum spin Hall insulator. Experiments on \\mathrm{Bi}}_{1\\ensuremath{ }x}\\mathrm{Sb}}_{x} \\mathrm{Bi}}_{2}\\mathrm{Se}}_{3} \\mathrm{Bi}}_{2}\\mathrm{Te}}_{3} and \\mathrm{Sb}}_{2}\\mathrm{Te}}_{3} are then discussed that establish these materials as 3D topological insulators and directly probe the topology of their surface states. Exotic states are described that can occur at the surface of a 3D topological insulator due to an induced energy gap. A magnetic gap leads to a novel quantum Hall state that gives rise to a topological magnetoelectric effect. A superconducting energy gap leads to a state that supports Majorana fermions and may provide a new venue for realizing proposals for topological quantum computation. Prospects for observing these exotic states are also discussed, as well as other potential device applications of topological insulators.",
"author_names": [
"M Zahid Hasan",
"C L Kane"
],
"corpus_id": 16066223,
"doc_id": "16066223",
"n_citations": 10078,
"n_key_citations": 176,
"score": 0,
"title": "Colloquium Topological insulators",
"venue": "",
"year": 2010
},
{
"abstract": "The status of the insulators in power line can directly affect the reliability of the power transmission systems. Computer vision aided approaches have been widely applied in electric power systems. Inspecting the status of insulators from aerial images has been challenging due to the complex background and rapid view changing under different illumination conditions. In this paper, we propose a novel approach to inspect the insulators with Deep Convolutional Neural Networks (CNN) A CNN model with multi patch feature extraction method is applied to represent the status of insulators and a Support Vector Machine (SVM) is trained based on these features. A thorough evaluation is conducted on our insulator status dataset of six classes from real inspection videos. The experimental results show that a pre trained model for classification is more accurate than the shallow features by hand crafted. Our approach achieves 98.7095% mean Average Precision (mAP) in status classification. We also study the behavior of the neural activations of the convolutional layers. Different results vary with different fully connected layers, and interesting findings are discussed.",
"author_names": [
"Zhenbing Zhao",
"Guozhi Xu",
"Yincheng Qi",
"Ning Liu",
"Tiefeng Zhang"
],
"corpus_id": 12442948,
"doc_id": "12442948",
"n_citations": 46,
"n_key_citations": 2,
"score": 0,
"title": "Multi patch deep features for power line insulator status classification from aerial images",
"venue": "2016 International Joint Conference on Neural Networks (IJCNN)",
"year": 2016
},
{
"abstract": "Are Power Line Communications (PLC) a good candidate for Smart Grid applications? The objective of this paper is to address this important question. To do so, we provide an overview of what PLC can deliver today by surveying its history and describing the most recent technological advances in the area. We then address Smart Grid applications as instances of sensor networking and network control problems and discuss the main conclusions one can draw from the literature on these subjects. The application scenario of PLC within the Smart Grid is then analyzed in detail. Because a necessary ingredient of network planning is modeling, we also discuss two aspects of engineering modeling that relate to our question. The first aspect is modeling the PLC channel through fading models. The second aspect we review is the Smart Grid control and traffic modeling problem which allows us to achieve a better understanding of the communications requirements. Finally, this paper reports recent studies on the electrical and topological properties of a sample power distribution network. Power grid topological studies are very important for PLC networking as the power grid is not only the information source but also the information delivery system a unique feature when PLC is used for the Smart Grid.",
"author_names": [
"Stefano Galli",
"Anna Scaglione",
"Zhifang Wang"
],
"corpus_id": 14275689,
"doc_id": "14275689",
"n_citations": 908,
"n_key_citations": 65,
"score": 0,
"title": "For the Grid and Through the Grid: The Role of Power Line Communications in the Smart Grid",
"venue": "Proceedings of the IEEE",
"year": 2011
},
{
"abstract": "The purpose of this paper is to present the most important achievements in the field of distribution power line inspection by mobile robots. Stimulated by the need for fast, accurate, safe and low cost power line inspection, which would increase the quality of power delivery, the field of automated power line inspection has witnessed rapid development over the last decade. This paper addresses automated helicopter inspection, inspection with flying robots and inspection with climbing robots. The first attempts to automate power line inspection were conducted in the field of helicopter inspection. In recent years, however, the research was mostly focused on flying and climbing robots. These two types of robots for automated power line inspection are critically assessed according to four important characteristics: design requirements, inspection quality, autonomy and universality of inspection. Besides, some general not yet identified problems and tasks of inspection robots, which should be addressed in the future, are presented. In conclusion, the two robot types have specific benefits and drawbacks so that none can currently be considered generally advantageous.",
"author_names": [
"Jaka Katrasnik",
"Franjo Pernus",
"Bostjan Likar"
],
"corpus_id": 15080454,
"doc_id": "15080454",
"n_citations": 191,
"n_key_citations": 7,
"score": 0,
"title": "A Survey of Mobile Robots for Distribution Power Line Inspection",
"venue": "IEEE Transactions on Power Delivery",
"year": 2010
},
{
"abstract": "A single stage stacked field effect transistor (FET) linear power amplifier (PA) is demonstrated using 0.28 ?m 2.5 V standard I/O FETs in a 0.13 ?m silicon on insulator (SOI) CMOS technology. To overcome the low breakdown voltage limit of MOSFETs, a stacked FET structure is employed, where four transistors are connected in series so that their output voltage swings are added in phase. With a 6.5 V supply, the measured PA achieves a small signal gain of 14.6 dB, a saturated output power of 32.4 dBm, and a maximum power added efficiency (PAE) of 47% at 1.9 GHz. Using a reverse link IS 95 code division multiple access modulated signal, the PA shows an average output power of up to 28.7 dBm with a PAE of 41.2% while meeting the adjacent channel power ratio requirement. Using an uplink wideband code division multiple access modulated signal, the PA shows an average output power of up to 29.4 dBm with a PAE of 41.4% while meeting the adjacent channel leakage ratio requirement. The stacked FET PA is designed to withstand up to 9 V of supply voltage before reaching its breakdown limit. This is the first reported stacked FET linear PA in submicrometer SOI CMOS technology that delivers watt level output power in the gigahertz frequency range with efficiency and linearity performance comparable to those of GaAs based PAs.",
"author_names": [
"Sataporn Pornpromlikit",
"Jinho Jeong",
"Calogero D Presti",
"Antonino Scuderi",
"Peter M Asbeck"
],
"corpus_id": 14877445,
"doc_id": "14877445",
"n_citations": 195,
"n_key_citations": 7,
"score": 0,
"title": "A Watt Level Stacked FET Linear Power Amplifier in Silicon on Insulator CMOS",
"venue": "IEEE Transactions on Microwave Theory and Techniques",
"year": 2010
},
{
"abstract": "Certain insulators have exotic metallic states on their surfaces. These states are formed by topological effects that also render the electrons travelling on such surfaces insensitive to scattering by impurities. Such topological insulators may provide new routes to generating novel phases and particles, possibly finding uses in technological applications in spintronics and quantum computing.",
"author_names": [
"Joel E Moore"
],
"corpus_id": 1911343,
"doc_id": "1911343",
"n_citations": 1799,
"n_key_citations": 11,
"score": 0,
"title": "The birth of topological insulators",
"venue": "Nature",
"year": 2010
},
{
"abstract": "Metal Insulator transition(MIT) is characterized by the conductivity which will be zero in the insulator phase. In this term paper, we focus on Mott insulator, and a simple theoritical way to describe this MIT is the Hubbard Model .Finally, we will look at the experiments of Mott insulator transition",
"author_names": [
"Qin Meng"
],
"corpus_id": 29911173,
"doc_id": "29911173",
"n_citations": 159,
"n_key_citations": 8,
"score": 0,
"title": "Metal Insulator Transition",
"venue": "",
"year": 2010
},
{
"abstract": "Because insulators provide electrical insulation and mechanical support for electric transmission lines, these components are of paramount importance to safe and reliable operations of power systems. However, insulators are often considered to be prone to different faults, e.g. bunch drop, which demands a novel solution for accurate fault detection and fault location. Current research efforts have primarily focused on the bunch drop fault of glass insulators, and the study of ceramic insulators has not been reported to date. To this end, this paper proposes an algorithmic solution for the bunch drop fault detection for both glass and ceramic insulators based on spatial morphological features, which can be integrated into an unmanned aerial vehicle based inspection system. Color models can be established based on the unique color features of both glass and ceramic insulators. Next, the target areas of the insulators can be identified according to the color determination combined with the insulator's spatial features. The target area is morphologically processed to highlight the fault location, and the rules are established based on the spatial feature differences between the insulators with and without faults. Consequently, the fault location can be accurately identified, and the coordinates can be determined. The performance of the proposed solution is evaluated in comparison with existing solutions. The numerical results demonstrate that the proposed solution can detect the bunch drop faults of insulators with a better than average detection rate. In addition, the performance is assessed and validated in terms of robustness and real time performance.",
"author_names": [
"Yongjie Zhai",
"Rui Chen",
"Qiang Yang",
"Xiaoxia Li",
"Zhenbing Zhao"
],
"corpus_id": 49870018,
"doc_id": "49870018",
"n_citations": 38,
"n_key_citations": 1,
"score": 1,
"title": "Insulator Fault Detection Based on Spatial Morphological Features of Aerial Images",
"venue": "IEEE Access",
"year": 2018
},
{
"abstract": "Topological protection for lasers Ideas based on topology, initially developed in mathematics to describe the properties of geometric space under deformations, are now finding application in materials, electronics, and optics. The main driver is topological protection, a property that provides stability to a system even in the presence of defects. Harari et al. outline a theoretical proposal that carries such ideas over to geometrically designed laser cavities. The lasing mode is confined to the topological edge state of the cavity structure. Bandres et al. implemented those ideas to fabricate a topological insulator laser with an array of ring resonators. The results demonstrate a powerful platform for developing new laser systems. Science, this issue p. eaar4003, p. eaar4005 Lasing is observed in an edge mode of a designed optical topological insulator. INTRODUCTION Topological insulators emerged in condensed matter physics and constitute a new phase of matter, with insulating bulk and robust edge conductance that is immune to imperfections and disorder. To date, topological protection is known to be a ubiquitous phenomenon, occurring in many physical settings, ranging from photonics and cold atoms to acoustic, mechanical, and elastic systems. So far, however, most of these studies were carried out in entirely passive, linear, and conservative settings. RATIONALE We propose topological insulator lasers: lasers whose lasing mode exhibits topologically protected transport without magnetic fields. Extending topological physics to lasers is far from natural. In fact, lasers are built on foundations that are seemingly inconsistent with the essence of topological insulators: They require gain (and thus are non Hermitian) they are nonlinear entities because the gain must be saturable, and they are open systems because they emit light. These properties, common to all lasers, cast major doubts on the possibility of harnessing topological features to make a topological insulator laser. Despite this common mindset, we show that the use of topological properties leads to highly efficient lasers, robust to defects and disorder, with single mode lasing even at conditions high above the laser threshold. RESULTS We demonstrate that topological insulator lasers are theoretically possible and experimentally feasible. We consider two configurations involving planar arrays of coupled active resonators. The first is based on the Haldane model, archetypical for topological systems. The second model, geared toward experiment, constitutes an aperiodic array architecture creating an artificial magnetic field. We show that by introducing saturable gain and loss, it is possible to make these systems lase in a topological edge state. In this way, the lasing mode exhibits topologically protected transport; the light propagates unidirectionally along the edges of the cavity, immune to scattering and disorder, unaffected by the shape of the edges. Moreover, we show that the underlying topological properties not only make the system robust to fabrication and operational disorder and defects, they also lead to a highly efficient single mode lasing that remains single mode even at gain values high above the laser threshold. The figure describes the geometry and features of a topological insulator laser based on the Haldane model while adding saturable gain, loss, and an output port. The cavity is a planar honeycomb lattice of coupled microring resonators, pumped at the perimeter with a lossy interior. We show that under these conditions, lasing occurs at the topological edge mode, which has unidirectional flux and is extended around the perimeter with almost uniform intensity. The topological cavities exhibit higher efficiency than the trivial cavity, even under strong disorder. For the topological laser with a small gap, the topological protection holds as long as the disorder level is smaller than the gap size. DISCUSSION The concept of the topological insulator laser alters current understanding of the interplay between disorder and lasing, and opens exciting possibilities at the interface of topological physics and laser science, such as topologically protected transport in systems with gain. We show here that the laser system based on the archetypal Haldane model exhibits topologically protected transport, with features similar to those of its passive counterpart. This behavior means that this system is likely to have topological invariants, despite the nonhermiticity. Technologically, the topological insulator laser offers an avenue to make many semiconductor lasers operate as one single mode high power laser. The topological insulator laser constructed from an aperiodic array of resonators was realized experimentally in an all dielectric platform, as described in the accompanying experimental paper by Bandres et al. Topological insulator laser based on the Haldane model and its efficiency. (A) Planar honeycomb lattice of coupled microring resonators pumped at the perimeter. The topological lasing mode has unidirectional flux with almost uniform intensity, which builds up as the mode circulates and drops when passing the output coupler. (B) Slope efficiency (in arbitrary units) versus disorder strength for three cases differing only in the Haldane phase (of the next to nearest neighbor coupling) a topological laser with the maximum gap (blue; Haldane phase of p/2) one with a small topological gap (red; Haldane phase of p/8) and a topologically trivial laser with no gap (black; Haldane phase of 0) Topological insulators are phases of matter characterized by topological edge states that propagate in a unidirectional manner that is robust to imperfections and disorder. These attributes make topological insulator systems ideal candidates for enabling applications in quantum computation and spintronics. We propose a concept that exploits topological effects in a unique way: the topological insulator laser. These are lasers whose lasing mode exhibits topologically protected transport without magnetic fields. The underlying topological properties lead to a highly efficient laser, robust to defects and disorder, with single mode lasing even at very high gain values. The topological insulator laser alters current understanding of the interplay between disorder and lasing, and at the same time opens exciting possibilities in topological physics, such as topologically protected transport in systems with gain. On the technological side, the topological insulator laser provides a route to arrays of semiconductor lasers that operate as one single mode high power laser coupled efficiently into an output port.",
"author_names": [
"Gal Harari",
"Miguel A Bandres",
"Yaakov Lumer",
"Mikael C Rechtsman",
"Yidong Chong",
"Mercedeh Khajavikhan",
"Demetrios N Christodoulides",
"Mordechai Segev"
],
"corpus_id": 206665715,
"doc_id": "206665715",
"n_citations": 380,
"n_key_citations": 5,
"score": 0,
"title": "Topological insulator laser: Theory",
"venue": "Science",
"year": 2018
}
] |
MOS (Metal Oxide Semiconductor) Physics and Technology | [
{
"abstract": "Introduction. Field Effect. Metal Oxide Silicon Capacitor at Low Frequencies. Metal Oxide Silicon Capacitor at Intermediate and High Frequencies. Extraction of Interface Trap Properties from the Conductance. Interfacial Nonuniformities. Experimental Evidence for Interface Trap Properties. Extraction of Interface Trap Properties from the Capacitance. Measurement of Silicon Properties. Charges, Barrier Heights, and Flatband Voltage. Charge Trapping in the Oxide. Instrumentation for Measuring Capacitor Characteristics. Oxidation of Silicon Oxidation Kinetics. Oxidation of Silicon Technology. Control of Oxide Charges. Models of the Interface. Appendices. Subject Index. Symbol Index.",
"author_names": [
"Edward H Nicollian",
"John Brews"
],
"corpus_id": 108930934,
"doc_id": "108930934",
"n_citations": 1884,
"n_key_citations": 66,
"score": 1,
"title": "Mos (Metal Oxide Semiconductor) Physics and Technology",
"venue": "",
"year": 1982
},
{
"abstract": "(1986) MOS (Metal Oxide Semiconductor) Physics and Technology. Nuclear Technology: Vol. 74, No. 2, pp. 235 237.",
"author_names": [
"Ahmed R Wazzan"
],
"corpus_id": 103384860,
"doc_id": "103384860",
"n_citations": 194,
"n_key_citations": 27,
"score": 1,
"title": "MOS (Metal Oxide Semiconductor) Physics and Technology",
"venue": "",
"year": 1986
},
{
"abstract": "",
"author_names": [
"K J S Cave"
],
"corpus_id": 96285445,
"doc_id": "96285445",
"n_citations": 175,
"n_key_citations": 14,
"score": 0,
"title": "MOS (Metal Oxide Semiconductor) Physics and Technology",
"venue": "",
"year": 1983
},
{
"abstract": "A sustainable energy future requires power electronics that can enable significantly higher efficiencies in the generation, distribution, and usage of electrical energy. Silicon carbide (4H SiC) is one of the most technologically advanced wide bandgap semiconductor that can outperform conventional silicon in terms of power handling, maximum operating temperature, and power conversion efficiency in power modules. While SiC Schottky diode is a mature technology, SiC power Metal Oxide Semiconductor Field Effect Transistors are relatively novel and there is large room for performance improvement. Specifically, major initiatives are under way to improve the inversion channel mobility and gate oxide stability in order to further reduce the on resistance and enhance the gate reliability. Both problems relate to the defects near the SiO2/SiC interface, which have been the focus of intensive studies for more than a decade. Here we review research on the SiC MOS physics and technology, including its brief history, the state of art, and the latest progress in this field. We focus on the two main scientific problems, namely, low channel mobility and bias temperature instability. The possible mechanisms behind these issues are discussed at the device physics level as well as the atomic scale, with the support of published physical analysis and theoretical studies results. Some of the most exciting recent progress in interface engineering for improving the channel mobility and fundamental understanding of channel transport is reviewed.",
"author_names": [
"Gang Liu",
"Blair R Tuttle",
"Sarit Dhar"
],
"corpus_id": 106897932,
"doc_id": "106897932",
"n_citations": 164,
"n_key_citations": 3,
"score": 0,
"title": "Silicon carbide: A unique platform for metal oxide semiconductor physics",
"venue": "",
"year": 2015
},
{
"abstract": "Metal oxide semiconductor (MOS) field effect transistor (FET) scaling is following the prediction of the Moore's law for the past 45 years, a key factor that enabled the IC industry to cope with the everlasting demand for higher performances. However, this scaling process becomes increasingly difficult as several limits from both process and device capabilities pop up as the technology node reaches 28nm and beyond. To stand the pace of downscaling, non classical devices are currently introduced in the roadmap. In this context, the junctionless FET is part of these attempts. It is a new emerging device that can potentially withstand the downscaling of CMOS technology as it still has an excellent control from the gate, a low leakage current, an expected enhancement in carrier transport, besides easier fabrication processes. This dissertation focuses on the physics and modeling of nanoscale junctionless double gate MOSFET and junctionless nanowire FETs. The first part of the thesis is focusing on junctionless transistors by discussing the advantages and limitations of such technology. A brief overview of existing models and the current status of symmetrical/asymmetrical operation of junctionless FETs in a planar double gate configuration as well as junctionless nanowires topologies will be presented. Next, the model that is developed in this thesis is detailed in different chapter, each of which will cover a specific aspect. The model relies on Poisson Boltzmann equation and on the drift diffusion transport to derive charges and current in long channel devices. It is based on two set of relationships to cover all the operating regions: from depletion to accumulation; from linear to saturation with no fitting parameter. Following a core analysis, more features are developed and added to the ideal long channel concept. This includes modeling short channel effects and DIBL, modeling full trans capacitance matrix for AC simulations, modeling thermal noise and induced gate noise, modeling the inversion layer to predict off state currents. Importantly, we have shown that equivalent symmetric devices could also be used to simulate asymmetric operation, which are likely to be the most common situation. In addition, the charge based approach developed along the thesis has also been generalized to the quite popular junctionless nanowire architecture. Regarding junctionless FETs, technological parameter are very critical. For instance, the device cannot be made of any dimension and doping otherwise it cannot be effectively switched off at a given current. Therefore, we also derived rules providing a design space tool with explicit links between silicon thickness and doping ensuring safe operation. Finally, since the mobility extraction in junctionless FETs is still an issue, we have developed a new method for a reliable measurement of free carriers mobility in real devices which does not assume any predefined mobility law. Based on these developments, the EPFL JL model was implemented into Hspice platforms to be used by circuit designers.",
"author_names": [
"Farzan Jazaeri"
],
"corpus_id": 111242847,
"doc_id": "111242847",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Modeling Junctionless Metal Oxide Semiconductor Field Effect Transistor",
"venue": "",
"year": 2015
},
{
"abstract": "This paper reports recent advances related to the piezoelectric oxide semiconductor field effect transistor (POS FET) based touch sensing system research. We reported in past, the POSFETs with basic electronics realized on planar silicon substrates using CMOS technology. However, the planar POSFETs could not be used on 3D or curved surfaces such as the fingertip of a robot. To overcome this challenge we are now investigating the ultra thin chip approach for obtaining bendable POSFETs tactile sensing array. This paper presents this approach towards obtaining bendable POSFETs. Furthermore, for the first time the theoretical behavior of POSFETs devices are examined by combining the piezoelectric capacitor model proposed and the physics of underlying metal oxide semiconductor (MOS) FETs in the linear and saturation regions. The device characteristic equations are simulated using MATLAB and comparable matching is achieved with the experimental measurements. The model result gives a unique insight into geometrical and material properties of piezoelectric polymer on the electrical properties of transistor for flexible electronics applications. Using this model, the Spice simulation of POSFET device in a single ended op amp configuration, and the effect of chip thickness on deflection are presented.",
"author_names": [
"Shoubhik Gupta",
"Hadi Heidari",
"Leandro Lorenzelli",
"Ravinder S Dahiya"
],
"corpus_id": 30433426,
"doc_id": "30433426",
"n_citations": 9,
"n_key_citations": 0,
"score": 0,
"title": "Towards bendable piezoelectric oxide semiconductor field effect transistor based touch sensor",
"venue": "2016 IEEE International Symposium on Circuits and Systems (ISCAS)",
"year": 2016
},
{
"abstract": "The introduction of lattice strain in impact ionization metal oxide semiconductor (I MOS) transistors for performance enhancement is reported. Lattice strain affects impact ionization and its impact on device performance is explained in relation to the physics of I MOS device operation. By integrating epitaxial silicon carbon (Si0.99C0.01) source and drain regions in a complementary MOS compatible fabrication process, strained n channel I MOS devices were fabricated. Tensile strain in the channel and impact ionization regions contributes to enhanced electron transport and device characteristics. The strained I MOS technology demonstrates an excellent subthreshold swing of 5.3mV/decade at room temperature. Compared to control I MOS devices with Si raised source/drain, strained I MOS devices show significantly higher drive current and a steeper subthreshold swing.",
"author_names": [
"Eng Huat Toh",
"Grace Huiqi Wang",
"G Q Lo",
"Lap Chan",
"Ganesh S Samudra",
"Yee-Chia Yeo"
],
"corpus_id": 123109685,
"doc_id": "123109685",
"n_citations": 23,
"n_key_citations": 0,
"score": 0,
"title": "Performance enhancement of n channel impact ionization metal oxide semiconductor transistor by strain engineering",
"venue": "",
"year": 2007
},
{
"abstract": "In this paper, we present a new compact drain current model for double gate or triple gate silicon on insulator (SOI) metal oxide semiconductor field effect transistors, which is based on a physics based 3 D analysis. Explicit analytical model equations for the height of the potential barrier are derived in closed form from a 3 D model for the channel electrostatics without the need to introduce any fitting parameter. The device current is described by a superposition of a surface channel current above threshold and a center current in the subthreshold region, accounting for the movement of the most leaky path in the device cross section. Comparison with Technology Computer Aided Design (TCAD) shows a good scalability of the model down to a gate length of 30 nm. Furthermore, the I V characteristics are compared with measurements and obtain accurate results down to an effective channel length of 53 nm.",
"author_names": [
"Alexander Kloes",
"Mike Schwarz",
"Thomas Holtij"
],
"corpus_id": 32183290,
"doc_id": "32183290",
"n_citations": 34,
"n_key_citations": 6,
"score": 0,
"title": "\\hbox{MOS}{3} A New Physics Based Explicit Compact Model for Lightly Doped Short Channel Triple Gate SOI MOSFETs",
"venue": "IEEE Transactions on Electron Devices",
"year": 2012
},
{
"abstract": "Atomic layer deposition ALD grown Al2O3 has excellent bulk and interface properties on III V compound semiconductors and is used as gate dielectric for GaAs and GaN metal oxide semiconductor field effect transistors MOSFETs The low temperature LT ALD technology enables us to fabricate 100 nm MOS structures on GaAs, defined by nanoimprint lithography. The electrical characterization of these nanostructured dielectrics demonstrates that the bulk oxide films and the oxide GaAs interfaces are of high quality even in nanometer scale. The submicron gate length GaAs MOSFET formed by LT ALD and lift off process shows well behaved transistor characteristics. This GaAs MOSFET process is ready to scale the gate length below 100 nm for ultra high speed or THz transistor applications. (c) 2005 American Institute of Physics. DOI: 10.1063/1.1954902",
"author_names": [
"P D Yea"
],
"corpus_id": 26418842,
"doc_id": "26418842",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Formation and characterization of nanometer scale metal oxide semiconductor structures on GaAs using low temperature atomic layer deposition",
"venue": "",
"year": 2005
},
{
"abstract": "MOS Physics and Technology: E. H. NICOLLIAN J. R. BREWS (J. Wiley, 1982,899 pp. PS51.75) A book of nearly 900 pages on the MOS system which does not quite arrive at the MOS transistor or integrated circuits sound as though it must be rather wordy. I suppose it is, but the words are very good. What we have here is a very detailed analysis ofthe metal oxide semiconductor structure which contains all the physics needed to understand the fine detail of MOS transistor characteristics and performance, coupled with a description of all the applications of MOS measurements to material assessment. The third major component of the book is more technological in nature and is concerned with the kinetics of oxide growth on silicon and the means of controlling oxide quality and particularly oxide charge. This section contains almost all one needs to know in order to make MOS transistors and integrated circuits. The analysis of the MOS structure is both very detailed and very fully explained hence the large number of words. However, this is justifiable because the substance is difficult to understand and presents more serious problems to the student than the bipolar transistor. Applications ofthe analysis include the determination of interface trap concentrations and distributions, and of their response times when the potential is changed, and of non uniformities in their distribution. Also included are measurements on the underlying semiconductor such as dopant distribution and minority carrier lifetime. There is a chapter on the instrumentation needed for these measurements. This is a very good book; it is easy to read and it is also precise. I don't know who will read it at this price, but I still think it is worth the money. DAVID C. NORTHROP, Department ofElectrical Engineering and Electronics. UMIST.",
"author_names": [
"David C Northrop"
],
"corpus_id": 116149905,
"doc_id": "116149905",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Book Review: MOS Physics and Technology",
"venue": "",
"year": 1983
}
] |
Resonant metamaterial detectors based on THz quantum-cascade structures | [
{
"abstract": "We present the design, fabrication and characterisation of an intersubband detector employing a resonant metamaterial coupling structure. The semiconductor heterostructure relies on a conventional THz quantum cascade laser design and is operated at zero bias for the detector operation. The same active region can be used to generate or detect light depending on the bias conditions and the vertical confinement. The metamaterial is processed directly into the top metal contact and is used to couple normal incidence radiation resonantly to the intersubband transitions. The device is capable of detecting light below and above the reststrahlenband of gallium arsenide corresponding to the mid infrared and THz spectral region.",
"author_names": [
"Alexander Benz",
"Michael Krall",
"Stefan Schwarz",
"Daniel R Dietze",
"Hermann Detz",
"A M Andrews",
"Werner Schrenk",
"Gottfried Strasser",
"Karl Unterrainer"
],
"corpus_id": 179624,
"doc_id": "179624",
"n_citations": 29,
"n_key_citations": 0,
"score": 1,
"title": "Resonant metamaterial detectors based on THz quantum cascade structures",
"venue": "Scientific reports",
"year": 2014
},
{
"abstract": "We present the design, fabrication and characterization of a resonant metamaterial detector based on a THz quantum cascade laser. The same active region can be used to generate and detect the light leading to miniaturized and integrated optical systems in the THz spectral range.",
"author_names": [
"Alexander Benz",
"Stefan Schwarz",
"Michael Krall",
"Daniel R Dietze",
"Martin Brandstetter",
"Christoph Deutsch",
"Karl Unterrainer",
"Hermann Detz",
"Aaron Maxwell Andrews",
"Werner Schrenk",
"Gottfried Strasser"
],
"corpus_id": 110040891,
"doc_id": "110040891",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Resonant Metamaterial Detectors Utilizing THz Quantum Cascade Lasers",
"venue": "",
"year": 2012
},
{
"abstract": "Imaging detector research on terahertz (1 THz=1012Hz) wavelength region is a hot topic in recent years. So far, the terahertz wave has shown considerable application potential in advanced imaging of some special targets1. The terahertz imaging has a relatively high resolution correspondent to common radio frequency imaging. It takes object surface shape and internal structure information2, which can not be captured by current mature optics imaging means or conventional infrared imaging methods. However, for a long time, due to the lack of effective terahertz detection techniques3 as well as most materials in nature inherently do not respond to THz radiation, or demonstrate a very weak response even not be utilized, it limits the development of terahertz theory and related technologies and applications especial in the terahertz detection fields, and thus leading to the progress and applications in THz regime lagging behind the rapid development of other electromagnetic spectrum. To realize the useful potential detecting applications of THz radiation, considerable efforts are underway for filling the 'THz gap' Some new type of materials such as typical metamaterial are really needed for constructing detecting architectures. Metamaterials4, which are artificially structured material, consists of subwavelength metallic resonators within or onto a dielectric or semiconducting substrate. Research and applications5,6 show that they already exhibit attractive electromagnetic properties, which are not available in naturally materials. Therefore, they can be used to enhance the optoelectronic response ability so as to efficiently manipulate, control, and detect electromagnetic radiation by particularly designed metamaterial micro nano structure. In addition, by scaling their size, we can scale their response from radio frequency to optical wavelength region, which means we can design metamaterials detector operated at desired frequency in a very wide frequency range, for example from UV IR Microwave RF, but in THz region is our key topic content in this paper. As demonstrated that metamaterials can be used to remarkably response incident THz radiation with both electric and magnetic resonant mode7, metamaterials micro nano structures are potential for future THz detection. By constructing metamaterials detectors for relatively wide THz wave, we can realize THz sensing and further imaging. In this paper, we design and simulate an electrically resonant terahertz metamaterial sensing unit. This kind of metamaterial micro nano structure can present an obvious response at 0.78 THz with a strong electrical resonant at the split ring resonator(SRR) gap, and thus provides a possibility to obtain the electrical signal so as to achieve THz sensing. By analyzing the simulation results, we summarize the feasibility of terahertz detection, and come out a layout of terahertz detector by scaling the size of metamaterial detector unit, we can obtain unit detector architecture that also resonant at other frequencies and finally lead to realize multispectral imaging.",
"author_names": [
"Hongwu Ji",
"Jun Luo",
"Xinyu Zhang",
"Hongshi Sang",
"Changsheng Xie"
],
"corpus_id": 108518592,
"doc_id": "108518592",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Modeling and simulation of THz detectors based on electrical resonant metamaterial micro nano structures",
"venue": "Other Conferences",
"year": 2013
},
{
"abstract": "In order to understand the formation of massive young stars, observation of a major coolant of dense interstellar medium, neutral atomic oxygen which has a fine structure line at 4.7448 THz, is an important task. It requires high sensitivity and high resolution spectroscopy systems in the terahertz (THz) frequency range. One of such systems is in the Stratospheric Observatory For Infrared Astronomy (SOFIA) It essentially requires stable local oscillator (LO) source for heterodyne detection. Therefore, we explore a field effect transistor based THz detector (TeraFET) with an integrated resonant patch antenna fabricated in commercially available standard 90 nm CMOS technology. At 4.75 THz, the detector exhibits an area normalized minimal noise equivalent power (NEP) of 404 pW/Hz and a maximum responsivity of 75 V/W where the effective area is 1750 mm2 and directivity reaches 7.4 dBi. These sensitivity and responsivity values contributes to the state of the art for room temperature electronic detectors operating at around 4.7 THz. We demonstrate experimentally, that the TeraFET detector can monitor the intensity of THz QCL radiation using only a small fraction of the beam power with S/N ratio of 40 dB and does not require chopping and could be employed in a heterodyne instrument.",
"author_names": [
"Kestutis Ikamas",
"Maris Bauer",
"Jonas Matukas",
"Alvydas Lisauskas",
"Heiko Richter",
"Viktor Krozer",
"Heinz-Wilhelm Hubers",
"Hartmut G Roskos"
],
"corpus_id": 202665947,
"doc_id": "202665947",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Field Effect Transistor Based Detector for Measuring Power Fluctuations of 4 75 THz Quantum Cascade Laser Generated Radiation",
"venue": "",
"year": 2018
},
{
"abstract": "Heterodyne spectroscopy of molecular rotational lines and atomic fine structure lines is a powerful tool in astronomy and planetary research. It allows for the study of the chemical composition, the evolution, and the dynamical behaviour of astronomical objects such as molecular clouds and star forming regions. For frequencies beyond 2 THz, SOFIA, the Stratospheric Observatory for Infrared Astronomy, is currently the only platform which allows for heterodyne spectroscopy at these frequencies. One example is the OI fine structure line at 4.7 THz, which is a main target to be observed with GREAT, the German Receiver for Astronomy at Terahertz Frequencies, on board of SOFIA. We report on the development of a 4.7 THz local oscillator (LO) for the heterodyne spectrometer GREAT on SOFIA. The LO combines a quantum cascade laser (QCL) with a compact, low input power Stirling cooler. The 4.7 THz QCL is based on a hybrid design and has been developed for continuous wave operation, high output powers, and low electrical pump powers [1] Efficient carrier injection is achieved by resonant longitudinal optical phonon scattering. This design allows for an operating voltage below 6 V. The amount of generated heat complies with the cooling capacity of the Stirling cooler of 7 W at 65 K with 240 W of electrical input power [2] Frequency stabilization is achieved by locking the emission from the QCL to an absorption line of CH3OH using a pyroelectric detector and a PID control loop [3] The design of the LO and its performance in terms of output power, frequency accuracy, frequency stability, and beam profile as well as its implementation in GREAT will be presented. [1] L. Schrottke, M. Wienold, R. Sharma, X. Lu, K. Biermann, R. Hey, A. Tahraoui, H. Richter, H. W. Hubers, H. T. Grahn, Semicond. Sci. Technol. 28, 03511 (2013) [2] H. Richter, M. Greiner Bar, S. G. Pavlov, A. D. Semenov, M. Wienold, L. Schrottke, M. Giehler, R. Hey, H. T. Grahn, and H. W. Hubers, Opt. Express 18, 1017710187 (2010) [3] H. Richter, S. G. Pavlov, A. D. Semenov, L. Mahler, A. Tredicucci, H. E. Beere, D. A. Ritchie, and H. W. Hubers, Appl. Phys. Lett. 96, 071112 (2010)",
"author_names": [
"Heiko Richter",
"Alexej D Semenov",
"M Wienold",
"Lutz Schrottke",
"Klaus Biermann",
"Holger T Grahn",
"Heinz-Wilhelm Hubers"
],
"corpus_id": 112116183,
"doc_id": "112116183",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "A 4.7 THz quantum cascade laser as local oscillator for the GREAT heterodyne spectrometer on SOFIA",
"venue": "",
"year": 2014
},
{
"abstract": "Abstract The electromagnetic response of the reported metamaterial is usually fixed, which greatly limits practical applications. Microstructural reconfiguration produces a drastic and dynamic controllability in metamaterials response that typically depend on shape, size, and distribution of metaatoms. Periodic rotatable double split resonant rings (DSRRs) structured on an ultrathin dielectric are adopted to design a micromechanical tunable 3 dimensional metamaterial (MT3DM) The powerful tunability quantified by transmissivity is conveniently achieved by mechanically changing location of gap in inner split ring. Modulation of transmissivity amplitude, resonance frequency, and band width reaches 54.41 dB, 0.31 THz, and 0.08 THz, respectively. The microstructure, equivalent circuit model, and reconfiguration mechanism of the proposed MT3DM are deeply discussed. The adjustable resonance can be easily scaled to the entire spectrum by geometrically regulating. Based on the powerful controllability, the proposed MT3DM can by widely applied in biochemical sensing, function materials, and electromagnetic devices including antenna, switch, absorber, and detector.",
"author_names": [
"Zeng Qu",
"Yong Zhang",
"Binzhen Zhang"
],
"corpus_id": 126430523,
"doc_id": "126430523",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "A micromechanical tunable 3D metamaterial based on periodic rotatable double split resonant rings",
"venue": "",
"year": 2018
},
{
"abstract": "We provide an analysis of the electromagnetic modes of three dimensional metamaterial resonators in the THz frequency range. The fundamental resonance of the structures is fully described by an analytical circuit model, which not only reproduces the resonant frequencies but also the coupling of the metamaterial with an incident THz radiation. We also demonstrate the contribution of the propagation effects, and show how they can be reduced by design. In the optimized design, the electric field energy is lumped into ultra subwavelength (l/100) capacitors, where we insert a semiconductor absorber based on the collective electronic excitation in a two dimensional electron gas. The optimized electric field confinement is exhibited by the observation of the ultra strong light matter coupling regime, and opens many possible applications for these structures in detectors, modulators and sources of THz radiation.",
"author_names": [
"Mathieu Jeannin",
"Djamal Gacemi",
"Angela Vasanelli",
"Lianhe Li",
"Alexander Giles Davies",
"Edmund Linfield",
"Giorgio Biasol",
"Carlo Sirtori",
"Yanko Todorov"
],
"corpus_id": 211258660,
"doc_id": "211258660",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Quasi static and propagating modes in three dimensional THz circuits.",
"venue": "Optics express",
"year": 2020
},
{
"abstract": "The inherent limitations of metal based metamaterials are the key factors limiting the rapid development of the field of flexible terahertz metamaterials. The advantages of carbon nanotube based materials and devices in terms of weight, cost, and flexibility of free bending, make them of great use for flexible terahertz metamaterials and devices. Here, a flexible terahertz metamaterial sensor, based on a subwavelength periodic array structure of carbon nanotube thin films, is reported. The proposed flexible metamaterial can achieve the surface plasmon resonance to generate local field enhancement phenomenon, resulting in enhanced resonance transmission peaks. We observed that the resonant frequency and amplitude modulation can be continuously adjusted when the device is subjected to a small external strain. In addition, we found that the terahertz transmission spectrum changes significantly when analytes or dielectric layers, with different refractive indices, thicknesses, or carrying pore defects, are added on the surface of the carbon nanotubes film or below the polyimide substrate of the flexible metamaterials sensor in the bent state. Our results show that these materials and designed device strategies will aid in developing new terahertz functional devices, such as strain sensors, biochemical sensors, curved surface defect detectors, and wearable terahertz imagers.",
"author_names": [
"Suguo Chen"
],
"corpus_id": 235583801,
"doc_id": "235583801",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Carbon nanotube based flexible metamaterials for THz sensing",
"venue": "",
"year": 2021
},
{
"abstract": "Terahertz (THz) photodetectors have attracted great attention from scientists worldwide for their application in security checking, biomedical treatment and astronomical observation of remote stars and distant galaxies. As a typical THz detector, extrinsic GaAs based photoconductive detector is facing critical technical bottlenecks in the epitaxial growth of sufficiently thick and high quality GaAs absorption layer. In this work, a novel THz photoconductive detector based on metamaterial/GaAs/electrode layer hybrid structure was designed and simulated. By setting the periodic split ring resonator (SRR) structure as 88 mm pitch with 8 mm width, the absorption peaks exist at the wavelength of about 142 and 367 mm, which originate from the resonant cavity and the SRR dipole resonance effect, and the novel device shows a significant enhancement compared with the conventional GaAs photoconductive detector. Thus, the necessary thickness of GaAs absorption layer is largely reduced, and the resonant absorption peak can be modulated by changing the thickness of absorption layer. This work provides a novel device structure which can solve the critical epitaxial growth bottleneck of GaAs photoconductive detector and used for the astronomical observation, security check, etc.",
"author_names": [
"Yulu Chen",
"Xiong Yang",
"Wulin Tong",
"Bingbing Wang",
"Chuansheng Zhang",
"Haoxing Zhang",
"Yongshan Hu",
"Ming Pan",
"Xiaodong Wang"
],
"corpus_id": 132253189,
"doc_id": "132253189",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "The simulation on absorption properties of metamaterial/GaAs/electrode layer hybrid structure based Terahertz photoconductive detector",
"venue": "Optical and Quantum Electronics",
"year": 2019
},
{
"abstract": "Wide angle polarization insensitive triple band perfect metamaterial absorbers (PMAs) based on single resonators are investigated. Generally speaking, the single resonator can only generate an absorption peak induced by the excitation of a fundamental resonance. Here, the designed absorbers with a single cave ring resonator appear three perfect peaks for different polarization angles at 6.53 THz, 7.09 THz and 7.64 THz. For the case of the transverse electric (TE) mode, the resonant peaks are controlled by the angle of incidence. Compared with previous studies about angular stability, the absorptivity still exceeds 92% around 6.53THz even when the incident angle for the TE mode is up to 80deg. For the case of the transverse magnetic (TM) mode, the absorptance at 7.64 THz is still greater than 92% even up to 70deg. Simulated electric field (Ez) and magnetic field /H/ distributions indicate that perfect absorption arises from the excitation of the multipolar response and surface plasmons. Besides, the complementary structure also displays three absorption peaks. We have demonstrated that simple ultrathin PMA has good absorption stability under an oblique incidence up to 70deg at 2.62 THz, and that the resonance frequency at 2.62 THz is almost unchanged for the incident angle ranging from 0deg to 70deg. These proposed absorbers may be used in many applications, such as THz imaging, sensors, and detectors.",
"author_names": [
"Xiutao Huang",
"Conghui Lu",
"Cancan Rong",
"Minghai Liu"
],
"corpus_id": 125995212,
"doc_id": "125995212",
"n_citations": 8,
"n_key_citations": 0,
"score": 0,
"title": "Wide angle perfect metamaterial absorbers based on cave rings and the complementary patterns",
"venue": "",
"year": 2018
}
] |
New Semiconductors with the Chalcopyrite Structure | [
{
"abstract": "Compounds of the chalcopyrite group are related to well known semiconductors such as Ge and the zinc blende compounds. This relationship is discussed briefly and some new data are presented regarding the preparation and properties of five chalcopyrite compounds and",
"author_names": [
"I G Austin",
"C H L Goodman",
"Albert Pengelly"
],
"corpus_id": 96710750,
"doc_id": "96710750",
"n_citations": 105,
"n_key_citations": 2,
"score": 1,
"title": "New Semiconductors with the Chalcopyrite Structure",
"venue": "",
"year": 1956
},
{
"abstract": "",
"author_names": [
"Ulrich K Rossler"
],
"corpus_id": 99360656,
"doc_id": "99360656",
"n_citations": 6,
"n_key_citations": 0,
"score": 0,
"title": "New Data and Updates for several Semiconductors with Chalcopyrite Structure, for several II VI Compounds and diluted magnetic IV VI Compounds",
"venue": "",
"year": 2013
},
{
"abstract": "The wide class of algorithms of computer training was used for design of new wide bandgap semiconductors with composition ABC 2 (A and B various chemical elements; C S, Se, Te, N, P, As, or Sb) with chalcopyrite crystal structure type. Application of methodology of collectives of algorithms in order to make a decision about belonging of substance to one or another class of phases has allowed considerably increase of reliability of the prediction of inorganic compound properties.",
"author_names": [
"Nadezhda N Kiselyova",
"Andrey Stolyarenko",
"T Gu",
"W Lu"
],
"corpus_id": 110906368,
"doc_id": "110906368",
"n_citations": 6,
"n_key_citations": 0,
"score": 0,
"title": "Computer aided design of new wide bandgap semiconductors with chalcopyrite structure",
"venue": "",
"year": 2007
},
{
"abstract": "This research work is synthesized to investigate of the fundamental properties of chalcopyrite materials using the first principles methods in order to simulate a new hetero junction structure of thin films photovoltaic cells with reasonable conversion efficiency. For that, we get the results of calculations based on Density Functional Theory (DFT) using the full potential linearized augmented plane wave method (FP LAPW) as implemented in the WIEN2K computational package. For the exchange correlation potential, the local density approximation (LDA) was utilized to calculate the structural and optoelectronic properties of the chalcopyrite semiconductors compounds Copper IndiumGallium Selenium (CuIn1 xGaxSe4) The semi local Becke Johnson (mBJ) potential was also used for electronic band structures, densities of states and the optical properties. The achieved results were compared to computational and experimental works. As perspective, we propose a study of modeling and simulation to predict the possibility of realizing an ultra thin films based (CIGS) solar cells with high photovoltaic efficiency using one from the popular solar cell simulation tools as SILVACO ATLAS or AMPS 1D.",
"author_names": [
"Moufdi Hadjab",
"Samah Boudour",
"F Bouzid",
"Abderrahim Hadj Larbi",
"Hassene Nezzari"
],
"corpus_id": 139508096,
"doc_id": "139508096",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Computational study of the fundamental properties of chalcopyrite semiconductors, for photovoltaic applications: Density Functional Theory",
"venue": "",
"year": 2017
},
{
"abstract": "Although new photovoltaic materials, such as organic perovskites, have attracted much attention recently,\\cite{perov1,perov2,perov3} zinc blende semiconductors still play an important role, owing to their high efficiency and stability in real environments. For example, Cu$_2$ZnSnSe$_4$ \\cite{AM2013} (CZTS) and Cu(In,Ga)Se$_2$ \\cite{JACS2008} (CIGS) which are both based on chalcopyrite CuGa$X_2$ $X= S, Se) have been considered as potential candidates for photovoltaics. It has been reported recently that the efficiency of CIGS has gone beyond 20\\ which is close to that of polycrystalline s ilicon.\\cite{efficiency2014} Furthermore, photovoltaic devices related to CZTS have gained attention because they solely contain abundant and nontoxic materials.\\cite{AM2013,CZTS}",
"author_names": [
"Wang Ze-lian",
"Xie Wen-hui",
"Zhao Yong-Hong"
],
"corpus_id": 119482982,
"doc_id": "119482982",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Tunable band structure and effective mass of disordered chalcopyrite",
"venue": "",
"year": 2016
},
{
"abstract": "In recent years the phonons and the electron phonon interaction of binary tetrahedral semiconductors have been profusely investigated by ab initio techniques and compared with experimental results. Of particular interest have been binary compounds in which the cations contain semi core d electrons (CuCl, CuI, AgI) which display anomalies related to the semi core d states (3dCuCl, 4dAgI) Here we present the corresponding data and anomalies which have been observed in ternary compounds of chalcopyrite structure (e.g. CuGaS2, AgGaX2 (X S, Se, Te) We present new ab initio calculations of the phonon dispersion relations of AgGaS2 and compare them with available Raman and IR data. Anomalies in the temperature dependence of the electronic gaps, which have been found in the binary chalcogenides, are also hinted at by the results for the ternary compounds with chalcopyrite structure. In view of the large number of atomic combinations possible for these materials (AgGaS2, AgGaSe2, CuGaTe2, we believe that.",
"author_names": [
"Manuel Cardona",
"Reinhard K Kremer",
"Rudolf Lauck",
"Aldo H Romero",
"Alfonso Munoz",
"Arnold Burger"
],
"corpus_id": 98367807,
"doc_id": "98367807",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Phonons and electrons in chalcopyrite semiconductors",
"venue": "",
"year": 2012
},
{
"abstract": "The crystal structure of the ordered vacancy compound (OVC) Cu3In7Te12 is analyzed using powder X ray diffraction data. It is found that this OVC crystallizes with a chalcopyrite related structure, in the tetragonal space group P 4 2c (No 112) with unit cell parameters and volume a 6.1720(2) A, c 12.3597(8) A, and V 470.83(4) A3. The Rietveld refinement of 28 instrumental and structural parameters led to Rp 9.27 Rwp 10.30 Rexp 6.95% and S 1.48, for 4501 step intensities and 130 independent reflections, respectively. This compound is isostructural with Cu3In7Se12, and has a defect adamantane structure. Keywords: semiconductors, ordered vacancy compounds, crystal structure, X ray diffraction, Rietveld.",
"author_names": [
"Gerzon Eusebio Delgado Arciniegas",
"E Guedez",
"Gerardo Sanchez-Perez",
"Carlos Rincon",
"Gustavo Marroquin"
],
"corpus_id": 182225440,
"doc_id": "182225440",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Evidence of a new ordered vacancy crystal structure in the compound Cu3In7Te12",
"venue": "",
"year": 2019
},
{
"abstract": "b NaFeO2 structure is an orthorhombic wurtzite derived structure, of which the structural relationship with wurtzite structure is similar to that of the chalcopyrite sturcture with zincblende structure. b LiGaO2, b AgGaO2 and b AgAlO2 are known as materials possessing the b NaFeO2 structure; however, studies on the wurtzite derived ternary oxide semiconductors are quite limited. Recently, we demonstrated the band gap engineering of zinc oxide by alloying with wurtzite type b AgGaO2, and the band gap of ZnO was reduced to 2.55 eV by this alloying. Very recently, a new wurtzite type ternary compound, b CuGaO2, was found out. Its energy band gap was 1.47 eV, and it exhibited p type conduction. The first principle calculation indicated that b CuGaO2 is a direct semiconductor; therefore it is suitable to use in optoelectronic devices. Taking the 1.47 eV of the band gap and p type electronic conduction into account, b CuGaO2 is a promising material for the thin film solar cell absorber. These new ternary oxide semiconductors possessing wurtzite derived structure expanded the energy region that the oxide semiconductors cover into visible and near infrared region.",
"author_names": [
"Takahisa Omata"
],
"corpus_id": 122996059,
"doc_id": "122996059",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Ternary and quaternary wurtzite type oxide semiconductors: new materials and their properties",
"venue": "Photonics West Optoelectronic Materials and Devices",
"year": 2014
},
{
"abstract": "The sections in this article are Introduction Basic Principles of Solar Energy Conversion Technology of Solar Cell Devices Fundamental Material Parameters Monocrystalline and Polycrystalline Silicon High Quality Silicon Czochralski and Tri Crystal Growth Defect Structure and Material Properties Multicrystalline Silicon Ingot Growth Technologies Defect Structure and Electronic Properties Ribbon Growth Technologies Technological Development Microstructure and Electronic Properties Properties of Efficiency Limiting Defects Oxygen and Carbon Related Defects Gettering of Transition Metals Hydrogen Passivation Thin Film Silicon Polycrystalline Thin Films Microcrystalline and Amorphous Films Optical and Electronic Transport Properties Polycrystalline Films Amorphous Solar Cells Polycrystalline Thin Film Compound Semiconductors Cadmium Telluride Processing Techniques and Related Material Problems Electronic Properties Chalcopyrite Semiconductors General Properties of CuInSe2 and Related Compounds Deposition Techniques Electronic Properties Special Solar Cell Concepts High Efficiency Solar Cell Materials Dye Sensitized TiO2",
"author_names": [
"Hans Joachim Moller"
],
"corpus_id": 135555303,
"doc_id": "135555303",
"n_citations": 2,
"n_key_citations": 1,
"score": 0,
"title": "New Materials: Semiconductors for Solar Cells",
"venue": "",
"year": 2013
},
{
"abstract": "Abstract We report the structural and electronic properties of a new class of semi conducting compound namely II O 1 x VI x (II Zn, Cd and VI S, Se, Te) We have performed total energy calculations of various structures (chalcopyrite, rocksalt, zincblende, wurtzite and CuAu I) From this study it has been found that these compounds are direct band semiconductors and from the energy considerations we found that these compounds are more stable in the chalcopyrite structure type rather than in the rock salt or wurtzite structure type. The value of band gap, bulk modulus, heat of formation, cohesive energy, the disorder parameter (gap bowing) and the refractive index are deduced and reported for the first time to our knowledge.",
"author_names": [
"Rajalingam Thangavel",
"Mathrubutham Rajagopalan",
"J Kumar"
],
"corpus_id": 97308594,
"doc_id": "97308594",
"n_citations": 6,
"n_key_citations": 0,
"score": 0,
"title": "First principle calculations of structural stability of II O1 xVIx (II Zn, Cd and VI S, Se, Te) ordered chalcopyrite semiconductors",
"venue": "",
"year": 2008
}
] |
Single-shot compressed ultrafast photography at one hundred billion frames per second | [
{
"abstract": "The capture of transient scenes at high imaging speed has been long sought by photographers, with early examples being the well known recording in 1878 of a horse in motion and the 1887 photograph of a supersonic bullet. However, not until the late twentieth century were breakthroughs achieved in demonstrating ultrahigh speed imaging (more than 105 frames per second) In particular, the introduction of electronic imaging sensors based on the charge coupled device (CCD) or complementary metal oxide semiconductor (CMOS) technology revolutionized high speed photography, enabling acquisition rates of up to 107 frames per second. Despite these sensors' widespread impact, further increasing frame rates using CCD or CMOS technology is fundamentally limited by their on chip storage and electronic readout speed. Here we demonstrate a two dimensional dynamic imaging technique, compressed ultrafast photography (CUP) which can capture non repetitive time evolving events at up to 1011 frames per second. Compared with existing ultrafast imaging techniques, CUP has the prominent advantage of measuring an x y t (x, y, spatial coordinates; t, time) scene with a single camera snapshot, thereby allowing observation of transient events with temporal resolution as tens of picoseconds. Furthermore, akin to traditional photography, CUP is receive only, and so does not need the specialized active illumination required by other single shot ultrafast imagers. As a result, CUP can image a variety of luminescent such as fluorescent or bioluminescent objects. Using CUP, we visualize four fundamental physical phenomena with single laser shots only: laser pulse reflection and refraction, photon racing in two media, and faster than light propagation of non information (that is, motion that appears faster than the speed of light but cannot convey information) Given CUP's capability, we expect it to find widespread applications in both fundamental and applied sciences, including biomedical research.",
"author_names": [
"Liang Gao",
"Jinyang Liang",
"Chiye Li",
"Lihong V Wang"
],
"corpus_id": 488370,
"doc_id": "488370",
"n_citations": 300,
"n_key_citations": 11,
"score": 1,
"title": "Single shot compressed ultrafast photography at one hundred billion frames per second",
"venue": "Nature",
"year": 2014
},
{
"abstract": "Abstract. Compressed ultrafast photography (CUP) is a burgeoning single shot computational imaging technique that provides an imaging speed as high as 10 trillion frames per second and a sequence depth of up to a few hundred frames. This technique synergizes compressed sensing and the streak camera technique to capture nonrepeatable ultrafast transient events with a single shot. With recent unprecedented technical developments and extensions of this methodology, it has been widely used in ultrafast optical imaging and metrology, ultrafast electron diffraction and microscopy, and information security protection. We review the basic principles of CUP, its recent advances in data acquisition and image reconstruction, its fusions with other modalities, and its unique applications in multiple research fields.",
"author_names": [
"Dalong Qi",
"Shian Zhang",
"Chengshuai Yang",
"Yilin He",
"Fengyan Cao",
"Jiali Yao",
"Pengpeng Ding",
"Liang Gao",
"Tianqing Jia",
"Jinyang Liang",
"Zhenrong Sun",
"Lihong V Wang"
],
"corpus_id": 211554078,
"doc_id": "211554078",
"n_citations": 19,
"n_key_citations": 0,
"score": 0,
"title": "Single shot compressed ultrafast photography: a review",
"venue": "",
"year": 2020
},
{
"abstract": "Simultaneous and efficient ultrafast recording of multiple photon tags contributes to high dimensional optical imaging and characterization in numerous fields. Existing high dimensional optical imaging techniques that record space and polarization cannot detect the photon's time of arrival owing to the limited speeds of the state of the art electronic sensors. Here, we overcome this long standing limitation by implementing stereo polarimetric compressed ultrafast photography (SP CUP) to record light speed high dimensional events in a single exposure. Synergizing compressed sensing and streak imaging with stereoscopy and polarimetry, SP CUP enables video recording of five photon tags (x, y, z: space; t: time of arrival; and ps: angle of linear polarization) at 100 billion frames per second with a picosecond temporal resolution. We applied SP CUP to the spatiotemporal characterization of linear polarization dynamics in early stage plasma emission from laser induced breakdown. This system also allowed three dimensional ultrafast imaging of the linear polarization properties of a single ultrashort laser pulse propagating in a scattering medium.",
"author_names": [
"Jinyang Liang",
"Pingshan Wang",
"Liren Zhu",
"Lihong V Wang"
],
"corpus_id": 223554304,
"doc_id": "223554304",
"n_citations": 8,
"n_key_citations": 0,
"score": 0,
"title": "Single shot stereo polarimetric compressed ultrafast photography for light speed observation of high dimensional optical transients with picosecond resolution",
"venue": "Nature communications",
"year": 2020
},
{
"abstract": "We present single shot real time video recording of light scattering dynamics by second generation compressed ultrafast photography (G2 CUP) Using G2 CUP at 100 billion frames per second, in a single camera exposure, we experimentally captured the evolution of the light intensity distribution in an engineered thin scattering plate assembly. G2 CUP, which implements a new reconstruction paradigm and a more efficient hardware design than its predecessors, markedly improves the reconstructed image quality. The ultrafast imaging reveals the instantaneous light scattering pattern as a photonic Mach cone. We envision that our technology will find a diverse range of applications in biomedical imaging, materials science, and physics.",
"author_names": [
"Jinyang Liang",
"Cheng Ma",
"Liren Zhu",
"Yujia Chen",
"Liang Gao",
"Lihong V Wang"
],
"corpus_id": 125232181,
"doc_id": "125232181",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Ultrafast imaging of light scattering dynamics using second generation compressed ultrafast photography",
"venue": "BiOS",
"year": 2017
},
{
"abstract": "Fluorescent lifetime imaging is an optical technique that facilitates imaging molecular interactions and cellular functions. Because the excited lifetime of a fluorophore is sensitive to its local microenvironment,1, 2 measurement of fluorescent lifetimes can be used to accurately detect regional changes in temperature, pH, and ion concentration. However, typical state of the art fluorescent lifetime methods are severely limited when it comes to acquisition time (on the order of seconds to minutes) and video rate imaging. Here we show that compressed ultrafast photography (CUP) can be used in conjunction with fluorescent lifetime imaging to overcome these acquisition rate limitations. Frame rates up to one hundred billion frames per second have been demonstrated with compressed ultrafast photography using a streak camera.3 These rates are achieved by encoding time in the spatial direction with a pseudo random binary pattern. The time domain information is then reconstructed using a compressed sensing algorithm, resulting in a cube of data (x,y,t) for each readout image. Thus, application of compressed ultrafast photography will allow us to acquire an entire fluorescent lifetime image with a single laser pulse. Using a streak camera with a high speed CMOS camera, acquisition rates of 100 frames per second can be achieved, which will significantly enhance our ability to quantitatively measure complex biological events with high spatial and temporal resolution. In particular, we will demonstrate the ability of this technique to do single shot fluorescent lifetime imaging of cells and microspheres.",
"author_names": [
"Jonathan V Thompson",
"John David Mason",
"Hope T Beier",
"Joel N Bixler"
],
"corpus_id": 125958725,
"doc_id": "125958725",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "High speed fluorescence imaging with compressed ultrafast photography",
"venue": "BiOS",
"year": 2017
},
{
"abstract": "We have developed lossless compressed ultrafast photography (LLE CUP) a single shot receive only ultrafast imaging modality with an imaging speed of 100 billion frames per second. Using LLE CUP, we have imaged, for the first time, a scattering induced propagating photonic Mach cone in real time.",
"author_names": [
"Jinyang Liang"
],
"corpus_id": 33441842,
"doc_id": "33441842",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Lossless encoding compressed ultrafast photography: Capturing light speed scattering dynamics in a snapshot",
"venue": "2017 Photonics North (PN)",
"year": 2017
},
{
"abstract": "Lossless encoding compressed ultrafast photography captures a movie of a photonic Mach cone at 100 billion frames per second. Ultrafast video recording of spatiotemporal light distribution in a scattering medium has a significant impact in biomedicine. Although many simulation tools have been implemented to model light propagation in scattering media, existing experimental instruments still lack sufficient imaging speed to record transient light scattering events in real time. We report single shot ultrafast video recording of a light induced photonic Mach cone propagating in an engineered scattering plate assembly. This dynamic light scattering event was captured in a single camera exposure by lossless encoding compressed ultrafast photography at 100 billion frames per second. Our experimental results are in excellent agreement with theoretical predictions by time resolved Monte Carlo simulation. This technology holds great promise for next generation biomedical imaging instrumentation.",
"author_names": [
"Jinyang Liang",
"Cheng Ma",
"Liren Zhu",
"Yujia Chen",
"Liang Gao",
"Lihong V Wang"
],
"corpus_id": 1013005,
"doc_id": "1013005",
"n_citations": 59,
"n_key_citations": 1,
"score": 0,
"title": "Single shot real time video recording of a photonic Mach cone induced by a scattered light pulse",
"venue": "Science Advances",
"year": 2017
},
{
"abstract": "Video recording of ultrafast phenomena using a detector array based on the CCD or CMOS technologies is fundamentally limited by the sensor's on chip storage and data transfer speed. To get around this problem, the most practical approach is to utilize a streak camera. However, the resultant image is normally one dimensional only a line of the scene can be seen at a time. Acquiring a two dimensional image thus requires mechanical scanning across the entire field of view. This requirement poses severe restrictions on the applicable scenes because the event itself must be repetitive. To overcome these limitations, we have developed a new computational ultrafast imaging method, referred to as compressed ultrafast photography (CUP) which can capture two dimensional dynamic scenes at up to 100 billion frames per second. Based on the concept of compressed sensing, CUP works by encoding the input scene with a random binary pattern in the spatial domain, followed by shearing the resultant image in a streak camera with a fully opened entrance slit. The image reconstruction is the solution of the inverse problem of above processes. Given sparsity in the spatiotemporal domain, the original event datacube can be reasonably estimated by employing a two step iterative shrinkage/thresholding algorithm. To demonstrate CUP, we imaged light reflection, refraction, and racing in two different media (air and resin) Our technique, for the first time, enables video recording of photon propagation at a temporal resolution down to tens of picoseconds. Moreover, to further expand CUP's functionality, we added a color separation unit to the system, thereby allowing simultaneous acquisition of a four dimensional datacube (x,y,t,l) where l is wavelength, within a single camera snapshot.",
"author_names": [
"Liang Gao"
],
"corpus_id": 57505253,
"doc_id": "57505253",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Compressed ultrafast photography (CUP) redefining the limit of passive ultrafast imaging (Conference Presentation)",
"venue": "SPIE BiOS",
"year": 2016
},
{
"abstract": "An imaging technique has been developed that can record non repetitive ultrafast phenomena without strobe or flash illumination. The approach could find applications in biomedicine and security technologies. See Letter p.74 With the advent of fast electronic imaging sensors in the late 1960s, ultrafast photography became possible. Events even faster than a nanosecond can be captured with a streak camera a device that records a single extended frame rather than a sequence of frames. However, this technique is inherently one dimensional: to capture a two dimensional image, the camera needs to scan the scene stepwise, requiring the event to be repetitive. Gao et al. now demonstrate a technique based on compressed imaging with a streak camera that can video record non repetitive transient events in two dimensions at 100 billion frames per second, a temporal resolution down to tens of picoseconds. To demonstrate the potential of the technique, dubbed compressed ultrafast photography or CUP, the authors demonstrate ultra fast imaging of laser pulses being reflected and refracted, and of photons racing in two media, as well as apparent faster than light propagation of non information. It should be possible to couple CUP to anything from microscopes to telescopes.",
"author_names": [
"Brian W Pogue"
],
"corpus_id": 4456994,
"doc_id": "4456994",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Technology: Ultrafast imaging takes on a new design",
"venue": "Nature",
"year": 2014
},
{
"abstract": "In established theory; two dimensional imaging using a streak camera is not possible due to restrictions on traditional streak camera design such as entrance slit limitations. Efforts to develop and use streak cameras for two dimensional high speed imaging have been ongoing for the past several decades. In 1990, a framing camera using a modified streak tube was developed by Hamamatsu Photonics K.K. Japan, which could capture 3 million frames per second but at only 8 frames per shot. Another early development was Shiraga et al.'s two dimensional X ray imager that was capable of 10 ps time resolution and used a multi pinhole photocathode surface [1] Since then, the technology for high speed photography using streak cameras have evolved step by step. However, recent advances have resulted in superior performance. In 2014, Gao et al. developed a single shot compressed ultrafast photography with 100 billion frames/sec [2] In 2012, Velten et al. successfully developed the one trillion frame per second video camera [3] [4] or so called femto photography, that uses a sampling method. In this paper, we describe the principles and applications of streak tubes for high speed, two dimensional imaging.",
"author_names": [
"Koji Uchiyama",
"Barbara Cieslik",
"Tao Ai",
"Fuminori Niikura",
"Shunichi Abe"
],
"corpus_id": 201740225,
"doc_id": "201740225",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Various ultra high speed imaging and applications by Streak camera",
"venue": "",
"year": 2016
}
] |
electric wheel loader | [
{
"abstract": "Variable speed drives are entering heavy duty vehicle drive lines, improving their performance and reducing emissions. Especially, the market of permanent magnet machines is emerging due to high efficiency and compact size of the machines. In that transition, the challenge is to fit the electric motor, a new type of drive line component, into drive lines originally designed for combustion engines. In order to make the modification as smooth as possible, simulation models are needed to show the performance with an electric drive. In this study, a simulation model of the electric drive was developed. The model is based on the electric drive and electrical machine parameters and test results. The model predicts performance of the permanent magnet variable speed drive taking into account thermal behavior of the drive. The novel model was compared with the currently used electric drive model, referred later as comparison model, which takes into account also fast electrical transients. The novel loss model predicts the inverter losses very accurately compared to the comparison model. In terms of model usability, the semiconductor thermal values are comparable enough with the respective values of the comparison model.",
"author_names": [
"Lauri Pyrhonen"
],
"corpus_id": 222429235,
"doc_id": "222429235",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Modeling of permanent magnet electric drive in full electric wheel loader",
"venue": "",
"year": 2020
},
{
"abstract": "The drive torque for each motor can be performed independently for the distributed drive electric wheel loader (DDEWL) On the shovelling condition, the torque distribution can be optimised accordi.",
"author_names": [
"Guangzong Gao",
"Jixin Wang",
"Tao Ma",
"Xihao Yang",
"Xuefei Li"
],
"corpus_id": 233951870,
"doc_id": "233951870",
"n_citations": 0,
"n_key_citations": 0,
"score": 1,
"title": "Optimisation strategy of torque distribution for the distributed drive electric wheel loader based on the estimated shovelling load",
"venue": "",
"year": 2021
},
{
"abstract": "Optimized torque distribution control method (OTCM) is a critical technology for front/rear axle electric wheel loader (FREWL) to improve the operation performance and energy efficiency. In the paper, a longitudinal dynamics model of FREWL is created. Based on the model, the objective functions are that the weighted sum of variance and mean of tire workload is minimal and the total motor efficiency is maximal. Four nonlinear constraint optimization algorithms, quasi newton Lagrangian multiplier method, sequential quadratic programming, adaptive genetic algorithms, and particle swarm optimization with random weighting and natural selection, which have fast convergent rate and quick calculating speed, are used as solving solutions for objective function. The simulation results show that compared to no control FREWL, controlled FREWL utilizes the adhesion ability better and slips less. It is obvious that controlled FREWL gains better operation performance and higher energy efficiency. The energy efficiency of FREWL in equipment transferring condition is increased by 13 29% In addition, this paper discussed the applicability of OTCM and analyzed the reason for different simulation results of four algorithms.",
"author_names": [
"Zhiyu Yang",
"Jixin Wang",
"Guangzong Gao",
"Xiangyu Shi"
],
"corpus_id": 54076776,
"doc_id": "54076776",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Research on Optimized Torque Distribution Control Method for Front/Rear Axle Electric Wheel Loader",
"venue": "",
"year": 2017
},
{
"abstract": "Abstract Wheel loaders often have a highly repetitive pattern of operation, which can be used for creating a rough prediction of future operation. As the present torque converter based transmission is replaced with an infinitely variable device, such as an electric or hydraulic transmission, a freedom in the choice of engine speed is introduced. This choice is far from trivial in the extremely transient operation of these machines, but the availability of a load prediction should be utilized. In this paper, a predictive engine and generator controller, based on stochastic dynamic programming, is described, implemented and evaluated. The evaluation is performed against non predictive controllers in the same system, to lift out any possible benefits of utilizing the repetition based prediction. Simulations and field tests show that the controllers are able to handle disturbances introduced from model errors, the machine environment and the human operator, and that the predictive controller gives around 5% lower fuel consumption than the non predictive reference controllers.",
"author_names": [
"Tomas Nilsson",
"Anders Froberg",
"Jan Aslund"
],
"corpus_id": 107388309,
"doc_id": "107388309",
"n_citations": 22,
"n_key_citations": 0,
"score": 0,
"title": "Predictive control of a diesel electric wheel loader powertrain",
"venue": "",
"year": 2015
},
{
"abstract": "Performance of a wheel loader with permanent magnet synchronous electric wheel driven technologies was studied by theoretical and experimental methods.Theoretical analysis shows that electric wheels of the loader,based on field weakening control algorithm,can be well adapted to the traveling,braking,traction and steering conditions with cooperative and efficient work.Experiments on an improved ZLM15B wheel loader under the above driving conditions were carried out on the level cement road.Experiment results further validate that the traveling and traction efficiencies between permanent magnet synchronous electric wheels are both better than 90%,which can well adapt differential steering with good consistency of the speed and the torque between electric wheels under braking.",
"author_names": [
"Bian Yongming"
],
"corpus_id": 113960113,
"doc_id": "113960113",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Experimental Research on Performance of Permanent magnet synchronous electric wheel Loader",
"venue": "",
"year": 2010
},
{
"abstract": "Abstract This paper presents a multi objective energy management strategy for hybrid electric vehicles. It aims at reducing fuel consumption and minimizing battery wear simultaneously while fulfilling system's constraints. A control oriented differential model is considered to account for battery aging effects, and an algorithm is developed to identify its parameters. The energy management is formulated as an optimal control problem and is solved by Pontryagin's minimum principle. The controller is then implemented for a hybrid electric wheel loader to demonstrate its effectiveness. In short term simulations for four drive cycles, behavior of the vehicle is compared to the case where the energy management policy does not encompass battery wear minimization. Long term simulations suggest that there is a huge potential in extending battery life while the price to pay is a negligible increase in fuel consumption. It is observed that the proposed methodology works best for nonaggressive drive cycles.",
"author_names": [
"Iman Shafikhani",
"Jan A slund"
],
"corpus_id": 233538709,
"doc_id": "233538709",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Energy management of hybrid electric vehicles with battery aging considerations: Wheel loader case study",
"venue": "",
"year": 2021
},
{
"abstract": "In order to reduce energy loss of steering system, a high energy efficiency electronic control independent variable speed closed circuit steering principle is proposed. All the hydraulic valves of the original steering system are removed, the required flow of the hydraulic steering cylinder is supplied by the fixed displacement pump, independently and directly. The servo motor speed is set proportional to the steering speed of an independent electric controlled steering wheel. Thus the output flow and the output volume of the pump match with steering speed and the steering angular of the wheel loader, respectively. To verify the feasibility and stability of the steering system during the automatic steering process, firstly, a co simulation model of proposed steering system is built in the SimulationX software. Then, in order to validate the dynamic characteristics of the steering system, the test prototype of it is established. Finally, energy consumption of new steering systems is analyzed. Based on the results, by using the energy saving closed circle pump controlled flow matching steering system the throttling loss, the relief loss and the median unloading loss of the steering process are eliminated, the energy consumption is reduced by 61% A wheel loader can save about 83. 6 L of fuel. If this energy saving steering system is adopted to all wheel loaders in china, the carbon emissions of 3.3 104 T will be reduced per year.",
"author_names": [
"Wang Xiangyu",
"Quan Long",
"Yang Jing",
"Feng Jiangjiang",
"Zhang Xiaogang"
],
"corpus_id": 213177503,
"doc_id": "213177503",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "A Novel High Energy Efficiency Electronic Control Independent Variable Speed Closed Circuit Steering System for Wheel Loader",
"venue": "2019 IEEE 8th International Conference on Fluid Power and Mechatronics (FPM)",
"year": 2019
},
{
"abstract": "Torque Converter is a major component of hydraulic drive system in heavy equipment including wheel loader unit. The workings of the torque converter is to convert mechanical energy from engine rotation to kinetic energy (oil flow) and then convert it back into mechanical energy in the output shaft. The purpose of this research is to analyze the effect of hydraulic oil pressure on the work of torque converter, and to identify the occurrence of low power case on Wheel Loader ZL50GN unit. The inspection procedure performed on torque converter is visual inspection of hydroulic system, electric system, cooling system, and engine. In addition, measurements of torque converter stall speed oil to determine the value of stall speed on the wheel loader unit experiencing trouble, and measurement of oil pressure on the port pressure oil to determine the amount of oil pressure into the torque converter. The results of the analysis show that the cause of trouble in the torque converter is the existence of fine grams of turbine torque converter which resulted in oil contaminated. And the main cause is the damaged ball bearings that resulted in turbine torque converter become damaged. Keywords: Torque converter, pressure oil, ball bearings",
"author_names": [
"Tegar Putra Wardana",
"St Mt Supriyono"
],
"corpus_id": 181684177,
"doc_id": "181684177",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Analisa Kerusakan Torque Converter Wheel Loader Zl50gn",
"venue": "",
"year": 2019
},
{
"abstract": "Three Stochastic Dynamic Programming (SDP) implementations are developed for control of a diesel electric wheel loader transmission. The implementations each use a stochastic description of the loa",
"author_names": [
"Tomas Nilsson",
"Anders Froberg",
"Jan Aslund"
],
"corpus_id": 14554869,
"doc_id": "14554869",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "Using Stochastic Dynamic Programming for look ahead control of a Wheel Loader Diesel Electric Transmission",
"venue": "",
"year": 2014
},
{
"abstract": "As an important mining equipment, L 2350 loader has the characteristics of large volume, high price and complex maintained process because of its high flexibility. The article analyzes the electric wheel failure damage problems, and presents effective repair preventive measures combining with on site maintenance.",
"author_names": [
"Duan Xia"
],
"corpus_id": 114360450,
"doc_id": "114360450",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Failure analysis and repair preventive measures of L 2350 wheel type loader electric wheel",
"venue": "",
"year": 2015
}
] |
phase change heat storage materials AND power battery thermal management | [
{
"abstract": "Scientific and reasonable battery thermal management systems contribute to improve the performance of a power battery, prolong its life of service, and improve its safety. Based on TAFEL LAE895 type 100Ah ternary lithium ion power battery, this paper is conducted on charging and discharging experiments at different rates to study the rise of temperature and the uniformity of the battery. Paraffin can be used to reduce the surface temperature of the battery, while expanded graphite (EG) is added to improve the thermal conductivity and viscosity of the composite phase change material (CPCM) and to reduce the fluidity after melting. With the increase of graphite content, the heat storage capacity of phase change material (PCM) decreases, which affects the thermal management effect directly. Therefore, this paper combines heat pipe and semiconductor refrigeration technology to transform heat from the inner CPCM to the thermoelectric cooling sheet for heat dissipation. The results show that the surface temperature of the battery can be kept within a reasonable range when discharging at high rate. The temperature uniformity of the battery is improved and the energy of the battery is saved.",
"author_names": [
"Ke-Jun Xu",
"Shuaitian Li"
],
"corpus_id": 181562178,
"doc_id": "181562178",
"n_citations": 10,
"n_key_citations": 0,
"score": 0,
"title": "Study of Thermal Management System Using Composite Phase Change Materials and Thermoelectric Cooling Sheet for Power Battery Pack",
"venue": "Energies",
"year": 2019
},
{
"abstract": "Abstract Li Ion batteries will play an important role in reaching emission targets by sustaining the further integration of renewable energy technologies and Electric Vehicles (EVs) in society. Their performance however is quite sensitive to temperature, leading to capacity fade, acceleration of ageing effect and possible thermal runaway. A Thermal Management System (TMS) should maintain a battery at an operating temperature within an optimal range and maximise temperature uniformity, i.e. approaching an isothermal condition. Many studies have experimentally investigated the electrical performance of Li Ion batteries under controlled environmental temperatures. Notably however, these controlled conditions do not impose a uniform temperature or a controlled rate of cooling, as a TMS would. From a review of the relevant literature a ratio of the heat generation to the power production is proposed, i.e. quantifying an equivalent electro chemical efficiency to advance research in this technological area and as additional TMS design metric. Overall, there is enough evidence that 25 30 degC is the best temperature range to minimise the ageing effect while 25 40 degC is typically reported as the general Li Ion cells operating range. No specific temperature is identified to optimise the cycle electro chemical efficiency and minimise the ageing effect. Therefore, a TMS should keep Li Ion batteries within a specific temperature range according to the need for either higher electro chemical efficiencies (i.e. higher powers and lower heat generation rates) or higher operating life. There are four main thermal management approaches of Li Ion batteries: air cooling, liquid cooling, boiling and Phase Change Materials (PCM) Air cooling is preferred for safety reasons but is less efficient as the rate of heat transfer achievable is relatively low. Forced air cooling can effectively keep the temperature at a preferred level but fails to guarantee a uniform temperature. Liquid cooling is better in terms of heat transfer performance, but it is less safe and can still result in significant thermal gradients within the pack. Boiling effectively keeps Li Ion cells temperature constant and uniform but can be quite complex to operate and control. Phase Change Materials (PCMs) as a passive cooling approach are proposed as an effective and low cost isothermalisation technique. However, when Li Ion batteries are operated under extreme conditions (high ambient temperature, high discharge rates) PCM are not able to recover all latent energy potential during solidification and this leads to possible thermal runaway. Overall, it is clear that no TMS alone is holistically better than others and the choice between air cooling, liquid cooling, boiling and latent heat PCM systems is entirely linked to the specific combination of temperatures, heat rates, cells capacity and geometry. Active PCM systems however, mainly a combination of liquid cooling and passive PCM, show promising results towards an ideal isothermal condition. Also, they introduce the potential to store the thermal energy and use it as needed, converting a Li Ion cell from an Electrical Energy Storage System (EESS) to a Combined Heat and Power (CHP) system.",
"author_names": [
"Sara Landini",
"J Leworthy",
"Tadhg S O'Donovan"
],
"corpus_id": 202225297,
"doc_id": "202225297",
"n_citations": 33,
"n_key_citations": 0,
"score": 1,
"title": "A Review of Phase Change Materials for the Thermal Management and Isothermalisation of Lithium Ion Cells",
"venue": "Journal of Energy Storage",
"year": 2019
},
{
"abstract": "Abstract To control the operating temperature and temperature differences, the battery thermal management system (BTMS) is very necessary for the electric vehicle batteries. Phase change material can be widely used in the BTMS. In other direction, at the present time the continuous increase in energy consumption due to human population growth and rising demand in the industrial sector is considered as a major challenge. More energy should be produced to meet this growing energy demand, which means a greater amount of fossil fuels should be burned, leading to more air pollution. These challenges made researchers work on the exploitation of renewable sources of energy, such as solar as unlimited, sustainable, and clean. Solar power is expected to become the largest source of electricity by 2050. However, solar energy is only available at a certain period during the daytime, and its application is limited by some conditions like terrain, climate condition, and geographical location. Therefore the heat storage unit could permit the solar thermal plant to deliver electricity at night and on the bad weather. In this regard the utilization of phase change material (PCM) is an operative approach for storing solar energy when this energy is available and releasing the stored heat at a later time, which has plenty of practical applications for solar energy storage. This chapter aims to take a close look at the applied methods for enhancing the efficiency of thermal energy storage systems utilized in ventilation systems. Solidification and melting processes have been investigated considering several geometrical shapes. The results revealed that an increase in copper oxide nanoparticle concentration leads to augmenting melting fraction. Following the addition of nanomaterial, the temperature of the outlet air increases, and conduction heat transfer in the top layer enhances. The effectiveness of adding nanosized powders is higher when this approach is combined with employing the sinusoidal surface. With the progress of time, heat flux transfer to PCM reduces. The increase in the wavy duct amplitude leads to minimizing the rate of discharge. When pure paraffin is employed, the effect of wavy surface amplitude is more noticeable.",
"author_names": [
"Mohsen Sheikholeslami",
"Elham Abohamzeh",
"M Jafaryar",
"Ahmad Shafee"
],
"corpus_id": 234114451,
"doc_id": "234114451",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Heat storage unit involving nanoparticle enhanced phase change materials",
"venue": "",
"year": 2021
},
{
"abstract": "Phase change materials (PCM) are of attractive interest in electricity storage and power management applications. In this paper, PCMs are used for thermal management of an electric battery pack. Paraffin with a melting temperature of 58degC, OM35 with melting temperature of 35degC, two PCMs (paraffin and OM 35) were used for the study, as mixtures at two different ratios (50 50 and 60 40) Computations and experiments were allotted to estimate the heat transfer, solidifying and melting characteristics of the PCM utilised in the Li ion cell battery module. Since PCM has low thermal conduction, thermal improvement techniques were investigated by inserting the copper plate into the PCM mixtures to boost the thermal conduction. PCM combination 50 50 is capable of holding battery running temperature compared to PCM combination 60 40. PCM combination 50 50 evidences to be ideal and lowered the operating temperature of the battery pack to 47degC.",
"author_names": [
"Rohini Pradeep",
"T Venugopal"
],
"corpus_id": 216257785,
"doc_id": "216257785",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Experimental study of lithium ion battery cooling using mixture of phase change materials",
"venue": "",
"year": 2020
},
{
"abstract": "Phase change materials are of great interest in energy storage and energy management applications due to their high latent heat and excellent cycling stability. In this paper, the thermal characteristics of phase change materials (PCM) for thermal management of cylindrical 18650 lithium ion battery (LIB) were experimentally investigated. A commercial paraffin wax with a melting temperaturerange between 47 53.8oC was used in this study. A metal cylinder with a heater was used to emulate the heat generation from a battery, which was surrounded with the paraffin PCM and containted in a metal housing. The experiment was conducted in an environmental test chamber with controlled ambient temperatures and power inputs. Both the battery temperature and the housing wall temperature were measured during steady state heating and cyclic heating conditions. Since PCM has low thermal conductivity, thermal enhancement techniques were investigated by adding metal foams (MFs) or combining metallic foam and fins into the PCM to enhance the thermal conductivity. The battery temperatures were measured for all the cases and the results were analyzed and discussed.",
"author_names": [
"Y C Deng",
"Hong Yu Zhang",
"Xinlin Xia"
],
"corpus_id": 138994011,
"doc_id": "138994011",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Experimental investigation of thermal characteristics of lithium ion battery using phase change materials combined with metallic foams and fins",
"venue": "",
"year": 2016
},
{
"abstract": "Abstract Lithium ion (Li ion) batteries are an integral part of electric vehicles and hybrid electric vehicles or smartphones because of their high energy and power density. These batteries suffer from a high temperature rise and generate excessive heat during operation. An improvement technique, passive thermal management (e.g. a phase change material) has become an attractive approach in recent years as it is highly efficient, compact and lightweight. Phase Change Materials (PCMs) store thermal heat in the form of sensible and principally latent heat. PCM changes state from solid to liquid or liquid to gas or vice versa at almost constant temperature during latent heat storage. Metal foams have also been proven to be a viable option in enhancing thermal conductivity of PCMs. High porosity, good thermophysical properties and mechanical strength are salient features of metal foams. In this paper, a simple rectangular electronics passive cooling device was implemented for Li Ion Battery. A PCM material with metal foam was numerically investigated. Numerical simulations were carried out using the Ansys Fluent code. Results in terms temperatures, melting time and max reached temperature were reported.",
"author_names": [
"Bernardo Buonomo",
"Davide Ercole",
"Oronzio Manca",
"Ferdinando Menale"
],
"corpus_id": 117304218,
"doc_id": "117304218",
"n_citations": 8,
"n_key_citations": 0,
"score": 0,
"title": "Thermal cooling behaviors of lithium ion batteries by metal foam with phase change materials",
"venue": "",
"year": 2018
},
{
"abstract": "Abstract The thermal properties of paraffin/graphite composite phase change materials for power nickel metal hydride batteries were experimentally investigated. Two different modes for heat dissipation were designed in this experimental study: air cooling and cooling with phase change materials. Paraffin/graphite composite phase change thermal energy storage materials were prepared and tested by differential scanning calorimetry. It appeared that the battery thermal management system with phase change materials had better performance than air cooling, especially when the scale of paraffin/graphite composite material approximates 4:1.",
"author_names": [
"Zhonghao Rao",
"Guoqing Zhang",
"Z J Wu"
],
"corpus_id": 137383249,
"doc_id": "137383249",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Thermal properties of paraffin/graphite composite phase change materials in battery thermal management system",
"venue": "",
"year": 2009
},
{
"abstract": "Lithium ion capacitors (LiCs) have emerged as a promising technology for automotive applications due to the solution offered by their power density, high voltage operation and their excellent durability (more than 2 million cycles) Nevertheless, the reliability of LiCs can be drastically affected by overheating issues which raise the importance of thermal management. Nowadays, active cooling is employed to keep the battery systems temperature in range. However, due to the bulkiness and weight of the existing active cooling systems, latent heat thermal energy storage through the use of phase change materials (PCMs) represents an attractive way. In this paper, paraffin is investigated experimentally and simulated as a PCM cooling solution for the thermal management of a dual LiC module. Moreover, since paraffin presents a low thermal conductivity characteristic, an additional component such as aluminium mesh grid is inserted to the system to solve the low conductivity issue. Results show good performance of the Al PCM on the battery thermal management for both ESSs with a relatively lower temperature. The Al PCM combination was able is lower the temperature to 36 degC compared to natural convection (46 degC)",
"author_names": [
"Joris Jaguemont",
"Danial Karimi",
"Joeri Van Mierlo"
],
"corpus_id": 202768118,
"doc_id": "202768118",
"n_citations": 5,
"n_key_citations": 0,
"score": 0,
"title": "Investigation of a Passive Thermal Management System for Lithium Ion Capacitors",
"venue": "IEEE Transactions on Vehicular Technology",
"year": 2019
},
{
"abstract": "We review the thermal properties of graphene, few layer graphene and graphene nanoribbons, and discuss practical applications of graphene in thermal management and energy storage. The first part of the review describes the state of the art in the graphene thermal field focusing on recently reported experimental and theoretical data for heat conduction in graphene and graphene nanoribbons. The effects of the sample size, shape, quality, strain distribution, isotope composition, and point defect concentration are included in the summary. The second part of the review outlines thermal properties of graphene enhanced phase change materials used in energy storage. It is shown that the use of liquid phase exfoliated graphene as filler material in phase change materials is promising for thermal management of high power density battery parks. The reported experimental and modeling results indicate that graphene has the potential to outperform metal nanoparticles, carbon nanotubes, and other carbon allotropes as filler in thermal management materials.",
"author_names": [
"Jackie D Renteria",
"Denis L Nika",
"Alexander A Balandin"
],
"corpus_id": 110124743,
"doc_id": "110124743",
"n_citations": 200,
"n_key_citations": 1,
"score": 0,
"title": "Graphene Thermal Properties: Applications in Thermal Management and Energy Storage",
"venue": "",
"year": 2014
},
{
"abstract": "Carbon based latent heat storage systems represent a powerful class of thermal management materials that allow for the dissipation of heat produced during peak electronic output operation. The composite materials unite the good thermal conductivity of carbon, usually in the form of graphite, with the thermal characteristics of phase change materials (PCM) Applications are found in automotive systems, such as passive cooling of components in vehicles with internal combustion engines. It is also used in electric vehicles that require a suitable temperature management system, especially for the battery cells to prevent thermal runaway and maintain the required operation temperature of the cell packs [1] Electronic components, such as those found in mobile devices or power electronics, can successfully be thermally regulated and protected against overheating by phase change composite materials. Other well known applications are temperature control in buildings and the heating of water [1,2] In many cases, the geometry of the desired temperature regulation components hamper their success in commercial applications. Here, a novel preparation method is presented that allows for the straightforward manufacturing of complex geometries followed by the infiltration with a phase change material working at the required temperature. The porosity as well as the operating temperature of the composite can be adjusted by tuning the process parameters and by a careful choice of employed raw materials.",
"author_names": [
"Florian Preishuber-Pfluegl",
"Alexander Buchner",
"Klaus Reiser",
"Sandra Reisinger",
"Klaus Hoell"
],
"corpus_id": 10234829,
"doc_id": "10234829",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Novel Expand To Shape latent heat storage systems based on carbon composite materials",
"venue": "2017 33rd Thermal Measurement, Modeling Management Symposium (SEMI THERM)",
"year": 2017
}
] |
Quantizing magnetic field | [
{
"abstract": "Applying a strong magnetic field to a Dirac or Weyl semimetal can produce record large thermopower and figure of merit. The thermoelectric effect is the generation of an electrical voltage from a temperature gradient in a solid material due to the diffusion of free charge carriers from hot to cold. Identifying materials with a large thermoelectric response is crucial for the development of novel electric generators and coolers. We theoretically consider the thermopower of Dirac/Weyl semimetals subjected to a quantizing magnetic field. We contrast their thermoelectric properties with those of traditional heavily doped semiconductors and show that, under a sufficiently large magnetic field, the thermopower of Dirac/Weyl semimetals grows linearly with the field without saturation and can reach extremely high values. Our results suggest an immediate pathway for achieving record high thermopower and thermoelectric figure of merit, and they compare well with a recent experiment on Pb1 xSnxSe.",
"author_names": [
"Brian Skinner",
"Liang Fu"
],
"corpus_id": 44080037,
"doc_id": "44080037",
"n_citations": 48,
"n_key_citations": 1,
"score": 0,
"title": "Large, nonsaturating thermopower in a quantizing magnetic field",
"venue": "Science Advances",
"year": 2018
},
{
"abstract": "A theoretical investigation is presented for ion acoustic Gardner solitons (GSs) and double layers (DLs) in a multi ion plasma system. The plasma consists of inertial positively and negatively charged ions and negatively charged immobile heavy ions and electrons which are in trapping distribution, all existing in a quantizing magnetic field. The reductive perturbation method is used to derive Korteweg de Vries (KdV) modified KdV (mKdV) and Gardner equations. It is found that the KdV solitons and Gardner solitons (GSs) are either compressive or rarefactive depending on the plasma parameters, whereas only compressive solitons are obtained in the mKdV case, wherever Gardner positive DLs exist. These solitons are significantly modified due to the introduction of the trapping parameter and polarization coefficient. The presented results are considered to be beneficial in understanding the solitary structures in dense quantum plasmas such as those existing in white dwarfs.",
"author_names": [
"W F El-Taibany",
"A Atteya",
"S K El-Labany"
],
"corpus_id": 125872077,
"doc_id": "125872077",
"n_citations": 9,
"n_key_citations": 0,
"score": 1,
"title": "Ion acoustic Gardner solitons in multi ion degenerate plasma with the effect of polarization and trapping in the presence of a quantizing magnetic field",
"venue": "",
"year": 2018
},
{
"abstract": "In the present work, we have investigated the effect of trapping as a microscopic phenomenon on the formation of solitary structures in the presence of a quantizing magnetic field in an electron positron ion (e p i) plasma having degenerate electrons and positrons, whereas ions are taken to be classical and cold. We have found that positron concentration, quantizing magnetic field, and finite electron temperature effects not only affect the linear dispersion characteristics of the electrostatic waves under consideration but also have a significant bearing on the propagation of solitary structures in the nonlinear regime. Importantly, the system under consideration has been found to allow the formation of compressive solitary structures only. The work presented here may be beneficial to understand the propagation of nonlinear electrostatic structures in dense astrophysical environments and in intense laser plasma interactions.",
"author_names": [
"Muhammad M Iqbal",
"Waqas Masood",
"H A Shah",
"Nodar L Tsintsadze"
],
"corpus_id": 125506386,
"doc_id": "125506386",
"n_citations": 14,
"n_key_citations": 0,
"score": 0,
"title": "Nonlinear density excitations in electron positron ion plasmas with trapping in a quantizing magnetic field",
"venue": "",
"year": 2017
},
{
"abstract": "We study charge and spin transport along grain boundaries in single layer graphene in the presence of a quantizing magnetic field. Transport states in a grain boundary are produced by hybridization of Landau zero modes with interfacial states. In selected energy regimes quantum Hall edge states can be deflected either fully or partially into grain boundary states. The degree of edge state deflection is studied in the nonlocal conductance and in the shot noise. We also consider the possibility of grain boundaries as gate switchable spin filters, a functionality enabled by counterpropagating transport channels laterally confined in the grain boundary.",
"author_names": [
"Madeleine Phillips",
"Eugene J Mele"
],
"corpus_id": 119189027,
"doc_id": "119189027",
"n_citations": 6,
"n_key_citations": 0,
"score": 0,
"title": "Charge and spin transport on graphene grain boundaries in a quantizing magnetic field",
"venue": "",
"year": 2017
},
{
"abstract": "Transport experiments on the two dimensional electron gas (2DEG) confined into a semiconductor quantum well and subjected to a quantizing magnetic field have uncovered a rich variety of uniform and nonuniform phases such as the Laughlin liquids, the Wigner, bubble and Skyrme crystals and the quantum Hall stripe state. Optically pumped nuclear magnetic resonance (OP NMR) has also been extremely useful in studying the magnetization and dynamics of electron solids with exotic spin textures such as the Skyrme crystal. Recently, it has been demonstrated that a related technique, resistively detected nuclear magnetic resonance (RD NMR) could be a good tool to study the topography of the electron solids in the fractional and integer quantum Hall regimes. In this work, we compute theoretically the RD NMR line shapes of various crystal phases of the 2DEG and study the relation between their spin density and texture and their NMR spectra. This allows us to evaluate the ability of the RD NMR to discriminate between the various types of crystal states.",
"author_names": [
"Ren'e Cot'e",
"Alexandre Simoneau"
],
"corpus_id": 119116420,
"doc_id": "119116420",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "Resistively detected NMR spectra of the crystal states of the two dimensional electron gas in a quantizing magnetic field",
"venue": "",
"year": 2016
},
{
"abstract": "For nonparabolic dispersion law determined by the density of the energy states in a quantizing magnetic field, the dependence of the density of energy states on temperature in quantizing magnetic fields is studied with the nonquadratic dispersion law. Experimental results obtained for PbTe were analyzed using the suggested model. The continuous spectrum of the energy density of states at low temperature is transformed into discrete Landau levels.",
"author_names": [
"G Gulyamov",
"U I Erkaboev",
"P J Baymatov"
],
"corpus_id": 73565642,
"doc_id": "73565642",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "Determination of the Density of Energy States in a Quantizing Magnetic Field for Model Kane",
"venue": "",
"year": 2016
},
{
"abstract": "In a graphene \\em pn} junction at high magnetic field, unidirectional \"snake states\" are formed at the \\em pn} interface. In a clean \\em pn} junction, each snake state exists in one of the valleys of the graphene band structure, and the conductance of the junction as a whole is determined by microscopic details of the coupling between the snake states at the \\em pn} interface and quantum Hall edge states at the sample boundaries [Tworzydlo \\em et al. Phys. Rev. B \\bf 76} 035411 (2007) Disorder mixes and couples the snake states. We here report a calculation of the full conductance distribution in the crossover between the clean limit and the strong disorder limit, in which the conductance distribution is given by random matrix theory [Abanin and Levitov, Science \\bf 317} 641 (2007) Our calculation involves an exact solution of the relevant scaling equation for the scattering matrix, and the results are formulated in terms of parameters describing the microscopic disorder potential in bulk graphene.",
"author_names": [
"Christian Fraessdorf",
"Luka Trifunovic",
"Nils Bogdanoff",
"Piet W Brouwer"
],
"corpus_id": 119098340,
"doc_id": "119098340",
"n_citations": 8,
"n_key_citations": 0,
"score": 0,
"title": "Graphene pn junction in a quantizing magnetic field",
"venue": "",
"year": 2016
},
{
"abstract": "In the present investigation, linear and nonlinear propagation of low frequency oOci) electrostatic waves have been studied in a spatially inhomogeneous degenerate plasma with one dimensional electron trapping in the presence of a quantizing magnetic field and finite temperature effects. Using the drift approximation, formation of 1 and 2D drift ion solitary structures have been studied both for fully and partially degenerate plasmas. The theoretical results obtained have been analyzed numerically for the parameters typically found in white dwarfs for illustrative purpose. It is observed that the inclusion of Landau quantization significantly changes the expression of the electron number density of a dense degenerate plasma which affects the linear and nonlinear propagation of drift acoustic solitary waves in such a system. The present work may be beneficial to understand the propagation of drift solitary structures with weak transverse perturbation in a variety of physical situations, such as white dw.",
"author_names": [
"Waqas Masood",
"Muzzamal I Shaukat",
"H A Shah",
"Arshad M Mirza"
],
"corpus_id": 121421031,
"doc_id": "121421031",
"n_citations": 7,
"n_key_citations": 0,
"score": 0,
"title": "Solitary structures in a spatially nonuniform degenerate plasma in the presence of quantizing magnetic field",
"venue": "",
"year": 2015
},
{
"abstract": "The effect of adiabatic trapping as a microscopic phenomenon in an inhomogeneous degenerate plasma is investigated in the presence of a quantizing magnetic field, and a modified Hasegawa Mima equation for the drift ion acoustic wave is obtained. The linear dispersion relation in the presence of the quantizing magnetic field is investigated. The modified Hasegawa Mima equation is investigated to obtain bounce frequencies of the trapped particles. The Korteweg de Vries equation is derived for the two dimensional case and finally the Sagdeev potential approach is used to obtain solitary structures. The theoretically obtained results have been analyzed numerically for different astrophysical plasma and quantizing magnetic field values.",
"author_names": [
"Sabeen Arshad",
"H A Shah",
"M N S Qureshi"
],
"corpus_id": 122562311,
"doc_id": "122562311",
"n_citations": 10,
"n_key_citations": 0,
"score": 0,
"title": "Effect of adiabatic trapping on vortices and solitons in degenerate plasma in the presence of a quantizing magnetic field",
"venue": "",
"year": 2014
},
{
"abstract": "The dependence of the density of energy states (Ns) on temperature in quantizing magnetic fields is studied. It is shown that, as temperature is increased, the Landau levels are blurred as a result of thermal widening and the quantities Ns are transformed into the density of states as in the absence of a magnetic field. The temperature dependence of the distribution of the density of energy states in high magnetic fields for semiconductors is considered using a mathematical model. It is shown that the continuous spectrum of density of states measured at liquid nitrogen temperature is transformed into discrete Landau levels at lower temperatures. Mathematical simulation of the processes with the use of experimental data for the continuous spectrum of the density of states makes it possible to calculate the discrete Landau levels. Experimental results obtained for PbS are analyzed using the suggested model. The density of states at low temperatures is calculated from data on high temperature Ns.",
"author_names": [
"G Gulyamov",
"U I Erkaboev",
"N Yu Sharibaev"
],
"corpus_id": 119663658,
"doc_id": "119663658",
"n_citations": 9,
"n_key_citations": 0,
"score": 0,
"title": "Effect of temperature on the thermodynamic density of states in a quantizing magnetic field",
"venue": "",
"year": 2014
}
] |
Challenges in the design of concentrator photovoltaic (CPV) modules to achieve hig | [
{
"abstract": "Concentrator photovoltaics (CPV) is a special high efficiency system technology in the world of PV technologies. The idea of CPV is to use optical light concentrators to increase the incident power on solar cells. The solar cell area is comparatively tiny, thus saving expensive semiconductor materials and allowing the use of more sophisticated and more costly multi junction solar cells. The highest CPV module efficiency achieved is 38.9% This CPV module uses four junction III V based solar cells. Moreover, mini modules have already achieved an efficiency of 43.4% The interaction between optics, cells, and layout of the module and tracker determines the overall field performance. Today, some utility scale CPV plants are installed. The CPV technology allows for many technical solutions for system designs and for optimizing performance while maintaining the economics. This paper will review the achievements and discuss the challenges for the CPV module technology and its components. We discuss the different components and the most important effects regarding the module design. Furthermore, we present the module designs that have shown the highest efficiencies.Concentrator photovoltaics (CPV) is a special high efficiency system technology in the world of PV technologies. The idea of CPV is to use optical light concentrators to increase the incident power on solar cells. The solar cell area is comparatively tiny, thus saving expensive semiconductor materials and allowing the use of more sophisticated and more costly multi junction solar cells. The highest CPV module efficiency achieved is 38.9% This CPV module uses four junction III V based solar cells. Moreover, mini modules have already achieved an efficiency of 43.4% The interaction between optics, cells, and layout of the module and tracker determines the overall field performance. Today, some utility scale CPV plants are installed. The CPV technology allows for many technical solutions for system designs and for optimizing performance while maintaining the economics. This paper will review the achievements and discuss the challenges for the CPV module technology and its components. We discuss the differen.",
"author_names": [
"Maike Wiesenfarth",
"Ignacio Anton",
"Andreas W Bett"
],
"corpus_id": 117148466,
"doc_id": "117148466",
"n_citations": 35,
"n_key_citations": 0,
"score": 1,
"title": "Challenges in the design of concentrator photovoltaic (CPV) modules to achieve highest efficiencies",
"venue": "",
"year": 2018
},
{
"abstract": "The world's oldest, and still functional, Concentrator Photovoltaic (CPV) power plant originally rated at 350 kW, and is situated 50 km from Riyadh, Saudi Arabia. There are ongoing efforts to retrofit the modules with new secondary optics and triple junction cell devices. The paper characterizes the initial optical train, whose design is non conventional in its use of a two area primary Fresnel lens. The first generation of a retrofit receiver package is described. Challenges beyond the optical design are encountered, including tracking and structural mechanics.The world's oldest, and still functional, Concentrator Photovoltaic (CPV) power plant originally rated at 350 kW, and is situated 50 km from Riyadh, Saudi Arabia. There are ongoing efforts to retrofit the modules with new secondary optics and triple junction cell devices. The paper characterizes the initial optical train, whose design is non conventional in its use of a two area primary Fresnel lens. The first generation of a retrofit receiver package is described. Challenges beyond the optical design are encountered, including tracking and structural mechanics.",
"author_names": [
"Hussameldin I Khonkar",
"Fahad Radhi Alharbi",
"Abdulaziz Alyahyah",
"David C Miller",
"R K Leutz"
],
"corpus_id": 202918817,
"doc_id": "202918817",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Optical element design for the retrofit of the world's first concentrator photovoltaic (CPV) power plant",
"venue": "",
"year": 2019
},
{
"abstract": "Abstract Dense array concentrator photovoltaic (DA CPV) system is facing large mismatch losses due to the highly concentrated non uniform radiation. In this paper, a novel rotational symmetry (RS) connection approach with two examples is proposed to reduce mismatch losses. The output performances of the two RS connections are compared with that of four conventional connections by using a two stage simulation method. The results indicate that under different illumination distributions and CPV cell's series resistances, the RS connection approach can dramatically reduce power losses. The proposed dichotomic rotational symmetry (DRS) and quartered rotational symmetry (QRS) connections can significantly improve the output characteristics of DA CPV modules. Compared with the conventional series parallel (SP) connections, the DRS and QRS connections can improve the conversion efficiency by at most 48% and 64.3% respectively. Meanwhile, the QRS connection exhibits smoother I V and P V curves, reducing the difficulty of system's maximum power point tracking (MPPT) and improving the stability of output performance with varying Gaussian illuminations. When considering the Joule heat losses generated from the series resistance of CPV cells, the RS connections can also achieve much higher conversion efficiencies than other conventional configurations.",
"author_names": [
"Xinyu Pan",
"Xing Ju",
"Chao Chen Xu",
"Xiaoze Du",
"Yongping Yang"
],
"corpus_id": 104306802,
"doc_id": "104306802",
"n_citations": 5,
"n_key_citations": 0,
"score": 0,
"title": "A novel rotational symmetry (RS) connection approach for dense array concentrator photovoltaic (DA CPV) modules",
"venue": "Energy Conversion and Management",
"year": 2019
},
{
"abstract": "Abstract A new configuration of a photovoltaic module and a solar thermoelectric generator in a hybrid system integrated with a microchannel heat sink has been developed. The photovoltaic module and the solar thermoelectric generator sandwich the microchannel heat sink, as they are exposed to concentrated solar radiation. This newly developed hybrid system is compared to that with a conventional configuration in which an ordinary thermoelectric generator is attached directly to the rear surface of the concentrator photovoltaic module. To evaluate the performance of the new system in comparison to the conventional one, an all inclusive three dimensional thermo fluid thermoelectric model has been developed. This model is numerically simulated and is validated with both experimental and numerical results. The findings of this study show that the new system generates more output power and can be operated at higher concentration ratios with even lower average solar cell temperatures than the conventional system. In the new configuration, the average solar cell temperature is approximately 77 degC and the total electrical output power is approximately 3.2 kW/m2 at a solar concentration ratio of 20 suns. However, the maximum possible working concentration ratio for the conventional design is 10 suns, where the solar cell temperature approaches the highest tolerable temperature of approximately 90 degC. Moreover, the total electrical output power is approximately 1.2 kW/m2. Furthermore, it is found that at CR_PV 20, the rate of the produced thermal energy is approximately 12 kW/m2, for the conventional design while the new design achieves 15, 22, and 30 kW/m2 for CR_STEG of 1, 10, and 20, respectively. These findings have greatly aided in identifying a new design that achieves the highest performance under concentrated solar irradiance.",
"author_names": [
"Ahmed Abdo",
"Shinichi Ookawara"
],
"corpus_id": 196889128,
"doc_id": "196889128",
"n_citations": 18,
"n_key_citations": 0,
"score": 0,
"title": "Performance evaluation of a new design of concentrator photovoltaic and solar thermoelectric generator hybrid system",
"venue": "Energy Conversion and Management",
"year": 2019
},
{
"abstract": "In this paper, we present a design and optical simulation of a novel linear Fresnel lens. The lens can be applied to a concentrator photovoltaic (CPV) system as a primary optical element (POE) to increase the concentration ratio and improve the uniformity of irradiance distribution over the receiver. In addition, the CPV system can use the proposed lens as a concentrator without involving a secondary optical element (SOE) The designed lens, which is a combination of two linear Fresnel lenses placed perpendicular to each other, can collect and distribute the direct sunlight on two dimensions. The lens is first designed in the MATLAB program, based on the edge ray theorem, Snell's law, and the conservation of the optical path length, and then drawn in three dimensions (3D) by using LightToolsTM. Furthermore, in order to optimize the structure and investigate the performance of the lens, the ray tracing and the simulation are also performed in LightToolsTM. The results show that the newly designed lens can achieve a high concentration ratio of 576 times, a high optical efficiency of 82.4% an acceptable tolerance of 0.84deg, and high uniform irradiance of around 77% for both horizontal and vertical investigation lines over the receiver.",
"author_names": [
"Thanh Tuan Pham",
"Ngoc Hai Vu",
"Seoyong Shin"
],
"corpus_id": 117686711,
"doc_id": "117686711",
"n_citations": 11,
"n_key_citations": 0,
"score": 0,
"title": "Novel Design of Primary Optical Elements Based on a Linear Fresnel Lens for Concentrator Photovoltaic Technology",
"venue": "Energies",
"year": 2019
},
{
"abstract": "Concentrator Photovoltaic Thermoelectric (CPV TE) hybrid devices have the potential to address areas of limitation within concentrator photovoltaic devices, using the inherent flexibility and controllability of thermoelectrics. In this work, a full CPV TE module was designed and fabricated using commercial Primary and Secondary Optical Elements (POEs and SOEs respectively) The SOE CPV TE hybrid receivers were characterized prior to integration within the module, and connected into a string of three receivers. The acceptance angle of the POE SOE CPV TE hybrid module was experimentally characterized, and outdoor on tracker data was obtained at the University of Jaen. For the first time, the performance of CPV TE hybrid devices was evaluated within a 3 receiver string, and the efficiency of on sun TE cooling was investigated.A preliminary break even point was found at 0.3A, for active cooling verses non cooling for the CPV TE hybrid receivers. This highlights the future on sun performance increases possible with further optimised CPV TE module designs, including a low power regime for optimised TE operation.",
"author_names": [
"Matthew Rolley",
"Tracy K N Sweet",
"Luka Eerens",
"Juan P Ferrer-Rodriguez",
"Eduardo F Fernandez"
],
"corpus_id": 116241542,
"doc_id": "116241542",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Experimental comparison of a III:V triple junction concentrator photovoltaic thermoelectric (CPV TE) hybrid module with commercial CPV and flat plate silicon modules",
"venue": "",
"year": 2018
},
{
"abstract": "This paper addresses the necessary procedures that need to be considered when designing an optical sub system of low concentrator photovoltaic (LCPV) module. CPV systems make use of optical elements and solar tracking to concentrate solar flux onto a photovoltaic (PV) receiver. The performance of a concentrator module is highly dependent on the configuration and alignment of the optical elements in the system. In this study, various design considerations were taken into account to construct a LCPV module that was characterised with respect to optical design and electrical performance.",
"author_names": [
"M A Benecke",
"E Ernest van Dyk",
"F J Vorster"
],
"corpus_id": 9494186,
"doc_id": "9494186",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Optical design of low concentrator photovoltaic modules",
"venue": "",
"year": 2013
},
{
"abstract": "Despite its highest efficiency, concentrated photovoltaic (CPV) technology is still finding its way into the current photovoltaic market which is saturated with conventional flat plate photovoltaic systems. CPV systems have a great performance potential as they utilize third generation multi junction solar cells. In the CPV system, the main aspect is its concentrating assembly design which affects not only its overall performance but also its operation and fabrication. Conventional CPV design targets to use individual solar concentrator for each solar cell. The main motivation of this chapter is to propose a novel concentrating assembly design for CPV that is able to handle multiple solar cells, without affecting their size, using single solar concentrator. Such proposed design, named as multicell concentrating assembly (MCA) will not only reduce the assembly efforts during CPV module fabrication, but it will also lower the overall system cost with simplified design. In this chapter, a detailed design methodology of multicell concentrating assembly (MCA) for CPV module is presented and developed with complete verification through ray tracing simulation and field experimentation.",
"author_names": [
"Muhammad Burhan",
"Muhammad Wakil Shahzad",
"Kim ChoonNg"
],
"corpus_id": 73683308,
"doc_id": "73683308",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Multicell Design for Concentrated Photovoltaic (CPV) Module",
"venue": "",
"year": 2018
},
{
"abstract": "Concentration photovoltaic (CPV) modules promise a more efficient, higher power output than traditional photovoltaic modules. This is achieved by concentrating sunlight onto a small 1 cm2 concentrator triple junction (CTJ) InGaP/InGaAs/Ge cell by using high quality precision optics. In order to achieve high energy performance and reliability, well thought out design decisions must be made in the development of a CPV module. This paper investigates the design of two CPV modules (Module I and II) which are based on the Sandia III Baseline Fresnel module. The investigation concentrated on the effect of the optimization of the optical design on the electrical performance characteristics of CTJ cells with good thermal dissipation. The best performance achieved by Module I was at 336 times operational concentration (Xo) which produced a Pmax of 10.29 W per cell, with cell and module efficiencies of 39% and 24% respectively. In the development of the second module (Module II) pre deployment criteria such as the CTJ cell and system components characteristics was used to eliminate faulty components from the system what was observed in Module I. Cell units that were optimized in Module II showed no form of degradation in their Current Voltage (I V) characteristics. The cell unit operating under optical misalignment showed a progressive degradation with long term operation in the field.",
"author_names": [
"R D Schultz",
"E Ernest van Dyk",
"F J Vorster"
],
"corpus_id": 59247716,
"doc_id": "59247716",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "The optical design and performance of a concentrator photovoltaic module",
"venue": "",
"year": 2017
},
{
"abstract": "The constant progress of the efficiency values of the multijunction (MJ) solar cells based on III V semiconductors, used together with photovoltaic concentration (CPV) technologies, means that competitive costs can be achieved generating solar photovoltaic energy, since with concentration a cell's area is reduced, being substituted by optical elements of a lower cost. The present thesis collects a series of contributions at different levels that affect CPV systems. The largest part is dedicated to the impact of solar spectrum on these systems based on MJ cells, specifically to the understanding of that effect on MJ cells and CPV systems. Firstly the spectral matching ratio (SMR) concept is reviewed, an index based on the currents of a set of component cells (isotypes) that is used to estimate which subcell of a MJ cell limits, and its use is extended to MJ cell calibration with the role of spectral index. In particular, through instants filtering using SMR baseline values, prevailing spectral conditions are established with regard to reference spectrum (ASTM AM1.5D G173 03) Based on those component cell currents the so called equivalent irradiances are defined, with which is possible to estimate the losses due to spectrum (spectral efficiency) when they are compared to the corresponding direct irradiance (DNI) Finally a study about the spectral bias when calibrating is presented, in particular the effect due to the tolerance associated with the SMR filters and the contribution due to spectral mismatch when using component cells of different technology to the MJ cell. The second contribution about spectrum is a study of the spectral classification based on SMR indexes from several locations around the world for several MJ cell technologies: lattice matched based on germanium and dilute nitrides and metamorphic, both upright and inverted. In this way relations can be established among types of locations defined by their geography and climate and spectral clusters useful for MJ cell ad hoc design. An estimation of losses due to spectral variation for each MJ technology for each location is also given. In the last contribution related to spectrum a possibility for SMRs as spectral indexes is looked at closely, previously establishing the minimum number of parameters needed to estimate the uniqueness of solar spectra. It is concluded that a single spectral index is clearly insufficient to establish spectral uniqueness and that three independent indexes are close to the biunivocal spectral identification. Based on this idea the use of SMR to establish correlations among different MJ cell technologies and other devices is suggested. Following on, a review of the factors that affect the energetic production of a CPV plant is given, and how these factors are modeled in the software photovoltaic (PV) tools that add simulation modules for CPV. Particular emphasis is placed on those factors that, influenced by the way PV systems are modeled, cause errors or present a lack of precision when the same approaches are used in CPV and recommendations and used limitations are proposed. All this is applied to an experimental 50 kW CPV plant in the NGCPV European Japan project and its energy production for two years is compared with the models of integrated power and annual energy forecast. Finally, a hybrid CPV design with lighting is proposed as part of the Spanish project LUZ CPV, where concentration, in addition to generating photovoltaic electricity, is used to transport sunlight directly for indoor illumination. In principle the hybrid concept allows an efficiency improvement of a CPV system used to illuminate by means of electrical luminary, since the transmission of sunlight is direct without energy transformation. A study about the design limitations has been performed, a full prototype has been developed and both CPV and lighting parts have been characterized. To conclude, several light guide materials have been studied to estimate the viability of the concept. RESUMEN El avance constante de los valores de eficiencia de las celulas solares multiunion (MJ) basadas en semiconductores III V permite que utilizadas junto con tecnologias de concentracion fotovoltaica (CPV) puedan alcanzarse costes competitivos en la generacion de energia solar fotovoltaica, ya que al concentrar se reduce el area de celula necesaria siendo sustituida por elementos opticos de mucho menor coste. La presente tesis recoge una serie de contribuciones a distintos niveles que afectan a los sistemas CPV. La mayor parte esta dedicada al impacto del espectro solar sobre dichos sistemas basados en celulas MJ, en concreto al entendimiento de dicho efecto sobre celulas MJ y sistemas CPV. Primero se revisa el concepto de spectral matching ratio (SMR) un indice basado en las corrientes de un set de celulas componentes (isotypes) que es utilizado para estimar que subcelula de una celula MJ limita, y se extiende su uso a calibracion de celulas MJ utilizandolo como indice espectral. En concreto, mediante el filtrado de instantes utilizando valores SMR de referencia, se establecen las condiciones espectrales prevalentes con respecto el espectro de referencia (ASTM AM1.5D G173 03) Basandose en las mismas corrientes de las celulas componentes se definen la correspondientes irradiancias equivalentes, con las que se puede estimar la perdida debida al espectro (eficiencia espectral) al comparar con el valor de irradiacion directa (DNI) correspondiente. Finalmente se ha estudiado la aparicion de sesgos en la calibracion espectral, en concreto el efecto de la tolerancia asociada a los filtros SMR y la contribucion por desajuste espectral al utilizar celulas componente de distinta tecnologia que la celula MJ. La segunda contribucion sobre el espectro trata de un estudio sobre la clasificacion espectral basadas en indices SMRs de diversas localidades alrededor del mundo para diversas tecnologias de celula MJ: ajustadas en red basadas en germanio y nitruros y metamorficas tanto rectas como invertidas. De esta forma se establecen relaciones entre tipos de localidades definidas por su geografia y clima y se pueden identificar clusteres espectrales utiles para el diseno ad hoc de celulas MJ. Tambien se estiman las perdidas por variacion espectral asociadas a cada tecnologia MJ para cada localidad. Como ultima contribucion relacionada con el espectro se profundizan las posibilidades de los SMRs como indices espectrales, estableciendo previamente el numero minimo de parametros necesarios para estimar unicidad de los espectros solares. Se concluye que un solo indice espectral es claramente insuficiente para establecer unicidad espectral y que tres indices independientes se acercan bastante a una identificacion espectral biunivoca. Basado en ello se sugiere utilizar los SMR para establecer correlaciones entre diferentes tecnologias de celula MJ y otros dispositivos. A continuacion se da una revision de los factores que afectan a la produccion energetica de una planta CPV y como se modelan en las herramientas software de fotovoltaica (PV) que incorporan componentes para simulacion CPV. Se hace especial hincapie en aquellos factores que, influenciados por la forma de modelar sistemas PV, ocasionan errores o falta precision cuando se utilizan las mismas aproximaciones sobre sistemas CPV y se proponen recomendaciones y limitaciones de uso. Todo ello es aplicado a una planta CPV experimental de 50 kW dentro del proyecto europeo con Japon NGCPV y se compara el modelado con la produccion durante dos anos, a nivel de potencia y de energia anual estimada. Por ultimo, se propone un diseno CPV hibrido con iluminacion bajo el proyecto nacional espanol LUZ CPV en el que se hace uso de la concentracion ademas de para la generacion fotovoltaica de electricidad, para el transporte directo de luz solar con el fin de iluminar interiores. El concepto hibrido permite en principio mejorar la eficiencia de un sistema CPV que se utilice para iluminar mediante una luminaria electrica, ya que la transmision de luz es directa sin necesidad de transformacion energetica. Se ha hecho un estudio para ver las limitaciones de diseno, se ha desarrollado un prototipo completo y se ha caracterizado tanto la parte CPV como la de iluminacion. Para finalizar se han estudiado diversos materiales utilizados como guia optica para estimar la viabilidad del concepto.",
"author_names": [
"Ruben Nunez Judez"
],
"corpus_id": 132313066,
"doc_id": "132313066",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Contributions to characterization, modeling and design of concentrator photovoltaic systems",
"venue": "",
"year": 2016
}
] |
New-generation projection optics for ArF lithography | [
{
"abstract": "We have developed an ArF scanner with 0.7NA, the FPA 5000AS2, to meet the requirements of the semiconductor industry. The biggest improvement of this system from the previous model is its projection optics. The new projection lens design allows residual aberrations to be extremely small in order to satisfy the requirements of increasingly severe device production. Furthermore, the aberrations derived from the manufacturing process are minimized in the same manner as conventional i line and KrF lenses by precisely measuring them with a phase measuring interferometer (PMI) To reduce manufacturing induced aberrations, we calculate various components of imaging performance at each lens manufacturing process and feed them back to the tuning process. Focusing only on aberration in the expression of root mean square (RMS) can never be sufficient for optimal aberration reduction. Lens performance can be optimally improved by gaining a balance among Zernike terms, which represent aberrations, for critical dimensions of various device patterns. It helps us supply users with a projection lens having performance that meets their requirements. This paper reports on the imaging performance of the new lens for both static and dynamic exposure as well as simulation results using PMI data. It also presents the mechanical barrel system that holds the high performance projection lens, intrinsic birefringence (IBR) of CaF2, and leading edge ArF lens technologies such as chemical clean technology. And imaging performance of the newest 0.75 NAArF projection lens is demonstrated.",
"author_names": [
"Yuji Chiba",
"Kazuhiro Takahashi"
],
"corpus_id": 109965925,
"doc_id": "109965925",
"n_citations": 2,
"n_key_citations": 0,
"score": 1,
"title": "New generation projection optics for ArF lithography",
"venue": "SPIE Advanced Lithography",
"year": 2002
},
{
"abstract": "It is widely expected that 193 nm lithography will be the technology of choice for volume production of the 0.10 micrometer device generation. For this purpose the PAS5500/1100TM Step Scan system, the second generation ArF tool, was developed. It is based on the PAS5500/900TM, the body of which has been adapted to fit the new 0.75 NA StarlithTM projection optics. This high NA enables mass manufacturing of devices following the 0.10 micrometer design rule. The system features a 10 W 2 kHz ArF laser and the AERIALTM II illuminator that can be equipped with a QUASARTM (multipole) option. In order to minimize wafer processing influences on overlay performance ATHENATM off axis alignment with phase modulator is implemented. The usage of Reticle Blue Alignment will further improve overlay as well as increase the system stability. In this paper the PAS5500/1100TM system layout is discussed and the first imaging and overlay results are presented. Imaging performance is illustrated by SEM pictures of 0.10 micrometer dense lines, 0.15, 0.13 and 0.12 micrometer dense contact holes, 0.10 micrometer DRAM isolation patterns, image plane deviation and system distortion fingerprints. Alignment reproducibility and single machine overlay results demonstrate the overlay capability.",
"author_names": [
"B J Vleeming",
"Barbra Heskamp",
"Hans Bakker",
"Leon Verstappen",
"Jo Finders",
"Jan Stoeten",
"Rainer Boerret",
"Oliver Roempp"
],
"corpus_id": 109017898,
"doc_id": "109017898",
"n_citations": 10,
"n_key_citations": 0,
"score": 0,
"title": "ArF step and scan system with 0.75 NA for the 0.10mm node",
"venue": "SPIE Advanced Lithography",
"year": 2001
},
{
"abstract": "More than 1,000 units of KrF excimer laser steppers were already installed in semiconductor mass production lines which require design rule of less than 0.15 micrometers Higher NA lens compatibility, productivity and CoO become critical issues of KrF excimer laser stepper. Advanced 2kHz KrF excimer laser G20K/G21K offers the solutions for these three issues. Next generation excimer laser ArF has already finished the stage of principle demonstration and has moved to next level of practical inspection, such as stability, productivity, and economic efficiency. Gigaphoton 4kHz ArF, G40A, solved all of these issues. Furthermore sub 0.10 micrometers design rule region F2 laser has been examined at several organizations. In March, 2000, Komatsu successfully developed 2kHz F2 laser for catadioptric projection optics by the fund of NEDO. Gigaphoton is ready to fabricate G20F, 2kHz F2 laser based upon the result of NEDO research. ASET started new F2 laser lithography development program at Hiratsuka Research Center with collaboration of Nikon, Canon, Gigaphoton, Komatsu, and Ushio from April 2000, ending March 2002.",
"author_names": [
"Hakaru Mizoguchi"
],
"corpus_id": 137110116,
"doc_id": "137110116",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Progress of light source technologies from KrF laser to F2 laser",
"venue": "Microelectronic and MEMS Technologies",
"year": 2001
},
{
"abstract": "More than 1,000 units of KrF excimer laser steppers were already installed in semiconductor mass production lines which require design rule of less than 0.15 m. Higher NA lens compatibility, productivity and CoO become critical issues of KrF excimer laser stepper. Advanced 2kHz KrF excimer laser G20K/G21K offers the solutions for these three issues. Next generation excimer laser ArF has already finished the stage of principle demonstration and has moved to a next level of practical demonstration and has moved to next level of practical inspection, such as stability, productivity, and economic efficiency. Gigaphoton 4kHz ArF, G40A, solved all of these issues. Furthermore sub 0.10m design rule region F2 laser has been examined at several organizations. In March, 2000, Komatsu successfully developed 2kHzF2 laser for catadioptric projection optics by the fund of NEDO. Gigaphoton is ready to fabricate G20F, 2kHz F2 laser, based upon the result of NEDO research. ASET started new F2 laser lithography development program at Hiratsuka Research Center with collaboration of Nikon, Canon, Gigaphoton, Komatsu, and Ushio from April 2000, ending March 2002.",
"author_names": [
"Hakaru Mizoguchi"
],
"corpus_id": 29094728,
"doc_id": "29094728",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Progress of excimer laser technologies",
"venue": "Other Conferences",
"year": 2000
},
{
"abstract": "Small field ArF projection optics for experiment is favorable to the prospective study and key technique development for lithography equipment. An ArF projection optics has been designed with specifications of 0.75 numerical aperture (NA) 100mm image field of view and 40X reduction ratio. The designed composite root mean square (RMS) of wave front error of the system can reach 0.03l (l=193.29nm) To achieve diffraction limited resolution, the optical manufacture tolerances of this high NA projection optics are too tight to manufacture, such as radius error within 0.01% central thickness within 2mm and surface figure error less than peak valley (P V) of 1/30l (l=632.8nm) In this paper, two effective compensatory methods are presented to relax the manufacture tolerances before assembly. One method is re computation of air spaces including actual measured values of the radii of curvature and center thickness for each element. The second method is rotating lenses to find the best matching manner of measured surface figure. The results show that radius error, central thickness error and surface figure error can be compensated significantly,and the best performance of the projection optics disregarding assembly error has been acquired. The composite wave front error RMS can reach 0.09l (l=193.29 nm) after compensation, which is much smaller than 0.25l before compensation under the same optical manufacture error. Both methods can also be used in development of industrial lithographic projection optics.",
"author_names": [
"Xiao Lin Liu",
"Yan Qiu Li",
"Ke Liu",
"Li Wei"
],
"corpus_id": 109187282,
"doc_id": "109187282",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Two Methods to Loosen Optical Manufacture Tolerances for ArF Projection Optics",
"venue": "",
"year": 2013
},
{
"abstract": "As the numerical aperture (NA) of 193nm immersion lithography projection optics (PO) increasing, polarization aberration (PA) leads to image quality degradation seriously. PA induced by large incident angle of light, film coatings and intrinsic birefringence of lens materials cannot be ignored. An effective method for PA compensation is to adjust lens position in PO. However, this method is complicated. Therefore, in this paper, an easy and feasible PA compensation method is proposed: for ArF lithographic PO with hyper NA (NA=1.2) which is designed by our laboratory, the PA induced critical dimension error (CDE) can be effectively reduced by optimizing illumination source partial coherent factor sout. In addition, the basic idea of our method to suppress pattern placement error (PE) is to adopt anti reflection (AR) multi layers MgF2/LaF3/MgF2 and calcium fluoride CaF2 of [111] crystal axes. Our simulation results reveal that the proposed method can effectively and quantificationally compensate large PA in the optics. In particular, our method suppresses the dynamic range of CDE from 12.7nm +4.3nm to 1.1nm +1.2nm, while keeping PE at an acceptable level.",
"author_names": [
"Yu Jia",
"Yanqiu Li",
"Lihui Liu",
"Chunying Han",
"Xiaolin Liu"
],
"corpus_id": 135744035,
"doc_id": "135744035",
"n_citations": 5,
"n_key_citations": 0,
"score": 0,
"title": "A method for compensating the polarization aberration of projection optics in immersion lithography",
"venue": "Other Conferences",
"year": 2014
},
{
"abstract": "The 65nm and the subsequent 45nm node lithography require very stringent CD control. To realize high accuracy CD control on an exposure tool, it is essential to reduce wavefront aberrations induced by projection optics design and manufacturing errors and then stabilize the aberrations while the exposure tool is in operation. We have developed two types of new hyper NA ArF projection optics to integrate into our new platform exposure tool: a dry system and a catadioptric system for immersion application. In this paper, aberration measurement results of these projection systems are shown, demonstrating that ultra low aberration is realized. In addition, a new projection optical system has been developed which incorporates high degree of freedom Aberration Controllers and automatic aberration measuring sensors. These controllers and sensors are linked together through Aberration Solver, a software program to determine optimal target values for aberration correction, thereby allowing the projection optics to maintain its best optical properties. The system offers excellent performance in correcting aberrations that come from lens heating, and makes it possible to guarantee extremely low aberrations during operation of the exposure tool.",
"author_names": [
"Toshiyuki Yoshihara",
"Bunsuke Takeshita",
"Atsushi Shigenobu",
"Yasuo Hasegawa",
"Yoshinori Ohsaki",
"Kazuhiko Mishima",
"Seiya Miura"
],
"corpus_id": 121120308,
"doc_id": "121120308",
"n_citations": 9,
"n_key_citations": 0,
"score": 0,
"title": "New projection optics and aberration control system for the 45 nm node",
"venue": "SPIE Advanced Lithography",
"year": 2007
},
{
"abstract": "Critical issues for 150nm DUV lithography using 0.70 NA KrF and 0.60 NA ArF had been investigated. Aberration, coherence variation, mask error effect, and process margin for each case had been analyzed. Current projection lens and illumination optics are getting better and better. However, for 150nm lithography using high NA scanner, a large portion of beam should be traced on the outside of lens pupil and traced on the resist surface with a large incident angle. In such a case, lens aberration effect was observed on field edge, even though strehl ratio of projection lens and coherence variation on field edge had been improved. These effects had been investigated. One other critical issue in high NA scanner is depth of focus. The common depth of focus between dense and isolated patterns in real process using high NA scanner is mainly affected by photoresist thickness. That issue had been also investigated. Another important issue for 150nm DUV lithography is mask error effect. In the case of same design rule, lower (lambda) /NA lens is more favored than higher (lambda) /NA. Mask error issue in high NA KrF and ArF was also analyzed. Total comparisons between high NA KrF and 0.60 NA ArF have been discussed.",
"author_names": [
"Dong-gyu Yim",
"Ki-Sung Kwon",
"Young-mog Ham",
"Ki-Ho Baik"
],
"corpus_id": 135724580,
"doc_id": "135724580",
"n_citations": 3,
"n_key_citations": 0,
"score": 0,
"title": "Comparison study for sub 150 nm DUV lithography between high NA KrF and ArF lithography",
"venue": "Advanced Lithography",
"year": 1999
},
{
"abstract": "Resolution requirements of below 200nm require the introduction of high NA catadioptric projection optics and the development of short wavelength laser illumination. The numerical aperture (NA) of the projection optics available for step and scan lithography has now been increased form 0.5 to 0.6 and KrF excimer laser illumination introduced. This paper examines the initial performance results achieved using the high NA (0.6) optics illuminated with a KrF excimer laser. Experimental data, using TDUV 009 PM, TDUV 010 PM, and CGR resists is used to illustrate the performance of 0.5 and 0.6 NA optics. Depth of focus and resolution are measured, and initial optics performance results are provided. The linearity of grouped and isolated line features is used to illustrate the lithographic resolution. Lithographic simulations (PROLITH and SPLAT) are used to show the effects of quadrupole illumination for the enhancement of depth of focus with 200nm lithography. The next generation step and scan lithography, which uses ArF (193nm) excimer illumination, is discussed. It is indicated that the step and scan technique will allow optical lithography to extend to 180nm resolution and below.",
"author_names": [
"Harry Sewell"
],
"corpus_id": 135717868,
"doc_id": "135717868",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Advancing optical lithography using catadioptric projection optics and step and scan",
"venue": "Advanced Lithography",
"year": 1996
},
{
"abstract": "Last year we developed an optics system for new generation ArF scanner. The optics' NA was 0.85, the lens field size was 26mm X 8 mm and the lens now is equipped onto FPA 6000AS4. Various performance improvements are made to FPA 6000AS4 to respond to tougher and tougher requirement of the lithography process. The optics improvement is not limited to aberration reduction but extends to such lens manufacturing technology as minimizing the impact of birefringence of the glass element at lens assembly. In the first part of the paper enhancement method and accomplishment of lens manufacturing technology is discussed. Lithography technology is not limited only to ArF. We developed 0.86 NA KrF system, too. The system aims to realize easy, inexpensive leading edge lithography by taking advantage of matured, highly performing resist and low process cost. The lens resolves 90nm pattern using usual halftone reticle and goes down to 85nm with strong RET, Levenson mask etc. By utilizing the accomplishments realized for ArF lens, aberration of the lens is extremely small. Performance evaluation result of this high NA KrF lens and extendibility of 248nm with RET is reported in the latter part of this paper.",
"author_names": [
"Tomohiro Hoshino"
],
"corpus_id": 136541979,
"doc_id": "136541979",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Improving ArF lens performance and new generation high NA KrF optical system",
"venue": "SPIE Advanced Lithography",
"year": 2004
}
] |
NV center biosensor device Quantum dots | [
{
"abstract": "Colloidal semiconductor nanocrystals, known as quantum dots (QDs) are regarded as brightly photoluminescent nanomaterials possessing outstanding photophysical properties, such as high photodurability and tunable absorption and emission wavelengths. Therefore, QDs have great potential for a wide range of applications, such as in photoluminescent materials, biosensors and photovoltaic devices. Since the development of synthetic methods for accessing high quality QDs with uniform morphology and size, various types of QDs have been designed and synthesized, and their photophysical properties dispersed in solutions and at the single QD level have been reported in detail. In contrast to dispersed QDs, the photophysical properties of assembled QDs have not been revealed, although the structures of the self assemblies are closely related to the device performance of the solid state QDs. Therefore, creating and controlling the self assembly of QDs into well defined nanostructures is crucial but remains challenging. In this minireview, we discuss the notable examples of assembled QDs such as dimers, trimers and extended QD assemblies achieved using organic templates. This minireview should facilitate future advancements in materials science related to the assembled QDs.",
"author_names": [
"Mitsuaki Yamauchi",
"Sadahiro Masuo"
],
"corpus_id": 211524690,
"doc_id": "211524690",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Self assembly of Semiconductor Quantum Dots via Organic Templates.",
"venue": "Chemistry",
"year": 2020
},
{
"abstract": "Nanostructured semiconductors or Quantum Dots (QDs) are materials in continuous development that hold potential for a variety of new applications, including uses in fluorescent labels for biomedical science, photonic devices and sensor materials (Bruchez et al. 1998; Prasad, 2004; Sounderya Zhang, 2008) In biomedical applications, several nanodiagnostic assays have been developed that use QDs. They have been applied to diagnostics, the treatment of diseases, bioimaging, drug delivery, engineered tissues and biomarkers (Sounderya Zhang, 2008) For example, CdSe/ZnS dendron nanocrystals have been used as biosensor systems for detection of pathogens such as Escherichia Coli and Hepatitis B (Liu, 2007) CdSe/ZnS core shell nanocrystals have been shown to be useful for tailoring the fluorescence of dental resin composites (Alves et al. 2010) Core shell quantum dots (CS) have been used as heteronanocrystals, structures that allow optical amplification because of their stimulated emission of single exciton states (Klimov et al. 2007) and highquality CdSe/ZnS doped titania and zirconia optical waveguides have been prepared (Jasieniak et al. 2007)",
"author_names": [
"Viviane Pilla",
"Egberto Munin",
"Noelio Oliveira Dantas",
"Anielle Christine Almeida Silva",
"Acacio A Andrade"
],
"corpus_id": 204319656,
"doc_id": "204319656",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "1 Photothermal Spectroscopic Characterization in CdSe ZnS and CdSe CdS Quantum Dots A Review and New Applications",
"venue": "",
"year": 2018
},
{
"abstract": "Unique optical properties of colloidal semiconductor quantum dots (QDs) allow to use them as a basis for hybrid nanostructures (HyNSs) with organic molecules for a wide range of biomedical applications: from highly sensitive biosensor devices to a new generation drugs [1] A molecule, which is a part of HyNSs, may be chiral (has two mirror image forms, known as enantiomers, which are not superimposable in three dimensions) Chirality plays a crucial role in biology, chemistry, pharmacology, and medicine since most of the biomolecules and drugs are chiral [2] In HyNSs, optical activity of a molecule and QD can be affected by each other [3] that could also potentially lead to functional properties changes. Here we report the studies on interactions between semiconductor QDs and chiral molecules (cysteine, chlorin e6) (Figure 1, Figure 2) It was found that the optical activity of both QDs and molecules inversely depends on the distance between them in HyNSs. Our future work will involve investigation of the correlation between chiroptical and functional properties of HyNSs. References [1] Li J. Zhang J. Z. Coordination Chemistry Reviews, 23 (2009) 30153041 [2] Nguyen L. A. He H. Pham Huy C. International journal of biomedical science: IJBS, 2 (2006) 85 [3] Kumar J. Thomas K. G. Liz Marzan L. M. Chemical Communications, 85 (2016) 12555 12569",
"author_names": [
"Vera Kuznetsova",
"Finn Purcell-Milton",
"",
"Anatoly V Fedorov",
"Alexander V Baranov"
],
"corpus_id": 201735933,
"doc_id": "201735933",
"n_citations": 0,
"n_key_citations": 0,
"score": 0,
"title": "Optically active hybrid nanostructures based on semiconductor quantum dots and chiral molecules for biomedicine",
"venue": "",
"year": 2018
},
{
"abstract": "The continuous decrease in the availability of fossil resources, along with an evident energy crisis, and the growing environmental impact due to their use, has pushed scientific research towards the development of innovative strategies and green routes for the use of renewable resources, not only in the field of energy production but also for the production of novel advanced materials and platform molecules for the modern chemical industry. A new class of promising carbon nanomaterials, especially graphene quantum dots (GQDs) due to their exceptional chemical physical features, have been studied in many applications, such as biosensors, solar cells, electrochemical devices, optical sensors, and rechargeable batteries. Therefore, this review focuses on recent results in GQDs synthesis by green, easy, and low cost synthetic processes from eco friendly raw materials and biomass waste. Significant advances in recent years on promising recent applications in the field of electrochemical sensors, have also been discussed. Finally, challenges and future perspectives with possible research directions in the topic are briefly summarized.",
"author_names": [
"Viviana Bressi",
"Angelo Ferlazzo",
"Daniela Iannazzo",
"Claudia Espro"
],
"corpus_id": 233456750,
"doc_id": "233456750",
"n_citations": 3,
"n_key_citations": 0,
"score": 1,
"title": "Graphene Quantum Dots by Eco Friendly Green Synthesis for Electrochemical Sensing: Recent Advances and Future Perspectives",
"venue": "Nanomaterials",
"year": 2021
},
{
"abstract": "Combination of quantum dots (QDs) and magnetic nanoparticles (MNPs) as magnetic quantum dots (MQDs) has a broad range of applications as multifunctional nanoscale devices in biological imaging, medical nano diagnostics and nanomedicine. MQDs derived from iron oxide nanoparticles and QDs possess excellent superparamagnetic and fluorescent properties, respectively making them multifunctional nanoprobes because of their; (a) strong magnetic strength with tunable functionality, such as rapid and simple magnetic separation, (b) intense and stable fluorescence from QDs combined with tunable biological functionality upon QDs' bio activation, and (c) imaging/visualization by simple ultraviolet light exposure. These excellent features of MQD nanoprobes enable them to be used for magnetic resonance imaging (MRI) as contrast agents, nano diagnostic systems for Point of Care (PoC) disease diagnosis, theranostics nanorobots and in other bio medical applications. Most of MQDs are derived from iron based MNPs because of their abundancy, superparamagnetic properties, low cost and easy to synthesize. In this review, we present different methods employed for chemical synthesis of MQDs derived from iron oxide MNPs, their major chemical compositions and important parameters, such as precursor compositions, quantum yield and magnetic properties. The review also summarizes the most frequently used MQDs in applications such as bio imaging, drug delivery, biosensor platforms and finally ends with future prospects and considerations for MQDs in biomedical applications.",
"author_names": [
"Ali Tufani",
"Anjum Qureshi",
"Javed H Niazi"
],
"corpus_id": 224867141,
"doc_id": "224867141",
"n_citations": 7,
"n_key_citations": 0,
"score": 0,
"title": "Iron oxide nanoparticles based magnetic luminescent quantum dots (MQDs) synthesis and biomedical/biological applications: A review.",
"venue": "Materials science engineering. C, Materials for biological applications",
"year": 2021
},
{
"abstract": "Abstract The exceptional optical properties and the presence of high number of reactive sites make nitrogen doped graphene quantum dots (N GQDs) powerful tools in analytical nanoscience and nanotechnology. At the same time, their opto electronics properties make them excellent nanomaterials for biomedical analysis aspects. This review aims to explore progress to date various features of N GQDs for optical bio (sensing) of target analytes. Moreover, as another aim of this review is to provide insight into the intensity based spectroscopic methods which are applied in bio (sensing) discussing their advantages and disadvantages. More importantly, we discuss in detail different aspects of the applied optical bio (sensing) (e.g. formation, detection techniques, labels and sensitivity) Consequently, we discuss several outstanding properties of the optical methods, research opportunities and the development potential and prospects. We believe that N GQDs based analytical biosensors need to be developed to detect different target analytes, elaborating microchip systems to develop GQD based lab on a chip devices for separation and detection of bio targets.",
"author_names": [
"Ayub Karimzadeh",
"Mohammad Hasanzadeh",
"Nasrin Shadjou",
"Miguel de la Guardia"
],
"corpus_id": 106048708,
"doc_id": "106048708",
"n_citations": 30,
"n_key_citations": 0,
"score": 0,
"title": "Optical bio(sensing) using nitrogen doped graphene quantum dots: Recent advances and future challenges",
"venue": "",
"year": 2018
},
{
"abstract": "Quantum dot sensitized solar cells (QDSSCs) are significant energy producing devices due to their remarkable capability to growing sunshine and produce many electrons/holes pairs, easy manufacturing, and low cost. However, their power conversion efficiency (4% is usually worse than that of dye sensitized solar cells =12% this is mainly due to their narrow absorption areas and the charge recombination happening at the quantum dot/electrolyte and Ti [EQUATION] /electrolyte interfaces. Thus, to raise the power conversion efficiency of QDSSC, new counter electrodes, working electrodes, sensitizers, and electrolytes are required. CdSe thin films have shown great potential for use in photodetectors, solar cells, biosensors, light emitting diodes, and biomedical imaging systems. This article reviews the CdSe nanomaterials that have been recently used in QDSSCs as sensitizers. Their size, design, morphology, and density all noticeably influence the electron injection efficiency and light harvesting capacity of these devices. A detailed overview of the development of QDSSCs is presented, including their basic principles, the synthesis methods for their CdSe quantum dots, and the device fabrication processes. Finally, the challenges and opportunities of realizing high performance CdSe QDSSCs are discussed and some future directions are suggested.",
"author_names": [
"Mohammad Mominur Rahman",
"Mohammad Rezaul Karim",
"Hamad F Alharbi",
"Belal Aldokhayel",
"Tauriq Uzzaman",
"Hasan Zahir"
],
"corpus_id": 231987164,
"doc_id": "231987164",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Cadmium Selenide quantum dots for solar cell applications: A review.",
"venue": "Chemistry, an Asian journal",
"year": 2021
},
{
"abstract": "Abstract Micro manipulations of nanodiamonds (NDs) containing Nitrogen Vacancy (NV) centers are here reviewed. Various methods such as optical tweezers, electro kinetic trap, ions traps, optofluidics and plasmonics applied to the specific nanomaterial are reviewed, focusing on the advantages and achievements in controlling the NDs positioning for magnetic sensing. These approaches are relevant to extend magnetic sensing and imaging in different fluid environments. Levitated NDs in vacuum using optical tweezers or ions traps are also reviewed for applications in cavity optomechanics towards establishing ultra sensitive mechanical spin hybrid systems or nano optomechanics devices. The current demonstrations of trapped or levitated NDs containing NV centers are relevant to fundamental studies of quantum spin photon and mechanical systems coupling but have not yet been applied directly to biological systems, as these fields of applications present several challenges.",
"author_names": [
"Stefania Castelletto",
"Lorenzo Rosa",
"Alberto Boretti"
],
"corpus_id": 216462543,
"doc_id": "216462543",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Micro manipulation of nanodiamonds containing NV centers for quantum applications",
"venue": "",
"year": 2020
},
{
"abstract": "Nitrogen vacancy (NV) centers in diamond have superior physical properties at room temperature for quantum sensing of magnetic fields, electric field, strain, and temperature enabling scalable applications from atomic to macroscopic range. We review the sensor materials, quantum control technology, and applications. The perfectly aligned NV ensemble well suited for sensing of biological/ medical systems and battery/ power electronics.",
"author_names": [
"Mutsuko Hatano",
"Takayuki Iwasaki"
],
"corpus_id": 211207192,
"doc_id": "211207192",
"n_citations": 1,
"n_key_citations": 0,
"score": 0,
"title": "Device engineering for diamond quantum sensors",
"venue": "2019 IEEE International Electron Devices Meeting (IEDM)",
"year": 2019
},
{
"abstract": "From quantum dot to quantum dot A wide range of materials can now be synthesized into semiconducting quantum dots. Because these materials grow from solutions, there is scope to combine quantum dots into devices by using simple, low cost manufacturing processes. Kagan et al. review recent progress in tailoring and combining quantum dots to build electronic and optoelectronic devices. Because it is possible to tune the size, shape, and connectivity of each of the quantum dots, there is potential for fabricating electronic materials with properties that are not available in traditional bulk semiconductors. Science, this issue p. 885 BACKGROUND The Information Age was founded on the semiconductor revolution, marked by the growth of high purity semiconductor single crystals. The resultant design and fabrication of electronic devices exploits our ability to control the concentration, motion, and dynamics of charge carriers in the bulk semiconductor solid state. Our desire to introduce electronics everywhere is fueled by opportunities to create intelligent and enabling devices for the information, communication, consumer product, health, and energy sectors. This demand for ubiquitous electronics is spurring the design of materials that exhibit engineered physical properties and that can enable new fabrication methods for low cost, large area, and flexible devices. Semiconductors, which are at the heart of electronics and optoelectronics, come with high demands on chemical purity and structural perfection. Alternatives to silicon technology are expected to combine the electronic and optical properties of inorganic semiconductors (high charge carrier mobility, precise n and p type doping, and the ability to engineer the band gap energy) with the benefits of additive device manufacturing: low cost, large area, and the use of solution based fabrication techniques. Along these lines, colloidal semiconductor quantum dots (QDs) which are nanoscale crystals of analogous bulk semiconductor crystals, offer a powerful platform for device engineers. Colloidal QDs may be tailored in size, shape, and composition and their surfaces functionalized with molecular ligands of diverse chemistry. At the nanoscale (typically 2 to 20 nm) quantum and dielectric confinement effects give rise to the prized size shape and composition tunable electronic and optical properties of QDs. Surface ligands enable the stabilization of QDs in the form of colloids, allowing their bottom up assembly into QD solids. The physical properties of QD solids can be designed by selecting the characteristics of the individual QD building blocks and by controlling the electronic communication between the QDs in the solid state. These QD solids can be engineered with application specific electronic and optoelectronic properties for the large area, solution based assembly of devices. ADVANCES The large surface to volume ratio of QDs places a substantial importance on the composition and structure of the surface in defining the physical properties that govern the concentration, motion, and dynamics of excitations and charge carriers in QD solids. Recent studies have shown pathways to passivate uncoordinated atoms at the QD surface that act to trap and scatter charge carriers. Surface atoms, ligands, and ions can serve as dopants to control the electron affinity of QD solids. Surface ligands and surrounding matrices control the barriers to electronic, excitonic, and thermal transport between QDs and between QDs and matrices. New ligand chemistries and matrix materials have been reported that provide freedom to control the dynamics of excitons and charge carriers and to design device interfaces. These advances in engineering the chemical and physical properties of the QD surface have been translated into recent achievements of high mobility transistors and circuits, high quantum yield photodetectors and light emitting devices, and high efficiency photovoltaic devices. OUTLOOK The dominant role and dynamic nature of the QD surface, and the strong motive to build novel QD devices, will drive the exploration of new surface chemistries and matrix materials, processes for their assembly and integration with other materials in devices, and measurements and simulations with which to map the relationship between surface chemistry and materials and device properties. Challenges remain to achieve full control over the carrier type, concentration, and mobility in the QD channel and the barriers and traps at device interfaces that limit the gain and speed of QD electronics. Surface chemistries that allow for both long carrier lifetime and high carrier mobility and the freedom to engineer the bandgap and band alignment of QDs and other device layers are needed to exploit physics particular to QDs and to advance device architectures that contribute to improving the performance of QD optoelectronics. The importance of thermal transport in QD solids and their devices is an essential emerging topic that promises to become of greater importance as we develop QD devices. Colloidal quantum dot device architectures. Colloidal quantum dots (center) may be engineered in size, shape, and surface chemistry and deposited from solution to be integrated as thin film solids in different electronic and optoelectronic devices that modulate and transmit charge and transduce light and electricity. [Figure courtesy of O. Voznyy and F. S. Stinner. The continued growth of mobile and interactive computing requires devices manufactured with low cost processes, compatible with large area and flexible form factors, and with additional functionality. We review recent advances in the design of electronic and optoelectronic devices that use colloidal semiconductor quantum dots (QDs) The properties of materials assembled of QDs may be tailored not only by the atomic composition but also by the size, shape, and surface functionalization of the individual QDs and by the communication among these QDs. The chemical and physical properties of QD surfaces and the interfaces in QD devices are of particular importance, and these enable the solution based fabrication of low cost, large area, flexible, and functional devices. We discuss challenges that must be addressed in the move to solution processed functional optoelectronic nanomaterials.",
"author_names": [
"Cherie R Kagan",
"Efrat Lifshitz",
"Edward H Sargent",
"Dmitri V Talapin"
],
"corpus_id": 35833653,
"doc_id": "35833653",
"n_citations": 586,
"n_key_citations": 3,
"score": 0,
"title": "Building devices from colloidal quantum dots",
"venue": "Science",
"year": 2016
}
] |
Metal/Semiconductor Hybrid Nanostructures for Plasmon-Enhanced Applications | [
{
"abstract": "Hybrid nanostructures composed of semiconductor and plasmonic metal components are receiving extensive attention. They display extraordinary optical characteristics that are derived from the simultaneous existence and close conjunction of localized surface plasmon resonance and semiconduction, as well as the synergistic interactions between the two components. They have been widely studied for photocatalysis, plasmon enhanced spectroscopy, biotechnology, and solar cells. In this review, the developments in the field of (plasmonic metal)/semiconductor hybrid nanostructures are comprehensively described. The preparation of the hybrid nanostructures is first presented according to the semiconductor type, as well as the nanostructure morphology. The plasmonic properties and the enabled applications of the hybrid nanostructures are then elucidated. Lastly, possible future research in this burgeoning field is discussed.",
"author_names": [
"Ruibin Jiang",
"Benxia Li",
"Caihong Fang",
"Jianfang Wang"
],
"corpus_id": 10569171,
"doc_id": "10569171",
"n_citations": 646,
"n_key_citations": 0,
"score": 1,
"title": "Metal/Semiconductor hybrid nanostructures for plasmon enhanced applications.",
"venue": "Advanced materials",
"year": 2014
},
{
"abstract": "",
"author_names": [
"Ruibin Jiang",
"Benxia Li",
"Caihong Fang",
"Jianfang Wang"
],
"corpus_id": 197162135,
"doc_id": "197162135",
"n_citations": 62,
"n_key_citations": 0,
"score": 0,
"title": "Metal/Semiconductor Hybrid Nanostructures for Plasmon Enhanced Applications",
"venue": "",
"year": 2014
},
{
"abstract": "Hybrid nanostructures composed of graphene or other two dimensional (2D) nanomaterials and plasmonic metal components have been extensively studied. The unusual properties of 2D materials are associated with their atomically thin thickness and 2D morphology, and many impressive structures enable the metal nanomaterials to establish various interesting hybrid nanostructures with outstanding plasmonic properties. In addition, the hybrid nanostructures display unique optical characteristics that are derived from the close conjunction of plasmonic optical effects and the unique physicochemical properties of 2D materials. More importantly, the hybrid nanostructures show several plasmonic electrical effects including an improved photogeneration rate, efficient carrier transfer, and a plasmon induced \"hot carrier\" playing a significant role in enhancing device performance. They have been widely studied for plasmon enhanced optical signals, photocatalysis, photodetectors (PDs) and solar cells. In this review, the developments in the field of metal/2D hybrid nanostructures are comprehensively described. Preparation of hybrid nanostructures is first presented according to the 2D material type, as well as the metal nanomaterial morphology. The plasmonic properties and the enabled applications of the hybrid nanostructures are then described. Lastly, possible future research in this promising field is discussed.",
"author_names": [
"Xuanhua Li",
"Jinmeng Zhu",
"Bingqing Wei"
],
"corpus_id": 6908457,
"doc_id": "6908457",
"n_citations": 218,
"n_key_citations": 0,
"score": 0,
"title": "Hybrid nanostructures of metal/two dimensional nanomaterials for plasmon enhanced applications.",
"venue": "Chemical Society reviews",
"year": 2016
},
{
"abstract": "Correction for 'Hybrid nanostructures of metal/two dimensional nanomaterials for plasmon enhanced applications' by Xuanhua Li et al. Chem. Soc. Rev. 2016, 45, 3145 3187.",
"author_names": [
"Xuanhua Li",
"Jinmeng Zhu",
"Bingqing Wei"
],
"corpus_id": 206024369,
"doc_id": "206024369",
"n_citations": 4,
"n_key_citations": 0,
"score": 0,
"title": "Correction: Hybrid nanostructures of metal/two dimensional nanomaterials for plasmon enhanced applications.",
"venue": "Chemical Society reviews",
"year": 2016
},
{
"abstract": "Fluorescence is a powerful tool in biochemistry, biophysics, forensic science, and biotechnology. Two main principal properties for any fluorophore, brightness and photostability, are fundamentally important to achieve a high level of sensitivity for detection. Therefore, improvements in the technique are strongly encouraged and pursued, such as new developments in terms of the technique sensitivity, the range of fluorophores, their stability, and the versatility of the experimental setups that help move this particular scientific research in biosensing and molecular imaging forward. Therefore, a new avenue is based on the use of plasmonic nanostructures in the enhancement of the collective photo physical properties including their absorption and fluorescence, known as \"plasmon enhanced fluorescence.\" Such plasmonic enhancement is due to the localized surface plasmon resonance at the metal surface, which leads to increasing the exciton radiative recombination rate in the fluorophore and thereby improves the signal obtained and increases sensitivity. In addition, the plasmonic enhancement might depend on several parameters such as nanoparticle size and shape, metal type, and the spectral overlap in the absorption spectra and the type and the separation distance between both plasmonic nanoparticle and the fluorophore. Throughout this chapter, previous approaches are discussed, which are devoted to tracking the influence of plasmonic nanostructures on the photoluminescence of the fluorophores especially the hybrid nanocomposites based on plasmonic/quantum dots including semiconductor and carbon based nanoparticles. In addition, the possible applications of metal enhanced fluorescence nanohybrids in the biological and medical applications such as imaging and biosensing techniques.",
"author_names": [
"Ahmed N Emam",
"Ahmed Sadek Mansour",
"Mona Bakr Mohamed",
"Gehad G Mohamed"
],
"corpus_id": 213295271,
"doc_id": "213295271",
"n_citations": 5,
"n_key_citations": 0,
"score": 0,
"title": "Plasmonic Hybrid Nanocomposites for Plasmon Enhanced Fluorescence and Their Biomedical Applications",
"venue": "",
"year": 2020
},
{
"abstract": "Abstract Generation of laser light harmonics is one of the nonlinear optical phenomena offering unique opportunities for the development of applications in fields like photonics, materials science, chemistry, or medicine. Traditional nonlinear crystals are millimetre scale, thus current trends of device miniaturization require alternative strategies for generating harmonics from micro and nano scaled objects. Recently, several research groups showed that plasmonic metal nanostructures can be useful for generating harmonics from nanoscale volumes. As a more efficient alternative to full metallic nanostructures, an increasing amount of effort is currently put into the study of hybrid nano architectures, obtained by combining a nonlinear active material as the source of harmonics, and a plasmonic nanostructure as the amplifier of the generated harmonics. Here, we review the current state of the art concerning laser harmonics generation with hybrid nonlinear/noble metal nanostructures. After giving brief introductions into the fields of harmonics generation and plasmonics, we highlight the different kinds of hybrid nanostructures that were successfully employed to generate enhanced second third or higher harmonics. We describe nanofabrication approaches, but also findings related to the physical mechanisms involved in the enhancement process, such as correlations between surface plasmon resonances and harmonic generation efficiency or the origin of the enhanced signal.",
"author_names": [
"A Falamas",
"V Tosa",
"Cosmin Farcau"
],
"corpus_id": 104320462,
"doc_id": "104320462",
"n_citations": 2,
"n_key_citations": 0,
"score": 0,
"title": "Hybrid architectures made of nonlinear active and metal nanostructures for plasmon enhanced harmonic generation",
"venue": "Optical Materials",
"year": 2019
},
{
"abstract": "Plasmonic metal nanoparticles have recently attracted increasing interest due to their nanosized dimensions, tunable optical properties in the visible and near infrared regions of the spectrum and easy manufacturing. Although the optical properties of these sub wavelength objects arising from plasmonic resonances have been extensively investigated in both isolated and assembled structures, their rational integration in 1D semiconductor based devices for generation of engineered properties is a novel and vastly unexplored field. In particular, development of metal nanoparticle 1D semiconductor hybrid nanostructures has been hampered by a number of challenges including limited control of component assembly processes and modest theoretical and experimental understanding of fundamental physical phenomena occurring in such hybrids. In this feature article, we describe recent progress in fabrication methods and review the relevant plasmonic properties of metal nanoparticles that can be exploited to manipulate, enhance and optimize the performance of semiconductor nanowire based devices. Finally, we explore the enhanced properties of hybrid metal nanoparticle semiconductor nanowire structures and describe their application in optoelectronics and sensing.",
"author_names": [
"Andrea Pescaglini",
"Daniela Iacopino"
],
"corpus_id": 136604773,
"doc_id": "136604773",
"n_citations": 30,
"n_key_citations": 0,
"score": 0,
"title": "Metal nanoparticle semiconductor nanowire hybrid nanostructures for plasmon enhanced optoelectronics and sensing",
"venue": "",
"year": 2015
},
{
"abstract": "Integration of gold and titania in a nanoscale core/shell architecture can offer large active metal/semiconductor interfacial areas and avoid aggregation and reshaping of the metal nanocrystal core. Such hybrid nanostructures are very useful for studying plasmon enhanced/enabled processes and have great potential in light harvesting applications. Herein we report on a facile route to (gold nanocrystal core)(titania shell) nanostructures with their plasmon band synthetically variable from ~700 nm to over 1000 nm. The coating method has also been applied to other mono and bi metallic Pd, Pt, Au nanocrystals. The gold/titania nanostructures have been employed as the scattering layer in dye sensitized solar cells, with the resultant cells exhibiting a 13.3% increase in the power conversion efficiency and a 75% decrease in the scattering layer thickness. Moreover, under resonant excitation, the gold/titania nanostructures can efficiently utilize low energy photons to generate reactive oxygen species, including singlet oxygen and hydroxyl radicals.",
"author_names": [
"Caihong Fang",
"Henglei Jia",
"Shuai Chang",
"Qifeng Ruan",
"Peng Wang",
"Tao Chen",
"Jianfang Wang"
],
"corpus_id": 95081804,
"doc_id": "95081804",
"n_citations": 142,
"n_key_citations": 0,
"score": 0,
"title": "(Gold core)(titania shell) nanostructures for plasmon enhanced photon harvesting and generation of reactive oxygen species",
"venue": "",
"year": 2014
},
{
"abstract": "Herein, we report the successful application of hybrid Au Ag nanoparticles (NPs) and nanochains (NCs) in the harvesting of visible light energy for selective hydrogenation reactions. For individual Au@Ag NPs with Au25 cores, the conversion and turnover frequency (TOF) are approximately 8 and 10 times higher than those of Au25 NPs, respectively. Notably, after the self assembly of the Au@Ag NPs, the conversion and TOF of 1D NCs were approximately 2.5 and 2 times higher than those of isolated Au@Ag NPs, respectively, owing to the coupling of surface plasmon and the increase in the rate at which hot (energetic) electrons are generated with the formation of plasmonic hot spots between NPs. Furthermore, the surface enhanced Raman scattering (SERS) activity of 1D Au@Ag NCs was strengthened by nearly 2 orders of magnitude.",
"author_names": [
"Zhen Yin",
"Ye Wang",
"Chuqiao Song",
"Liheng Zheng",
"Na Ma",
"Xi Liu",
"Siwei Li",
"Lili Lin",
"Mengzhu Li",
"Yao Xu",
"Weizhen Li",
"Gang Hu",
"Zheyu Fang",
"Ding Ma"
],
"corpus_id": 207187501,
"doc_id": "207187501",
"n_citations": 108,
"n_key_citations": 0,
"score": 0,
"title": "Hybrid Au Ag Nanostructures for Enhanced Plasmon Driven Catalytic Selective Hydrogenation through Visible Light Irradiation and Surface Enhanced Raman Scattering.",
"venue": "Journal of the American Chemical Society",
"year": 2018
},
{
"abstract": "Organic single crystals have attracted great attention because of their advantages such as high carrier mobility and high thermal stability. Amplified spontaneous emission (ASE) is an important parameter for the optoelectronic applications of organic single crystals. Here, surface plasmon enhanced ASE from the organic single crystals has been demonstrated by integrating graphene/copper nanoparticle (Cu NP) hybrid nanostructures. Graphene is fully accommodating to the topography of Cu NPs by the transfer free as grown method for the configuration of the hybrid nanostructures, which makes full electrical contact and strong interactions between graphene and the local electric field of surface plasmon resonances. The enhanced localized surface plasmon resonances induced by the hybrid nanostructures result in an enhanced intensity and lowered threshold of ASE from the organic single crystals. Moreover, the as grown graphene sheets covering fully and uniformly on the Cu NPs act as a barrier against oxidation, and results in an enhanced stability of the fluorescence from the crystals.",
"author_names": [
"Yun-fei Li",
"Jing Feng",
"F Dong",
"Ran Ding",
"Zhenyu Zhang",
"Xu-Lin Zhang",
"Yang Chen",
"Yangang Bi",
"Hong-Bo Sun"
],
"corpus_id": 9479537,
"doc_id": "9479537",
"n_citations": 8,
"n_key_citations": 0,
"score": 0,
"title": "Surface plasmon enhanced amplified spontaneous emission from organic single crystals by integrating graphene/copper nanoparticle hybrid nanostructures.",
"venue": "Nanoscale",
"year": 2017
}
] |
Subsets and Splits