repo_name
stringlengths
6
79
path
stringlengths
6
236
copies
int64
1
472
size
int64
137
1.04M
content
stringlengths
137
1.04M
license
stringclasses
15 values
hash
stringlengths
32
32
alpha_frac
float64
0.25
0.96
ratio
float64
1.51
17.5
autogenerated
bool
1 class
config_or_test
bool
2 classes
has_no_keywords
bool
1 class
has_few_assignments
bool
1 class
alpenwasser/pitaya
firmware/fpga/p_FIR_sim/FIR_sim/FIR_sim.ip_user_files/bd/design_1/ip/design_1_FIR_0/sim/design_1_FIR_0.vhd
2
10,372
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:fir_compiler:7.2 -- IP Revision: 6 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY fir_compiler_v7_2_6; USE fir_compiler_v7_2_6.fir_compiler_v7_2_6; ENTITY design_1_FIR_0 IS PORT ( aclk : IN STD_LOGIC; s_axis_data_tvalid : IN STD_LOGIC; s_axis_data_tready : OUT STD_LOGIC; s_axis_data_tdata : IN STD_LOGIC_VECTOR(23 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tdata : OUT STD_LOGIC_VECTOR(23 DOWNTO 0) ); END design_1_FIR_0; ARCHITECTURE design_1_FIR_0_arch OF design_1_FIR_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF design_1_FIR_0_arch: ARCHITECTURE IS "yes"; COMPONENT fir_compiler_v7_2_6 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_ELABORATION_DIR : STRING; C_COMPONENT_NAME : STRING; C_COEF_FILE : STRING; C_COEF_FILE_LINES : INTEGER; C_FILTER_TYPE : INTEGER; C_INTERP_RATE : INTEGER; C_DECIM_RATE : INTEGER; C_ZERO_PACKING_FACTOR : INTEGER; C_SYMMETRY : INTEGER; C_NUM_FILTS : INTEGER; C_NUM_TAPS : INTEGER; C_NUM_CHANNELS : INTEGER; C_CHANNEL_PATTERN : STRING; C_ROUND_MODE : INTEGER; C_COEF_RELOAD : INTEGER; C_NUM_RELOAD_SLOTS : INTEGER; C_COL_MODE : INTEGER; C_COL_PIPE_LEN : INTEGER; C_COL_CONFIG : STRING; C_OPTIMIZATION : INTEGER; C_DATA_PATH_WIDTHS : STRING; C_DATA_IP_PATH_WIDTHS : STRING; C_DATA_PX_PATH_WIDTHS : STRING; C_DATA_WIDTH : INTEGER; C_COEF_PATH_WIDTHS : STRING; C_COEF_WIDTH : INTEGER; C_DATA_PATH_SRC : STRING; C_COEF_PATH_SRC : STRING; C_PX_PATH_SRC : STRING; C_DATA_PATH_SIGN : STRING; C_COEF_PATH_SIGN : STRING; C_ACCUM_PATH_WIDTHS : STRING; C_OUTPUT_WIDTH : INTEGER; C_OUTPUT_PATH_WIDTHS : STRING; C_ACCUM_OP_PATH_WIDTHS : STRING; C_EXT_MULT_CNFG : STRING; C_DATA_PATH_PSAMP_SRC : STRING; C_OP_PATH_PSAMP_SRC : STRING; C_NUM_MADDS : INTEGER; C_OPT_MADDS : STRING; C_OVERSAMPLING_RATE : INTEGER; C_INPUT_RATE : INTEGER; C_OUTPUT_RATE : INTEGER; C_DATA_MEMTYPE : INTEGER; C_COEF_MEMTYPE : INTEGER; C_IPBUFF_MEMTYPE : INTEGER; C_OPBUFF_MEMTYPE : INTEGER; C_DATAPATH_MEMTYPE : INTEGER; C_MEM_ARRANGEMENT : INTEGER; C_DATA_MEM_PACKING : INTEGER; C_COEF_MEM_PACKING : INTEGER; C_FILTS_PACKED : INTEGER; C_LATENCY : INTEGER; C_HAS_ARESETn : INTEGER; C_HAS_ACLKEN : INTEGER; C_DATA_HAS_TLAST : INTEGER; C_S_DATA_HAS_FIFO : INTEGER; C_S_DATA_HAS_TUSER : INTEGER; C_S_DATA_TDATA_WIDTH : INTEGER; C_S_DATA_TUSER_WIDTH : INTEGER; C_M_DATA_HAS_TREADY : INTEGER; C_M_DATA_HAS_TUSER : INTEGER; C_M_DATA_TDATA_WIDTH : INTEGER; C_M_DATA_TUSER_WIDTH : INTEGER; C_HAS_CONFIG_CHANNEL : INTEGER; C_CONFIG_SYNC_MODE : INTEGER; C_CONFIG_PACKET_SIZE : INTEGER; C_CONFIG_TDATA_WIDTH : INTEGER; C_RELOAD_TDATA_WIDTH : INTEGER ); PORT ( aresetn : IN STD_LOGIC; aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_data_tvalid : IN STD_LOGIC; s_axis_data_tready : OUT STD_LOGIC; s_axis_data_tlast : IN STD_LOGIC; s_axis_data_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_data_tdata : IN STD_LOGIC_VECTOR(23 DOWNTO 0); s_axis_config_tvalid : IN STD_LOGIC; s_axis_config_tready : OUT STD_LOGIC; s_axis_config_tlast : IN STD_LOGIC; s_axis_config_tdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_reload_tvalid : IN STD_LOGIC; s_axis_reload_tready : OUT STD_LOGIC; s_axis_reload_tlast : IN STD_LOGIC; s_axis_reload_tdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tready : IN STD_LOGIC; m_axis_data_tlast : OUT STD_LOGIC; m_axis_data_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_data_tdata : OUT STD_LOGIC_VECTOR(23 DOWNTO 0); event_s_data_tlast_missing : OUT STD_LOGIC; event_s_data_tlast_unexpected : OUT STD_LOGIC; event_s_data_chanid_incorrect : OUT STD_LOGIC; event_s_config_tlast_missing : OUT STD_LOGIC; event_s_config_tlast_unexpected : OUT STD_LOGIC; event_s_reload_tlast_missing : OUT STD_LOGIC; event_s_reload_tlast_unexpected : OUT STD_LOGIC ); END COMPONENT fir_compiler_v7_2_6; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TDATA"; BEGIN U0 : fir_compiler_v7_2_6 GENERIC MAP ( C_XDEVICEFAMILY => "zynq", C_ELABORATION_DIR => "./", C_COMPONENT_NAME => "design_1_FIR_0", C_COEF_FILE => "design_1_FIR_0.mif", C_COEF_FILE_LINES => 105, C_FILTER_TYPE => 1, C_INTERP_RATE => 1, C_DECIM_RATE => 5, C_ZERO_PACKING_FACTOR => 1, C_SYMMETRY => 1, C_NUM_FILTS => 1, C_NUM_TAPS => 204, C_NUM_CHANNELS => 1, C_CHANNEL_PATTERN => "fixed", C_ROUND_MODE => 1, C_COEF_RELOAD => 0, C_NUM_RELOAD_SLOTS => 1, C_COL_MODE => 1, C_COL_PIPE_LEN => 4, C_COL_CONFIG => "21", C_OPTIMIZATION => 0, C_DATA_PATH_WIDTHS => "24", C_DATA_IP_PATH_WIDTHS => "24", C_DATA_PX_PATH_WIDTHS => "24", C_DATA_WIDTH => 24, C_COEF_PATH_WIDTHS => "16", C_COEF_WIDTH => 16, C_DATA_PATH_SRC => "0", C_COEF_PATH_SRC => "0", C_PX_PATH_SRC => "0", C_DATA_PATH_SIGN => "0", C_COEF_PATH_SIGN => "0", C_ACCUM_PATH_WIDTHS => "43", C_OUTPUT_WIDTH => 24, C_OUTPUT_PATH_WIDTHS => "24", C_ACCUM_OP_PATH_WIDTHS => "43", C_EXT_MULT_CNFG => "none", C_DATA_PATH_PSAMP_SRC => "0", C_OP_PATH_PSAMP_SRC => "0", C_NUM_MADDS => 21, C_OPT_MADDS => "none", C_OVERSAMPLING_RATE => 1, C_INPUT_RATE => 1, C_OUTPUT_RATE => 5, C_DATA_MEMTYPE => 0, C_COEF_MEMTYPE => 2, C_IPBUFF_MEMTYPE => 2, C_OPBUFF_MEMTYPE => 0, C_DATAPATH_MEMTYPE => 2, C_MEM_ARRANGEMENT => 1, C_DATA_MEM_PACKING => 0, C_COEF_MEM_PACKING => 0, C_FILTS_PACKED => 0, C_LATENCY => 28, C_HAS_ARESETn => 0, C_HAS_ACLKEN => 0, C_DATA_HAS_TLAST => 0, C_S_DATA_HAS_FIFO => 1, C_S_DATA_HAS_TUSER => 0, C_S_DATA_TDATA_WIDTH => 24, C_S_DATA_TUSER_WIDTH => 1, C_M_DATA_HAS_TREADY => 0, C_M_DATA_HAS_TUSER => 0, C_M_DATA_TDATA_WIDTH => 24, C_M_DATA_TUSER_WIDTH => 1, C_HAS_CONFIG_CHANNEL => 0, C_CONFIG_SYNC_MODE => 0, C_CONFIG_PACKET_SIZE => 0, C_CONFIG_TDATA_WIDTH => 1, C_RELOAD_TDATA_WIDTH => 1 ) PORT MAP ( aresetn => '1', aclk => aclk, aclken => '1', s_axis_data_tvalid => s_axis_data_tvalid, s_axis_data_tready => s_axis_data_tready, s_axis_data_tlast => '0', s_axis_data_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_data_tdata => s_axis_data_tdata, s_axis_config_tvalid => '0', s_axis_config_tlast => '0', s_axis_config_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_reload_tvalid => '0', s_axis_reload_tlast => '0', s_axis_reload_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axis_data_tvalid => m_axis_data_tvalid, m_axis_data_tready => '1', m_axis_data_tdata => m_axis_data_tdata ); END design_1_FIR_0_arch;
mit
e649342f27c2a5b2b2f493582fbd8940
0.632183
3.263688
false
true
false
false
VLSI-EDA/PoC-Examples
src/common/my_config_ArtyA7_35.vhdl
1
1,585
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================= -- Authors: Patrick Lehmann -- -- Package: Project specific configuration. -- -- Description: -- ------------------------------------ -- Configuration file for a Digilent ArtyA7-35 board. -- -- -- License: -- ============================================================================= -- Copyright 2017-2020 Patrick Lehmann - Boetzingen, Germany -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================= -- -- package my_config is -- Change these lines to setup configuration. constant MY_BOARD : string := "ArtyA7-35"; -- Digilent ArtyA7-35 - Xilinx Artix-7: XC7A35T constant MY_DEVICE : string := "None"; -- infer from MY_BOARD -- For internal use only constant MY_VERBOSE : boolean := FALSE; end package;
apache-2.0
001fe2e4fefb7f12a3a40639bee2405f
0.570978
4.439776
false
true
false
false
viniciussmello/SistemasDigitais
Trabalho 1/ULA/XOR_BitABit.vhd
1
716
---------------------------------------------------------------------------------- -- Create Date: 21:52:35 04/10/2017 -- Module Name: XOR_BitABit - Behavioral ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity XOR_BitABit is Port ( A : in STD_LOGIC_VECTOR (3 downto 0); B : in STD_LOGIC_VECTOR (3 downto 0); Z : out STD_LOGIC_VECTOR (3 downto 0)); end XOR_BitABit; architecture Behavioral of XOR_BitABit is signal Zout : STD_LOGIC_VECTOR(3 downto 0); begin Zout(0) <= A(0) XOR B(0); Zout(1) <= A(1) XOR B(1); Zout(2) <= A(2) XOR B(2); Zout(3) <= A(3) XOR B(3); Z <= Zout; end Behavioral;
gpl-3.0
71254683ed5f13228542413edb217752
0.47067
3.442308
false
false
false
false
MiddleMan5/233
Experiments/RTL_Components/Decoder3x8.vhd
1
1,442
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 09/29/2017 11:06:31 AM -- Design Name: -- Module Name: Decoder2x4 - Behavioral -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; entity Decoder3x8 is Port ( D_IN : in STD_LOGIC_VECTOR(3 downto 0); nD_OUT : out STD_LOGIC_VECTOR(7 downto 0)); end Decoder3x8; architecture Behavioral of Decoder3x8 is begin process (D_IN) begin case D_IN is when "000" => nD_OUT <= "11111110"; when "001" => nD_OUT <= "11111101"; when "010" => nD_OUT <= "11111011"; when "011" => nD_OUT <= "11110111"; when "100" => nD_OUT <= "11101111"; when "101" => nD_OUT <= "11011111"; when "110" => nD_OUT <= "10111111"; when "111" => nD_OUT <= "01111111"; when others => nD_OUT <= "00000000"; end case; end process; end Behavioral;
mit
0511bdaf9fa7d5c01d4b126d67114e1b
0.568655
3.392941
false
false
false
false
viniciussmello/SistemasDigitais
Trabalho 2/Principal/MultBcd_5Dig.vhd
2
5,364
LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.NUMERIC_STD.ALL; ENTITY MultBcd_5x5Dig IS PORT ( EntradaA : IN unsigned (19 DOWNTO 0); -- Entrada1 com 5 digitos BCD EntradaB : IN unsigned (19 DOWNTO 0); -- Entrada2 com 5 digitos BCD SaidaZ : OUT unsigned (39 DOWNTO 0) -- Saída com 10 digitos BCD ); END MultBcd_5x5Dig; ARCHITECTURE Behavioral OF MultBcd_5x5Dig IS COMPONENT MultBcd_1xNDig -- Multiplicador de 1 digito por N PORT ( A : IN unsigned(3 DOWNTO 0); B : IN unsigned(19 DOWNTO 0); Z : OUT unsigned(23 DOWNTO 0) ); END COMPONENT; COMPONENT Adder IS -- Somador para produtos parciais PORT ( CarryIn : IN unsigned (3 DOWNTO 0); Entrada1 : IN unsigned (3 DOWNTO 0); Entrada2 : IN unsigned (3 DOWNTO 0); Entrada3 : IN unsigned (3 DOWNTO 0); Entrada4 : IN unsigned (3 DOWNTO 0); Entrada5 : IN unsigned (3 DOWNTO 0); Saida : OUT unsigned (3 DOWNTO 0); CarryOut : OUT unsigned (3 DOWNTO 0) ); END COMPONENT; SIGNAL ProdParcial0 : unsigned(23 DOWNTO 0) := (OTHERS => '0'); SIGNAL ProdParcial1 : unsigned(23 DOWNTO 0) := (OTHERS => '0'); SIGNAL ProdParcial2 : unsigned(23 DOWNTO 0) := (OTHERS => '0'); SIGNAL ProdParcial3 : unsigned(23 DOWNTO 0) := (OTHERS => '0'); SIGNAL ProdParcial4 : unsigned(23 DOWNTO 0) := (OTHERS => '0'); SIGNAL carryAux : unsigned(35 DOWNTO 0) := (OTHERS => '0'); SIGNAL SaidaAux : unsigned(39 DOWNTO 0) := (OTHERS => '0'); BEGIN -- Calculo das multiplicações parciais MULT0 : MultBcd_1xNDig -- A(1) x B PORT MAP( A => EntradaA(3 DOWNTO 0), B => EntradaB, Z => ProdParcial0 ); MULT1 : MultBcd_1xNDig -- A(2) x B PORT MAP( A => EntradaA(7 DOWNTO 4), B => EntradaB, Z => ProdParcial1 ); MULT2 : MultBcd_1xNDig -- A(3) x B PORT MAP( A => EntradaA(11 DOWNTO 8), B => EntradaB, Z => ProdParcial2 ); MULT3 : MultBcd_1xNDig -- A(4) x B PORT MAP( A => EntradaA(15 DOWNTO 12), B => EntradaB, Z => ProdParcial3 ); MULT4 : MultBcd_1xNDig -- A(5) x B PORT MAP( A => EntradaA(19 DOWNTO 16), B => EntradaB, Z => ProdParcial4 ); -- ------ Calculo das Somas parciais SaidaAux(3 DOWNTO 0) <= ProdParcial0(3 DOWNTO 0); adder0 : Adder PORT MAP( CarryIn => "0000", Entrada1 => ProdParcial0(7 DOWNTO 4), Entrada2 => ProdParcial1(3 DOWNTO 0), Entrada3 => "0000", Entrada4 => "0000", Entrada5 => "0000", Saida => SaidaAux(7 DOWNTO 4), CarryOut => carryAux(3 DOWNTO 0) ); adder1 : Adder PORT MAP( CarryIn => carryAux(3 DOWNTO 0), Entrada1 => ProdParcial0(11 DOWNTO 8), Entrada2 => ProdParcial1(7 DOWNTO 4), Entrada3 => ProdParcial2(3 DOWNTO 0), Entrada4 => "0000", Entrada5 => "0000", Saida => SaidaAux(11 DOWNTO 8), CarryOut => carryAux(7 DOWNTO 4) ); adder2 : Adder PORT MAP( CarryIn => carryAux(7 DOWNTO 4), Entrada1 => ProdParcial0(15 DOWNTO 12), Entrada2 => ProdParcial1(11 DOWNTO 8), Entrada3 => ProdParcial2(7 DOWNTO 4), Entrada4 => ProdParcial3(3 DOWNTO 0), Entrada5 => "0000", Saida => SaidaAux(15 DOWNTO 12), CarryOut => carryAux(11 DOWNTO 8) ); adder3 : Adder PORT MAP( CarryIn => carryAux(11 DOWNTO 8), Entrada1 => ProdParcial0(19 DOWNTO 16), Entrada2 => ProdParcial1(15 DOWNTO 12), Entrada3 => ProdParcial2(11 DOWNTO 8), Entrada4 => ProdParcial3(7 DOWNTO 4), Entrada5 => ProdParcial4(3 DOWNTO 0), Saida => SaidaAux(19 DOWNTO 16), CarryOut => carryAux(15 DOWNTO 12)); adder4 : Adder PORT MAP( CarryIn => carryAux(15 DOWNTO 12), Entrada1 => ProdParcial0(23 DOWNTO 20), Entrada2 => ProdParcial1(19 DOWNTO 16), Entrada3 => ProdParcial2(15 DOWNTO 12), Entrada4 => ProdParcial3(11 DOWNTO 8), Entrada5 => ProdParcial4(7 DOWNTO 4), Saida => SaidaAux(23 DOWNTO 20), CarryOut => carryAux(19 DOWNTO 16)); adder5 : Adder PORT MAP( CarryIn => carryAux(19 DOWNTO 16), Entrada1 => "0000", Entrada2 => ProdParcial1(23 DOWNTO 20), Entrada3 => ProdParcial2(19 DOWNTO 16), Entrada4 => ProdParcial3(15 DOWNTO 12), Entrada5 => ProdParcial4(11 DOWNTO 8), Saida => SaidaAux(27 DOWNTO 24), CarryOut => carryAux(23 DOWNTO 20)); adder6 : Adder PORT MAP( CarryIn => carryAux(23 DOWNTO 20), Entrada1 => "0000", Entrada2 => "0000", Entrada3 => ProdParcial2(23 DOWNTO 20), Entrada4 => ProdParcial3(19 DOWNTO 16), Entrada5 => ProdParcial4(15 DOWNTO 12), Saida => SaidaAux(31 DOWNTO 28), CarryOut => carryAux(27 DOWNTO 24)); adder7 : Adder PORT MAP( CarryIn => carryAux(27 DOWNTO 24), Entrada1 => "0000", Entrada2 => "0000", Entrada3 => "0000", Entrada4 => ProdParcial3(23 DOWNTO 20), Entrada5 => ProdParcial4(19 DOWNTO 16), Saida => SaidaAux(35 DOWNTO 32), CarryOut => carryAux(31 DOWNTO 28)); adder8 : Adder PORT MAP( CarryIn => carryAux(31 DOWNTO 28), Entrada1 => "0000", Entrada2 => "0000", Entrada3 => "0000", Entrada4 => "0000", Entrada5 => ProdParcial4(23 DOWNTO 20), Saida => SaidaAux(39 DOWNTO 36), CarryOut => carryAux(35 DOWNTO 32) ); SaidaZ <= SaidaAux; END Behavioral;
gpl-3.0
6dd626757aebbd1106477564a450933e
0.607755
3.22938
false
false
false
false
marcoep/MusicBoxNano
hdl/DDSAddressGenerator.vhd
1
6,227
------------------------------------------------------------------------------- -- Title : DDS Address Generator -- Project : ------------------------------------------------------------------------------- -- File : DDSAddressGenerator.vhd -- Author : Marco Eppenberger <marco@Pierce.home> -- Company : -- Created : 2016-07-30 -- Last update: 2016-07-30 -- Platform : ModelSim, Altera Quartus -- Standard : VHDL'93/02 ------------------------------------------------------------------------------- -- Description: Generator for DDS and Evelope ROM address. ------------------------------------------------------------------------------- -- Copyright (c) 2016 Marco Eppenberger ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2016-07-30 1.0 marco Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.Helpers_Pkg.all; entity DDSAddressGenerator is generic ( ENV_DECAY_SPEED : integer := 500; -- 500 is one second for the whole envelope (min 1, max 2^16-1) DDS_COUNTER_WIDTH : integer := 29); -- min 12 port ( Clk_CI : in std_logic; Reset_SI : in std_logic; Increment_DI : in std_logic_vector(DDS_COUNTER_WIDTH-1 downto 0); IncrementValid_SI : in std_logic; FreqTick_SI : in std_logic; DDSAddr_DO : out std_logic_vector(11 downto 0); EnvAddr_DO : out std_logic_vector(7 downto 0)); end entity DDSAddressGenerator; architecture RTL of DDSAddressGenerator is -- the last 1/8th of the wave table is the sustain part constant SUSTAIN_BEGIN_ADDR : integer := (2**(DDS_COUNTER_WIDTH-3))*7; -- Envelope Address Generation signal EnvTickCounter_DN : unsigned(15 downto 0) := (others => '0'); signal EnvTickCounter_DP : unsigned(15 downto 0) := (others => '0'); signal EnvTick_S : std_logic := '0'; signal EnvAddr_DN : unsigned(7 downto 0) := (others => '0'); signal EnvAddr_DP : unsigned(7 downto 0) := (others => '0'); signal EnvelopeDone_S : std_logic := '0'; -- DDS Address Generation signal DDSAddr_DN, DDSAddr_DP : unsigned(DDS_COUNTER_WIDTH-1 downto 0) := (others => '0'); signal IncrementBuf_D : unsigned(DDS_COUNTER_WIDTH-1 downto 0) := (others => '0'); signal SustainFlag_SN, SustainFlag_SP : std_logic := '0'; begin -- architecture RTL ----------------------------------------------------------------------------- -- DDS (Waveform) Address Generator ----------------------------------------------------------------------------- -- next DDS addr logic next_dds_addr : process (DDSAddr_DP, FreqTick_SI, IncrementBuf_D, IncrementValid_SI, SustainFlag_SP) is variable addr_tmp : unsigned(DDS_COUNTER_WIDTH-1 downto 0) := (others => '0'); variable dds_in_sustain : boolean := false; begin -- defaults DDSAddr_DN <= DDSAddr_DP; SustainFlag_SN <= SustainFlag_SP; -- add increment to address and check if in sustain region addr_tmp := DDSAddr_DP + IncrementBuf_D; dds_in_sustain := addr_tmp >= SUSTAIN_BEGIN_ADDR; -- get next DDS addr when frequency ticks if FreqTick_SI = '1' then if SustainFlag_SP = '1' and not(dds_in_sustain) then DDSAddr_DN <= addr_tmp + SUSTAIN_BEGIN_ADDR; else DDSAddr_DN <= addr_tmp; end if; end if; -- sustain flag is set from 0 to 1 when the address reaches the sustain -- part the first time if dds_in_sustain then SustainFlag_SN <= '1'; end if; -- reset when we get a new increment if IncrementValid_SI = '1' then SustainFlag_SN <= '0'; DDSAddr_DN <= (others => '0'); end if; end process next_dds_addr; -- DDS generator flipflop DDSAddrGen : process (Clk_CI) is begin -- process DDSAddrGen if Clk_CI'event and Clk_CI = '1' then if Reset_SI = '1' then IncrementBuf_D <= (others => '0'); SustainFlag_SP <= '0'; DDSAddr_DP <= (others => '0'); else if IncrementValid_SI = '1' then IncrementBuf_D <= unsigned(Increment_DI); end if; SustainFlag_SP <= SustainFlag_SN; DDSAddr_DP <= DDSAddr_DN; end if; end if; end process DDSAddrGen; -- output DDSAddr_DO <= std_logic_vector(DDSAddr_DP(DDS_COUNTER_WIDTH-1 downto DDS_COUNTER_WIDTH-12)); ----------------------------------------------------------------------------- -- Envelope Address Generator ----------------------------------------------------------------------------- -- envelope tick if counter reaches out of bounds EnvTick_S <= bool2sl(EnvTickCounter_DP >= ENV_DECAY_SPEED); -- next tick logic env_tick : process (EnvTickCounter_DP, EnvTick_S, FreqTick_SI) is begin EnvTickCounter_DN <= EnvTickCounter_DP; if FreqTick_SI = '1' then if EnvTick_S = '1' then EnvTickCounter_DN <= (others => '0'); else EnvTickCounter_DN <= EnvTickCounter_DP + 1; end if; end if; end process env_tick; -- address done EnvelopeDone_S <= bool2sl(EnvAddr_DP = 255); -- next address logic next_addr_logic : process (EnvAddr_DP, EnvTick_S, EnvelopeDone_S) is begin EnvAddr_DN <= EnvAddr_DP; if EnvTick_S = '1' and EnvelopeDone_S = '0' then EnvAddr_DN <= EnvAddr_DP + 1; end if; end process next_addr_logic; -- envelope address flipflops, also reset when new increment comes in EnvAddrGen : process (Clk_CI) is begin if Clk_CI'event and Clk_CI = '1' then if (Reset_SI = '1' or IncrementValid_SI = '1') then EnvAddr_DP <= (others => '0'); EnvTickCounter_DP <= (others => '0'); else EnvAddr_DP <= EnvAddr_DN; EnvTickCounter_DP <= EnvTickCounter_DN; end if; end if; end process EnvAddrGen; -- output EnvAddr_DO <= std_logic_vector(EnvAddr_DP); end architecture RTL;
gpl-3.0
6dad8babed51a43cf1fda1e99e4c14d7
0.52979
4.104812
false
false
false
false
viniciussmello/SistemasDigitais
Trabalho 2/Principal/Adder.vhd
2
2,044
library IEEE; use IEEE.STD_LOGIC_1164.ALL; USE IEEE.NUMERIC_STD.ALL; entity Adder is Port ( CarryIn : in unsigned (3 downto 0); Entrada1 : in unsigned (3 downto 0); Entrada2 : in unsigned (3 downto 0); Entrada3 : in unsigned (3 downto 0); Entrada4 : in unsigned (3 downto 0); Entrada5 : in unsigned (3 downto 0); Saida : out unsigned (3 downto 0); CarryOut: out unsigned (3 downto 0)); end Adder; architecture Behavioral of Adder is signal sum_temp : unsigned(7 downto 0) := (others => '0'); signal sum : unsigned(7 downto 0) := (others => '0'); signal carry : unsigned(3 downto 0) := (others => '0'); begin PROCESS (Entrada1, Entrada2, Entrada3, Entrada4, Entrada5, CarryIn, sum, sum_temp, carry) BEGIN sum_temp <= (("0000" & Entrada1) + ("0000" & Entrada2) + ("0000" & Entrada3) + ("0000" & Entrada4) + ("0000" & Entrada5) + ("0000" & CarryIn)); IF ((sum_temp >= "00000000") AND (sum_temp < "00001010")) THEN -- Entre 0 e 9? carry <= "0000"; sum <= sum_temp; ELSIF ((sum_temp >= "00001010") AND (sum_temp < "00010100")) THEN -- Entre 10 e 19? carry <= "0001"; sum <= (sum_temp - "00001010"); ELSIF ((sum_temp >= "00010100") AND (sum_temp < "00011110")) THEN carry <= "0010"; sum <= (sum_temp - "00010100"); ELSIF ((sum_temp >= "00011110") AND (sum_temp < "00101000")) THEN carry <= ("0011"); sum <= (sum_temp - "00011110"); ELSIF ((sum_temp >= "00101000") AND (sum_temp < "00110010")) THEN carry <= ("0100"); sum <= (sum_temp - "00101000"); ELSIF ((sum_temp >= "00110010") AND (sum_temp < "00111100")) THEN carry <= ("0101"); sum <= (sum_temp - "00110010"); ELSIF ((sum_temp >= "00111100") AND (sum_temp < "01000110")) THEN carry <= ("0110"); sum <= (sum_temp - "00111100"); ELSE sum <= sum_temp; END IF; END PROCESS; Saida <= sum(3 downto 0); CarryOut <= carry(3 downto 0); end Behavioral;
gpl-3.0
464f46adc603e17900ffbf70fabd28f6
0.559198
3.291465
false
false
false
false
MiddleMan5/233
Experiments/Experiment8-GeterDone/IPI-BD/RAT/ip/RAT_slice_12_8_0/synth/RAT_slice_12_8_0.vhd
2
3,817
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:xlslice:1.0 -- IP Revision: 0 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY work; USE work.xlslice; ENTITY RAT_slice_12_8_0 IS PORT ( Din : IN STD_LOGIC_VECTOR(17 DOWNTO 0); Dout : OUT STD_LOGIC_VECTOR(4 DOWNTO 0) ); END RAT_slice_12_8_0; ARCHITECTURE RAT_slice_12_8_0_arch OF RAT_slice_12_8_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF RAT_slice_12_8_0_arch: ARCHITECTURE IS "yes"; COMPONENT xlslice IS GENERIC ( DIN_WIDTH : INTEGER; DIN_FROM : INTEGER; DIN_TO : INTEGER ); PORT ( Din : IN STD_LOGIC_VECTOR(17 DOWNTO 0); Dout : OUT STD_LOGIC_VECTOR(4 DOWNTO 0) ); END COMPONENT xlslice; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF RAT_slice_12_8_0_arch: ARCHITECTURE IS "xlslice,Vivado 2016.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF RAT_slice_12_8_0_arch : ARCHITECTURE IS "RAT_slice_12_8_0,xlslice,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF RAT_slice_12_8_0_arch: ARCHITECTURE IS "RAT_slice_12_8_0,xlslice,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=xlslice,x_ipVersion=1.0,x_ipCoreRevision=0,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,DIN_WIDTH=18,DIN_FROM=7,DIN_TO=3}"; BEGIN U0 : xlslice GENERIC MAP ( DIN_WIDTH => 18, DIN_FROM => 7, DIN_TO => 3 ) PORT MAP ( Din => Din, Dout => Dout ); END RAT_slice_12_8_0_arch;
mit
70114d4bcb545bf5e556c09614952936
0.727797
3.836181
false
false
false
false
VLSI-EDA/PoC-Examples
src/common/my_config_VC707.vhdl
1
1,787
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- ============================================================================= -- Authors: Thomas B. Preusser -- Martin Zabel -- Patrick Lehmann -- -- Package: Project specific configuration. -- -- Description: -- ------------------------------------ -- Configuration file for a Xilinx VC707 board. -- -- -- License: -- ============================================================================= -- Copyright 2007-2015 Technische Universitaet Dresden - Germany, -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================= -- -- package my_config is -- Change these lines to setup configuration. constant MY_BOARD : string := "VC707"; -- VC707 - Xilinx Virtex 7 reference design board: XC7V485T constant MY_DEVICE : string := "None"; -- infer from MY_BOARD -- constant MY_VERBOSE : boolean := FALSE; -- activate detailed report statements in functions and procedures end package;
apache-2.0
5451f206c315fb1bca377dad1d593fd6
0.571349
4.690289
false
true
false
false
VLSI-EDA/PoC-Examples
src/io/FanControl/top_FanControl_KC705.vhdl
1
7,203
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================ -- Authors: Patrick Lehmann -- Thomas B. Preusser -- -- Top-Module: FanControl example design for a KC705 board -- -- Description: -- ------------------------------------ -- TODO -- -- License: -- ============================================================================ -- Copyright 2007-2015 Technische Universitaet Dresden - Germany -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================ library IEEE; use IEEE.std_logic_1164.all; library unisim; use unisim.vcomponents.all; library PoC; use PoC.physical.all; entity top_FanControl_KC705 is port ( KC705_SystemClock_200MHz_p : in STD_LOGIC; KC705_SystemClock_200MHz_n : in STD_LOGIC; KC705_GPIO_LED : out STD_LOGIC_VECTOR(7 downto 0); KC705_FanControl_PWM : out STD_LOGIC; KC705_FanControl_Tacho : in STD_LOGIC ); end entity; architecture top of top_FanControl_KC705 is attribute KEEP : BOOLEAN; -- =========================================================================== -- configurations -- =========================================================================== -- common configuration constant DEBUG : BOOLEAN := TRUE; constant SYS_CLOCK_FREQ : FREQ := 200 MHz; -- ClockNetwork configuration -- =========================================================================== constant SYSTEM_CLOCK_FREQ : FREQ := SYS_CLOCK_FREQ / 2; -- =========================================================================== -- signal declarations -- =========================================================================== -- clock and reset signals signal System_RefClock_200MHz : STD_LOGIC; signal ClkNet_Reset : STD_LOGIC; signal ClkNet_ResetDone : STD_LOGIC; signal SystemClock_200MHz : STD_LOGIC; signal SystemClock_100MHz : STD_LOGIC; signal SystemClock_Stable_200MHz : STD_LOGIC; signal SystemClock_Stable_100MHz : STD_LOGIC; signal System_Clock : STD_LOGIC; signal System_Reset : STD_LOGIC; attribute KEEP of System_Clock : signal is TRUE; attribute KEEP of System_Reset : signal is TRUE; begin -- =========================================================================== -- assert statements -- =========================================================================== assert FALSE report "FanControl configuration:" severity NOTE; assert FALSE report " SYS_CLOCK_FREQ: " & to_string(SYS_CLOCK_FREQ, 3) severity note; -- =========================================================================== -- Input/output buffers -- =========================================================================== IBUFGDS_SystemClock : IBUFGDS port map ( I => KC705_SystemClock_200MHz_p, IB => KC705_SystemClock_200MHz_n, O => System_RefClock_200MHz ); -- ========================================================================================================================================================== -- ClockNetwork -- ========================================================================================================================================================== ClkNet_Reset <= '0'; ClkNet : entity PoC.clknet_ClockNetwork_KC705 generic map ( CLOCK_IN_FREQ => SYS_CLOCK_FREQ ) port map ( ClockIn_200MHz => System_RefClock_200MHz, ClockNetwork_Reset => ClkNet_Reset, ClockNetwork_ResetDone => ClkNet_ResetDone, Control_Clock_200MHz => open, Clock_250MHz => open, Clock_200MHz => SystemClock_200MHz, Clock_125MHz => open, Clock_100MHz => SystemClock_100MHz, Clock_10MHz => open, Clock_Stable_250MHz => open, Clock_Stable_200MHz => SystemClock_Stable_200MHz, Clock_Stable_125MHz => open, Clock_Stable_100MHz => SystemClock_Stable_100MHz, Clock_Stable_10MHz => open ); -- system signals System_Clock <= SystemClock_100MHz; System_Reset <= not SystemClock_Stable_100MHz; -- ========================================================================================================================================================== -- General Purpose I/O -- ========================================================================================================================================================== blkGPIO : block signal GPIO_LED : STD_LOGIC_VECTOR(7 downto 0); signal GPIO_LED_d : STD_LOGIC_VECTOR(7 downto 0) := (others => '0'); begin GPIO_LED <= "0000000" & ClkNet_ResetDone; GPIO_LED_d <= GPIO_LED when rising_edge(System_Clock); KC705_GPIO_LED <= GPIO_LED_d; end block; -- ========================================================================================================================================================== -- Fan Control -- ========================================================================================================================================================== blkFanControl : block signal FanControl_PWM : STD_LOGIC; signal FanControl_PWM_d : STD_LOGIC := '0'; signal FanControl_Tacho_async : STD_LOGIC; signal FanControl_Tacho_sync : STD_LOGIC; begin FanControl_Tacho_async <= KC705_FanControl_Tacho; sync : entity PoC.sync_Bits port map ( Clock => System_Clock, -- Clock to be synchronized to Input(0) => FanControl_Tacho_async, -- Data to be synchronized Output(0) => FanControl_Tacho_sync -- synchronized data ); Fan : entity PoC.io_FanControl generic map ( CLOCK_FREQ => SYSTEM_CLOCK_FREQ -- 100 MHz ) port map ( Clock => System_Clock, Reset => System_Reset, Fan_PWM => FanControl_PWM, Fan_Tacho => FanControl_Tacho_sync, TachoFrequency => open ); -- IOB-FF FanControl_PWM_d <= FanControl_PWM when rising_edge(System_Clock); KC705_FanControl_PWM <= FanControl_PWM_d; end block; end architecture;
apache-2.0
d50b7ca7510245ea6c5919d055432211
0.451756
4.704768
false
false
false
false
VLSI-EDA/PoC-Examples
src/io/FanControl/top_FanControl_VC707.vhdl
1
4,580
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================ -- Authors: Patrick Lehmann -- Thomas B. Preusser -- -- Top-Module: FanControl example design for a VC707 board -- -- Description: -- ------------------------------------ -- TODO -- -- License: -- ============================================================================ -- Copyright 2007-2015 Technische Universitaet Dresden - Germany -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================ library IEEE; use IEEE.std_logic_1164.all; library unisim; use unisim.vcomponents.all; library PoC; use PoC.physical.all; entity top_FanControl_VC707 is port ( VC707_SystemClock_200MHz_p : in STD_LOGIC; VC707_SystemClock_200MHz_n : in STD_LOGIC; VC707_FanControl_PWM : out STD_LOGIC; VC707_FanControl_Tacho : in STD_LOGIC ); end entity; architecture top of top_FanControl_VC707 is attribute KEEP : BOOLEAN; -- =========================================================================== -- configurations -- =========================================================================== -- common configuration constant DEBUG : BOOLEAN := TRUE; constant SYSTEM_CLOCK_FREQ : FREQ := 200 MHz; -- =========================================================================== -- signal declarations -- =========================================================================== signal System_Clock_ibufgds : STD_LOGIC; signal System_Clock : STD_LOGIC; signal System_Reset : STD_LOGIC; begin -- =========================================================================== -- assert statements -- =========================================================================== assert FALSE report "FanControl configuration:" severity NOTE; assert FALSE report " SYSTEM_CLOCK_FREQ: " & to_string(SYSTEM_CLOCK_FREQ, 3) severity note; -- =========================================================================== -- Input/output buffers -- =========================================================================== IBUFGDS_SystemClock : IBUFGDS port map ( I => VC707_SystemClock_200MHz_p, IB => VC707_SystemClock_200MHz_n, O => System_Clock_ibufgds ); BUFG_SystemClock : BUFG port map ( I => System_Clock_ibufgds, O => System_Clock); System_Reset <= '0'; -- =========================================================================== -- Fan Control -- =========================================================================== blkFanControl : block signal FanControl_PWM : STD_LOGIC; signal FanControl_PWM_d : STD_LOGIC := '0'; signal FanControl_Tacho_async : STD_LOGIC; signal FanControl_Tacho_sync : STD_LOGIC; begin FanControl_Tacho_async <= VC707_FanControl_Tacho; sync : entity PoC.sync_Bits port map ( Clock => System_Clock, -- Clock to be synchronized to Input(0) => FanControl_Tacho_async, -- Data to be synchronized Output(0) => FanControl_Tacho_sync -- synchronized data ); Fan : entity PoC.io_FanControl generic map ( CLOCK_FREQ => SYSTEM_CLOCK_FREQ -- 200 MHz ) port map ( Clock => System_Clock, Reset => System_Reset, Fan_PWM => FanControl_PWM, Fan_Tacho => FanControl_Tacho_sync, TachoFrequency => open ); -- IOB-FF FanControl_PWM_d <= FanControl_PWM when rising_edge(System_Clock); VC707_FanControl_PWM <= FanControl_PWM_d; end block; end architecture;
apache-2.0
ebbad7f4ba86fffdfdc394e71ea3d49d
0.478384
4.570858
false
false
false
false
alpenwasser/pitaya
firmware/fpga/p_FIR_sim/FIR_sim/FIR_sim.srcs/sources_1/bd/design_1/ipshared/xilinx.com/mult_gen_v12_0/hdl/mult_gen_v12_0.vhd
5
10,054
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block gD7l84kB+WAh1ATog3H36h0/cMgn9QL5jGe9p9PjvP7N+FJAVvGVlrxcgBw6dZaWDNZqNANQuRFv ZSE8fsSCFg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block YQUcxim/tlzHeVlJ7otHN7u41KO3Yg5DFb1yF4GCsbXGLtUvWNlkFjY+UPIlgYImR4Zo4dTHJQ+j 3BaUNSUOqAVzT9CfyUelv2YD2ZTfAtzIe1Mboyb3+StKnuzxnZmIhVPiZlowdW5lQ1r7BjDPOsge ztxOfUTbvYcTUE1ABIE= `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block eu4MFD/NMz3pssr62VCh1XDd9mthYydX9VaOq3lWUwHi5/7e5dl2SAWHtYwTnBgGPY+jCcMycJhy WSlkhQxVj5BsMm2aAItwXFvH2mSbjlPggtI0/+DNGQ4x8LQSFLTDYnnQbBrHlJymsS+/asMkXACD SJ2tF8LF5tMhAlMPZZ0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block rNAzbNlIFUMjdhvgzZ2FokzvR4AuFtV+1AHGDKa9QgeBsZ1e0Fom48uKbJ9iakvqUoUcKKAvRzeY OBkbx9P7Imx0gvIgzFsgiVw23cBYWOhbhSqVb7mef9aKx8yeF8T48n7gKldUkwBHIPeqaayRI9/Q HCZO+k2+HCjRZE6L/Gzd+IOdEVUFOg3NtWFPk2JFkfZkxs8X7Vg/xxtvH7uvp+/EbVyiMbnwDT/p NSqOyA+rJwBJYD3xRIPTFDI83XJLCF+1i4E8hyu7Y0F9MtjKugqEHwAG+JK3jde00nzNNaeLVUQ1 OfFMZJpkk0Cg66d2cvJY/G11oPkmvTq/JZ4+5g== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block apuTRT8aJu0TR7Ciy6ONiGK4AT7TUEiokS4gFf1g+kDg6PdKk9VRun4HKszIadRtahjPQo0of9uS yvu3GS4EQo+Y+T116wnAIXnZSa8EQaEsDkziOI+rCvXv8IgaPYN8Cq0aRlASFL7IHOWNI49V0c0A FIG/+5U7ZyNQFCVwuE4gCgK/pA6apm5kY4FGJft/EdZ5YAbR/nCTzK4P53+XsKHrtGfw+/MthFWz tI0OtloKqc7laKZWKOVFqWq8Qmq7UL6utFODtxEQqzczH+q+Gw4rkUyOosIY+cbB67hX+GlmXXEF jMwvUcen9t6c+wiH6rmBDcUIiuUHHz6q+jCwJQ== `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dfDj35aI8y6zqcW/IHFxmCDw2mpyex25qQAUnsL+tIRxivv/85PqpCOrf3b7NWnwUKMrsxtw+JBY mtlPsVxQKR1gn6VkaHwbEgwxXXxFe71Z+1nWQhfF8Nt55jGvq1joWKMrurSV7Mo+HkvHMSszXj3v 8ElD0S6sN91oml0nObejOhxzHf0ybK+sGag+CFA7aBr4k4rYglf7AzOYrPl3nNoCkyrFDQFa46/w SXJm/os7zUHbsDI5GGUH3BU+NktHZV6GK3iyhtHTwrMgDtpGk6vKHMKULM1Gjv9g1/jp9Ao4cUhr bCVOXM1v2e8A3564rmh3if78zTzCKamPRAB5Ig== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5312) `protect data_block KwL5t2oQy0aWVwqsJj4aeW7VHmQ+JCGa+3Bi6mfEboVHQysd8CO8DGYaXDl7J0+3eDimAPPhh9BA HpAnRupuvBy+QfB8Hw5xnnLG+ZUXuGPlIbrVQ6ot1EYyBLXzEHt5NjSLPUzDZsbptuISGCxiHGDB uJnWeYkcfo8cdqO/WK1nsqTO1kpZ/h6RfdrKmhCyLBOpXkNUm/4k/YvTAPh9LI5otZgqaGtJt2l5 JUuJdF5XjtPSiY+IjWh7Ts5SEEaTrf6SRjnDhXOJu1aPOM4wNDSAiM9D9mwmyaELBXQsFWPM43H9 A7rzPza1SRt0Z3qyyZ5SD0TP3EJ5mmNo0/jK+b5PpmmzySFQE8B8JixIBXQQIss/9QcEK2dg9MBF 6MtxJup3ZRXuYLcftjSPVz8ccjpU68cnA+ZNXmk7yyoiNoBEh4MJ0jUpnTTyhBXDjaA9fTTFoGgb lXlZGcpJZJA3CevRCUTz5/xm0VX4AcBVSohsAt60QDXv+9LVYv6Zi6V9w63mvVRLm+Q1KYTis6+S 2o59ijBMvyr9GsntcVnvcipKyyI9L5nc72HBNMZkGvPrlmL3URVjB05Z2X1GAhS5WTOab6elScCV 8FXXQm7zfdsU9OzYdShYaZ2/Frt6HJHF9Y3mo4/mhssj1BVFp7QH1VCjosytOBXUijaLxmFrJbB0 PYVpdz02+Bz4eCqbMR3snV6aAwsrgooMB4ow6I+9LiaC1WyKoLasb6JfL2ViZJrGa7Cay8AA5tup N94bgGZnOZedq+y+ap7lzjUrd3sCTBBP01DFpLygUBm3KdtkaBbRJalGC9U+0gujGfdYxNfthn9f JylnoWWI1hp7Aki7kmKDq7G8OPeZeTcqCaPJF2IXZ391eE8suq/qHJmoCUAOITN5JC7T82hB5qnY tph++2VdvlQ2JNt7/HPFb4VjhMHVsESgBqgtJxFvh0gN/30QvmQWnzqyrZ4h/0ZbAf7pHi9AJbe0 qAZQmKcw8asxWuG9H8cGQi/dpVnslWDtCmIvXFgCE0pM7Kn2DNBR35APnoYgWyG7WC763a2d8NyZ cC8e6NivQWPMvyd4C2qlC78NLEQLNXZJM2nDkgn/nnJzjvhMzgmBOeccIfmT5HLJmgcwlyAS9lJJ DJMSNWUYRxusAvXPJ564n3Bu4WJhCg1/cF2eZaA92IZPF1YiA3ZL7KNGlh8AS0NGEQdGHCBPLjiL 9KTBVZysSPyPbPpUBOJaGfmvc4Sar66MU2Qv6F2cDiiHQz4HD+LKRaOUVliwcAMsr2PM5V8qmrOk tx7VwSXwdOzkKze1rubnmGmfmMHdwTiv3zB8AYAGUae5+a1rvHeBplnC3UbeJRhEBtO8sr5XQL1N KDhg/LWFDXv499kYGGw0A7iHkrDsyTjmM3FJLljK85oVFM420o6fU3npDUltgN7SdQvhzwpCU1jg NFP/rB/X7Nps0pOFu702PCgVA3uIYvjigTpCEgtTq4/SKMJgn3znwS8VGJYDAbxa3miEz+xKrKLm Aq/l6C3mpKpYK6TCcgLDbjsLC5tcwms6mjelc+C5UJyJf54QImFpk4+CefREdNBL9hx0WLuQ/xaA nxGO1HXRocs/X2r2fg/H/r3y3LCSN4PJEc3yCSHUJbaEPRfngH35XGdWkv9tdGRaRxTwUb9OUYqP LX2wTXhxrmKFfCv//D78qpjQvj3rGz9Of+Iefh7349hRfQ/bQFXNki6eBEmSngfmHdNlQDCIaRFk oauUF2aFCem7ytjZ5azzFm9eRwpvoKvnn0WnGFC0SkDjZ3wSs3epmSnmEE8qcqf5H9e+ZpFpW6Xk Or04CFO6Rp1njKao/FqPA3uH6+wS0ifamDKdZNLJiTbbPev0zekYJpwPIQUQYzASLpgtflT4gS8F aOT5Wfh1URHsCJljhVKwwVWCCezRFDY/3s/ZkQcGwo2lWcLZvLjOqO9S1CXrt8N9blQeQF9h+D40 R/SBKBZWDGwuapA43qjwRC3cBA8JiOy75AG7mhi8yB75PltDRx7AoFGaU7LPLw2htfzMffWOSp/p sjAW8GX/aK2ILB1j50PHS/FgeCbiqIgK1fLyP4L2hL0wZbtt9eeG3G0Bng9ZzaQMilOR4nHsNydq wetKvqG8Ej+YaXT7xKpdYzPr/YzgB9iQ7ha+j7Pjqa3YoPIfyR3lKOVKbKIlrLBvaBSBe/7GKuRT xVlWclUXwZtQwu127ZbvAKuAaLy9ZMhyENcUVrr2vndTDcx85W51RJ4/Id6wI+QuB/c11mAPwO3n LXDE6MoNDlNxri27cDt7jhV0c2pv/zbhh7Xuh3t2pMHpWFmPv58jhFGz/gMzdSHHEw9jaQgy9kSH xoVcOXan2co0GVmxl4uyAjoDHksf99lMGfMDWuLn32NiO9MxO5Y3QmB5it/sGQAC+CjQ22cRbxSA +iEuAuBsQNB5oZGhM3keHpqbZohAzc1TAA7lQENDpuOhOG3kkimitlCtlNOXwDC85YTvh42lPeIe dhEVE9Hl7coa+6U0H1U/6FO1gQKGwldSRv+GJjyis0RTFymi8ScuhF4uX1hA7AwONgWAbI857S3R tUX+iNqkEM9/Guh4fwNCtiVoiJT1TQ9UiHJDBS3aMPZOEtL3Dr+XYTbIvnJDfSKyueJYaXB5x0sA OyZ/35sbUJjULKzhTJAZN6cAN2XeV6/OhAO8LyF46yfdAWuLSTEfM1Jm+WzvQ54Y2VfYm7+KYa27 +wSbU3H62xoZtPcnShePYFWkdJIiE9amlj4szXbVwkOr0jk5WiniyFRn3/3YULASrIZqWz0uQvCk 66jxv+V0FMiJl+S/B4q9HiqAhMwLmoDPsUx6nm9O4ZNTYFR9Rwlj7n3Rrnj5vaRt9/kvqCeC38s6 XxQ/Q3Lb2f9+fQuKtVNQlzp9QXJilG1lcaZk0faCNPMAkLLr/pcwcN1NSovJrzVLVsR0Hit3Beyh l3d+GRLvmTTu6dHVAWf+GS8JCSXncVgDGaK7e9QONI9yGKLhISZ5caVL/nLm2usPM+6GUfm3cUIc WFdxfdXACMItZfC/P3HoMyD/hVmbn1zzwxd2dqL/Q75CQ5DBUIqXdSeOJiI5JdILkrDsKzZfAGg7 lccuF8M/7c0t9C18pB8Emtqg5foLLWVMXmZz/t1Wq3/G9Af/bNHIdqBZz3boV2Tj9oYIKj9DYZUV sJr+UWVYyEv/LKR8JxDK4E6Uvwz+24VEQgGRRXHZQeGvJGgkcirz98UPzxbfufLV87GdPtlRJh3b xfx08+fTJh4nN4HJ8N+HSfwhn1jSyq6yJOGEEk2qEZa+i5RxYkFivMy74qu7bmAV3NmZFlrQayHJ LBMGEpwBHCjrZH5zOG0tywSE96KQ4ay0kyPdsHBYIW+MOwC+cpwZjvdOvHFqaTdoe342OrcDpq4F WM/v7zOmrBaYpgxkLcSU2I0IVWxWkvPe8+6lmHfpww861kaWkIv2ndg0lf+ulWYTXLcPPMaqvoGW 2JPeiPIj4bJ6BWJam5hfMILEHJEHS2BD0HJS8mPGX6fxEDwXglVCQtvoPt6rtQFnd3YAlDiaLTBQ PKfKINmW+j4SB5PfPzfAF7g9WXcEkw0/53kEzUqS+xECDBoKDP3EvttVoFX8Zbqlf2UsNj00x/Vt 0yz94KRvn2Jb8m89QZcVw0I2tVjgwg5p51bjdv+p8PUpuCappVck4X6SzjoiQ/4oaweHTotCBABS TPyramJBVhSdS5co60MQG/tT6JUpALjqCO9rTfCBZmPkP3NlkziHiiAVtRV4Knb57+DBFe0H7iSV BtbPoikuc02fUYzz+hTR0IgpgGMrxmwM4YeQzeoHKAdvxgIVYZumgxQmCPSUl9eJ+H+zQtwp0mlG 07lt4v8v/g5en+qzwSp2xRnM2JraUJRtfz4AzHCGLNQExpO83JYCraZ2s7QfbnCQCobIHnHOCHKd 0Y4DsNn/9UodrbNf8wq1Wx0s46ALDJhW58J8yQs4briVC4tTo0pJzvcP+L2hMA9F2oqLPoxLsnR6 BxYnUJyoCTzIULSwIWjc254PVGCYraJo/RdpVMv0ST/UC2410VT3SkRKNLd/txTGh1pTnptXwFXA yTFjU1JUlNNe2MAsGDHGZuuB4qrik+yz6q77HMjZd7mBjKOK8fyxnOodPoNg/mNXHqbkStG5mczC LPmt7r1PhPH9VMBlVysZprpNxWNinoPe99KDkZlQxNunHn4P7THmLbhy55Yx1iO4RKXxzhuYjEDT n6SODVISDOBjG9aLoMXMFuuDSAuCoQRu7N7ITeJcyCdfGOBRgGdQRtEQ0BlUlqYFYJ8pnNSApTvA RLRgYndO8uTiJnwBq6+Mga5tXkssJjaf19ihFuK9xzUwvf33YaZZpVSW5AdjOohwBNSX2qlLjSYi DubnrcCH/nlgN/eBDtCX9lsHj4OSqPDqS3FACi7kFm9s4Sv2zCFgnsMzfF4P+2uOgF1cu4iRkUB2 byrVfCNCLZy6UPDyehJEZ7UobhJvIwO8BBzgTLTmAjkR1Dtdruc+E2hDS2KRB9PPId7et4ahH8/k THtBrtTqU11qB+h6EVi+oTVzQxtABlIkDLWZmjdSrYkdbQvhg11VSooRCpeR4DlAE4od3ciVgLFo dQw+jmlbYcHNtX99HFTdk1xykmx1nUqHRJBLkKB64z+k2X+E1glMlth9LuwxnlXcuXTBOXW5fLR9 wdf+PnnurroXGzrOL+oB0PB71qqkb4Tnp3lEoTArXNpAYM4oxrVD6ZFVxDTtdg9A6m8Tch7R6qfR VMYYqlaI06RQOZrI9vBDdraKRRjatLWbFZzGVmlzRAs9LwbZR0Ha71U20cZoFmBmc8apDdmFEF/J IGHmPgV8WkpAOb+BJrpygun+70hzkcAORqprb5+Kse7SMI0YtVSCRSHLqw2jEw5xIpqrsCNs9BSH ZOt/jpp4XRwcIKxwg+xuMdiRXNxkxXpJY5LMoQXmykfKC1cmA26EqlvDazAO+NsedgawnLsE7XKL 0EE7X/De8Px22DGucCrAHh6b+dOcCeHEB7t1ZliP4wgnRPx8s6H9VdUnPFzg4XxeFMVLSwOFhV/m btNaCyP4got5FGEl2qZ8WbKgA3DgrczyPVGlq/1S7lqYXHUSxPPSGrfiHBwlt9O3BiYFJLeSuxHF tPMMP3Do55zw0IQRGRzfsLAG6+NFgbXNFlpBwQ1Eih5sIb4gm1AzHXwOzj7Xg93O9CpSuwYYat/G kedviA6u7nsapX62suBvVKpZF5OtfeG+6r7VmfUJWdiCqvBgPwzHAhS+zaAogT/n7KlQbQ0Vb9yW Gx2lAFvWdQ3FIi1jYPKSMQhb9bON8lWxpNhuxv3gm0hAdDpTj1T/eHWqejLChgXUMOiCY8Fh8/rM znoyF2psvt4zZZm2Iy0vJfRneHHKwT12PvscYZ8MgK2WeHZXMYRcQxOhDE2VSZoMPuIsrPldCNSf /q6jKOiidRYiZPq9VyHol9+8hbB8XilvCydI4ATPKGjxbsSasNXM3vupYjcYWFYl7NtJZ0Pol0e5 WsvCFFRm4sEzaOXvVlRux+YCXQT+VtsCuQ+0uDJEBiBbIMV86HfswJ4H/cAXrqM9RMtPhplvBfJ3 dqOqPf9THf68721atAdYzswgxOaRzjtFjRML4+g70XjwD/CVVNC2yK3NikofKDygWtDLZfkby01k 0YkwcPzmdYWCc5D7fGlNeVitj+jUyHWIw/YJ0mD6fKiKeuVDTOlQLx5MllXO9ZGXOLtBop/6kUdd ZWXAPgX/KqFs6yDywZRoTTcwJkS7HqKoS8SqpAkg6Cr+cp0hbvw6s+p6zq5QkU/PHHPRvzXX3hIH RvSsUG/PxOsPm5c6vfvlFJyOV/9Wsh3O5hEZ8t6DX6K61xcAXp/KwlEzt/zBELLc4KbeIz/QrU/3 Ha+r9YER7pp9Uas9oo4ECVutgHvURREdGeesxHgfCMEfU4J9aD3CyKkOifCfN+yF4veFqKiwG4kS /2T2z6wCSdktCf9WXs4dINL8WK/ysQHc81UrPcLIwA79QDh0FK4kcU0XEGTSqU2Aqr4pKRcMJhsW FftNlqEANvnrqXj7YJODjaH4E7q3ap3K5GutL23b6Wy9KM5WpqW6g/18uO6RqUhaYztfbJbY1mm4 K064VinuSlbPxsZ1wxEkW6IZ4MA3i4PagO1wxil9MeZ5hBDbprxSNEDXFNKoQYWVMus8CNNp4v8b 98059loOQIcqs7EPai01MhhKgY8LgmrDKHym3Bim4LCEVFWZoO1Cyowd2aJnT3hSkhAcIZ9DfBbn j7RG92Yz0GoKsWt7YLdcODlLvRoLgU4DzUNdhukQdcDLToagcQIYczmuNiwRK+wnMrOqNB6s9NNJ EJv0EW8wgm1c5RkW/KbsCV+TqhkMcEZQr9LBDe8u7nHTvIHJk8WNJndiva8DnV5uWZufLSn8O6M8 hCULhHv2tQZOmxw3w7Qmk6UtDUxmUSGB3CSXQMNBO1F5Pet/ihB2P0z9Jdlfef+9iw9U0AmuO+hQ WwSXFLKb6ZCHn39QXTxBlWiyFn8TMZpTEv0cYEz/00loYrRTcU2sLFBOcBeAtt8Fj4exKXARXlRx gDogLRNeEsT0ED+VB9Hly/LV+rKLcmKj4lkmjjy9q7tJ0xY6Tx1pZl5pvScqJydukEbPb0xo1nr4 WL07p93xNfRrK43CK3n5Ly7TEmmEBVCyE2MRnQAwc9mUynGTuF8NH9h3qEixFH2QFCcWEVq7qCUO EEICTu5bNO+RWMIBnQvBfAs3f3BqTuwzp8nWxODJ6GI2yAqqQxSzGYHndfnls1cqbY2zZuybyLPq IB7NQG7saz0MgL7Pw7Vfb/ilUkpwN0nE78rlqxuzPXqo2N0IhedHi8jeQ0avDR5+TuXPvqyXNqVg S9iIZrCJddAETuw/7LK77bwhdZt/MiGtX/MEawamCRmT12kn+wMS9PI7yOAKe3i1gaYPNHscJ1cG X5/w1p5pax8qREhRyAiTF+L7k4N4GtmRDwfJmflGnCkHAgt8ClAA7mHXMaWDZR1CAyG3yq1wTwkY 80v5tusTwzDChc0= `protect end_protected
mit
bbf52f4dbdb76153b9522206ec69ff3f
0.919236
1.932347
false
false
false
false
alpenwasser/pitaya
firmware/fpga/p_FIR_sim/FIR_sim/FIR_sim.srcs/sources_1/bd/design_1/ipshared/xilinx.com/xbip_dsp48_multadd_v3_0/hdl/xbip_dsp48_multadd_v3_0_vh_rfs.vhd
5
73,491
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block IMfOuVszrCgH0ngu1ouJoowV6ohQv4V3V1+Gazj1q7/NtU/bt/5hbSkxOIH8UY6CuIrvK1LP8d5G dzqe6i5Yqg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Rj3sIfrl5jIc8ouK+xGH9+Vmb8iAA598D71SREywIYt2xeXfaqopcekSzKblJJjcwJfZdPL0dLXy 9kZiO2mtmVgdOmBXAe2YtOT2bcKuxpS6fqwlM2G3v1wW7Q3PIYgy1mQXWjyO2jsud8mSIcZlHuWR 5DtyHA6yt3lm38DHV3k= `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block qpkKci/TPEjLiZ9i9notBn0cPPd5yWYioHamDNIDovefkaHtyEsXG9ctqMlttCIlQwTB1rgpsB3N uxFWsNGrYh2VAwhBSMzkaSEKPC/4zWWRCf23uU1Dm/QCnGSkybfVmlLVd80F0xn8GQCkhGdubqgl PRwJQoCgttQUmYoIEE0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block G/QIk8ccKB0XtXQ6fGfHb+EPAkk6gZMzkFTIZflabNi8KZ9oooI4ZgzE6HKi5upjaTOx0Mr9nkQZ +d2ytByhIiJagHZ07OuS9gpp/bpbXa+8v4rKXSXdl+9wCflZZHkHW3xrVc1RTLpqjqtfZm75tm/5 /TJx36ynWxQO+h9kctxaZd6wweRE+UOPu/xNRSG+6s6N3yb4PAUCs4uRzDlhCRoWcEMXWYU6KnsT oa8KPuXh2LGaD/U1MQFRYl2Iw05SWdpwmFWX+XalxTIPOVfTyDSb4m9WYtIgNW31H/oLWD4gOQPn dy3k8qJ2TkA5fgwhFEmkmycIMmFOaUse+mNywQ== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jZ26NORpmZKspxhxy8E3nuWInS2v8SVmkJW7YbNM5w6seYC6djix60+PuZfxYZ7kYFJ/52hCpUm0 nlkFRVUhh5lOsAXwHOUilGtX6crbX95LdjWJpcaakSXkSao64l///V1aogbquQjrFFMwDZae/Itp GGStYfEAvZZF8v2cuoV7CDCyqdbNflaLJmv8cNY5vmP6WyNlo+r7+YPm1Z/TCSJwjnIdepeTPWy1 kQm3+Xyp30gQq4l15O2XxlnvMSx9hM2Hnkxw3sufl+8Nif2AMcfY+pyhU1SsIVi/GEXBguzhdTXz FC6SYAJGYxKQf0WBT8hclumJaE4zNictG3XzUw== `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qcEeIzaptklUrXjzLRqVvKspVtzhkAnZvVXPm+n5SiD2fptgVEbzwyjbN0JRRJVziK3Fx6wqypeb ueCQWnOKML/tC+M1ajDJ22dLNEunTuCLt0abx9vGEyxsoifzV8Dy79WEc6gj5QBZvCssFHNviiJw pJ35EblO+QKdVSQblS1KBaiPQSTQkiyaxz+/Qd3UeWb3mlDNdNal5m8ORG6qevEbY1xRDWMR5LRC 7pIj8KyBHZoF9fJvBpVW0kgh26pl4BE+Ys5l71OADSKmQsPX5UNqg2O8G3/obQR4JfiUUmRME1ze wTI84KKJNC46jimrrZOpzLXBHkFeFpiZnfhkJA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 52272) `protect data_block Q1YHzuFrv/Sszj+j6NP2B5VGLlt4nUQdevnEehFjO1U+2/dnADZbDOowqDypv9U0cu87laQTUF40 M4v0HKBwwQW3/OaggNERqBpwLYb+tTS4NAWkFBvgNXePc9k5sSKTc/q+5iDDTnEbsh1qUhzaQEdh 5QBOyxNdc9pAnjXlxG2Kl7bnYHIcVwlOfH9ymRkBHjVn5NyZz8rP9h5DBof6Wdis+T7rgD3BrN16 NqcfMuZ0Q69taRvNbCxRsY1mlHL/sI6QjT8KC4fX680mg1WQO+UrmRqvBOhcs5rQeiajliwsPORV zRnpO3SC0qUZwAT93fbVx5pzXZeGmIXfFgOR/ZmvQWuB1PjfW2Q8KRkgd57tYbDXFGVshVBSVh22 bEm3NM9pBy1fsgBs0sCtpm0ei4wcEP0FsB+KYTTWGrSBmJHvDef4zbp7zqSaGluLwT3h+5d6y6NY +hRHyoF6s+XF/+an7CO5SI7UgByYWLI9vtWWZb7WHm5YwMxUSCLOyQPq+iBXLIMoEDMkijYLt77D n9Oqh78bsyp0obbVS+UqxDGubDdfRCgtTQwAjRnNcunrIlttdL817u/fbEwbtsUU7ml6Kg8/rkhJ +DnB3r7GDEX+NmWjr7A6PPmp9Ibb7BC4hITVZaggdKw4Tw9BVC8b3yqdeQLChLAcFSxjMZXSehKC LgPONnEuryigf5hvs2rAyUZv9Zctf8ZQe+ShCxWfeWyh34BOIuAOXI1zsN9mqMrXzwbCQCbJdn7V +o20Kyt7xLkP01AJ6FpEki/NVjXOjqyMS2Lzr6UEvB0r6OzIJ4KIsNi89RKttgGNvUDjMLxU8fKr KjMK9QrDbk6YjQwmRiVOSYedd+wnbA9sEAyj9QXhf/qVcAH2z703llL5mpci+C3NaU5TQuHDbJwi fN9XaJy1GeLN/hvJheueqCVT96DFayDwtVfWeFTw7ehrbCzxKfzds7PtTcYiUneTdwr6NN6CiwrB OOtUvy8wKAxcfe58+3/ML5YPmPuKzZ6el51MWnAhjh7z6XrLr95ghqS+j5MriASXvT2eJg8UyH/n nFCE0ZBnMNq+neE62nztSlZgajoPj95TnZDr1mPzOsnYW/NLxMYQ2T1rms3ZKvQVKiKB8/U+icBL 9CAM1jDRv8NPM6XGM+dkzsSC/7719bTm/JeC6gpB0SLfCpqVKhMBNGLiJay/vK0saqWGvF+q1Ozj Brh/HO0Q2f0+XiPmav3bC8B1ex8+Jlh+Bq4Dy+u5xaDZWqlhtHZi4BNjd64ZgUamUky/MWPjpCnZ 4JvB2kBMdfIdKeJ37DI/v1M4bFQB5Jsd0mhLmHGbGIOtyy+hIaR6wCmk4sV4XufH6Z88MyORH7Ux x8XptLx4fk5yQvBnLcn6eXviwVZJNuhKg2UQNrVqiT7X5Gv23lQe4cC5aXS49fIJ+DLG1L2L4IJB n1jCIhBKSFT2ThT4Cvk/j8EFV6UWYgHi9WUv3zY5vktxlZNK0j8ltjo+aGmjXsL6dvairVvJg+AJ wZF4hl4yGF8Al/Avufo0B0iZvuV1JnscTUGuOZ2ygy3PPut0zL7VS+4CYvSyNdDlW0vW/diY7sUN iAz6IdcE431OMh6cWoxIlSXpI0GNchPHCwq5j0KZeUK8AFLJy3zpOafMaJPJuTlPeXEY+Fn+j5/D yV2I3zCHl5suK2OHBKsmlHnyjmCiSagD4WbIY48KY00Q++Ze1VH5Li3k6LRs/dmdQQJUvlvkCwEF w/FJE5g2YNTVRwDl0yReVmwufDNS9YdrkFyqkh1q9mnjqJgRWju+Vrm8HoOSZ37eUNd3jvrKQ7CK n17NQzfazkOck22untlalvriq/sqXQL38G9LBjRgVCYbiDB7Bv6CKH58T6KVfunGAJEEdQj6uEri iyFYEAUAasaACIcwOiBCduEAaucniBT9k9ZpU3U/X5EFxtzPlQWv/UC9V7SwXoPKxvQXTZ2WcHsd +X1UPHH3Zb6certPtqElDhkG0t050HKPCeKoW7ZuS6n0XPMYw37IzRGpl3izmrL+NaPrPI/3uqLy tzKL5YbritxqSz+Kz8RcsUbf9kF6p56fVnkV1FsAgqRYhRqz6onWBgfT9IvrHlSursHP0faY5JwV Da9V9CYRnum8zLoP37ac/+3Z9P/+/I4ba/RHCW62EDZTOk14Tv92n63CShVZ3tZ/HPIK7N03O/u5 hDVUyEoDyuprIIQM2N8JopJcG7/4n0Lr4WmnmHddOuhLCLSqnklFSIkwV1xT6yWiDB2tP4vYxTUv IRTbZaWANz6O5Wpp6iuOkHo1tA1MHaE2EBL64xS2arXfy0sDO2ttoNqMJocXop4sm6v8iWd4XVg1 yb+GGjDrp1NNe9aCHLcOW2RDT6EFxUI/D7vhu7P0XQt6ZfBzDGY0caVOD35y06AkTTePEH1ltryw OEwlDR+L7HGppA5BuXs1Alch3C0wZs9bL1qDIGS7IsPPGX5zjkH0sxuUa5Pt847TKrNp6NtPGOVq PHFy59BuWvNRjo4KNGEwWxVBXvZ4B2IQ+gFGL+o3+XRmmqCkZHNACDyNh0b5XFahmUYSuGlJPoyH MCoKrHXivUZ4uMHrNq5/Nw5EV5lDkiFJhC6U1UWUfeAZYLndIf3SiMnfV4gXyST7Khj3han+/lTU lIJxLC2Vt1vVKTDTcoHU4E3nocnsfirX5bAjFMPPBBTQaP6qkfg3jQDneelbTi/DUBxS1DdFpbD7 //2MRZl0k+k6tuaW60dDYWzN0eXdSfsFFeREbasapV9woYdzo+CiRVrxv6drFuIuOOWz4sXQUEXY sLea3MHcWTBBjtTpxBVTijyv7ESxvA0Uk5C70A3bgHmeNnhzsNwx+epgUWQxqLqv+lOzHw2RTg1k Ws1qYEHGIYdPecZg96cNb6F+UX7wq0tiDeDi+LLC37yTA/BTVbfZ9DkkJNNsAbndHsu5kmGWEIr9 +iljNjm9Ofj8WYYi3mqYeihoHPcqXxb5eVwDKd2KKPcn5+YKv6kEn4ld6d/6nwzD4+IaVBVUTfsr rtth7MASRtG0OFeoMpkvB6AUKpDpu4DwxAKaFWu2piM0RZqaj7xeRlSucj9gG8LRLs1UCR2Lk1HV kSmScbRcUQWJ332b2jx1R8wVUij9JlPUJaPn1VMcBQ3NTYHxJbDiPcOkm/TmBaCPQdILIIg7PJo0 i/dv53L2IAo9UodvV9dVDrMOchmBm2Y6Ep3UoscOXPoUkfb2OCWFLHCMlsoyjCxbfuRIwe8If5fR xHWaM1u1BvPM2INlpDHKe7azTYOAEngBJXxJ/hWhK37/I2hO10EqEszu4DYGx1ABhwyfip4wDmPp p7x//b+kmWlfltU6rSxu0ucGoKpljNxl8yrWJfOA8YCNU5Lqhz1TU3O0PbfcD03nH2sB08GfmQyE nXf2rZO+tykc90TmW41tzMR9ZCktlK/mW5JVO0Xk04E11owv7R61CNYJPfVRjC/hWPWEHabdVeO7 DtLypVmoYG6iwAXshgg8eiICPaUc5zJ0KJeka1Afm/qgTDe5VteIsY6ZMiYG5jbKzcjqcrIjzmsd +CliNmg937SHLGEIoJv35cDb8n79bswpt9aSHVVQAlFJKWGmNG7aHlsHoj84dYomyzHKyX7ojD7L E08XLhWF/B5PvWsPRbHaeDm7uRia6Y5y+0oy17MaEKZgYORwpITYMa+6rJCE7/NlSsl6rEv/+r0F QlXCCAv/czgJ/mjibT1LmVQOJ6+J+xh9SVJ+I+tiju7C8XrNxfEd10KdFtSZUqtWCl67jQJ0D/K/ N9d0W8nl/D31CloBphvKMEFkzz3K9Na7100GhFjgieGshj9aqqNhdvymWMhcDhI20EPr5l49owig Fu4Hf/+K8GS+vhGbboy4un1ARdNCHIPT7JqwSlpR9cAxwJ0PHAMZ9NUDTp36pvvDEGNiy17eWrMa 9w+aa34nIlA0uAti0aYFk2OnLWZVQzXad5e2gOXLPV8E7Uh6K3J0hQZAeagZ2sPt36kuPYXuNuLE Lc0/j34gJf0e7BI0m70VwaQI1taQN50Y8yTIoXH7CP0eqJBAd9xEPZ8dA5nekIKPWZQYdoX4159V GyPkSkjrYbawTk37AqALbTzNEUSdQ/Cs3O3ofU0s/R0RoutzH4u+JT8AhrYhcgGkmXrOU6HEQe6r mWAIGLjNlwtCLTp+zqfjEwBg8pEf7mXP+HMbOVKxGo0WCDurfVYpiJKSN4EAoKyDulhZtZeIS/tV 7QHkekjkArQV+V73w9nX6DDLHYRK/oHnnF9SRViYqSEpdSKhqcbZYXZBiSBfRkLARnpnGEOq7Ie6 n58iZTmlk7flcv93VYmQi6bGylftHhObgHJCXk8d36K7aHXZAZM3U8PWQYR+v8mcftaTh3VCwy6H 6C96AiHK6DdINMqc0PphZuyeoNEXGryhvC6B2OyD7yPYfl/UWEv/cU2No9WspwZb5rfN0bC6pb8f TdqHM1jRLEE0NRBfhX94uTWpDFnTHrmAp5azd5zs7OGeWnelETPObzlDu+tLg/IIrCbOZ/5QFJrV Rrz8nRIup36o909IiYRJl4suOzftJ3y2Xb/8EnX5hBGM9WSAMVbpwpjqrWnXtUFsvIYffiqcHDNd 38e0EIKTR2s91VIIHYzzeGWskB94PTomWeaTM12jg+4Po2t+NUwqpWz9ebd3kzoGoOyqTKMANn5C 820HztonGdRjBrjb97IbyFalGf446XrNZL2wVNCAqL5x22gXEatPRc0C6wGSHGMVA1DnRN24S+fY r1ZjLWn7aSXx0qZbQFl+1gn2/5+SSr9DYtiNs9C3ESAVYGlu1ctUQWCul/fb/mfVLlxAtTXhsGIH zoKGvbPqMHHa+oRnCfVFD7UNZlEYeFecQgkRqs+cqjm4FL4h0uKRMuKqr5w7a+sECln3Vj+ctXzl C97Cn01nY1k2jexfghBhVQ+c5t2a8kysxn7ABOM4SRF9VG7/C0cvp+N/oVgcuU3uZT+lEUiJhYqk 92gcifKY6RDTP8GhL107aIgScX+4mD9oBQuK5LV2p2n3uFDiRhdn/1ELgQfo8IPiaZzt+A0T4shI jpddJHXDu34Jo2/vNt1JNlgCXD26PA1zl3KX5ZuuG7U2e0cvhY1m5I8JF/53kKOzblx8GxmluIiP 0umIKZObXsyY9Ru5UC2HwBWfscc4eOzcQeQO+cEO8n5NbdVtWWd754dkIrKHUQmlMHcirw+uM9Cr 6xv36lMDFhzSiejGAz4RmMKq1ARNnwjKd3gSScHk6V+vCiddnvz+d9Nv9b+b2URv2gJjJRWUvYBg Lo2UEHm3lWIvz1ybpe98Nr4n8FZ1cDMUxx4LX5HmfCzsmkEFJuBeCjCgqibSYRHYSvHWo5CvRSVy /zqMYRGakiXGtRsG8qYJMYOmpcAorjSRkK9Cu8+RVtLU+mVZcylBeDPXPCKh+CImJXWycddHP9Io BfHShwfdvjbGU/O4Q5fUdpnxYixO+rzwyY1XJDObnTU4JjgonjOxP1RK6WKFZL2WTDf0AZ3LmlLG VVBTkqMFIl1qOWz9sAti9LpItLglt/H9BnUKpe13yQqb2grB2fTHQWgLAsMZc5j68kLvIsb9NuiF PTGZ4dgkA6SCwYnZQFGpursdwcIKqogiR5+XUeKYw5uDM3V2l7hJ2ETxAz91j/TndNWOjA+FRE7n KNWyGbwmVy4zadkrTuxvhlqmwcLcKRx4BrU7iHvwz00zpWX4G/bI0k27ffUliFF3bcVMOyKbmgwe aYWdvugII/j27INl+z92FS63U3YVMYwfNpJJUOXZvFcU6zUwa41Qdhdc00hvsmP1Q0dmzTYgeUbM 3/ehFcJm2rkaMKBajx+AvCS2FW4nbnyzZOBLken4Fia7Wk45iwuLBI7EyVBXOM6v/4tCHK+SWasn 9G+DFEJohOrOp1kjFBrVoJCjfMVKHfbglAfIToN8kPOFRufh9aEZ4iksqAEB9OaKB63JfBt9iBBu QHCGaFImkHveIdgw27tme4uUf0y+7/NpiQ07gjKP0SE5EOyNiOpg768uMekl+la0n3Ew46GDoKl0 SCciyUka7++IMBJF0XqGOFLkIgRks93f0pz7ZGqN3OO4OzrGt+v3OlB1BHo1/UdxvT8LTpxb82Dh wKRx3W0aWfx3QyQI6txQQ7oYSkX+AJEAt4xnmkylL4nIYz6+yTNSpJXUvb6H0+uTk1MOQYEGLtCi FZHP/SJNXsa3Zwl9IlqDjY92thVe92/aiSlXEUJ/Dq0oa0mrn/WNER+WF/jfU4qNo74ET3HZqDbH /jgUNrzGr/3M7qwIVa6sExCnkxn3ke4v5Sr7155G8UiiFeSxOb/8erPT1Po94NHNa16UmkkjVJ24 TRjj+mYzw7jjMkTO9WI55LG5VaDV61E90My+JO1WxafBX3IEOP0u+yOvBUejf+Bbw/XkNXWHrAN2 Be15RtJDk00Q2KQ39WpIAv0NR60MurusG1kR2+A07cB+liXm7OtWfsCiXzrHFKrMJ8IqpuZ+BRTc SnKOtB7Np7lEo9Jevp1byfsiuf0+lIUa5iqRTb812Scyvqm8Cd6Su/0LH2tFgY3GV0oHr3P1+bx0 7ZTaR/ok2PpP1UOLBRqElwNw8rf6NJGJeffd/k44HUFqEGjf2RjggknlloivbxiwUHMpxsxhDIfK ix2uhFcRU15QjG20jmFolVrCgszwvDlfzKUaczoi2lnPPd5u0jsBRrbtpJGwyAgyOWD/fi8OwkH5 3KMrWnezaOmTkw3MYYHjLncKjIv0qvSBqKsieG6Qz+ZoZujkK+/Skyan8LhQuot5XJuyiDR4gtW9 UhRGidojhCEeFOIX3TNDObXXnLc8MuQJXLZh4OiW2mqsVDiSYweSRxvLAhEQHWX6iRJIkC9ubY/2 ywASechjLDVROk8cx8LW1UgNX1pyATxLbAZOOlO7Nt4Rp5x/B0s1Dvg3Xm9QzLDd6px3iMKd3r8U 3g9BTRpSE+GDePD0X2LGi9nXyTXvdAZaPw+8nF1XWf/XDfN4kmB/HCUDwS/MqjVLAmhhWzjzgmjy zgWpcuKQga4bKWc+xzthbIB9epLG/g8T9haWTiHeHn/dkLTYQkjjMEyEiGk8edKE+FHDksFfzAus PqeXjj3DK4HhDPDUlIq/5H6tOS4bx0PCpc47N+C2smELOb3JLY10bZeYT0zSmEW8f7U441c4dAmm zxRbLHo8/4bMYzcOQ9EOXumXrH65XEISMg8Dj03oUe0txJ0nYScxemebgECJJaIR4Qny29rhdnNM uxipu/nW/FHVKeIC2J2zOgeYwUhlbvnjcXhp7y/rJDvhqowDCqYXCd7RkbPCG8XZUgs+KxXHQSxr joVl/7mEL5p1ijkpPBPzT57c3kbjBr7SR0G423gx7PltGtbEXMC/Vgr8rpzvBN751iZDj2UmsVWG 6A6W+tvOLtJyBfJuMg1uKiFkwMUWhoOEvEHcXCiw8tcjQ9lrhmcuj6GAeaEUbQgYIKxkjyvTmVOU 73b7g+rVYa2MCg/KKRVP4YyNliQFx2BfcqSr6xO5GNJD18xBoAqIIBJxk8RyUnny4pQ/B56TIL2t NxHm6OpSTl1MCjPAzT3rW8IDBN2/6S/o/e/SjRCK53GmQUjfP4cHyBNOIJtrJAZdX1HJCXY/HRQM Rfxjl/cI3IgtlEX/1RUwdyCbOtOY+stjSwo5NjpOjHbAoes7Fsbvh8v8Bz4J1iWSEJLT6QZwFuWc 6E8G20V48Gq0h+u8j6dilNzpyAWJtWO1LdKWcXMI0eMQJCkC8NGm81YsXK4xpymbthaaHR93VAaL nsF3D6z8EY7W0ihhIkkrVlhiMt3DouBd3bBJuXDcdORmdleyKhKtkYyQh8SG9fz6vGouDC9aoL49 wYzLydC6yt6tRq0mvJwdn5q7gFV1qr4KM/JIzSsH9JbEytLPw639dkSW0vrmt5ILKDo1ZU3nAlE+ 59+oHiVO8cEcvmRlzqZDy97PnI83A0Dg4aMMQE5Pl5kwtE1ZvROKUcZsKlot+Hx028mfH7VkSdnR A1m0lck02kQhHi9BpOJNts38kqVXaSfvS3FLFrHkSnJrv/x5zRcjGMKV4w3/aBif3CivLURwaY3M GL7MEGBtiqR38KT4DM+xRdt4NOeshG8czKcqU3XukemO60c7pUJywV3/EPbsAY5S7/RGDkLAaYHB h4HCPu56pmaeBY6JjIGq1+lhos05kTS1jmtMyXGGXsE+C4F1ZdnnkzSpiIO5e8sugQCJRr7Ud9P2 W7iLIzMvqH0w7QsrmN/7hCEvk2NU8gE4ZdOjh6quEVhB+ojRLp9444G++JnSuDe+gzlvXRBWp2zm iPo/eZos46Brw6xi2bWaNxQe4acz66eIk6/y4gr4/Ef/98buVMVpL+N6AaRudXc4Ul0A8JtKCb6D xJ0tsCvQ3D0NjKD2BHc9inC6d8FdPwXEGZnvekRXeYyciqv3Wg/KtBDGosYbF6gx1gajGlJuQvf2 yZpHxf0yxLY8ZjCXzuOziQYNEkHTS36PAraS5/aR4Gjroj+TCJeh3PkQdeUv8DJbX4sivOt9Up50 pHQH5CRMZQzeXxTta2MIv38UoTGgg+dGT5cv8ubnFekvBiaEf2O7gqkHA8Bkb8FSHoKiCqrDeJkC WMIgE74c0mO5ijlm5X5WwzWvmSalepF07s7CJZ1iKwnV59Q0P114mubeZuEELxONjuvfigwTsvsR WTlVPeARKuhKzFBmtiuofW99Qo+Y6XI6y5wpY8Arrt+/DVe/JoFOFhmENI7c1Pqu3FcBVWK+G7iy aQ3QzwsBMNsHX6EtesY9B6dTixbL+6oJQTHBmCAlkRzKNJZDtrTCBNpw6OYB1MK2kStyS4IjDbeC cy73q5SeyNlVluXnzeK3gNkfFLcDHbefMO4hCTOr5lPRxGy+dxcRWItgsDC/D16+z7F3oZ/P/otA NEPCzM/mF0AP3nzgkg4jIVwSKhRx+sOqSYmXG5PRUHfW4R9MocjowA59Q44sJ1pjX1wAJxtcTQtT BUyGF1g/QyX8tRGtgfaTOyAKiY7PfHbrJMwhbfCyxpvoFZk865ZJhIQ42wUEm4bt4vfN8KUVAQmQ aXwXhr+vi78rrvG3xKXToGb/JB3vVJkCr+ToZzoAo0xtHoBY4z4NzYg9I+SJAkYvsXt5PAUtTDBt BYE+GXB9aRD9JcvWALrVrECjQUn+wLJU1XFAGSKUWVhtAUgHg4o1IfW52xI0Mhi/XdcojbFzunuK PU41ss72O7WKYCmylYJ3272ynjfwlt60O0Ha5Ab3lj+VYtsPHyucgPOWjeOxVn6purKHvL1WRXNR cKqXtgt2g4/jaVZH9BlIB/SGXXhs9kw44QpBbmTvQgJuwjy+e7J1W2j3TF0eHQgpSTeX6Li2Jon2 sbgFxBI1P6pApAcI+h7co5JpJ4UDfnsgk7pT662jzxuTJNHqQ1CIhf0Np71MNpg+NAR3H44S6MkZ NvpPjGYiqd+h3rzt7mY7r2I0gRKueH4k1LRHmSErl5kOATz92gpI8yDKpobA0gnAG3TuYk8s11oO fDDIbpfcSyTpwbsa3NnLX2ifGA+1uzBKh9CS1wmV05RfsTV4c7pNZJD0QXpbp+QT2jF+TI3vJSBy zpWiuCscTaHgNm1xhIuK699Hs6lr9U1QIy6VuN0FjVOqqpNomU80EDuIrfi/n8Q89Uisfhaph9Yh bMJGVd6ezB0YBr3H71VLoVlRL1DiT2G0ieM5AwSGgtrqCafWn098nwbjD4gl+YLBg2lUzpmftKru AQ7ja7273m/Y7g+CEzRlUTlf/8Yh4okuN974bVkA3l7/HjLKYYu8e/W2evmOhkIKFx/LwoDZ0im+ N1/Qs44RyiQ1cJPrwWJ1GTiVe/SDz/WJhKPDSreoM0A8PG8Yff3eim/7A46wz9clujr33u7ljmzA jHyDAhaBl/RQB/I5dZ/OxytPmTm/OEB0CGJ3FShd0fcNFkDZvgWO8QDCc0s5Zrp9bGLfP3Xkp8pd 4k1aAkBQqjwbtieG7BJB1+SMLbWfGF5/K2BX7tqtP2n9G5HFXxXPG+cPnk8o6RJ3x6TOTqk1EPwz ofogou2eVCYwr888unYZMYBDxaHvvFQizHmTEkq2t8RWTBHdNVbHgCtad+WVm6cjkyTjEKwkxsvd gfeKNtO2bPRWPJBlrtul20NGskeLHPdufuXfNlTGCG9P9NnGWWvfnZW/EhnCqfZNV+eoggrh1biY /Mi2r1CEqGnZ4r+RkoMJk9M/3soOUjcxR0mqOKWDQGZrN7WDLTacuDsBjKKAEpqTFWbsD2Bwa2YE 7asLKKAIqXU7NlxOeNsbR/OYYm9lFTpoefWT0sgh4+3SDhE1JX1c9wZ/+hm5/6LIyC130CpQAhXk 6fLiFJFdOjMZe5ctYr/u8yAFS8M/zRWOk9gO+pPe1OCIjIFKNsas1u0Q1RF38eQh8fQUWmPbRHjU jUj1osOAid8m9Xmgbv7mpwlTgnusu65c6zLP2Tej12nyghTLm/ZNz6H1a645xUMWJ9dNF8ySiLFW 8Bs6sjl8QMQpuJGvAp6r0ejqJktBlmDKVhZXtPyZ35INHsrvgBuCIiqFnrE3CyOAbtD3vbdcD/A8 breQnfHP0xYG2QcsKQ1WAzOPpLGPwM/WykMy1zjtXy8tRmTR6KeqrkvuhLEckXIs0u9rVDVS1vXn hXv23BpVxCJs53DM8jj6voaKzxeHnr53IjKsf1LhjAmpV7/oQf2TPZeXwxloafWFJNiKwkbrv5Ro klXV0ySgQOZqptrQycwJBdCmDd7sSi5prlzAftQDLU98QNa7mEuNWETAibVZ8v+8PYBqyfDyRg3T /0q/85NlBUVH+bu6tCEAsqAmXp1PUCRi8Yt6AeyDEOoLDtUWLFkUJ+gTWeQw47dF5WkkjNEyWQpL 4Qm9CMGcCSAp6JPvb8rRse8C7AzCzpe6rUNYz4J0Qe7yrxNZCfSB44tjm/3SSHL7iYwMnEb6oGbp BxNsZ7StydJYJXQ/syCgQ6QMbEuy7f+TpvYcTHxQ7uMTMRtWhmrq5mUvpG0ouoxSZs7kg/k2xQ6q vGcXAd11Onkkb/VnSh/QDYW47F2mqrzFZ0iCLGEDpQ8k33JBa5zHzdpAc0v7ZUc4Kn49u00XH6iR JjqSfb2lfHypk3ykoaU8xGfL5E824XThGODDkxtfY3CC40tY/sFj/hL5kArMJruXKx8UfebH9L3x 25kMfKzh+YOlkos7e2Zmfky7YqXhP1qphtTOv0tAauNJMM/iuhGActynDAz0uq4hTNeKkEzWyHoo IL9X5DlTWRArGqKutxPXM5N9SNjGcZlenFnUoHng85RmeCyiOqonlP/GxKuINFmYvZDG83/UzHPq b77NrUu+MJbv+VExVxGxVrLStz1mzOd41K/DBoyjUA29destVM7eTQIEyFirBSXFQ8uF6vhlEXEJ 4V+nNIqba6BT6bdT0qm2Bj29eQDCWsg17TwsODqywEs9Tf4vuTFKGRcwZxOCkBj8jnwvHOnf3SwW kDVC1jMrcLN7sCFjL7rLQAysRQCfj5cVz5Ck8HGsTTjaGgQo/vXdqUkWaTgy/WGXD1e+/UvVpFzS RN9f0hguz85Ijy/sOqk+1O4wkMaIsJyO6BTtl3K7ZKcsdE/LhgeCEWPCTYm2exAIW2s1Q44JLbfu S8QB6C8kAxB9kh4D641N02nU7ibHsfshTFHAeE93e6FTXcTaMGBAQgNca8q4FLBLm24MizmwCJ2r 9/OxiGymeXjz5agHS4liPS2Zr+rbu/N/zQ3yoeBXF38fnCWEpNI+Hl/9px1TvtyouFHA4x290b0k tIs/POU/fJNVUF6V/dW5kf8QvjW+pDLvmn82sOKFDsRtnIPb/Swjbjn6AEvFaHXs8+H4ztZeXFI8 QWrO9MixdSz9qEl1KTvEgrBtcPJbt1bbYhfCZeNa+RxMvLXG2Abh0mR3MoA8SSm7TKYB2fbdmae4 gGeMc7Sc1F4997YEAfmqvdvf1k5OFnouL46+U0EjHW8s3e3ds3OQK8Vhnh3ZL9cYLzxq/OPqXoWA EXuvrRv8s/Jq2SHu+ZZHKYzAP4AYVAbVg/xqygMq95SgA3nHnS8DZRi7l3Hs24h896zq37gkrC4R Pxk/i4gvh9w8K2R4vKLcp4j+Ids51q4IaYQmwWhxuz6CyHZmsDcDN3QjrnHknm6WjKiJTHBLbtQQ CFARtWrN/Fvs011BOQ0oQBe/VwLYkG8ZigrKUg8S4ON2Mkxuw2iUJtt442RJ30tFGQfpEPOC06kn pTJAY/Vmi0uvORtfa2At/vCax8nQV6rz2yU5uUUdzc/CrTG/VJnqzcZX/fmJYwZm2IBkyCXFFy2h 1ln7jxinVtFiNfaI1u+uYFVfPCyhatxjZqPQq0XZ0tVQ1AT7OoDKrxbC1p1VDR6QqbMe+OkAUZJZ alQEuqUEHc9RryVl23GSW+CZ4qZ1b8uTybOyUs2eidM4qo4xatcNiWlxGFUgjTRYPXRAfK1AA3Rx tBIvjJqXh8vDJ0bXyahImGHEkYgpM9rRvrPj2iragXTc58sMs5G0Zc+D6SEkZ0t7tJzNf8EuN6wU w2YXceUdYmcSf0oMAFELBrldjSBaS8F0nUNTFQA7KPfcBVA1nr+POL7CEuG5+z6m0908JW5o6Bfe OsXUsv/OzKpJFi7T0zFoc8ZsmBBeclFzYTOX+ml4ZQyd3cR0gWszwo9om2XydmsHN1hPEOEIGhMw g8yY4OZvXNGtX7vDiO/qz/pVIVWuWR2GqKacisJI6c1e+ntpyUUufx/m13Lw/HELl5wknq2Wb8wu ZSqInvWWQtElNeGxPYnWGNOjCpvPtkVcmPSr9NZj89o/gBDXeClMjKI5YAv6QUIFsz34B7/Xobon 2x1/XikaVZnTdSDiZkS/XjwsfQDE52PS/UeG7nrXCklPQWxsTH//yIN4ex1VyIbzbeDT6gQHjIHR tiQFv1gQH0REEOABbBLq8HkQSx5GAYIvYac8EnXpqL9BSJ2PEsWLk+NCqcfrbu6sMTOp/AAz36C2 e1E4lNjASP1iLgHoGz+fkE8x7buUxWAMPTOY7a2lb4A8O6gqLWF4scRuqcSjR0P93/Ks9IqG7Dzb 40IVLVQXLI2osjyv5sXGdyY+mFsc3kh750x4H9gkk/+41ONOko2OVunmxs1GMpYWjDDT9kNfqGZs 8cVEBgioBIT/UO6c4zZQZXf9Je6GHyTbKvL/ZLaulh8geFti4xv+MGTi3+Fy1nXqXl5Nk3Rh0LLo Jgi4RSOzH6o1O4D3Wt7WHdINJpBnU9vCoXvB8NlB5u33SOJjDgq5TY9NBfrCN+M0R4fSuKbDRIW0 pBXWy0FBM6ePj7W/tkKApZHfkSclB1wFAOeMFrywqeA3jRNPlap+EGfTUnhXUgwfvB+ZWfAfBgqO JLk5iPxi7HE0U1Krcge+1rYiOs5g52hYC09UuEtXd+YZQkqqkJGwTz+Lz3eBRQ4rwaH6EmkGuWi8 Z/FFn6se2S8BjBNLBudZAouRXbBVSODt9KIBIqAZyu0AmyzL6oJeH5jatUnudUMuV6jOT2rnjj74 HV2+RAovri5BrYQAZf9yXOB1cq0CnzJ7anVRnNHDC/SzxlOvN2SPa0vbL2cj/eJZN49AB7K1+ZQb 5Eh4++ffZvXgmpuXd6jHyjF0Slc8w2rKn2xkHvlvWFQT6lcbYPIZ5ag03jAXLIPECbfv0kGACBCn MZgS4IQMB/uQeSAi101qBRoq7v+NeMVz23LfYU7c8xIwDFni79L+VZq4F5//yR8PtKWTHLHNbjWV KLK3XuZcm+sksntpIueafS+Bpd9mcbSZK9dKURk6bdewuP+Hs0nRzpJCyVLTh/5A/Cq+lHOMk4up 3BJruZNG4DKTyK5pnxSSP3vRtSsKlJvKuoeIuk+sj+RsrMKzi/iUB9aRVA/3T2spgx9n4559+OYa ePUMZHBB1ASOX+QqfqJbQrxnQH9oi6gQ6kBRTzOjAB534JZcYZ/hELq9xqFBYh6SWPY1QW5ipC5R ur8ulS39FppdpahvI6yYU2ln/i3pZFUbZvCDId+JXDA/kf5ydxgGltKZbHupqkukyKsVH8Q7TmtT ffanAEfZ22gAL2uZr9w0hvNdc7l+UbEKL7wQPrfSzeLG8Yz8sSyExH95nSZD357wjz/13562RHGZ ya026w6GYZmGsU5Rz4Z27I2QJ6JAk6qss9uqJzbHvy3uGWhqDgSDR3HvE4qDblb2lfmdYfN917eb FmEylPqjYG7Aw5416uEtxHHu/SDBy3b+g5sXYUO6/ddVkm6tFBfNnvzUJoWRCrsM/8BCyZ5KsH+O CsoYg9icpjWdRzNnI1Xz2FaGhrZWB5Llv7AurcAQIqAALpW6m1EzTnshEIyjR8Yc9mQjH72L/Arc jcUNxaAWGiENdyusRwdOPZaRz14RNllBw6qGrDL6Ckirr2zrb0P7HmQ44aK53EzOCe1DeYv8JERd UiM51mIFbQl7hPP4HQADXO1T1W5oZ46HSPm6kEn9gg/QzbErPwl0OJzDQb1SI7hkqu4FT37l91pD +hokBM7hQkSAF85Ev8hn+s+/nxvoKl7OER8csiVn97EBTNC6OJqtk4NNXqhKmR9eypPuHG4F8BZn bQAlOLBDEbALdFn/DD5oAapCByHlmSMIxb1gMW4MF8DYWjm3AAjHEbD8uymTSv59CNq6sGp+C/pV kb9hnw5xpXBvPcCv2b6dAwTh2RojWetfU0hjnQ5/NaKXxFQy5vRHEkWrni4XwHk6qGA5Bq+nruyd W7Lz0S2PAvB7HrB8ZrJsNAdzlCt86p7aOurXW6uyjrlfXumB+Kp37py9/s50vLcIwYIFQpwzS3aU fvKJ8On/fvYbF8Ysax3DtjsKSiWbEfQiPSn7Soro5QFU7Cv0gaEbVj8AbwFdWdYEsHYZkKzIdsVu VcToWtswXQyZ8IO15y7AdhFRe+1x9gulzgb4I4QFVBzbvX0RbgbUl/jeIr/IVkpO4LtLdix1w30u g7NFScO8ZpdjvxFab+tliZvSLbupbJMQ1B2RNIlKIr02R3uFK4EsVmzeeUZc3gNCP/aCT+bU63ks rl4Q3BZgPamn6A8oiLLW24wmU1fuD45DinRcfXKf5u7Ks63BKeQA2ZjD4qqb+WCSZrYYoeQrlAm6 l54WfMbgLzIEm4FR17iizH6Lf+lIvj6aD8tjBBV90Bh1HzOSBybXNydYpUrmB/0EQPzaNQFn6jOK +hfX2yQ2Hq2eh+h29fIJ3CeqghkZ1btfsVHIXGA2kqTRPaSTthwB3B6V3FKaGy1iAOx0OhOkRN81 6oYbKAghVcR6sX1pKf/0oSe22HNi4ux50B3TXrmrcUpkeVnIzP53tX0euz800Y/qSzNjOgy1VWs7 So/VEGXeTy1jp4YwL/W01o+BVScwzqNw6zYaLzwiyuhhMlUjZJVRpLkt1DiLCStr9jNK1ate/NqT BcNV/N9qP0/WVAA8lvNNUCpUuZAJ28ZuueT7l0ax8twStg8s310QlyihalsdlY34SxRKO1kyq8Pj AKU799Dbqy7ITA9jBzBbD10ESuxwzPNFX5ufqn736VJNf2tQ0oe1/eFjAHblIGQR7lT4soC+VGY1 7WhVvJKEiKdPgVIA/rMC8dPV/3jB3tru8IFGx/8ZqzD2PO9aZD89NtjdDrNUgQnqjNslIc9wxxOY RUPcaw+Li9/0wmfezGi0lrJzQ4wDZ9Uph56sR+3zGia2Z1EtDsqtcfglvA9Q8ImXKVXF/yvoslFv L5yPaiOPrHdQrMrYz8oMAGXBNwl835gyewoxhgd+KIo8xIAAvrodWpwmeIRPwhWQDO5IL2tNfG3x 3vK+53k5dZWScLgx1QtDZ4mNk9MeYqDGILVIGpftFRl0aucMBpnaCWNSdf+8cTXs+RjR0IY4wNjy bdVEv730OuPRgprY4k8PAT6wicfMWKopg1KRcxwg4K09G96GjxCab8tNc/StB6mQUb3gbdBJwT5y KSD9lYthDjvGtDGYRY8uukEC0FJOs7K22Onc9+r8L4kceBkh1FkoeQMLoRBtAq7WeiRzdmmZ44Ly KCrUQ/B0KlFkxT1xXh7o7fOti94vRvHuy2UyU8/NE+a5nHiziSnCsufC1k1dzsdZNKCJgDL8nU9f MA1NuLBo2UydrNgRny3VWC4k1Hi2Emz5Ju2AKvEGH6uQpwHp6zoORhvNSwiXPs4WILXQErBD9JMR i7Meex1ekmLrrjBJKe891p9sZMHU+3SHFbEseKzsbNjUvmlFkGk97w4j6KiCiw2o19QobRRKlNRv TOWrwCR9krpuIyaPvt7yJpFQFLY47mOjkmupZXYMZ+QAQbLQtjwD5HvrAXd6OBHnLyyivJhN8ey9 y2AzmreiPY+0wUw1/X8S3AHFlFZoyoOYLwPjNDuemQcF53Nx1NneTm40rW7miy1gFOc3bfcgOgJ4 0j8mBKhwxRchZLFQfoezlD8nPscZrnxdWd1AzHANxOfsHCKjJOMtiClwDA03+owI3Oxc9ojtmLVJ rE2uTyrT25HJ8f93FhT0Ulxx1jVtZY5Fe8tmUF3FNejSEgbTaE068wo8l8oDM450SdgAkmV2hs3w H05JylGQsVK/w+IeNRatOugd278xMrqO0DNXZ6cE1+YZRpAGj6tCFeYJdI1vUZ/PuezLMjstM5X5 6AOIXeqwC2YVOJtUi038ndJ6pcjW+HDYad+ioLl7wqQ5i1DiiCxd4HZMgCIItnohfBLu9hBqazga hM3yJrinxgqJeyZyc6jarnL5V3hFiHt/f+lnBp3x2l+ZscD/xMEAF/CNRmlQ2HBixKTX/pD9gOZC x2Zwbw4azQYyhp/72zX7JZ2x8ql4cm5KmZVfvB40BSfRWExETogJdYtRle++OYvw1SblpOTzBFmc koh/kJrGplc5ppSbRlCfydBttXfQSWnKTYhGGk1OBKY+pfGpszEhoqLV3ersA+49RmIxC3pcATC3 grJBHngGvsaoEvCXxEUsYbhxH3xKnn/UR6eowRA5bIOKMbminLOfrevbCGa9Xa0sC3JzV6Y5p6u8 uCcedOfU2gqqsXdHksfkuRqeVattXUV5F4LA/xVJm6XuJsAdMTcPh+ui03y6K1jEFE9vsfKSTn1s t5DoP7n9NHo+0BRZ+/5rtKDdiroLCiP4ECeZ7SIbKxHWKCxCy6KXg/QhiQTyGftVeHSkaGfc6Mnn ODmfpak6LO2vFzzz/94CPnU4TvmJdUi3zQPV9ra5Bf9x5oxErCvEVzdJ1vj8R9autUvLlc64Z9kp QUpQ4VK5eLDjKhy45BVf0fcv5L4V4f+S9jccSwGF2vlHJMz/y8qRTHezkqWygrr/u6jn57kIf5Kh 0XHOLkw8DcRTxfIsxFuujhNkTZp1HAEq2mc5LW/RJx7RvKXjM+ObjcGcMYPvcqLf1nZxtEw+Y4tu tpXSFVC0r8Hp9QnKO2tuDOkzdKLHlpat771AGdeF4jXynOXlWK4rFxwNuE6T6+rH/od7Xz2H1pT9 dsWMERc7Uvld8hZsvH21SHpdvS7q1ZzJ/ETPVtT1ll1Fc3smdyP26Zw79FMbCFoAboqwoe7o7fBs lDHF4s2wVXYpbHsc0aYJcWK9Zd+Bj+ZSZajhKCqqT6/5WS3IcWiEJTHEABpLYSWnzvJ8ihMMBNpt nxAkly4mqs0ovQjPxd9uX+yxDGuRUMWXNdOcu9dA1gnx7E90GExEP0Gp5J+wp+rZWHhpe9IIvbVE 6kr0eTZQMDad0tvYOuOIbqx5viy8he++kFnpPumz+7HBtaFYbNJZUeyzOuSrHjQDBtHyN8ys8OjW PLxJKBNaYAaWCbUe4N9xt6k/sbtlPM9aJv8BckUeHVGmy8jcsSyaYNLO4E+8Orbq25BDlpl/Wu9H FSfFOWBnbaTop3NOD2cZo0a+hmRFzGBtc3gsR/FlP5Qpng9g5A5mDqXf/aDfcRqWVC7kFL6cabyS doYv4DH7Fl5W/6yCX7NWR52/rzEUn6J65hmOamjBeG5C7HM/1EiFJlI27L1nyZJKCp64Mb2G3bVf nmUcR0GDSky69sVqwNvqgf78LB/aVCE79SwsHydYM5qlUyTploQI8IOfliFciLUfe71bN6Mm3c3D Osl9zNPgyg3o+EUvxTeAtIWf+Ikp5gbrR0Xi73eLlxG/8zwVbVsBKzWjm+BflS+oBhQ7/O1So8Qw +62m5ZkvLkKC17TH7tY5ZdxthlS2gCuNTYtnMDJYy34tww25Uis9HqlilALjH9idX2xdtu39+a+m j7cETD0ucth/HmF0xij1s0xbaLrLGSkDRzB65cZIonzjqhJmFtlZpWOJCcrn1yzuQUyihBoKgTcT QHD4JK7NjhuzdE9QdnTqCOjep/w8sAj529/nokbD3vpwGEKaCZ357TCa2idxAyWCuhNN0cydblNl N6QyBGIsH8cDdBLU13f+LCm7vmV4eayBtGGXFDxybjJ4suW3s4cJL3GKsz951UrLU7p1FNSklL1c OJh2QN2E0mqn830ZvT7Sx+jW1DLXdyH0LDmC+vWS4npCOibGIzYRO0eypUJ2byHBojOrg7E1M0h7 v8A1oLC5E9Z5fUsgBVBvGYDSnlclNJRdaDGYPjTaPXs+QcMbFKqlrxuZ1pR3TAqL5AvqDHLvDYbG sME/pkoNOVazd8CjJhN8WACvpv++OO/inD+YJCdXfpCPwnPhbCGCusyvw5P7ePfPsalBJQVWg1XE l57qLZSV+ZhZ0Lz3f5wz39xTsPhzW+bcFpaUSMHJ6PM2IhDEz1x1Kh2pqTnDyiwZ3IzdKGksFtlg lJmkN/plzrb/74fPIHeNmrjFs/bdonY5H/84NaG93LmdBNdCU8Fqf5sAMdSnbfE8Lv2oVeh8zkEH 2qrevMtiODf+xxIkhRXh2kOXLHld1i+uP2oXMoFomHHk88H95wYzEJJgU/YJocsAMn4b8rW8KsWm dfucgPdcEVb47ZYTBvlm7JZh02aaotMr63xPp9+mdyUaZQIPieQvIudJTxt3GlSstAqzQw34JFNS trUws3VAtwsX+j7nxjhRcT3y+faEcWjnkE6iqnNrEn80hZyxTirwVwFj8Zy2q5HWhKBIAL8QGJev DzDl5rrSi1agxcE1D213lxHE1JN+TeBiKL7HbW5oi5T6KLtBkdXyvXg8KapnoMmrD8l5lxUvtACu ndK1iA/kN52SEeI7XRh52LXSy0EI5K9HN625X724VRTgWPhjpSkCO6XdSkWzJ9cqVT2njAhM02AA NrlXrL+ywzhBZDomBFiowwL0lGSyCRZF+grraBxUvm/+//nEsD5/k6HeVhmOeiaUnBVg9xVWXETp 293x5VBD5PteAR+ImEKf4bRc6GBe0LPg9r2r4Jm3pBTH9eWFYGVK4tqtlyZ6RZZI2JEXEnX+Nz2V XjueKyMaDFUVC1ve4UgWSCDtoXF7urTM4UIT+GwRej/+m5JZ+8Jwv1EYewpdv07JBEWyudi46/fl oUWXgiYOcizWjGAbEdowmZZO1M03fKqsypaMQpE/KgpQrmxNVeoom878qYkOYC5LQ6IffNPc0r+u wgG44pNcGXScHg4kGAdguXWOZBrQgCA9WRYusCQNb/jcxioLfDiuo4P9mLHGci6iMUnoNO1j8ATj JTrC7+DW53pVuoD3N5YGARwIGSCbQCQH7OPyPIrkWzima4N44una62f9uMDg2ZxjJ5ydrMGoRQTq Jj0QO0DZH0ksAfNIHtHsKzdee+IOVUMf8egIWvMzaPiC5LX5z7sTt29C+8QU8vrLITjzOK3mL7g+ NQwTY3WbO1gN8tICh8hSmXTxoJkAtvtskBM17+NFf91Vc/lph6E5VszwEHFsfppXD/5T7TV5IdYq lf4dIDg7gsRsvMnknDABgszcqYsJieZC/BbTdozRTVgRYdwpvko+K9W1gEeW2mXN4/m1eszcG7U6 lEVPmTR2BPySYQa0WmHC5J6e7jeiFyBwOESIniE3SBxXGgiu+nSgxP006cwN6dtT2pXwfTQX6PmL 6AggetFDv7lorQyLALuncgkxhuQ0MxmNZiONM5Ec8P75Fb40qQvl8RL9rRo0P8aprd6KKkJrohUF HZm8ZndEGqo6ZEoSnk2diL7t5dn/HvZB+SxGeGzOAuwqgeH+PcgfVwR0xNEiworFtGRVp48Tq6q/ jcOXgjWtw6FDALqVpLjwA1HVAwIeLYIoQgAfsRbSpDJp2rZOl+8LB4biCws5Xu0J8MpJU4tpsvQc NHwM+c3MJoiVruLs45oVcyUm7g4D1+65+/+jW2xOi2lFk9AOAnTdTm6LhEZNrBKcF0x71S5eD71U rd6KngEmWn03ICHxCtDpeKOtqYy8/JKPoi1jiQV2PWXYF0hH391ITLqYKUCkv4lSDCuLau4nLUCb Lg+iZJ5XE7F8sG9xlqFEEttFJqUpC+5m/QbS29tjtLOoI9Jsu0Y1xrZuL37kDFXbB2c8gOAVNMUG x6j4CWFlnn41ZivB2ZAYDbb+3sTE+HhVUJhhRMY9r4Eo5jgIhO5ft+w35veyJpP30X/0Zk9hctTO GlgKOCrLohA2T8b9I2xIbNeOZXoEUOfJfoQ+Ob7LjxJzZdHXHa/S4dAacem+CTPlUf2Mxu4Aectr beDrVhmaExrFkDXsSZi+gIE3BXjkUy4mjAtt89DNRDejUgNjcoHwNm4IHtuaH/VhjJalrGl1eO/T mECHC8/P0M2bMOqw0nua76ids60M+EkO/l57jjHlAGsGlSyuUx/IXufsR2kd3KlLleWLDcmBYlOU IjEemdwx2RnrRPv3JE1whrHULRqjbUHOk9aD526lSD+zwbO39HjZ51TdCf9k7Ik3atvLU88K0bqs o/2SOntFuAuwt7mnpLlfzEWyCSoRfZN9ddNm8lURSSK/ddcPFUn6lNLuL+FUtkv3FOreGloWg4aG kSfiYd8tDS2qPgq6VQrLvjvr4mCupAvfMkDizPkqgrOrk/n86eGCybi6DjCCZAOBHP0t1TlWnwgo +MzVolaXYZM7tXDl3AkijgWcfCPv4nBo7fszVC/P+ECufXjHq0WaYCAmIhq+uRWWum5v6QociVx9 SbId1Z6aHurni892Bm+XJ5WK6x9JzJ76szGnyM49mzBupmHKtYgM/zlh0Jo4rlIXHhRwUWZQD1F1 BwrIigQeREzOGrLhJuJWymwe5oQu1vSkH0goEXI06cB+y0B6rudPBnJ2bRLfiozs2jS4rkyL7RZB ZZwdZITsN7xZJjKDq3ByjClI/nbb9aM+I2ozRxdf04Bw8b0EFceL1yQRKpav2G5jLmXOS+lqOdQj liBd5RK9iwwOkMrcO5ekK4d81kCcshV/KEUhmMQ1WYkQrGXKN47gKMRSSoH9rPBQ9hz/B0f7Y3Db c6/UerYtx/Izn28GeRJObzCcBpB6IkBHnKC+gz4mADD+jK8OWtnV9XVJ+5a8YGGOMTH3cvbH6n4b OT4cERXpN3dnPF6QQmqy796aQWjJgKY9DBsu0mCuhTI17j6BObuQztBPiXjr4mom2q4Ts6KWMrvu q2IqHwm1PfHkXC6KGKkJ1HAu2emzL93MzScemIXUS4bcNhCXpfvLv1Dxlst3Hyptg8YGL+E21abv QauqHE5PkdDoUPImLk5VKslovLQVkg6LYHzkC7ICwFvWDi2k3USb1nuzR0iGwM62RkflXTxoW1xu p5vGibHdM+GkPOQrV6tm5AnScwqnjULT+cufZsHYr+cXb+qy5PHDhTi5YGqr/YwtdUVyf11Rqsg5 a1/eJcc3F1ovfEovKUFGYW2hkqyS0oZryTGGiEoLUIB1uyFsTv+fb77fg0SdkmeU8EBfMVfD+AUR OzeuavWrIywvgYy1GKiEMFt5IqarcoF2EgRPNxIrDidcNNG0nusOLhFRSzUngek3FVnHopSe7ejU MxX2CIST/E/wGTqotug6qCxy6SOdNDuiwdJy9xJsdG8L7wv0h+veJouiPwBsZznDBI0QWPZBqcBI INeVjLdRTK7S7UaDsQ3XBGm1s0r7riSEQdBM8kvfp6qhAhAZ221daOhkLMayy4aiZRjyiB1Wzfzy R5XZquzkrwJTustpA2+E2aAyTyNMP2hE8s7QSbW7f6yNwQFYTKH/CuD+ngGR0M8DyDyfv1Z+ttBU FX8JQUM8tlhbwBcDKYc7YEeG9SDtDidmIdUNksrAM+2LAEa7+87BX2LVHsW6luiYuGMi6tlkb9lH KQCh8Wzqe1AYR9H7TEvXqUIBDe3dJ5jdnx/UFYYnpPrfMWjWgRfSL0LYmtSKsu5ZFU4k5uIHid9x 7J3Ya5GZes0dXAJUX9zvxYuG4fKc1ZASCWiwgW/6QtTXaq7asXMVViPs+LBTCqQk0Tn5Cc2D/7n0 nP+yZQZuIm7AOiLd4xXSGrJHLeNX2O/dcqjtnGw+soWP4ockJf+/d81Y06Mb4TyPcEVeSHyXVdPk AHff3X8GGXutT81LvtLFD5kMI9c5Gmpu1j5RgsGfVbZWbH0s1OU6YkP+naWl1OGOi42sBymve1BR BVXfyf+2uP5apUoRpSiowln1L+uvCAbSF6JJfXKJ+7jdBHeHZtT4Y+v6lG4jdMHi7H0zWqVaCppI UkgOUf7UuXRQkGNmNPh4mTOxZ9QYI9HcMJY2MtRRzoR4PS/cwkrvEYVwvM/j/NdJ4aX4uuTlWenm 0DkLPaWyHTwthbmTTBy/fUB7gufUlfIrP2CahRS9G2+qVYeaDTVma4g1RSP9RzOUJ0ZaOopSQXDT 3rfzLRIsRxEoBSd6yebgxcVb6orTYXrz+JS91H6dKnCjLbPNq7SYOWU35tTZz5xERMW9F6OaGjUy uFKXpzIkW0cBtialYh2dYWknmmMVXChRiKrxTW3he9zqs+B+oXc0tlhwyhni+RgJVHth5Pg1cogX +hd/8RONe1Od9DXzeHV0HWG13FavL0nuMACH/th/1GDN3oWuNh+zdcPGOLt68KPscgMnhebuBWx9 xYZu+dUQykTsBFNSSyGWRCMpRCxYLdOxTdkN7irdmtXX2J4hVxAToFSO2Jqh1y+J6EWTklavj3w7 dEsbL5rOohgwHQgZ9SEoSLmgrVdIpudYt5KIn9zhB+DQ2a5MVakr1kbaeqGgPtW7j7il6HXHYnit mcU9plbmGRTny9ERXOfydfaFHlrjeM3pbRbvwLqq1jsiE5Kmx2zVzPnexsLIeaj01NMZ3gHb7Dwe m7T+lYsdsfkk2CiqO9i0RQqZH260SdNvTb4ObL2+5lvP73LlsqxSW6uFzmYgCujKgv88W9SPa4qx J5TneyeHoW5t3mnzTWFCursfSrjviKKmWZDQ5RET7EvKjsahGPAGWc+0GAwzBh+XEh0ExxGQXQBr 2i1J3jDeFGEX2G7tRCzZ8dgs1rglVVpvljq1VMMqLzEKWtxLLL/nJIgtUkyB7QbtNS9IyLJ3UJzB sbcL4OctDFmL9BkFidB2Pwr58g6NBv7sHsGyug2P7CC3a2DOqxRA3wWpTPU9jgJvxLJerCz84Oiw /QeNYXR/mvKoT6Eb6/2AN0zHctZXk0QO29GpA1JIXFZZBVvbkrIRhr+zOTeMQnhvEU/Uu+BMbCzL MpZCtK5gqdWQ9bbUrpOy1v/NEib+IuKKhC80SH3qdRNtO6k/RIMoVdR3ABwV0DsPwvmSkOMy6q8A nIIwq8yhCHSP5r+n8TOzET3quacsrZcXrPkgu6h2kmrTqS1MidVgEXu5bwrBn7acuI2HWv1BZDSN Zp6SRdhN3mshMXPI09djtxZ4+1GTYJNMludbH/kpVkeqIULdGG7GGiRoVsDGMVf/wWJvR7WNY/K5 ekGlLa7HjBN2LpPQelMLuRcWthRXATZwNfvQHpxgJMpN2KgMxXxc+qLuxHc7PFROMm5k4T2zVWWD bcR0i2ieM+7Kb3p/7taidr4x3zWHflQgicdwXiDDMSduU/N0vnGoG40v8L7WfdWcQ5lQ1qR+gniF 7Z9/V4AE++70v0bLK/PxfU94vdbDrxpvt27nOWeBxR5tzyenmoyaUcWNkDuYpye82Qvu7QEj/tSL m4f8ekoEDpjjgL7ucZCv+++ZbTtsX2fTMuQAvpGBwsMIZY2hRfiGo0iRUmdIA8ZcntxWim2fkzXK 83R/l24dByi25pRsB1P9NpkpFn0jEemJmg5V2kagdPWHtdV3dKfcrpo+fGQohsZ70K5mmrWJsnyZ wOweX1RfDhPySVz243emuIONd93UxT0nvzQoNM4BRu0X0GNoT1o0cAp+t0IDBPcTEe5A9iiiO7oP /k/kCse1hOLxZf/s4bXpL8IhHmhdSwY8/3O6pWGgF6b5ExMKe0oZxD48N0B22aIw2IQmtyIx4ZQW iwks2FgI5Rllg5NZAH7dYovAg6yoXDwTKGNsiH6MrOL+Y9ukfoDw/saPF64U7PKfpkDTxuYwnHC/ d98MJLDVWbufcSktnmVgB2RjUudZkzYi7qP8hK/zoK5fO1A7Snf+mW4uAkO7LFWp4N2i2H7nGfsu LBW8ccnKYF4ohCcviymuPEWL8daL9YWWsCtiVEK6AVFxq0IgZOqmQNiHU7Ci+DUMSioYOH9Wy+dp lEjlhT4dp68AMBS6qM2Lx92fyjv15NYA6tdFjIaIuanEbo61wEZ+IvvXB+KyO7xiMMitO+lmNAaV fv6RahLAJUu9xWWF6B78zf7SOW1iHS2HDYtXFaooVhY/SjA4fPiaNOcYJBn0ZmZySTI8K+JieGMS BK11CQTfsQGhGL3qPZgeqhMq3OJ1Smzser0VlKCMohGoetmlJ/Vw8hFjuFTUeNhZ+AdvgsNcsQ7u lNToTzFN7BaCbKwnqXZ6PdKpqd8fEeyCfVSNtuRevoKD+QmvCCzjZaFukzJP9w4ObEE5WVgKGYYa f6RAHOdaWj6/vBNEbB1ohYLZf6W6c9hlF+Q43RwCyOSCaiMKFakkyxbceGpEsE2s2TR8rTUEpvGW HtRrQ/9EeAVVzCgK00cNFAuuTDbO6HIij3mJez1uG0lAksqQNjAgcrT1iEqosC/m3AvEBBjfXzuI bY6eWsCNFkZ+XlbOpwjT64ioyVQUfjE3MQMc8IvXCq6NnfGbOLiZC6HXZmm7pXmHAGj4wbEHLPvm h8zDRMmbHBl3ISPDkimDdwoOYuvwEKTKvOCxqRx9FlCEoHuzM67Mg6YknW8WnU491QH/L7mEHyGx nop+xpEZ/O/Sj6aCIeZuOTE2j/83KrqtlguP+QFwGWN6K7jDepspkiKoVX83oSJj8bVbh1BRNnn8 Ul9MTMxQiy3M6IEQMSR7c2DrOTpX8fFTot22T8kh4YVr8qAnzjcRnNQ1M4L4BrbxFw8Z/9wBMwPZ jX2M3pLb517H0DKEPiNZET71bDnuqTdBBJ43zhfTC0fCp7OnIVgBnJeFm0CpTEiRTuw63HhCONbz alZz5NImxRxKGuKv4SFba0w7zI0uuw9LMjBRJOUakrUbJFxACaHzx7bIIf03I5gStjnP/AG/vfBp PdfyjDwcbskKab81vI6C3TS+RaIzN6+HrEsodHPF8fBLyYQX6xFLu9qDmUMHxSdL9YBEJBbOPB4N meAkC13lS77dkoh4y+p+XCkPY8stpfvWj7O6XZwfXKsKx4GQgw98OkR8b+lxv2JKTGFgpxvwFEU8 FwvtTXDrdHfiOut3dohYOcqL8VjI+KiNUt1yP2v/ZLaBXWV0IV7pBKNI+jjb6HQ7WgqDuFfSydpI fZLVPwNeVlD30NuNcHt2VVbvyP32PWFNQ6vpEF72/GpYZJjpEKrte3WVGpcxQTRm32U4pNL7SnLp EPgBW8TI5+jd021TFVH1QwX2gjk1sW1b0+tVA5049KAwcSQyhfWe3Z2NFhYQ9L9YVT/QI9I2pz3d SMkUFWwu4FZ/VG8QWgD3Df2bmUyK52g/dgZWXNBOiuPovx6rY10s31TR9Q8zkCAcMqc/S7p9O4dJ POkiYwbVq0mtr3UmSRZr79q8hSjWx/reephKDahpr1ttIXF1zi8ydH6rfOHQYD9luTOzdQr70gIK qtXOkfjqp0q77Oe8v12gvoNP/2F4Rr0pXeOT3i8M8MwwgIbCcL3CDaMBfbV5kt8CDsDlew2HLnIK PayFiud+lq9xM/oljkp6rm5KHUVb6+D6+Brt47DqEj0zm+dbrxCdsv7nSETddXTVV0Ws9W6nucZb Nrj26vkCAcqQEjMmYW25hz13Yoo2PbkdoCwWIWDMIH7j0WWgurXqcIvOe6ZlDEkz/KoFqp2Ogqo6 TdUDTArGfxU6xG8BwzpK86KqoGrKR0VG++JqLY5JC0KgfJUt1FYoHxZpBlidJvl8ZXl1SjIT0Osw TD0gR2xXXnPSBMgZvrgLwlIzz9C52Fr2R950F7ILualD66hHxibIeVn6W9D2t9CgBRjakHFI6PKL ZGylK4OD3IkGm1cM6BEfEnv2kY6DJdGvg47Kg8VvS0SeRMGFW4NamVeFoHGUGHDS6EowC9AR8pa8 sxJPGpFAr5pCLzQL4yaZ1J5TssDH01smPHK15x9MvORxPlkAtye4XX7ZEbfrryrg16QgWFchXtS/ H10j8JhcEu9x6MEsdZ9PNul91R67Mgn8a25O5kHXIMtfSvIapGJjT3W6dHWRYSti1l2fAL4OeMqA CfDrcB9VkkV2ZvGb/62NOgH+qS6hCED2yxmyk9l8FSfNoLzWEYpEqQN7a/se+s91XmXgYFsRN3T3 nuB2OnuJP2yzzmzb+Gew0rLGxPcHxdPxxEi8ShOWnKRKoP8FZnGdSyUGru8jVSVv//CqE7/xYxYy fjm9UHbDHLpovIBr5IBllIDRrxUvqabj6GZo4tdGB6TrU/myECL2Zn2BTG/8iYGTMy//bIJPniKV lYEiRKsbM5CXVoXEeb8uCNn0s5qEexy/0SVzre98lNyDSBOm6vHp5dZ8o5Eu+SyKllJ8iCP0YETE 5nMgx6C0s7jh6EcO1pywT0QnmLEJVbKS3UEf4YNFJZVnHFaLR34qyqYOYlCD20ca0UyjNfprPwR0 Xd7/9WH4PqYxccGjuscjMuFq/LXUv/3XJ5i/goliKmRDFWR5oDbn85nI9TV5d29idlGabZL57gmQ jc62S3onYBmZbfzt8V5aUSy9UoapbIgVNtDdLlnHncaueUbAKKHFuwGfTdfUKQaYa/tPpDNowevq Gs9ahcGNcU2o45ZY6+e5csE3eRWde+MSX2j07w/cbapTphPZebUgeaRMspClpdzJOJA7E/UBpTP9 94+ftW4kEiNnzBlNzQggRzHNfp5TB7JoxxFiSACqD8dtURrpJSSWRxR644hkZ0tCy/kSwSByGb53 762iX/Vo8AqmAN99yDc/CAXf3Tu7h1yMFkdkR+jtwzMBiOcWTsW/EhBX1KlzTcLOnCJzVipKXSzj NwNWdaHdSz+TCxYlRE0+CtCmacgMsW2ZZiG3AGpcZ++HyvdrDEotHgvOelneNWIW1WRVMCAStSeD A8MU1sp1XuOnJQshl+oBJozlaPRtOCWWzXqUDtRtxlN6QxatyJo75wOwiRVyOPL6M1zenSQIHQtw 5yiE95podq+fvD0hDz1QO7+Mx475UOvsgM1Z2JuB8d0Vi9PCtCLxkoKelqyoYBPdgWDNHCoFocqM I/6rC58hsshIIs37ffWnZu+nM4MSR75KVdQHzlfRKE/GkUad8056vfM3wceoIyEqZ0S2ArSK3ldD ney2swl1fNSod31GWV+FmiULnzP7ktAHWhjqYiNRUFnblWLRHvUasFMmxToMzYcKo0td/qEyigDa YON0WaGUVfPiC0EqZLqQcoT0pUUHmCk5NaW2O9Ec3KWUUrbBP9VWRdY48YY5y/J89JsnWpr1vRVV uaSSSeU4vnk/zGcapp6uxalF5X3akLO24KtKDvGJKRRP/zCumCE4Oc4XwNJM+hX+U5ch7mfaIhgq vvo8GpaGx1nkdDTnDwgzkzecT/ndw74LczsoEBHE8jgyErjOMpC5UYcZFJoOe1yw61w+J7WI/kyZ U0OHtQBsybk9E/PmuOP7c4WD67q8zCbHwoW5nYuL2cZunr8b19ooyfdx6NmOFOHVNDwbXbNmNkCk wHq8JjZKMuqgWgW3O4DBELNBjn7YlYunQjsOOGKwpZ9edzmNYqCNXwcb/JU6ZLKUcdsuXlUo1fuU YI+hnLO62MmAjrPJFmWLqakpUBurdjPnJmoFi8bjDlIX5LcN25sIfcVnkOOZmeYSuCPmW4V8ShZ3 Zh3oLY4afyza7Z9+JCFmE5nhttEGXxwUNF+P2MclbyinL5ENdRlDLgBodHfDyk6W76CaFjV7DzFR 9SXBpaAQ8c+ijeHzrw1oNW0X6XXUibV5Ifr2yFS/gJNw2p9bYLIkYlwjjUM/TlTSX24lm2UVls2m m5SsiC8sqMdcpiLvJoMEQxLz4u0XAsVLZmnoFjHD5jjMtepfhbdilT9ydKvjzMZf6FlLAGYRZUTu vnEisEZz2oLkWfbByasgi3DTysiju9JzjZ/DaIuBjTbELv0HkETH1q9gkIuFO9cNev7L0M7orI48 d2BFwGuhOMm4CMadfbPSkublNxexQNSSS8utnuCzIQe1S4Dh0LEyVIN70xtRCSvXinoYEakTkdRn 3ImjRXUdvPs242Bq4jDaanUBgdN9/G3RG13yJrSgF07nlgFhQnK/SS0laxskElwakDgFrkbWXRNN /jOWl9WMm4AB4+B2JPCO5X5CdmcwSGN+IpSYBV44ePEifiF5SVvENDxhAhmmARHjV3BQDZpn40fG AWREGh2qbTMXZFYCLzhERUQJi6xGu+4L8jnQ88Fxa9pAieSjHaWSlh2PnpK/TnnIPsxAobzDmVvy ALur89kHo3AScMuH7htsq4eunzXdW3Jq3FalZHTDPyWjQf1ICwYrZ9FdI7paxXI77n/HDAX1aRvF 9a8KyqEMPvPjRCfrgxUfA6MYcjPZElu2KCqojr0kwZ58Bh1UqDIdLtMtBtUIC5nnquY/7284hUCD YkUBeF7r0Ve97Co+eQwMNd3BlCnYj3sRVQLx1GLRAwrEqnr179VTKhAGCtsbBx76Zy6EMaNAy5Bd POp3cHqY2EatQ7rw/gdYfADl2aLauifg0JQ9Mtk5OFKJtHaxYFFsmcsB7g9T+CIyy5gEmkHbHZCy dnLPccA7TycnM+CnwJ7U5ig242KkCh7IU5tjk7Tolm1tcv1qsmsQoY8/PDn7M0KUOtGk1bcAtsIT OmgICJgDqpFvYZTOuuEbHki8LRlovA3e7BmeiXDxiNPvB7M40ARKST+VauT4Y0Kecadt+dmOXDiY nnsqfDCaVOnAnzNgUYHi+iHDfQtwzTE5S38E/ifG53t83XWTdKsxAcwpJiWCR44V0oQtUGuEJff7 ZVUUhBxPuAR7WyNaBfjfruQisp53xa0Q3oTfiofxCWidkPo248VEuD6/d2mnkb1HXg7slowzfeMN dQu1b3n35hxbZDuXQwGby7f5MkxrqQ513pxntAyNOThVL8doKLPEXChX/vkfvX9ynpztSAyImYSu lEniqbvpLMdgej1dVDFlOHUM73biED7LryESTNimSvFtwLZA+ADHTU5OBl05PA5b67ZoQElenpHB 9CFzUiW5TM+UEjF9ko/xPyG6KswnvJihcjz9DRTe92/fnAAkg8wVN8jADS136HZfeoUiS/WnPnJP E5HQ+LfyGwrxuH+xZ3aj5JF33fIlEnyqzMqSpjAdYplQS6ivun5IVRcWnSoVx5E9kI7+7OgDJ7XC LekwgoGhBntdoM4er8zMXxk8DtTDFOjC8/C2/uc9HPWvfxwXEz+yp69GxmBQHmX3kFA+ZT8t519q kvC3WRht3vHu8+TgGJ1Men+oxw1N8C/VVRXTYUhqsHa5tqc+SBGrppKRoW0Uc6T8aVnn+k0a7PHj Ch345hSvBl/NmiVLEVsu19FJoPpzSEOf7H8popQKpa0KgHH9GksCtxmad0m4ZSrciQe7ClZcacZo 6FrKWCpOMQbkFSoBDml7IlcbFhddRZZzvmjLs/FUHcdaPzLrLGJX7bW2cAzx3C9Q0x7deAeXVO33 Qqn1vTZpt8Ka+Med9/jxGa4vF71hasGenyyPZeeFmLE/qu4PuksNJ4CYafbWRgv1k4z1zh2j9esq GV0dvsjYGPBb6WtxtDUcqirl76KGPv6Z1xER4hm+InIRdedCxIuFaMfeJ6uxibLLWBz7mvZ3fQ6i PeRNzi/e2BFWzB9pSRRXGrJYzOXEbZITVDBtZrRHLfjq/sc4c51xB7qENyXLQjhCeyZybF9eTaww vVA6ubR8IM7HJPBv3TbUj/IDLXKCynef+WqpfkeqiUTBJ8MmfrlStwq/uolBfpbHuGord39s0aGR HYL5gb7VwvUV/Rwz7TuZaFbjtoD+0TnGTWIsitSNv9tRDPc0F7+xOOijpt5/ySq0vtKEf+MlG907 hBiK4i1Zrlo9iYqJKvtV68BznsLpKQOp3A5Hcd1HsGSaHlu9eDAugw78HnK5Y9NVXaR1WYoNdTH3 AMvmZGt4ixovP2JLPZZoXgOLPEc/CPw1N/DSgYzVBaXLZxFKviIUSgQXkSMxa33c8uitNT7F/Cnj gkJuld3nBQTSRcXvHg3upSd5UCwLkuGDCqwF05hYmNsqWDrYDJ5lYbWK9ZmLTrQNWBtDV9+DoXOA HETauP1xOzjEhfrNhIQdBtDlYT29vzZJRrkzSP/lFeDnZMo0b0NkJtYAUgMWIymI4GcCXIBGatsr jEKDAeUAx+HbKaAfcENS4ZS2p6YshebLrPK27oqZb9HsEEQZBJYMlkceHRq0LWe3xq87/BlMR13f ILOc2xJ69ZLZL2BGv0KGU3ILu0ecczNTEak/2ISxsy28po3ZeZ42z08tPxlbf+OEJnX4LCLmUVSa BfhgEfnNL4jwdBBcx4lou/zbNqm5UfdLNaVEPqmEE9Rfd1JoQjR3hpQDnUg5EadrDFW+VZf7a74X 7z8zMdZ5kTs24EcFd1uwunrrxrz5fpUd4vnNXn83/e6Xtc2x19QDYH7ir7stZO2pj7JmI/0RokdR sSj7UMkfr7WyrovCE/X4kq9K2XLQVSixJ4P7wehEPQbs8SM0hF4Nsu+2Ak9XBiwJA2vdjitakCz/ RJM5PSq7W29E+9/6uL17AdNV3Dby163e59PSKStlfF0ngIGT5F5OoDH3HAH2MpWzqqPqoz+CaDsC kK3VGI0vpvSWwp+spCJcYfvaixvoKCASyh0wO0b7I6As60NrqPNO3gpilr07nHvdr9njtyFwhUAO CCxsqs+kUh5BfRqHHx6wrxqeKiI3GRzuadmOkEe4x3DCtgC37hWGYeMMwPokLh9FcxKciLzeChNJ 1Ng9yN8HUfWnMFCT59oZFof0SaqlAwa25UgYsN0SLgZYfftocY22vUYMvSy7sa0RndQXb0onor/U /KGOL0x+hZx6XlKF7U1h2P/+2FK4qswMZ8URPbPxTWCiDVbcQQnpvzEMb412pvnlJBjhmdURyV7k x987dkwA1puvVu0062v0e//rZbjv8EQOBKL9L6O9BNM1rQSYiW2siqTPx3URoS8yGtW5kGRwhtTe VeC/tyughJi4AfueJ9v9JEezyB6QD1eeDFW7YFNGz9QQD+/BdqQ1efjwfmOpA2uJ1pFBVmPV8hqq oikWhiXr52kgD+XbONsrpIYdg3t9ZU568S2EzDMLItuexKOAPizQ7XF8HlsVfqX351T57mSyG68r nUaL55fRjd1RR+ym6qyu30DU/BayVpIl2j9M1lsn2TujpbfKM+xkjP3ZJIcSEFv9j1+Jw2sMrmiH 9EGrA5zL1gra7qUZXObXRsVWvfBEuX+h2WR15OLt4almBkvLvKvv7sTAIs1BXWeOOWeXEaVO8Hsc /2ATZCdntQlIVSlcawtFy835hL7M+f6jfd4TkIxH790R6dTwd6ACXO0pgrC3kL39JTreB41+En11 CoKPgIAsn3YMiyTD6A//SSUhpPPc1LlvqadTbMhyXf7T2p9O+E4o2VN2XYN6GL9FdwvRyWjN8o0l Jg1E5g2M1Xvy4xdXbkPLnkHOPGZSNm+wvIaQOKyTecOw+C2FTJtmDGyvjhkpIW2pqhlUN1KqhxgI rc6b7KEdy/2aVDNso1MrIsPoAE2Eee/JqkPc4jkViZjivLBvBnAwP0TWei4fSnd8qPcYrynOBI/9 h0VqyJQ2T3FmtCdbVd8A2QZPjDh9siOsX81qyaK8YvcJ8WoohwYxXNVYhb8Nn6VujTeTxYDrlR9o 6ZHpWWCwFeb4R4sqWIXHcdbdb/hgsxKxD6mLIaw5BMeN9xOF36ZC3fOSZg4rJiYhLZdQZMK9TNJQ GgPtFrZ8WNx2MUnpPYH5qjPlM0xeZBxCcVeP+Vqva6o5LjzE3Laz6Re/fNbjhIuvazKOgaJFBHd3 xsPUY5xayDXck6JTmvsNd9zTE9Rt60u848JcNmrLuum/YCIKqH3lCgXgQ3wzp5nMe5I9ohJNBOCr yL/jhK2PUwZJtuzZ+oPupZsgpA9cUvyt0Cw2xBAZ4fvUkUbM2iB/9b3NSvcHJ2qQT4ooiwAlG1BA OaRD3U0cF5aFhheyzfpJqfH9wTBOrn7+tUE/sBQi0rPsf7nmdebrNBTS+N56EYQ5ViCOneT73E0y zn0SCJRZK8Di0dyuJ0uKJhIxREY86RUenvs1sK2uPbUfkVw2QE0JBXBG7QqKcY7RjtD3EezMNiBc weRMEiEO3zSutltd2Y9rIFqXVaeSdnY2B+sQw+fLmvby0NQ1hIanNMCg+U2B7Lizc8eOq/MF9hWk 5nEF0pIjT2q0XRQFc4PQtxLGdiDMgEFHwOXNV9QJ/6DgUYuCz4PMc8z4XYTFtOB081akPime6NOm w0R90ogFV9vUVeEoAq+JpKnBRQ9OFi0IaQF+6GiLg8ss/5GcJbURAp5CgbQHJV+HOrKSeKWpldIV da3VEAVNAvLgD8oPJ345OfF2V1PcP+LcyhXcPSNo8/+GxAdlp2uzmgII1iYlPidVHYGuon3SdBYC Y1jec3b+ZWM8pbZAksiVQLWJmPfcNdaaY2Lq+NERcvQtEZqVxquiH0Jbv59wVEeRu9sw1pUX3+fk b4WV6OP5g11mUsR1D8AE2fD+KYzzCGJJWwD37oE9V3a+8fSEZp/24Oew1kWuUDbYlqSVYdxo5NYR Brvt7evrrih1MSCsj5qQ+nSMn/zQp85T3wXNQN0g3ueXIEqWDbsI2psEndoSCPJ1C2zq6m5hbeXL 26b0YHdSHGQShTfXA3MLoOfikQFCEplNb1utBDRqEoW7/f22QIJHqEb3DnzDI5BI+m80aNqjVCxm GkQ3VkRAiQKHBYRYp0BWg+JWYxDBnaZTHvMHSzccceGNvq1BuwUeIfI4z16p0xxVgLIKB5p9XD3s gaustd4Rl2wiVQExg6QeAs9DdutmTucYo6FqVHIFbK/8D9B+FJ9yaeP6mgCsZsBemV2lFj9eXGC2 W3bnwcs5cHOFaVGATftDHLdmn16li65d34imz9l9zo9BMW0W+4qDx5Pt/J6BbauIVJhfxgc+JZLR A67enGyJ4BFVecQmLT/0YU09hrsHFlloPcaFKOI9QzUleYknNz+Wo8ukkTvbXopfAfZsyZi8ihNd A0SzzB1KiinUu08oYijl/WBGm/RuLod/SabShebs6xsBpT+KzP0wJppIzqBp8Gxx3HTy1jzBaKrt veFM1IzrAE+dJNxgSvxdIhf0wzW7Mv666+I4aTtJ8dKKuzYJbYlwpZmAqGlbyal0Ht5pXTYiUB3e 2gGRXIrxR8VX+ezjwfRBqCtbTJ3M+ADGpw+lE8Z7QZsK0GJbncd2jeztvlx77sALixaT4G6LRn1b av1S7UqLjojI0+tehYG8rSEofgCo7eOBDbiBYn8O5/ucevKGtdSuA9YOHraAPJDFEe6lZxZ8fY4l jkbSKZHX52vPnfXKeseSaHszX7Mn/eStPBvE8Oy/il38KRQvoxygV/yF8UH/pHrASd/aXEKqx86M 8q+PYjw/iFS4B1KKmU2y3VrJ+D7etALeb4i26NskCayorYPYX2d2XgWosqyFUulkyAAawN3tzddF 065j9EzcFNCmJv7s5r6Y/zgulGBQTIal1vud55Qv0zFtVZhU9OlegZ6L4aeGZXAh4xwDuZ/wfi+6 iAmGXDTviffJJqOoLIC6vly9ySkjhAGqxJ2OERGVYsk3q0Ud5zmhHq8thgMspwI1/Zr5nenUW+LC NrUPA713okeQe08sJRjO4tLs276yuvya04tQ4bzbaqtJc0JvMSzjnkqDNvx1R4fXxpCUBt1g3r0k ZNu48219MGLHNQsLXx4Dp+PllcWfxq/myHoOrAHVt7pqJgZH55VXtbA5x6WwDu3svwlUBQgWH31d EoABZP1PnYL7lZOi1jCp+0S1MiPGW6gqcC3Uo3NyjumA9031ibi1OzjExj0gb/SiIRJrPbVMVL6x /e23WbkWXiN1N+eOc+F57mZ/XzyCg4oxCQe2expkCK0y2BZckJe60BVirsbMDVf8k/sYXOH45ZIV hVBTJ70RJZHuHmDwcXQLY1NQb/aKFINUCt5SxkgAcCrCHh1EphLAtcAVdNzSLIJQMGoKi8WL7gFn 62QAdQQJ2Ixh0CULpPr9DHKH0lZlo3vG0v5Sn71CDAiOzGuPSKVFTtQ8KAi/v4XKoVwgqRe2Hlbh 5Nj3JOnzPvA3fk6JjfM5N1+iQG3iEpdrsl9zzhLcbAzNZ6svPkyT73Muxa6eGHiE0kfX8xRRziRA nMLgtzXpWH3xeCW3SfTqtY/0qv535gGn4k9gztQv93lzbCjiu1YHVTvGea5d3Adb2YVcd/J849bQ DjaVhXmB/KVOL2Q2uj8KO09N2rEFL5KlV7WuriCXS5YduK+bV0KezH9T4oIMz41m4UOB783Jg0WC TeWb8H0xJCBJb8BajVo6f2TcaIpf5M9rdLmXXGO/aZmDG5h5+doS0zpE6D2tMLQB7QgU1GDDSKqh RP4pCiiSBqx0wUGpSj1dCCu4nCd0LPosS5a+1VV+HD+uP19AJH4gb3M7+Jkl2fYBiIlA1fLxFW4T z5V+DHtyvQm3qSNwoidahSgcY9pWvdaH4YuNeAgY3knzLkb415ZKWEkqaVk1dYUIfITJfQ4NhDA3 1zbXaQl06hTfCcCvQk/f62eqNiBvz/zHGG6PXrVDfSYbJhgdvpTh8twnqNLfZjiePgFPXBt991k4 vGvKM1if3H9QHUjhmvjyFs4M3TiHtHqj5IQKSztfJeHThBC99juU1fTkRcpKj76dY2Dzgo2x+rSX XiNr8di38aDb+iJL0bpRUE0dcnbWZzXLxR7gIRRQIaB5hDvSOPGhcpxt3htG+gifPKdJuW3oCrDH G3EJkF4UBLpjqpqPmKxsJI6/x/I9iykMRKzZcJvpnA652GnuQmtMU/SGWKwPGBreYL4ALKMWF/7K KkNtnF6LJgXalh34toO4aeBxfm2FoUM1oZyyDb8LRUN5crOaRLTTsR6HC700VYJAASNdfHCZuRH0 9esF+IN1tjrjzW5b/MKPW9Jh7K9U32clVtvvnTtnZv+xTM5C5bmPzdWpC6b2MKssDWoWVql3TmpO Ocb1RYn6nq7iDJBY9/U+S+nchoNlWgczw5XTXAXzYQQIJQcZs97Gi7tEvUxAbjKd1BE23PcINcvu Y2oS1Cp3ceQgW4kBlXpwbD0GV7oXV3THrJQ9YUaaZlEVK0X5QFOLvfcB5EIuzWGI2H6vVFfCeC9z 4C/roDjllqF7B5GVkRE9ebK8CxBfhFHJ3TFsVJuU1L93kCvEhNgvr7iV5+4mh6JFtETm7yfJqOTm +8tdERqmL8xpeNzaGak8xIzTLoPGDMDcUSg5mZHaKsJm2xsztJq+lMqWF05kzYsSWgfJhhf1bS6F HUbA7RMiTpePTpU0nMaFY/7iselvnnjrkdJPAPp0kdcv7MOLhUPrkDKPCYbTZW3IryZ1LfV9+XgA x+pdVhUFjdqWMmkxzxUA6BEXV6dgWv+/1RQ/NYOKbKfYvG6eakKt01CHQ+I7oInhJ1Wla0Dgrd8c d9y5+grL4pRaVq21qBtftzkDmUmVFLZC22uCkCnvmLTQTBNZMPNm/tmDDrbL8Nu25e8KImrcXTsR UQWatK7VsrMxYFjSMINMlrui6Zei0UiInsJiuChJfC+6Q2H7pgUIYOqsg1SD2klJZ4kv1QrIASyD 3b6ABvQmiDBU0iMYLJbOxxoAAEz8lbvRS4HN/Ue8ixLwbuLveHY5TpvAd1hfMEdzlC8YPWe4jjuF u3DTpQqcCX/TPE33x+mHV9aCt1apKrA4rEKv8c+WDB5HgynBZmTrVjN3SsVAVgLLgNDY0pMNJ01d GlysT2ru2nTklUHsZfCmI13DieJ7k4jgkS9ZI73Gl781xe4u/yf89nIAYWrUDxgQAIVJikOxdEJW 1ZBAUXzxcZkS1oz82LIKt8/ZpigPyUyjMm3jNL8skj10MjyCni0ettR+o91uCslPzkSEE3U6Y0E9 fAzyKHgLnxEIgCOXlmTXs+IrJagAyodUCf1fpgFZE0U1M7RiRMObeA4GjjdTviW3a1KH3+7mxE66 QLVi/WW3VaA2QjjK441VnPhnRfYoyBa+k87NzmUAKy5zrEY7jMhLVuN6IEf2R1VSXbHQFF9kcZXe hKunwR5pBIjxx9OaKX4lscHPOxC+n8JrTf0/Y12/XHYv7hMiPCb2dYd98+zzmtEZn1oxXpSF4Oli 9ScsF8fZ13jbBMo5z+uQ99l3dXlEiVK/nxjuZBG2Ljzm6BZD+Qbw3r1nrtiggVLX5KSCbl/NYmJX GmkG4HuCk6r9mxc60fEI4hYlCt9CDokiPTRKx9v7XUrRh9kiSqg2psru4KUhNlyyL0NMc9Ul9Umd D9Q2pAFcfvpeaKHM5BpLHocH5z9QVoM6e+OJE5l8fr0W3rSBBx1lgVvLt+Fzjyl3cNxlSvzZB0iW 7gh+vU3dK+FEZXTc4nUrPQ0EMPX6NCk/yHgVNREy7Jfw4xjAnKfh5UQdaOG+N4o7ZFRSqfYYMUik WEBpFMXoEYMcaYzJo3bWkPMTh3PDRJ6F0Yx6N0ggkGKpqSQt4w3A2Ps7umO6iwGANxfkP/IOne6M Uvqx57CFHvFOJL0vSMJ2eSz/IqH845fsbzGm+PkVD7y9PyGvdI+XDe8OWNYnPRP1EQYXyDbRfKv7 SoohKnMSqhm0jb5tR3+09DnH36WnZp266RC7Gvkv99Gpl8RtybPRDjuC1rsaSWoxUdDc7PL2PHx6 sQzZfmG2Ck1zUK4oLyZuiNwTS9uwTJ4lXrVxK9n6i/7p32ex8nAjOlk2QfxMIaxWi4ZSyrZCJ4Ys kIrXimub1JCMwwxRpKNEe05ylVZHdNpR7YlUuI/vXMrDJrXg9xWCJ98XqXrC50otBk+hUM81wAJt W+/NJQJX4uvHr8rWj+uKzlsDUzB2Zi0c8M3sMCd7EKdKr/WL4Lm7I1mmUeFzkbTX2tv0C3DQN6ke Y1y4lDlQR6fRhgI89K6wqFHsuXSDH/DfxBy2ECeQvI+q6U0l+I1Lg7Kjnz7Ga0yWEcDfMNMztsOM ze7bG9sXhMnJWx1TUNib7ufaPzEBXy8PuQV8mtlMsGc4GgGeMBgW5S4rDMruNBmIILC/+hgeoBki L9cJ0mdB4gHQiP+rM/uNaNNAUwEZnBcC1UzKtFOzQaL7eML2s/5XP8+c7ZgzhJTs8Qk0OgesN0I8 CoW4nfXhGS/8A2/XCszw9Z7W4ZSUwHDD6jvKzb1QUzIHwKgINiQqWJ8skhGxVRIfO5PgIT+8h8VS qE+BRJKbUwZPmxB+Jq2Tf1pssLT781Womx0xXj8nX1ygNyKoCinl+wxxeziwNz1QMW7sGT3rmxsw zAbOhQJ4jZrMjUAVLRd77ldjOw3YaVE1s5z1PffarY9ce1ELS2oyGGuhCMq8CkTl7bSWJe/GEqRz M7kzCNDzp7WRfDsEJfYwYhk2gMO6E4LseB10Mcf8Wm5SoDspJtl8/98OSZ8pV/tz4RU+uTV/yz1b HMdvFeM4ioo2sklxwbLNlIO2DYwQ72eZStYKFZ5fSholCi4hmsmm9Typw4a/LIOXRLlvEzjeH0bA 9NFdOdk0ep7fae74QjHcX8JDFbAnZioOHTQjdk8Ow/IeU/uFyY9GLZl3+ZPYD9x2jDyCpFLNPNca O9UQFAqMSM9dx3Kgsx/jD4tCKUQF02Zt6krxMf2aoPkbDyK27C6elBMHxExeJEQLLj/aN0QY8l3e k8Pe3RToTFSLjekQvno0voN5bC99iBbfKEADKWswcnVwRGZoC5CDizdCnV0VrxrRBWE5I6outaro oCIQHzpRJ+wNljxFTJSkY8E0XMQt0wfOrWylZtGTRt1Z9A18HdN+DKYcTH6+UgIx6uCElRfU8jcf jXu0p0U6lEFO6u58GILshX1jPB60hlpP54HIY3aXmPeDB4/MKzaxCqCeNgsplLMl+ijtKoyegmCY ojCIwB6ESXg7e1kA/tC9kDAEBjgKmnATI20NtoohIKNqfwpLUsbj55OHEBicCluKm7Sl8L1krFz5 dPnoyvKEmWNU2Q5L/ZwnJhm8aNSYwtrUDWzdFvTbvoKtcc4DNs+LSNfVvmfCIshiawUyoUD/ekHb r/WKDIzrCeOWa7FSclNVYzzq8DvEkJrEjv9XfpYLX+Fc18UDHkndu71OF+tXRPadxYFlJmkRaR8D YdyjpF1akzRIINSyFisTvemoWAIsAJmV4rnUkttmVODnUnc0PVc8UpIW8h6fwIju+u+qdDSASADU +u8F1UXbp9ZWgdoODIkneHZgP48kKxxsG05zTjgh2UFy+j5AGnExRJdxpM7+NOEN2NGnkK9ec1jF ftUmsxxxPmseeZne0Tk/Ss8+N0183eJ22kvpcksYtREvM4fwj73VogbiiOisWqXPvfQnL9H8AEh/ okj3v0Ll4m4zDoS8YRrur9HiR0C8cETBu+fr3HUuwastTLzZEaegv4/NONybpJVxs31obIxgiIFD rFfs0jFrE3hezk2jyz7DJJmXkRuKPJw1lad7KbITHPj4DvtvQqZuPx7laC0bccdBYU6azRR17CMp EVYZcs5ToeoesK6MtYKP9X/SZ5qvbMWmHvCFU99MMnQSDlw/lt+x8qUDeKypdMqfq6NSVB1vX+4o SvuiB757BnOGeNVl+C91qfkaLlLslNpYk4/sUwJiAyBTgXyu+DgAs469SwdS/j7w3j9CXIP7XdnE yTQhtytvSEFZo7wykoJx4TCB42xxdVq/zaPdOgcmu1uvvWJe2dVlOsazz1xuKVX6dJDlvmWJ194z vT3jisRuslBkrxRT1kWBogNTsB9DiEVrNRCMI1txoWYHnRFlbA8ndL3yo5hRiOvU2wE47gIXdLNJ tE9aTTHTpLFMJTD1nX3JOuLwlOSJwDxtp8YhcveKm/U8F/XjOt1s4WVrkiIQhem7EKYRupxvU6lB Sp0cZo2FhsE7lAX6yIlAv9HHPFEOG8ukV357k3jyNaS8ilqxCGDCbS43McA6R/WpRqcL9HVWjAWo vlctIzliHqdlouNmnoUSFN3/dsnzpUfnSbHFxd2fE/eWS1Hr9QNiqkJG0gOtUvHYV7MRRtGzZQV+ ZVJsWHKUEthMJdNAZLVZF1OJlGO8zJos203gtjUHOSg2036MsQWIISrqtMQVkSVvv4gtqWg/4UzX EGVXWwTWni5v0E5+KiEIUQxWse1kLox76o/35c/b6d+jA7hCRSfLjaiUD1vPFArJxZjwpQoVp0gV 5saytmIZXeMs0grf06/hGV4NtP+stCPRQ51l9aGXzOVyddW9xsFrzb+DV7QXD6iyvOnFeDNqvJAc J9FrpVPjgTzreTXL+PLrninNOjEQplzGC+2d584hgak2NYSaStuk1twpTE43S1O5I7k6vZSTkKUV UzgDG3Eino8DUvkS4gb0/lUB90b7WzaNHSlfcRBjYKRNYbn4y4H+SmAoBNny3uQQ8/zXfJpjQ8a8 eR66FjrKwP7QlHCXfjz6AuBgBCNB8Eagr58T4FlX5tckHYX8u9e0rs7Lh7eHSC3plkv3YP6dUhV6 HCeDtPF3RNim0fq+pT0pSrejxpPvY1X8ClPaRX2VkUTiIAL603WdTDDpqxJB3e+stO2s7sFqbtrd Ei0t7kLm52v47DXR27FUrmEFkzRucs7B9yY9U8G8Cnyapohu30cFs2opw4D9aKDhMRsf6uHTkHju 6YLCQG5cBl6eTMwbsKG5PgFGhsnfph6BUZgnuKnaXZrqtQefOxIwZEuiYueTDfuw64EOl+dFANKi bbZC3KwuXoREgNQao6WI97iMwfsy+3yR+Do1CcYSK1FeGMhvzcX5zkNnHPHUUZW3yWR1xbAMP+C8 Fsz8Q67Oak8q1KUsTuc8D652Xgigz0Xf36wUIhaAbQlpVab7tnNHEYhYH76A0d7Cu8d+jRg7A7zm cJjsFpZ0SmpPGbUPLlN/LBK6xP/cmcVKByIIqSQawSr1S7C8EYp5u67JSVF+QiiJwHNH+O6K9RBW AJTRdK251cx7zPx6Z74KrLN6Ww04H680xdQrKjOEHpYX/0fG7dwaXcG9uy/dD03BgOiwf2+Hg4+x XDOUK/+5YRGoU7cwupd3ePMsIhGvLJtehoj59vhDb3ac/nXfgLLqsOfOQDc2OGMqpmqp9H7BMJRY +kZ3CISxhm9yiFWcxRZBHjpTq5ZSQ6DMkQyxGA/fyYKtawMBgqrJAH8mB1gP7zg1LCjC1PQ1QN2O VsheKsp+h+l8+514J6tsXRcCWa5Tsz2IqJDncvhHvdr/kzBXhgY4kZj6kCRkTaJGbzgpIJ9nOlLp iNUHHAs/zFNWrVnLAfkWkhkL3Fr8ZTAC+X37zbT6ommsqEo3k+73Q4+ts6GoNk1QFTAEtjrMMK+Z pQzep3V4Y++eRS1/6bwyXkAmqgXKjvVDUXLRMNjmE0MY2IlhwHYv+A4z9fsp299GKgErcEfysS+i LcvN8b77XIp5+mEdDFAqre6zsOlrUp4omDXX7F0sBrMPBc+1sDkpbbgaFSkyiBg417M/vjcFnrSR MTD8GKYolpZJOXHh148q/wQXGZlkVLbefh73uYgm+rvnVhqmYfFZloivtKOqzRdAz2bI3zGJfUq/ MVDgspr92UtkZKXaVcR9T6s7Vak4H/IC+UorIT8c7Grl8rkG2ZLVOSn3fzp9S6cXrjFpaV5w5zwl dU04jEbE7RrpcfsmjwVD7hq/nSLbByWhpVgrPuuF91vi/x57DYgTLtl0A1GiAHwau2siny1/Qw9d jEru3JtU2KgKn4XQ9/0hLguM7EII+IGj3eIL7pT6j4TwSQCPvrLWQEv8UNqSQA8a8HOdvKHMAe6i 9wQgGzqk9Mb+8Zt2iJJospo8Pi147VGzkeHRFidkuueSIO+qZvoAKqzCJSEG8dbDymrJYcKK/nDM 5X4JFqWFf32I97q1lws72MB0s4iqDd6gvBzR623CvAhVdYytmqA87n0aa2HHIs5K1HUtxjXQY3M9 k7osIg/sHTXoqpyT2GqFi6iB6XFlIL0r2IFJJPq+DeksAt3MiE8CprWVpszw0lP+8zjvkw41PnG0 RXsb2CKSOqjJYZps9Z6rYxXW230Sc2vhlGZ+zXg8yueUwJzs3r2lMrvCVWu2c4sJ8ShvDCWdAlJK nVHgRKswS0k2aLOhWeo1NA1iFK+NoPk5ca6stRGs5HFEOrNMHNCH5txgVoBr/EnRYmT18c6G8/04 RmQIqMOUkEwHXG1OC2rPK7LJXnhrmx/NVBa9skpFYef+HjNFS/rwngzoNR7uGlA9KqbmTQCOROPv 27G40PQ2RfXH9FwTt0Pq010Sr5wUHd8xN25b7Dd9sYhsccpChwJSLQlDAM1HnQSkVeleHR3xh56d XrT1pJInx2uCWJDVsp0Fnigdc5H9+HlOTpPglFglG5/Rp3Rvd1IRs5flJmTgvsIPzlYwBUjHEpVV VtWGDVAFYN0UHoVKCx2dd5O90bIHaZ1lpEdovl8cnUgNTBJigH4rvF2ku6aDAIFb4B6LSROyy8Ks SqUWR4mDWTXLGpapYsop6CL1imXCNhH7yj1YMfOHmOhQICKm6GF2bs6XOXeyYPVu3a4t/kNyztpZ TD7JDf8UJvFjX3uY1vmPihEWpAkIs172DmRPF9xGGiTsiVmVZ0edHXxSZqbYkR/c7/lSg4jGNxOH C0KJSbVUQqLsRM8BjwD1cmo/re2pa+uymmtNv3oxW2is8Qe7sYQV7rQh5rg7Qg6/4pO8on0UKa6+ YeLYeH3IURSOaPD4e9dk5Gd6r9cEObeQ8NxI/bnimjnWurv4M9CPiIauuhhAGg1CjoJfuj3gS7Pv NpuaDx19mEIxzSIOiT2m0sAX//usgADxYkNvjj8V4EpwmFqhQr6JSkgs+h17FfvoyOVp3gGsAzwe VR2fEkLYCMMxxs7PCXvgF9yctu6YPUsnIKfbygjVo2J6ZZWm0hlytfVbTwpL0iPV6XWsplC8Vuix TXVmofvlGp+5LfZ/+Y4Lz+9BPkisw4Nqfk7vzU6pw1aN9fWOQ8I6wp4xWQP4RJqj9slfmzDyAFyl pXOCYJtcfRKwSoUq9qKfI4FcRDVdb/ExLLaVC4hckjMmaq8xio0JcM7cDCg5kfUAaCszmLFqxxjQ gkioyPEfqKf7iH5+QcOYBxHp6g0MMZAJ8CZaoBPtFw89S8p2FK4nK3kv/Gonj3XMUUqUmYh9X6ju gKBZIpVRJ0YMnch2HEvybNCwgaZaLWgG03ToT9mHTOFxoAmX7qCOnRSP/1ogb9uWKylbvTUsU1wZ LF4Tu0u+CX38llvzZpjL+WAzUQfDHStRcz5ItHsbAN2llwdBc0+6V/okJuObx5e/DF8jt5XsFqOM Tn9aGrsB/EndrPC0chcs39oCsgrXeUE4Ls1CH0Mq0LvNcX/A3vWa12ruz8jpi4AAU61EFoTtBlw6 iGlDqLq4E7mgiStR13hi26JMKlKoq0ecK/3w5FRos12XLUxMGsUuTwcTuRXi5YIK5zwGU7oP6uNk +5N6UyU7ylfThdfQaEmsWlpyeCyoWs24YyQsCwJx3Xy6BLsFVpr+4bQxmqlf3M20cpeSyFyB0s0Z A8zI1qp2VNk5CRnuj7L441ubehDwgoFN01AJw9md7dcHHQNlVk2VRicwGOPV5gD/fDE6hv1u9Hm3 ujH9E4tPWW9fnvTGcLC5850B+b8zf2sl48lO8f5cTD8317K/z0RzefWGNBKrP1J+CMLySiooBA0f vijrSuH2gSrx8rvxduoPS2Nes4dRStdT5HZJ1O6FCEFwCXJLYhLLMmaXl2tvWoG2dF4nZLTKwUel HLbAxAH8H+RzyrRfJB3JTuc6V4+S510AmXhdI/3WKIz8FbFFJvxs9cp3MMklw4ZmxJo3K5rNoToX 2LbfaTt0ozlECahejBdjF1+cS7UYEZplRBSZx0mqhGUWOrs3O2LXC/vDwI8iYAryHrw9GD4BLwWX 1UYqAyT8Sg1wuNq1PrWtzLKpNlC9ZSZ14FWXuDg7P3PtL1Bnr7FtxpYDIL9HF8HGRv0b2VLS2rE1 Krhqe52GTwEwnJQc5oXugP5FdAKCDWU7q7Dof7Y9LFp1aZvOnKdEs2NVhGUARYyzMKmkRpvAsj+C /E16/O0JbmxVIp3iPLtpAHc2fnpDmv2jn6+EJFCtsXX/k1Yj50qTrOBBZ6YcgiYe/lwnMSCr0GoM dt4W/ffnsTnKuXbYobSxZ9rNJpIpn/aEcZYdmicps5BJW3rXuVYlAKigJ4XXjKj6qIW8myliTl0p IgJJb3YG62FMoTwhvNKzztKHqbB042xHMU7boLOYJUuWgldWzmAt5/nUgg7hGOLddKsZuVEEtCja oye+CSb06C8kD/Mauk36xjUTKDdHQeDlu23LPQecw0+zCcuh0803XiTxOrBIXDmqtxX6fZ2vz4y0 pZ4q+p1GdHFPYVd71aGoTnEcECx6c34HHKh2uXsTDGeI+PaSP5xzbGSl/6nj/8WwaXS1KT3OOGun GvzOuHo9FX7o60ZotKQm+jUWw3vWSjBxsUvaZs7gWH9QHgZzvs2F5bUrkRG5Uy5HED5mKVMwoo2d 3WTHHuDNolCrfPT+XNK2p8v2Mden0MdCUgOgACccQ0e5Q7QVhz0MEjO3m45CYmaS38B7yb6HK9HJ sjdq6clwdkzgBjFy5uAUihZkvy3TcSTsIKTM+Wzn+wcRSEeuUTNTXp1IdPj/YlEeeqZUdUhZaDaJ UgMXNl4MM4P/CK44IYFmW4yY7Mjjp44YZm6sx7aPmxRZODRfplcAHLHy94dkqJkOT9wSnCEltQ/6 yaxVlS7rf1EYvzLf/TOBVJokPlaAzyMv43e96bXq+u0kn8anlSQ0g38/EAG9FN3QfO2c48HLp3gI KUTXDLPst1fjjmJoSvtvzNlT8WZM35k1yWZ7CSxwTcFPmBG78YuIL5q17cIsdcssPuLAiCqWEr05 PgrZnUFfKEa1LDzFbu/emQ0dRMi+FljoizqWhOprILhxTsLnc87Gpe3iusRp/Tos3O2ws3tG8aVP 7/0kL50qU0ol1jCXWzJIXo0wad6uatknnXMLcwP/q/0mU3sh9H58j9Af2Olfocjq0sHseM548Lsi aWfRq1arAt6C2DD1nwTDfnat1hBIdoZyTr09DdvOLFnYKg8fZhVwvxtRPyEBV+nAnlqYWHu0E0x2 QKh79o5gsKza/Om5HKxXhegGcGwceXWF9cy6QdTGm8xPENzqz8FlD344zdpVmEA1be1gL0pUIOoA mbV7QgvielcirpaYWdmkbMUs/0WPlmq08bOUb6pL73XZUHaVAzADo6ijxA7aRvR3+nefc0WR9Xa9 OKO9FQAXaESYWlXxLdF6pkLqXt0xfe/OW8ZnuZc6ieTX9/pQhD481eqKE4GVuVqG1BZhxbqOpkPB E4CzVPsu+/BPucHE+2ntY+ER5w/x3p9Ic/yLbHN7r7nkx31h8q0d8PzLQihjYg7qENYof13aYs+G wKc1xax70ADWbkkOdQIvivTAiXVWJJOJZH/zK5S3ajgaCsMuBfYn4guqL+h+tsG1/WdG5Y/PeTsX JujcjVgGR+Wk1ZbaUCF5eXibfZ654OcDuh2WKFvnCVJ4o8Qyo4ZliXX4vzvsfnxFF7ePRzsABgAX RqBE9FumpQDQIYwg2EcRCEphEykmsc0wcfVCTr+EY8k+niqCRSmerlfsfC+417gB0/3VqOQo8Gsp FZR/6Te+FXWsrBTDqdHWgxXbN/zysSnvPdEitKtkfcSyijTpQ1EsGB5VW46vm6CGMJE6jpefxwEb CZh/AWcy1BXeuePjwHXWVWx2YIUJkNaowuH8b61NjVaPYccf1DPY2z7U3tSKuLq6anuZBrl4xv2H S1FXVbleKHeSklgYzNJu0IxvFEXPKFyXK4BYy4UD4JC/A66kFeCvVkQ8AtBQli1JABmrBJppBWbd A0g+GOWeu18WdGUKK70dOoQ/+7wkK9RalL753C9NIeaYT5VAm+Up3fJQnyNhQQa1cNEt77VRn0/n fqCot8SjXKFN8KKlG9ngx/Q+4OU+TT2enLuh0trV3tD3H0vt3ux/1RPSvB84xA0OVgBXr9LFfQwI hA+1AI3Tgs7zYfl+vMwnnQnYwoLUSq0mUcn5Alp+L3nDi3TQdCcz2p5eYlKzI5bmoZKk5MThJjEZ pXEtUz7hWvXhR0n1EGQNQGkkwZMFsjT8bvvIBi9Rjqg/ANx9zuL1ST6oPcPoh+OUaWmP08Kr1mlg 6sW7lPCgrH3AJfqbGaod66z2yN14FzS2QFc+Kv1tT8A/HuRQanHMAHR0zC7aiBl+oyOh6yKc844k y2ezalOwOpw7fLdRDl3lcs13DYKVq1TTuHr26I8c/Z/M1DWW15Cqw72RCbhekc54dPC+jt9RPwQO 44F5s/p/4aPlZYuoLgw0yg1gjplQ6dnvrOtoAz1ZSoEziBVxQbZK4hfKd5LxIliINbZLCze+U2iR LBGPaSrKWYxnH1xwemNZAu/Edxm0M+oTzy38dVQhgdIy4gdtzCkpyVyCN63EzYVD4iJp1AmFiYly s9PPa4y0HHXXtCqtThFOh1ktjAqmEg0agbjsQYsZAasxAhrKexuKEu9krcNt9oKL40Ne5I5wsbrR HiNt+Zz3U2e0trliP28bDBviC658hR5ptF4lr/7YPkdNTE4McA4r1uFDYYoYY4k1WpIRUJ3J9uvJ 8be4xKXptpuB2mKaoXV6wPzm8pWN1fOUVf1rqQKEN6qeTGs2VXaDM+U38p4kcVQM0qbWzYq0qHSE 100w3QVEApia4eNXP2PogjhyJ41tgklbHhk0qwiS49MjOu0jseVh3tG31l5jxdsdrM/pSRDsxTIR Nia8/WDr9MkdHbtRvvxMHNCIRfpb7+s3wA8U7c3JtgsXcyxFnMXqNNpeOQRl6UjJKIy0HPEKtwtO 1bKNX61FrR+r2VQzlqIZb0IHdPWRNk0Kmur4FkiHWsQU92xoPSApofVfVS4RAxNmzb4qBJemcbFc kFW1ZTcfz2ltlQbQo9soRzSQegbkIf7TBZ4UqJzozA5jLh5PRYMD8bfBxd/13LTr+gi5N9MdLjd/ vvz+fQQDe7bfZJUo1xoWl8dWZcBCSKJrsG7GCbJbX/e0LT2SlBImdn7fTCWCWRLdeYlS51ye1JEL 4u0nLJVh5Y9XVtBVgEIxRF+p5vpxAlX6EpAvZ+m3UyN3L3ZmMNmbQoNU+wjn0+DOMe5yro1LZ2sm sSdLysj5qZrXNxU84ixxmv7cIGARgzdg0Fa6wI3PKWAUq8eo9lf6rtpkd0oGdGxzpH8g2D9PQEsd CfL+CZkEBcCw8djACqIoZ7+tEdRCfFyL5ujOmdS5vPXGdQTDIBJD3TOetq2WUJTxpLPlarzRjBD7 rG1Am/PeiD+i+y9/WevE6z7CtTtkrK5mzLUpd3PgKsSppTWBnxKJpr9Z3GcV5+eWIBaCToDJa6HB DGqdQo+JMwjOji4D+iJzKs8CJm8OA/VjJ/Z1HjB1Dirk9bT0yDg8LQStSYlRc2UvdwL9m1JH5IUi C5un2Rjj/+K9PD1CZaDOVw6AeztcRsvqeW/rUUCA1ottnZ827SU8Y7H4gypxVlUb6Vwd9fyIINE9 R/RBLvPrJ+TtF88MUdlZZKbJE2wipzX3sau6wdzkxpQ5QgYVMGhTecLuZnQRo5hAnqDTFvWhkGN2 Q5HqAKntau9sHnevyP4g2JIn062JqsXM4w6RU+L/2uR4Qs8ldjS9vdtVqEtLR3fnoukUEts6e4es LzL+IXpD8suyzdPm7z0rP2ABJHTyNZukBKztMXEF5vG5d16eTyiEixv54Pv6pLyFtJbwIHWzoM41 fdEttarNPaXETsU4bFtt9QzzCDnlQmU5N8tSvmOE5o4HdKihn1K3DwuoHZnH1RF5tY6IlE249Q4W 6lpUzOKx4SWxThOF41c3nC9o7Rf7EqZ924F0v4Xm3WOc4fwRCyV1JhM+k1C9ifSO/nb0ELaPeNNj fzm6fsQf4OWIzCWj2e5IAgEWrxp6aGtGVxhzOwUslgaYwE9bj9iyJFAaSvFgCvLWatmERne5tL5d BhRzgpz4ZJbydw5Nn5OHzR1yfH0NOd9s4WrUj5dr0MwXSUlNeZdN1Qbtmd/B8lEYxr1qOKRUlybN R+ilIMpZakoy62i57qahPN4VGfyt5KrBfdUMc7dRpeqT4x8bPNFYQN2WYgDXvj4c+q8q5Tp7Xpmz FpBuDBd+ljCaDn1/MrVmumU9IjHkbJBRJvjyExyXV2d7ncOGbSfRX93mw5gd1em4w/Lw3ZzOaFVM qlafEVOvx7+t72whef0BTkYxWGWEZBCtPmXgOnOglcQ+ZoS46SuT9hfxJGBoZi1IARk90t4+rsfC FsmjQWLimZrhtxFyghsPLi2fJ+2fNa8LvAZ/Yl2qYwu3myYXu1UNknmKn0JM5w9T6r5tqf+xW6ss cDPI9QcQLLD4YxvrjmVvNyRiuK3cadSl+etsAk1mhESH7L11aTYcyD+pIHVh8vK/No5liFRmuA4G 78Z9EOm97GKawMokGExjQJRCg1DhDzbhq0lSLPEzvcfNTa8XlbB7gj35rOFxXoMs9JNqAlKMl/JA Y2GjCe1uzXjjFYKR5KaekrJDA+i+A0tqRId41qUAmSYM50loDTGd+ADZ+OdsMxOwSWOvde9A+dWu nkM3sVQ8PJ2JKBNRZbdbKeVjIK6Z4i3BdGPCgwKwSoCRdhiCJE4n0oPg6rei1XAhk86pO8OL0s33 8KRIzSx2xq9c3ikqLZEuGTs2wf7tXRSHuhFuRr5v+mTz4aSeCRzuGH8M4cmaCVwlwyI6IfkeJ9Lm ew5JX/mUP2oZtQszxxV9f0JeI2VakBGY2LrzSKYQlPtsgmf88bLIi1VighmGl136FD+u61+LCOjY /3N91vchLf9+m05F489Nl3gBZNgWSDKgjgO7UJ2K6DNnn9wwlxKYDspZx9BSWayiOrCLSKVu3n57 CX/TIpgyQo6mTG1J4slG1i0lZP50EfBEiMZQznGEaSEEAkyXzuf8z7S6KmVDAvE95W3+lFYrA9no 9apaPn7dvCLeGcMHaNXQnAs2bdV32n88xoW+alia7DfjFQGHuPzVS7ua8DT03HLuFNRW4xErBPqv Ye//sULrl77LWlpZSqaYD8WgzhsK6jMsI5CnS9UZP6ZfVNmM+uIQYQ/d3tmdokOmZTWAme25rybH GDiu9LVavyo8tABaP2/AZkX/3PmjG3kQr3bHtQpPwUJxW4mtb8LHVBQGggY7cETCY84NCtDrxNzP Y0NpoaxYGWZeuopMzcqSU+wb+9UOjXF8gLPpKNTOzZEe7WDzmVNnzOo79nEsvJgxhKsD4EKDT4U+ llaHKV8XxdIn0467Uj7JgJ3foLPWa+5PQqgSM98kyoJwg1OKacHNaMYyHnZj8n1lwLEgql3a/FG0 4TD8XKZWzEvLoemRdnfvp0TNcHA0vR6dq4qh4LRlHs4A7ZZ5kuK9MCM6hpTflAZC8oRuqLj6iU0b tI20aeVxAleGYwt9Vc5OmjzOWHt3JTRE8lzyrjRb4nZ/6gfnT19ZD/cOFH7dRFk6V+Jr6hLyARXZ JOBsN/AK5r0IdOp0FV6B0ke8gcqzaU87W70ml0/eR9n7rjWZBwuCv/p1Ivlg7gsJyXE2Iod5CQLL 9D+rHXMkCAgMYw4CpWDe9tlFb8PFbxsofjiqWOwoxXIH5DZM+W5JGxtid7ZBSjcNMXJqilJSzEcd CeZrGWZqIn1r2aT81zxydH68+0Pm+x9sVfDd4DGK2gFkG4xVq21Tm9haGQ3rrVs2A+5v1M42Ac++ h8TutEWJawGhgsNG2upxwRrMCVDqvTnXYg7K00vIAXioucc6yBemZA02LAsjOiykTKd/L+xfOvsF Us0yKM3Qsn2xhuXG6OtqvpoIJoiw9JWYwVKliNhhoHLcz56MRMLx+2LG/P5pFvStiSetvqRjkgjX xgZFY61x061zPzMduvaSZfODIgSj1qocBr1I16DvRbXV7LpJ+pJf4oqm89TG8n24r04bSlitaa1O FdKl85tfAtbY1QomAAC4pbLap8DNLY43/lbOK8igixqrE2yTe763dgpWAX22+zOsVDAL4P4ssrnI ctZ0X5TFTnU7zdwqKu6on1xt2LzvI0SGmjQC1CIOjkLS045bhFeOxXmmWMjLptpZ0hjXOP6j3BVo JJpCNambGfoIF/GTb8zA+aokG0rwB+wnqYrkW0eqWmn65GHS7mPAVVvXK65GELGzaPhgGJ+db60E iwuXRD0SG+DM2C17nV93Qyv7ajNQ+D7AOqHwHkWMrz/Uj0zQLjesKIF3wae8cdZJC2MSQsPDbtdz EGyqCPlMqwJb7wyPz49Yc8q8cMx5XZYkAYYcJRGmEDU9wZ5jP2tVxxC1TyobhsiU6j+8COhewcHH SqOq8g28aPx+7CAM7Tvw+8xxP2ClX1fY8ZPFRQydqWB+MuKdRF/k6jpyRfTiZ+84nzrO8CD4PVgh ihbtgP9n0vGVyITvzh3DsbO4Y79m3GGQ9PxkNYwPoRZGVkCxYxw4VwGClphxcwhT/e8ImcjmjHYn Cqi5X2E3q7K9B1cfomv+6XXpfs8rOiDaCzw+a9Qa57iK2rZyA8a4a91sBka5IOLQTD9x9eZKtqAd poFAU7rG7zFgTzTwBRC4praq70iOSpNQ76W7z5XHA/u/V0y8x6nAPRzRYh2qiQ18ruY0gUF1ZtYX CpxpNI/w1O1b1m2SxfLIfLsyV07jlOO5c98SZsM36T8mt8GRgI5nHUjGgEdww/5/op/RfLf7+yAA yvLKxNjKYMuHSrskNJjSfetOFDPl232mwhbPzoODNHxeNmWB1/thyP9YtxR7ydBM21hZKrTdb7HU sKqUOwx3CGrR9BYcZCqO+WED3AtjYk3j0vkq1RTywVEDhd9vtr+b6VaSxFthOemaTkvfYcf4Wkmf nVnF0FZL1X3gc8k5I32Kh6YRVm5to9s3AOiOolYJrNW7B06vQh5OdshB4wnxl+G505pimPtjgsgc H3If9Cvy24qLqjn8ZxeY3gi6+kBgos1bxqdWNugjeOZEO5LQ/7W/43oMTspeGHd7CesqC2V+Sc7J iHXkHBWS2QgBjeRh6jogSAm3FSrOFqMkN8lT5SAfmAhBNBHhfU4UQu25b5sib2kIQFtizAue9zUO Vmh2gKJbyfRK5nGk2CVny5lYoqnsDgOvfIeixM0PTZgLPkVwvPeeE7aT/FnF8N8UBk1UjPcbIRfA LtLOYZR/eNo20nke50NlxlJRkQwkx/a8uqpmAU34Ci3PevSO2y9w8EOTfVIdVxD11HE3Cy5VFy9Y KoxbtuHk0U3+CjBPcpR1ecLard2eOjZF3PWgGT3nRhY0cZOv6DNZ2F0wbL3XiuM4L6ox4cV/cLFk A6R7pkZzPDolX7YTtq/46x5l2x7chXmwOMPntvCvooyI1t6MSl7A3DfSe8E69jn/UaB7Yzx0UfgU RRrdyvrrGAlZ/iiSR2+J1W+BYb1v48Wb0NcN4m00N9pApPob6t2JD+pGlgqUYLyE02tl+ewqinm9 REFhsH5oqssoGOepP7GS42zgzEi68H9emulHopZHHyBvptqwllgFOZhlBSxyCUblcXqB3n8AKqz5 /ATprTgUqqeAWM8CJ/RkLEw1OOnJtNa/RvFMKabJ4M+ucdz+CTws4Qpwr63P0iYR522g9knAmMHA rKbzMZLAttDF6o9NeHPq46GHZtzayYmCoiOk15HNQmZzwL7B2PsmUXBZdtwGV8awHuyt6bGv4OWq JYFCQWfVkev3RfvNPTfkQv5Trs40c7Pfmfo0B0jIHJXr3tML9RTAGAEHtYALk2CYieYlT3uyFZbD QciFz6ypIbEtbQJXKmj1BP+SmtvgfTLNarqXZJncn668X4nTj16Jcnjvm3cW9r7KL7bS9NL99EYc FsnLn7c7PxzOPZIdixpWaz3I2/zg6xmc3CziFEk1Ejvln9Ct1A6XUS1dSotoYEpbFVV0gkvJGFtW kSa3EDD/2Eb/tXnv2CCV7o0NpaIiXQ8mICQsh7eILmCVuQw0lMCpcGXx4dX2YOltBS3u0IpEc/Wo uUAtSp9UOIKCbQk3sshjN7YE++tQFl+ntNofeu/8EEswSO00NDvSmNTkai3Vp2+Y2NGTBNtmkfqM tIttXJd+F8x55k6WPUMY3YVzoqn7jD3IPxesSkv0gY9wRcx1ldrQBSRibJl+FGPrQtid02vkgSUT fm1aHdARjmEFcW0Q7CNTxnUqn4PGwxsXqu8j+vUgVDSHPN8Fy/CNMlYHjpvRhvYkOcRZR0pQr/PY /0THvXn8RT+VQvX2jkHzesJp/AvuC+wR9wS9P/NK/H1U5EVhWWGx5jdb0Sh180ceLy/ZS5DCJWqO CyIL7P/oI4bHRtZNEmGwQLqJk90XAW/Lj4S8iCg8cJNizOpWRbSx90Cbvinnef2R/2/3oC9ii9pK u9iSozvDODkLyPQBBtOUa1cerOY56jqKCB/95Zk2+IboxxVgv8AWxmsT+IRy03cOyrMSDMTzI3TF xznsYq4Sbx6TgLVgJcizenkrlEVl25GQWeYfYFii1xElWXP47Llu4JAWj8L4xqjHKv6iCKVhFrpM XKPDYkcfBsHCwlYZbfr95o/JfRAtC0Nd4vLJiCMbPvqqXlQRT2RRfLoJkBh76d9qOmJHqz4p2T+D KcDRAS/8SlpIERXyBwrsFPUyxjPkwIMmpT2qrVg0DsV0v1aNCd10OnTW8Neq2TaiG/bsKuIUs98p Fryc9SrPM43lK2o6t3U2iz6NT9co0FDcJz/DclJP/8hX+LoGOgS4BVg0S12dMO7E/dLJ7F5CPHrn cd4CljwSHuAzdvcbAo0A0l0dTyF3Bc2lCcgqzPFHzq30A0DnllSiBSHH46mghszn1R26yzLM4nMv fhz+PtC5sYNSe2cZfYj0jMxLdIsgl2pMTrQ6zN7yxRLsnYIGDGR3zjvvpQkp41K+yD08qJp5ZtwO /Mc5JNdn4SjHf7JgLjRkr0HOxjbGfqrqpl09x8+w2rf17dnygaa6DRgxlhJlkYd0Te49auhGp/bz ++EmzlCCzUqUcIN64DWYzmH6bxGAQahktDnvyyIYHE+QDfbyhhAr4l60ln4lmq4JImPUSygmd7MP +WcFCFjwzwxonAZLSgfmJSBQj+//bjCH+MCEc5ivtFQTo7nPepaDfvj+hzmPOZB/Ia8pK+T0wX4M vif0VYSTh1vW7RxCpdzkqhAWrPvnxTJxa8g0DICLgGbrCAWMPmoaPqPAmB6+2SUhYML9Cmv9o3+4 S76pEVLo6YfZKlXcnOwkbJFwt0mkWVAGvCRGjDjFyTWj5BxEeqSJPmohE9HWlTDNn4kabhHw+2QX lSUk1TgCl48cD6pimizNu7vIbcfD84ruf50u8ZiXNcdaEl1cPSgKTRZkbx/7301RgM/k6d7kHFvr zcAZc+bS8uKC3LEkIiX+k5jGgrRbpcGfH8ym3JvtzCMqHOTPg39zaA3HiYAB4ADPDOPcHLxAUJgH ZwPocKABM+VhXZkkr9lrZrvGOr0cZgW/TjJABNafg9NjZ0W8LoM+tSCXrYkpZB+vzF04Lb1NnPOI RZcJ4shOYS8XPMh4R6GK5/hrM0YGLmPFcoNIggJmpKOfG4t6xzPuKmPg5L07hTOOTxjQmQ2t8zWH ti0v6eTb4+f7ndYzqt3tfjKz1f5qRY/SkBTWniBhXzQJtGIwaWmgxvPQxjFSNyscRR/sq1/YAWy8 5pRdWeCSDeaUvSSmlqmspM1gdmpHdvaXM+/emWOc2bDKUmDU2GN47rwKn5Gad8QLfDqc2LRvAxcV sRsF6z3+GBNwMbxrOoUj9o3wbvD5hJu62+M7IVVuQFOEzXtvoWoBgdSmea0juGkdPKWcrdPqnzPZ +w6fqYIVQ5pMrng6ShTu9P0vaxRGeUVN9froBaHGOYahQus8DGc0SgVpm6tYd6EP6fp/YMKcMNl3 wW+OMUybEWrQepNYgvpo5kvtRw2qn8ngLoAXkslUglRFHpwraiG5hontjyaEi+h6JAc9v6M1gti3 eIFMe47I0Cjg24buKK8zAYdSEashS5or6cUMwqW6TQHWtMnhAOoXAjHgLFGT1K1G7Eo6bm4j3Bd6 mivNvg7CNsQvrOmXyqHKRu82dFM8R4wTGNYhM73KS593TQYt+OY3AyZsDj4+LqaZptHjwrpAJtRJ FMWDQjbVgg29HMiX8OfA/EOUkOiqPHTgjSder9tdD+kC3AiiYHIxeJ+V6ZqiGCmwyLjvwcit6iPN uL7NrsGfMdxAqvnkagNR9OBCW1jokgDRfACxN0qJ3I5bnxD4J/ifNv9Q2DRqmsznlXAqV079nVJd G8klghjFMGgz4q9HcEt8UMMpldCcPt5Edt4tCaGi6C4qbSyRFkC/+KfYb/teV3g0ScBaNoIMcUv+ R4kJy+k8TaMO7xfHSVYJEnyoMB0Ddf6MAbj7/ymZi6vElmLMdQDFYNyJ3GNwiKeLkdoWL2iNW2nf cxkno8tJv7Glt23AfGMWmgHkrFIREDHgvUIbJ5vYoxbQY5vxGyZVEMlioPa0lYVUkI0nfFACgkrD XyOPHyOTX3ClnNyyhwFE640scJO9HIlzWJtIaNGm500LwSUavwBGSa8ebI8nUYKr/jGt7QPPhElr BqEfWcMkFKyxMrbqWkcqIGkSv2UICXuR4l+jr0b+AHGVZd+Z9m/uwF7AFmABzmey5pyMTgdubbex IPgSTWRlSco2mJ57JOhSxg2rswgeX7eetg9kJdZ1pfBCBOgcFxNHCrFo9EUmE2UwBHedHRBJF8V6 iQZJOz8171e7MtR3cuPDNy2cARqtv7sgltez52I2p3JtDFADn6TYrzJXWq41winy9w3m838Aanwk 3C0CQvOP7rqoxhdSEJ1BCNiDfAbuRlS7q0NnPG/CTCPJcdcYn7PAlne+zdXuyD1yic4AQDkShbpz 9eCH6IsYAvKag8+ST9Ty3ueLSCoURLJT0J61cY1tKvLZP1GLcM1oU3e4fgopmxjZWNZujYsEpZ4x /nYk+Z/rgeoxwShIWIlo2J3+vCOD2L8VYVvh378dKT7nb/eV1jOyQmmcR7WKl5U6lleB3S5GnL/F ch4WTwrlo0I8lW7Fj2HZsLjOyMnu/4snX2/pYd1ugLfplsEzl4fo1O9ao7Pvpo7bpJ6XyxiQy5jB JqiAjokUnak9Cn1s4nEkPRTM+nWf0r66vdO7FmgVZO6CLAFPWma43a2MSFWON2bIq30O0TV2MTIT Wihrrb2cbZqOS8z9bO1OxkhiG2VIMYmiyoDx+OUafzAuTkS/1PeWXORi9IEKW0CyC9o/FOh/33Ss N2pTpsEvszSLzBQXEPdu1VP9LnuyGkP3yHhuKKCx1ZYWXG2HgObabihyYROEUUw9hp/0OGi595xR HpwCJp1WkrBH8s7ByoFDzgo6AOdsjFbbI9q7+CY/Rb/7fBq2MAmpENXNQUduRxrzrV9yU2Ga76r4 SqrjVreFc7v+Rc921L0KXTTFYDOhLXgD/0HemvVMuU/OosFbKBgmMN3zy3N6S6eoAa/JAmLCOaQ9 g788hk7WcQQvzDY5ystDBpuiICW2/qXvCYqlYGXUu0r0AjNA6epWn9MJxYUnk+01xzpkFIm1gDAq wj4hqqn4LONMKZJkeEyQf8rOoYYC6eEJzBiayZjXnehBzCpeRRSXnmNP3w7RmumQ+E43oH1uzCTM PbCz/+FgTMpJLzU0Sng8oj5KjLdMIn+f9MaVUmDnswaJlKkcq+Ql3j77SUtM9Iv9nDe9aCAZj3sc WCYyS+YjOmw5SkR9uSGOGP2ZFhhK6UL35Skr++/hT0c+FjjPZDPBfXU6GudU9InPiDwt7SwY/XE4 RbfXFVse+gGM8LyUfydKWVr/F4FsewfMhT5PwCgsFGz+inlfnr4rItvmZuuf75uAMZ4/bNo5T7dY fX98Pl2ytRVtt4HeeakjBfTcYLe7O/NNLA2I/WnLB1qQ9USrpRZTYKvajVYoTKgmfpe73xiH72Xx PjPf/OdC3qOPEAce7aTB/UIhYNeLvHDc20tP+m10hgUBTzem/R7qTJTypXG1khGLSV2kDZS1wUd5 4wDaKdBk0wLuzsyGifJeB8aWKhOlmv0FCTUQsnVEc2JYtLxqQdVxg9Mpe14PCXP7sQZ4TVDxaUm9 HMhHFjiFNfnC+bznvoPxdL01Tj6HCrhTvcvz9xJCWmMhy9CMt1LI91+W30U9tHJTvJUkOeSDdv23 1mGFk507vqg6bo9sk3Zv757zDky24VQmkei4NE5BWqYGvQ8GvP+O467/qn8xywxwTpjA6vsRVAph qbG0x2n5RtLdEvPxfbc3nlrIIRG8ERA8jBJfD3Tf/ODwTeH/mW1WS95yUh+SulzSQagMlH+3KUK/ CALfH7ygloYTEeErkGEx4Wtm6GDz9LrFJS9n+Q0KGmXYG2viM5CL6pSUAs4Uxd5SnruGlBpBfCc7 gEVQWWB4XSslPHeKT35tJpdyn1kRo13RKjfuM7N6gyEcZ3tNaZle+fm79WFW+hvzaHdhWPyoN1Lf cJI2631LEuX2H7n6So8r4Ym6SSMr9VThy5oHAkI70iNU9l3QQswgzXcatsGhKLWiWCf/j5qh6FLn BjsqfoOZ9SvlvA1/mjrDorX/Z2bMFYbtnqZ7KbG7j64J682lJFRJDAvPBfLx8A4p0z6kD334h07R ETiOAOA3sdeZTfS+w0WziY64HAMmzKEiSdOrY2wsRkBrI2LyghnamjQecTe6RLtItQFyn7JjpHpe jkIcorZu32Q4P2ptybl7mL2lXhOpcstUuN+PN6BYu/t4lBOxszqCXadDhZUUO+R4khX2YFPpO9lj r+dheImm6Yvom6tXyvCVLBfneetnYy8faKzHdA2+GzBk6KfZ383R5ZfiNlMdCmQDgZvBDu+uzM+s XK/7O+4scVcC5+rwMXtMV+0kgc1s2LTlk39R9Uh2XYhipacNfytSanEsYShwzSAprp6aWBqyjsBl 65EbdaJvpRsw0hUSN1W5I8n6QKOcmZSCzbSXS1rGz01WXWO4pbIRheFNz5mIh2UtGYZTONBBdDH3 hXoZaU+s+C1sFtNb/aCZpEHxEeH7YMWmAIboR8bIh3oW7D0vGi/C2lbJ0fLQ+q4k2l9eiFhJQ83p 0zkpagrTaU/RLL/CjSfKLG9JOHuDtJX6tCJUN0xawPUMSHTwjYYGIzrsjwzDgK+g6fj18ySUVrBd Ih2n/h+MCyByCOKQIlEjEAL33SwmBfqbvrOVzU0NMKlX8YQcGJfz+UQbGIAc6jrw19qsREtFr75l zxs9EkD+LlmjnxE9UdZanPRP+nR4kdFmxDG6Q6kv1JiBFOxvujOuBclytB6D6svbaW3YBoVkBTNN lCfvHoYrzbAu0zSmVzUL8dW4x4ZOAQPVApNoIYnYiUxqPeC6GtU3TsP/w1+3dLfTbITmJNEI9Do5 skdeMewwybphFT8zqHkrrddlWhuVcQwFWqoE26b3CMoAAiJlA/sOTEVJ5DKFrLc6Zd9RN1ODP7Lx CM2w1TkgbDmtXqR3GwdWlJzxaA4n9f3zOd3n3N9vxYTtcI7j2EhtYzsn3Lz9sbApPJN1Y2QDFB8w Lrg766TgJjtNEdZFA2PpAy6RC1U/JXGOLd/SEfJSPHPCMwy5tByYsySQkAJGK0fRFv/9OTSaLMXz EpyYtG8fI+BjMIspaYlmZOMG/s3eZdNfEdzZhEe0cfqeKQuQEGonFZp4ciYxPdnt3LKyr7gm7zDo oVcoppBp6v6SQUJqDYx/DLL//PSW1nwM7ZK97htq2ISBO7UhO5dgfsihP7lTYU+OrEO6o7/O6pYC 2T/g5s6Vykl3EbnBY7KzLblPh8aAfRE3EXzy0rB/i64eU1eiUsrz3DhTQGqWju3fWcBStC/D1uyu ZEjgJhxoX9iS/SjeWyaNr04HbagSV5O8IjSBbUsZAq3YB64sgkraANxXf//0cxyaqLkcBRht2tjz lNrYuaBW/+tbyQohBUxesoZyY0/Rxuu+ekqADcnxxAKc1WBiRWBz7QcZouIPdfBtt8S/cj7LtpoT oflHU4r9BThkeEExKwrPlWJbPD2GTWiDW/NoUf6kFo3hOH92kA2nMnpsvMBcfP/XFqQlrieXqhdw jEhOuRcBs2NAcr9SQs7FDLU9h0q/CjrkNfqeB4czEMfYLwG4Uh6eiX1ZiVu1w1qUmNJkO5rp8sVU EaTg7btax4dtCrkR0S2tEPOudV1VMIo0qBjpO6fPGWZCBOhf6STRdDPizaVnFYTl+ZB1glMNRkmC TayrjmGUqLJTeeZ0mW8v9vFGTPtMzMgJ8GZuOigQIjc79OSrnoGOR8Y7KIzU+DUKUPkECAlIT+7Y l3HMthR2OqpCuds0qeNJktswLxUHYVAweP+nShQ2jIhnXu0Z8YCar2RY2qvnwRRRHh/NP0bkgRqw kUqcQn0h4OETV7iHwvDiKfsWQ3qnQwAyBMRfD2ixUWNJoYE8bwJ9jaLoD6nzSVUcmH8suwbSjoij mNzZ+Ced27V9BVlhBZnekNFKYNx7G3gRgFnV3UQYxC5HhdBQm1Tb4b3aBP2hHKrk49NTEemIQsEj 5W+ZXtTmQxf5DxMwl6BC2SCWZTEJrS0FMHm+WNt5/Nqsy9ZQKvhcQ53oaaKH1+3AeEZ/OgQIYrHh 3GBXzE8cZ8vo2ySm0KLjVIW3vESBq21tExKkf7L4e8d1g8Lg+a74R3tbc9Bevo3Wr7FQmC4TViz7 5wZ8US7xwokE43vlVPuUvLE/smlwDIANshxQn6MRjJ8WEnU60h7Ejk57xEfVIMvFimmGWPkrl+p8 ajeBfVKk6O43Hq4FX9oaXU92rSD5ff5AOVPZfCkFdQQwBMNIIXiJ3BcYRhUDp8RKAYI0VMW0zvNw vimEBz5EtEkBWEO9EhNn3ZgHSZVk1VQubLZgfsdMuOzVdCd7ejBTTzGHftlMwC6QTC4Etc7VFaq8 bi7VIePRn3qWeh11YWB5bu1CvxVAWsP5kjTgOcMOD6xF2kLh/rWS+luMJxbbIynjqr4z2V0sdLpA wjB7p2hO6u0o++18OvUH8d9F3BNrp9/z9yIp7I5V/M+d+iX0LG50Gy68VWF++wheDby/1M0YoL+c 6OS3iDrny4a1NX6tS9Va3os5c9PF0OlX4lrC1zuFJDv69jUj4Y5mmjM+e3OFFoVuaKfqXa5PKmbi kHbRmCmS9IBr92gc1M8Wad83SFES0NIg5KWAVe8XWmGAXo5j5PUy8i+73rodDdJnQY6DBdfIKyBG nsblPCDoVVOgBe7OTGlOhK4Lhe3CP2bdcWQqmtT1aZmYypOsPDnBqlx1CILCApwDys1oxe2aWAfk KN6p+aKIfP4xI5UrDwCz7SBP2oM/NO0RhUx3qlkMjThb/9QRErMy5DkpFtcm8KYXdSse2WHi6MrC dk0bQD40KhxpMu48BJcwZuN9LwJbz/QQJce5JRZVgMZCsZCkQqgk95u6AnR9dbFrhftNJBmPs88p xPx4YojDcxsaSkKhFGixusi2S1za7vH0CzM6L3DSy9Z6c9sMVgWWTHSmEMsU84d6AZV6A13FPqiN kIyKhTJZdR7EfJ++DiCmykTyjEeUUr8rOYInbbZM01Y6MAP97KOt/fBL+9tj4kB6NJMjqJ085oiG 5Sg7pRuocUr3qRIMOsk7tImB3GptDSORsQ0mrURKZ5VbDrD75g7xoWD0HEhy5UKxag1wXqmzl6E5 /9sfyEq7KMJDIYk06tyCkNAsRSaxcWeydlIAYYOL6i6T/Az07YESrnl4Nga8NMyI5CBkVxup5Jsj RlayIiKchdcwmDt3qo8hKmKFMwSwIvQjOHpk43aadmQLykpsWU/oGn2MXsc1mRyAqZlN3uIVcatT 7qb/Y/AZ5h6HsbNqX6922wZha3g+qMWt4xwDeeXq1OE33nqV7DE3L7Ysj0XHhy8VfTk4sl3E3tck FhmF832qGxcbuh9Xlef1lYd/1dBvUNNkBWpqoeo/7CPm59d1DVjd8acj0ZR71WMzj0MkXLwf0RsM kdC2HSwYdAjCxnSRViTKSGnCA4uejnuq1rUpNw5lhciJeKKEHp6lmavMRdDQughzmu3tc3sVzgOj AV2cZ39I5mqlJoR/0MgHoJK6G0cwOAItTB0fpx57du9ox9UrRSM4hfhhcwznSrUTWzLDQw3tZeNF 0Ntr5G12SESZjlflz1RnBGqfHlXSdYoifzDCHsHn3PM1R9I84waUDeCN0hkw98XGCas843L4rgJi xoxcpwKEOQ9/HCp3FOSvi4AqfyTPS/F69jw0jFmCUlxzbQDn94mNafRsOF0j9zZXhjazwWk0U4ZW vP9iwVYzZTthpw/5YWgB8cTp15++Hl+q8VH4TXgt5rKbM+1f+WR+r/b4rsvbMdBfB/TQT8dcQgWR tUyYNIdYgN2v6oBhKHtgxyvnsYyxLsWeYHjg3tncZcgmfEC4JULrNzQ80i6km3XVTITeZxP1bI6O dnHwrdSZ8jdIHxfB7M1fVIswS19b++O+NwxkmYDum/hsyNRpRrU1diib1nqGCfNBKhnUEyLgYfFd e6zbwQ386WyhqLoJKN92rOli+S/92B/S9uEGxpSqj9iiUGs8FIivoh6y5zZcXP0I2QOFNutUvd6m lskShqDP5LLdlL/XIzFhQGUedtUJAvp51ZgK7XJRZt75A0AzJ8qFjWsGVi6SkZscUbrVXitTaN4Y Jck+1RghrYlIPSRY1nCmaaXSFM32FxfS+NzRaFEjHGHyzkWCrr4NCuquNRFaXv55awls1SXPFEyC RG6RV5BtTJI/McE5KnlFVw+lqi0TZwM+t5PlXk7VaaPduO2G5JB5tijVoMIFDVHAFE80cnNd9hNV FbrYBA8AMSZ0TQ2o4vQI7CWM1ka4j2ZCIwwjUg1MhUrjquurK4dWyzTeR2l05hiFhObUZ1jRviVl SleS8eL0bzF3ea8GQZbZZPVgFnLV67MTFoW6EdVmBD+/qte1E11ASxtlyDMRLW3kkqYi6V6vanHi DpQYzn3eXUUzTNMRzv8Oco6YoQv1JEyUV0dHufZ7gnHpD9l1VFW8lJYmDQs34ueirUfOJD0zgpX/ aoEF0ceWwy/g98wdhV3HBiZ/D2qYkk5j0V9CNMgX80jmZ2+KMWK6ilpnEe3jHbJ/JTxFi4FcTMcL QPar1rBkqpsSkqf8gFlH953EZjtdmocOU7iHVsOhLHV1eXoWJdrUoXJYYe9M3h3f0qL5gm8SohQc NluJkoeGCZRgKLv5nbUVJSytKOayuQd/FDSTzFolThyl+5sTf7MMuIMqWFqxsGc8EoLOX6pqjx1z AfzRL/cUHPwEsk18+fQ+yRVNnH6jHa7hjF7or4VVcKga9C4Tf9rbv9YryEaeUg32rpw5YV0kTVWy dnvFYQMvR11+HI8j/EreEDuRQp9leJzRL/aJtZb2N9TBYqJ1uCXKXtCZi1K+cGCN2/vgMum2gy6f 63Ul5C7hQOYp49ESD04zdWf0A2PFXphYUXiXDLlT1aG1AhuxU6CAGlOlgOgCA1K/Go4EwM4uSP5z gv7Ti/WOBFT9w/Ujvb8ivmasfSkjgba9ID+es0C3BoRIdP+Y6kX1U8DM0JIoiZ9cgTPyTtyEumY9 T6G4CgwN5TLX00sxAz9rG+zfekr5DcmUKZjyamQv0lWHGSlz0Qvjqajjq65vSv3KNSrSa5LfDCOo tNBbVmNlsDMHHnfy++nCD0qjgtTy04TCyuFmxR1VVZGJI7t2MtiDJh/ak9EG5q3tjNbliyVrC7iK HTjbZrGEN1PvVPpZY3mAVBt2Ru1ovWyo6GatQa0tKDOa7H2yW8PA224SfaFytgoJ3J2Q0efQ6DYE OpCCiIVxIdym6BETBiozv/aDz2PnYpTgQXcrqdBcQwQ2ZWL/GJQ7t3idnwc1XuO0SYWMrumn1e5s coK6PkZxxj6N+EncUGarDzWDRqLX1A8YDiKcF19E04EAt2sVk406Vc4Q8E1H1U08d38Lhj/HCYyI ID6p+eeaX2zTsm5pr5sIemIsnqIeN51qJurx/abCoxCkVULtQXfKCLfPV7fN4ptM7o2QRR9Kg3RR zg49edr8TU3z0AyW89XvzheZGhEN4B6PpUpx+/yThWPj8aJ+lP/GCT7pBMhncmescoiDaooIO7Sx GFtaYIisIGEI5WFER4X6OV8NAO/C3xli4G2kXK8tFj1CPlEWcSyxQEYUOp4p/olx5XrxCqsAEU6T ypnjPdxlnyNSy60BS8y4F2IoDwqA1Zw0rlu4eQtDzunrOqCIF2sZAAz/w7TCEio9Wc5UCrgiGtJh wzGWKBLZJz/Xfrbs1j30jVVvWKJhYYMCfLXmVOil10csH1OUTTk2hUWDgypY4SncbVoihJoY3gOn x6jOmp0x3j61wzCBGp55rpZeWmPuJ0Ct9ipnJmrTpxkkmJ2vrn9iAlg4adYNA4UqFJLc1u8SMhyG vZ9g4b0+0iO/0BtU2TshkfaimxQi1CorddljnU7dCmeoi4WL1JAx2WbUNhpwzs0PN0KLDIBaLrOd 1F7MCvIi3Enx/TvDd0YCJMogzskdIi8E6mwFnD4Pcf12QwLiFO8VDS+h+Z21uo/I5sgi/D2g0F2l 3/mplOzkJAmSVEDZ1DhzbXH7ijAnZ8jrWvTCl37/nt/XcTBU+k/YXjJB+ia3DQOeT9C+xzpbf9z5 Y46j/2DHLGiM51VvpL1eRHzCEXb0jMaP3Wd8O8GfgFrPSfKDriD4DTNh0cw0Yk+4Vk8I4Cty1NQh VB3U8kyOKD+H+GwxmnH10qFk0SyAHpZ9j5ms+zRp4h3ZnQb6TiXuLq7l+4jBlCg64OHlA3a22d8E 1uHtU88E5lu0/NkKL3eOZU+d2p94zDktiKFYPlPIrpsBVnis+Wy1K1aWEE/xqEZOfLDjxDMH4YIi HRU2hVWc8fYBeFRpWCCbaShuiYodNkpGzqBulbkbbNyTHmoYUpZ3w7C0gN0ZxXQc4o9ZEi3EoJnQ hV6FOl5QpnAtygvF7P9znvvLvQomna9vRu5+naKLHO2U2e9EBjF/vLGoLita0M/zhgGtitdc2eFd uQM/GNunKccUlvaxtoFQz/UUEmFUk0bmHuqE+ei2jopjwukC6egh/XrGRz/eFgSLhdsNbq/n+br9 MFN15R+E+oEVG6bHjY8MT0+hwD+jTO8vxBsAvYnhsvc2qxBuMo/gLm5EvXA/sXn97kgdIJojHfCI 080zordVwaiXax/PewDh1EUj6bULLYdtD9718g7oVy9JpXL5cKKnSvE+TUtDMs8MwnCVDrVgx7fq zaXCjQC5sd1HJ7/YhySeKXTtvs4lD/TAGbM6npakTntJYUTGC4n+4b/vJo84i2d1jtQatO2pZXX+ qkowVOt4/wPhpe8o4ng1njADE+I+BqcnP0HCMlqgEhAPcNZ4GDj1nqxR8Ry9NeDHaRdLNLBE1GH9 OpzOYnujYqEaFM96ILIt6KDN3zeBBP++Mvv/I/stN3FA5OVDRvrSm2L/IQ3RZ0HzglVjuYwGxC4t yA4E/a7jXM5VtpjJlMMtftpEpeagMZcX4eu5/wVK20J7fBlFWm560D55Qi16eHwm2lwzuIVLcweO VcNEXiQ5H5nSvQ4AipR19ZeuXXsipMLgI9gOIa4c+1OKNRP0HpWQzCmWJnB6e8OcNq2D9DKZd+ce OiDcXuJlZ/vGcfeIn+ehFmjSqNHrH1t8qoj6NhKYP0mwaV8sRz76ab/0UfVzZXH624jiMfDbsEHs NQ0sCkDVSvFokhp4oScBAWrPQ7kIPymdZS4ze88q9+z15yWIh3WiE0w+Uy3Ev8GlcjCPTN156iZY f1gUpNY+PW/+5ecCqaJ/y0cSeXPsvLuSQCKzfNwbE9TMjerUqeUT7XI06/i3pVeVFynDyKB5n7QV w9JiNz1tbNtB1eQxxMvgmbOw5l05J8npNLI/GW2TKqT6fwqckxRpH9LFRjHoWp8hJPYmJqqdwXM8 C1OFJdZt5cS5YMVA0xxcn0gVZ06d1lBfM0gq+U8s5U5iJtekOuwLhS/MTTgTEwx3cpEEz8HsIqJ3 ZU7/IpyYFe01L+NvdnaZm00UenkHNbUM2EwtwIPD+8DxqalCo+2fqbPiUH9XKzlrCfl6Kk4ovEea 29aBWEe480GsMqieFsNYJph0OLGPe+B5kiP+UCKXn/O7/izQG1/e69iOm5z4bT1eUvCerrWfvbY9 It5rKyf+S4sLw1sq7ws2VYUMiKa4IW/4/x4H+i0ihG7b8YRqAV60vmkCLRKSfgX64cEM0jKQazI/ +hb9/5cXb5/h/bR8Y2p7XavHFQstPEAgTxOkecQbuL9NBdG/01H8wZ+nUEChjTCIhVvt6jQ2Ub19 4OH1g5hUPrh+JdU3TKilUq6X90sY3ATWDrqyW2VaQpCpcr1L0eCEkh4lKbijZ1FYK1TJPDhAuiyz lMI6lyCR2ybiiT4aY/jspKu1oYRbH3mEwcpFbXXDeShun6TAqcHIpb9hfU70EyRaQ92R5sScvb9/ D+NMkGNzhB8pfQzQqnGIltbj8G7uumK3EuE/0JlecTUcEf1o2j1b4+p0KOYnd6w2ioYzAEro3Ask ZB+fOcZr3r6tGBjIw2ZsQ9FXpPlU5LICAMhNo/dihJawPirszJieOn+TRFB0WcI0D0h5UVX3Co0I FRlNbJibyJnERaEC1UjRw09wLEg5KSpoVSGcZ0A66l4B9Q2pfTy39dTs2QEok9bazg0kG1o/31Vt OhyZ3D4FPtcYmwlPpKDapcpzwQcuhEJSWvynPCxUs2qbOQ0fRLWVs9Sq/x2dhc1NK59Qe5n9QstP s337TWfC+w7z8DVPwjMeyX/CmbBpT6qFYxEfNVT+55/SiH+d71G7V/RuLekUCQIAE4pOvNvQozyl BW8d1ocB6rICcBbtlnsnIfjWP/PaIRnTeTEmwJ95OSEXU3+5rRYcBhjIXNMmZXjCe7xmxKBnfQuV YIbScGja/9bXuUXlHXiZGGX80h/p3sizuDhFVIwJQ5+YCRysrcxNA94jSFq7iVFAHejfiVFEeHF6 /ZNJR16xssfaY7QJImGZsljGqwNut0PGU4cUUw/xtLVo7Y7fD+x8u9x1Vpe+oD8jfAuZpN9fZFyl 5/AjWD10uhGXqAz4m+g9m2MySH2U42xb8D04m4P3meIEaaOrudqunw/1U4bzpqmEvpoFTBOs9G3P L4EljuJg09jr/0dndMqYA9LN6BX+kUm0tgqHGIrVQDtCQjPldqpTEvHcEFgZhtgZgXtEygWRJbBj foR8voN3EZeyZ1Z9BpH6qEgx9jqUj0KOjfDKJXbp18J2o1g4mL3g8h/KW9MFlcqdXLn7HpMfJqKn TwplRPJVax/ajbi8rf2GHYrt9wcNxKtq0PTpCNmBImC4bg07nSmt6VBPKpAludWnjoBy5RjMcKMh V9qye6RYEqNPNeJQRXHIuKb7AWTloV/eseE0aK+GPxl+p6vabnHOYiy11RE8rRfVgttLxt/ehuV7 wDihYJZhvdt867pWGOBzfT2xxHwZv57VasCuHnVGhKHv4AKiB3hiNcnfAdwXBj/UCQd1Zu0nbA9Z xLL7EW/zqUfEwZuu/dbnVIfk+xchxB0MNP9XPjTCt1QfwF3oGqm1S6mUCCv//ehajFNJJvkqTfp7 0rq9ubY8UvQ6iHX9+TqjYs5Ban4JFpwdXDwn91d2Tk9KomH2i43kPq0Or/R+NIa9wOxdqvdFfGJz x7JyLcXd4/MDbpXDYRsIt+GzDTWG4rLekybKphp5YdW0CI4SuXT2f8se31g+EAJEB/1qPAZCuaoi egnUGyHzLYk/m7EzTmY42P4Rha9ppDd+qQRHaGsqYBJ63Y26E0RnkL1Bsfp21T2AJ6fQ9GACQLbi qAd2SBMPfpOUArDbmQTCtx9csCdEKycCYPEsNDrLH+jYxCUUCeeFkZLylmHc9wGlJndx9ETUUipe fh3UoUWB7qTzouWl1ExsZ5OgYKoeKx0abagCQCw5T8FiR36KMMLWVYtsO3y+VZCe0Np/odLr6BoB /Oxf3n1Ht1QKYbWXyovY+HIFrmWg668MwsiXLBqXMj1GeeS0tIPAsJ92kPFEaqVWvUwQsLgbE3tU 94Mbxwcr2eYT90JAU4sZFYN6tW/YhAYY4J/eqMfCdhYQNYu1zOxw4oHm3ah6h3uW+9VOxan51+DS ilAlfUtq8bD8lrwL1GrR6wYjnhMbDj6LKrDRSP/zBEN52sdM5vKpjKPp+27f40hHWN46/pn/mi9U OvSasRZELwWXH5NssoK6pViRRXj7scQKUWTX252lBu+38FG1pJEI1NuCHyonkpQFAcuTLusMGV7p DeoAOnEFTgZSS+Mm2te4I1JfbchEMvRB9RDLon97K//LtRfDKmKbKGp3YCsS53PSc1s3bBZPqgbj 48rtjkrzsFsb7aYEJJXj9+ykEdGVod+V4g5iD8D/qrV1oynVYYb96cFdXgKp7jwvuMSjNMvSzezt TPDMA9M4NLouYoBLj7jTkoKySysCESk/XHqgQYYJE7LC+eldqi07UzEwI91JvR1qDj6jyiOa47yy QnIo4OVgA8WZTyeSDFf4uY5dGF39AMXIPo5KCvtm1FT0HEKxMNLiw3GY/csLLzaVwBw4F7apnV8V O9Kp6wQrpNdONg+PwIaIPYo6A+sr9SxRQ/a3cT6MtgmPH+3I9tJrg/sDwIokULxzoinfZl1cEgbC qqhZAonkrhWHJaZFS/Sw5Ga3Enuz38Dzp2A4TdcKMbQmfB1Y0Ks9ltZK5qUkZpyqTPBRBA8L4mRD eHEWXTRwUKTD/3I7v+Up69Wl96v3rSSCGK0oAIR4d/AJYZl2EmUgd/LEY+rx50F6GTgaLWVv+rFs t1rEuxHNoRRaNnC1n1ujF+W3x1/dBAfLOdfPnwxuXr94qwDRraQ2cKY3PO0GwA/G5JFK42KSs23K D5UeYLzeZlwA7oAko/lLsgfjV8TGQO0DILV4lIvTTNWMpyfOnUlbxjn7bMBKuEi4Nlg8/zShtzWZ xfOwHsl8/Nzy0EEKOkhHhsia78RU+mMubglYtMsN9rWDTlMdZ0CqfAyzHKp3a5u94yNTQuk+xeat CopLe95vk9bDHckh9wnpcgNLXe8wJJrt2DGtqnDK06VqlwYSbwTsCeFerrM+W6NuvCHTLBMWAaJs iOR84cBaL7G+URfXWNbfHTgit/JV/mdmI7pqdd5EXlpAW+CJ/I+dTAlzCDiw3KsTRLXQvhUfd8xQ jfTRJcWERHu1HdILJXre7IOTdzB3Ww3oJGNN1GgkTVnV9Z2nWqNsyeN4G4Gh777lRfSQ2U9cDVuh EmVyy3Izo6gKBbmbmoWR9/zmIyxGXvnW5ZL6M56LaN2Fc+nerkbmPgxVHs5hOpXBNbcGPvYWri0P 07ycacUsoMOyUiOs2z41Xx97801YxA8Dy5LA6xscitXj87OZ4PbsrWr1yPldfo1PFJP8Vlmwl/r7 KrQEcAqtLW14ecGynpqA7/P2qmYmsRNdIyS3NL7cpYMmFU4tGGWnFF53wBP3N3KmrxkDAwOFLbwB 2z0YWpgpRkweFOG1qvvZozy8lCw5TC+n7+6EPv5DViH2adehflvmux8fFANiWTaIEQBy7PEFxVId ZpJfJSVHXMTcKgWw4dI/QiMwNvRgoLpUnISKjXE5ixd1UI7x5wbdr/pOPOhuLuxiXz2KMvlltCm4 q4vhcOU7Bgirt/txBhFBt88dt6whGEfMaJq9bJpBXvfKy0sYSZ/0uDtyHfNhmHarup1j6nTwVzX4 4OTavn3CdBwIPrlaK+QnoQRdXwWvtmkZ4knOK5rQW3gFExWzrAp9jtuX7SbCX25ZplBYSfbFeAkY bIKW+jczIslZ+q+JUu3iUQQnfdq8F4PE4BI37TMesTYfN99vbURSqo4sRlxGic+b1XlBZFk+BqR1 5tXi43OinLznNwHXVS0Jo8g2i2K9vB6NWhJUVrHgaZu82T/6mxlC6zW7Ul6YVooA8UhlO0jvRjOn NvKK+Jh/Jq/nKFHb7lXwfnhIdovPx1znf3Dp7lHAX7GWIGLo+ObdZVBHRgqaYdtrxAugj7Wrk3xq k1hFwy4zym6fiSQhCTLfR4jbneipq9/DT0n2czRxUhY7MiHkVhFoBUXPwsmMkRYRdqyluFpqecW5 VtV19Vt2vRbLlH1Qzny7wHsiaTxrSNL5+2YchfivMeJJ8MwWahd7UYdSYUCvtx/FGVL6f+pamC5b m41Tz/wwVVagb8dhMKJrOW9ZEwdrUPYUcAT45TSgNS2ibEP9k5Nagv+crZjTBhWOydvknPHgznu1 SO0WlJXEa+Y2ettIUOTcXL161ShePnouI01mRuDU0tAZhakBEvylwfdXqj2NifNPcGiuaL/xk/ej tqlxX2+Vw1t3okLAA1HEw9G3SYQvkgWdj24JInXKU6RTAMyzVNTxmsedXlT3N+8nFuAro6MQMQvE 28T78z+FycO6tbqnzbOmSnlED7O+wXA7HRcV8Hde2pqdL5NTa/jKjNnkoXedZ0WVyVQvPdryZjht Ucra3aIN+B0DzhkJe+Rd6w9iXFxT4uFut/kcjfGvnt0nMzBd14MSD7A+DSkOIP/RvdwiHT1680GC +s4it/U9cdk9VxoXLZUIllLYIWk71C4hD8kpTJPdwH8pm94RHiN8+NuGugMtrmZxW4OWl7zE3CXf tDRl6zFonKoT21Q8anNdU3Y7B5jQDja46MsJYaItVDZnc/Vi6cUZyOL/WYZR7u9HYeJt923cbTtW hX78saPCFyqKoaLuFE+hjAC6vYy8CDFxN9Tfvve3gC/dM4cAbHML2Ap+Yo1/Q9NaD6OQ8f+GA0bP a7RVfAfpkMWa7vbVjY3K/oMYEZU6OXW+gFvj/fDhTNt6O0C8+xV/RRt4Psl4GjQW+PNMuhK5vq3N NmxmStK6fkBn08++2FyR8TLv/pb1bMZgHkpr6YIeAhc+6I5sB2hKXlDEOMZif7xTDPOfXjVCrFT6 yBbdS574uRgnLCEg9A5hTbYc7c51aA1ONyywHnDWJfS0FV24m2JTEjyItoV/EeN/zbgBKxLjx5pM rATLvPRK/WNmWA605V05zK2x4gK2xoKPlITF7dUHuQWvD7AbF403Q/Cs6rl5tjQSSVLTHUhiBISm /HfrMMKvZI5qk1qLHD1uz1S2LMx64HtT3Op9xARXxA91XKLSLWAgSsE/kCx9ue2e2HLvngBW84GC UFrCs+mlW0t6QDPRflsItNzrRV4GcvYpvLMl1QEp9VKV8BYeSnvDOlzfC7HJWYTI3nBHhSUwZxYW 4WzFg29Wfi0ZrKrm2XFAJf8pofYglDoTNQ5WVnmGujHvNcubA/OKHNzsc0fhvNANgBjD8bRncSYy 0BvgYBDd3sZHprA5QZbNzZacDEvccdieg88s4H58Z0gJyqCFiEZ79es9DpyLaZSP+sRYnBqwWJFs 8z9kyO28mbSfFv0pwZla54teabsA+OUlVZgfTwDdf3jgJXBUZJ+jyneVINWRwm0kGjEmMf1WnOTK 2wtwNKrM49RjU7KbaN95i2LwqmUWY4rwXLElpGFmA6dVSanNUKiSETOpMZLzT/eaQuN3cg73MuWP iWAMAuwAYdxMN2rZP+ZMrnMLiZss/XEuQ8A8Z6A//N3CmS66iXu64f0q+3A/o3YFVcOsoBhjfg6F m1Da9qfLoweDCaAmz6T95o4PE/f95nbv89lzjSKM54bg2NlphKkr3x8ZdI+uFl7yJHDCOfdgPVYg faycBQVdmg4udBmy7kpLuXENBJHmtj0ruSfKUriANBsEv5prbOduJAdky/Tn8T5S9c4c9rOUvEig e4ThRJMGMLAZXTsDQdiQ/jg12vT437opUtG6s2Pfs9kbyuWbGu/MhdqdQYDGGGYjjLtJBnVXhFi/ wmazPbg8LxRIFlShNy8pJxpJi932uAS1voDsjE+VHrHxvVndbuGsWJKa3n7AQRGPmobJ7K98saS4 WfgQr4wOHF8oXQ1oX1FNnV2ZUB/Z9IvbWYlZQoY2HqXtxJWxtCpF8jPbfLqix4n3MqRlB46gj6lQ Hdr9 `protect end_protected
mit
b11d43d83925cd0109ace0f7b7b42f31
0.951273
1.833425
false
false
false
false
MiddleMan5/233
Experiments/RTL_Components/CPE233-master/prog_rom.vhd
1
19,877
----------------------------------------------------------------------------- -- Definition of a single port ROM for RATASM defined by prog_rom.psm -- -- Generated by RATASM Assembler -- -- Standard IEEE libraries -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; library unisim; use unisim.vcomponents.all; ----------------------------------------------------------------------------- entity prog_rom is port ( ADDRESS : in std_logic_vector(9 downto 0); INSTRUCTION : out std_logic_vector(17 downto 0); CLK : in std_logic); end prog_rom; architecture low_level_definition of prog_rom is ----------------------------------------------------------------------------- -- Attributes to define ROM contents during implementation synthesis. -- The information is repeated in the generic map for functional simulation. ----------------------------------------------------------------------------- attribute INIT_00 : string; attribute INIT_01 : string; attribute INIT_02 : string; attribute INIT_03 : string; attribute INIT_04 : string; attribute INIT_05 : string; attribute INIT_06 : string; attribute INIT_07 : string; attribute INIT_08 : string; attribute INIT_09 : string; attribute INIT_0A : string; attribute INIT_0B : string; attribute INIT_0C : string; attribute INIT_0D : string; attribute INIT_0E : string; attribute INIT_0F : string; attribute INIT_10 : string; attribute INIT_11 : string; attribute INIT_12 : string; attribute INIT_13 : string; attribute INIT_14 : string; attribute INIT_15 : string; attribute INIT_16 : string; attribute INIT_17 : string; attribute INIT_18 : string; attribute INIT_19 : string; attribute INIT_1A : string; attribute INIT_1B : string; attribute INIT_1C : string; attribute INIT_1D : string; attribute INIT_1E : string; attribute INIT_1F : string; attribute INIT_20 : string; attribute INIT_21 : string; attribute INIT_22 : string; attribute INIT_23 : string; attribute INIT_24 : string; attribute INIT_25 : string; attribute INIT_26 : string; attribute INIT_27 : string; attribute INIT_28 : string; attribute INIT_29 : string; attribute INIT_2A : string; attribute INIT_2B : string; attribute INIT_2C : string; attribute INIT_2D : string; attribute INIT_2E : string; attribute INIT_2F : string; attribute INIT_30 : string; attribute INIT_31 : string; attribute INIT_32 : string; attribute INIT_33 : string; attribute INIT_34 : string; attribute INIT_35 : string; attribute INIT_36 : string; attribute INIT_37 : string; attribute INIT_38 : string; attribute INIT_39 : string; attribute INIT_3A : string; attribute INIT_3B : string; attribute INIT_3C : string; attribute INIT_3D : string; attribute INIT_3E : string; attribute INIT_3F : string; attribute INITP_00 : string; attribute INITP_01 : string; attribute INITP_02 : string; attribute INITP_03 : string; attribute INITP_04 : string; attribute INITP_05 : string; attribute INITP_06 : string; attribute INITP_07 : string; ---------------------------------------------------------------------- -- Attributes to define ROM contents during implementation synthesis. ---------------------------------------------------------------------- attribute INIT_00 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_01 of ram_1024_x_18 : label is "75028A6964188A69641675018A696418750065FF84A100000000000000000000"; attribute INIT_02 of ram_1024_x_18 : label is "640F8A69640B75038A6964238A6964228A6964158A6964148A69640D8A69640C"; attribute INIT_03 of ram_1024_x_18 : label is "8A69640A8A6964018A69640075048A6964238A6964228A6964158A6964148A69"; attribute INIT_04 of ram_1024_x_18 : label is "64108A69640E8A69640A8A6964018A69640075058A6964158A6964148A696410"; attribute INIT_05 of ram_1024_x_18 : label is "640B75078A6964188A6964108A69640A75068A6964188A6964168A6964118A69"; attribute INIT_06 of ram_1024_x_18 : label is "00038439835A000020206500740160008A69640D8A69640C75088A69640F8A69"; attribute INIT_07 of ram_1024_x_18 : label is "710071007100710071007100710071007100710071007200730083588399835A"; attribute INIT_08 of ram_1024_x_18 : label is "82018A3988918472020084F9610062007500800283A313FF930183AB12FF9201"; attribute INIT_09 of ram_1024_x_18 : label is "6380800284B3151E950184BB042884018A6964007500650080028891844B021E"; attribute INIT_0A of ram_1024_x_18 : label is "03018101172104188821866977006380850B0301810116210418882186697600"; attribute INIT_0B of ram_1024_x_18 : label is "192104188821866979006380859B030181011821041888218669780063808553"; attribute INIT_0C of ram_1024_x_18 : label is "4611450964008002862B030181011A210418882186697A00638085E303018101"; attribute INIT_0D of ram_1024_x_18 : label is "84018702070087E18501840186DA070087E18501840186B2070087E1C601C501"; attribute INIT_0E of ram_1024_x_18 : label is "878A070087E18601C5028401875A070087E1850284018732070087E18601C502"; attribute INIT_0F of ram_1024_x_18 : label is "80022793469045918002840187DA070087E18501840187B2070087E185018401"; attribute INIT_10 of ram_1024_x_18 : label is "888864FF88830403888088720403887204028862050088018002259342904191"; attribute INIT_11 of ram_1024_x_18 : label is "46196500638088A3030184018A69650388CA06D8461965006400638080026400"; attribute INIT_12 of ram_1024_x_18 : label is "030184018A696503896A06E846196500638088F3030184018A696503891A06E0"; attribute INIT_13 of ram_1024_x_18 : label is "8A0A06F84619650063808993030184018A69650389BA06F04619650063808943"; attribute INIT_14 of ram_1024_x_18 : label is "45925590449180025FD15EC95DC15CB95BB18002950189E3030184018A696503"; attribute INIT_15 of ram_1024_x_18 : label is "000000000000000000000000000000000000000000000000A00360018A888002"; attribute INIT_16 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_17 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_18 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_19 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_1A of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_1B of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_1C of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_1D of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_1E of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_1F of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_20 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_21 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_22 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_23 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_24 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_25 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_26 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_27 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_28 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_29 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_2A of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_2B of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_2C of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_2D of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_2E of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_2F of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_30 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_31 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_32 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_33 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_34 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_35 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_36 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_37 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_38 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_39 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_3A of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_3B of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_3C of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_3D of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_3E of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_3F of ram_1024_x_18 : label is "8A90000000000000000000000000000000000000000000000000000000000000"; attribute INITP_00 of ram_1024_x_18 : label is "FFFFFFC0C3FF33CCF333CCCCCCCCF333333CCCCCCF333333CCF3F00000000000"; attribute INITP_01 of ram_1024_x_18 : label is "7F6328CA32A328CA8CA328CA0D1803C600F1803C600F1803D38E3F4380CFD38E"; attribute INITP_02 of ram_1024_x_18 : label is "000000000000000000000071FD00186303C6303C6303C6303C6303F7330CCC7F"; attribute INITP_03 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INITP_04 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INITP_05 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INITP_06 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INITP_07 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; begin ---------------------------------------------------------------------- --Instantiate the Xilinx primitive for a block RAM --INIT values repeated to define contents for functional simulation ---------------------------------------------------------------------- ram_1024_x_18: RAMB16_S18 --synthesitranslate_off --INIT values repeated to define contents for functional simulation generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"75028A6964188A69641675018A696418750065FF84A100000000000000000000", INIT_02 => X"640F8A69640B75038A6964238A6964228A6964158A6964148A69640D8A69640C", INIT_03 => X"8A69640A8A6964018A69640075048A6964238A6964228A6964158A6964148A69", INIT_04 => X"64108A69640E8A69640A8A6964018A69640075058A6964158A6964148A696410", INIT_05 => X"640B75078A6964188A6964108A69640A75068A6964188A6964168A6964118A69", INIT_06 => X"00038439835A000020206500740160008A69640D8A69640C75088A69640F8A69", INIT_07 => X"710071007100710071007100710071007100710071007200730083588399835A", INIT_08 => X"82018A3988918472020084F9610062007500800283A313FF930183AB12FF9201", INIT_09 => X"6380800284B3151E950184BB042884018A6964007500650080028891844B021E", INIT_0A => X"03018101172104188821866977006380850B0301810116210418882186697600", INIT_0B => X"192104188821866979006380859B030181011821041888218669780063808553", INIT_0C => X"4611450964008002862B030181011A210418882186697A00638085E303018101", INIT_0D => X"84018702070087E18501840186DA070087E18501840186B2070087E1C601C501", INIT_0E => X"878A070087E18601C5028401875A070087E1850284018732070087E18601C502", INIT_0F => X"80022793469045918002840187DA070087E18501840187B2070087E185018401", INIT_10 => X"888864FF88830403888088720403887204028862050088018002259342904191", INIT_11 => X"46196500638088A3030184018A69650388CA06D8461965006400638080026400", INIT_12 => X"030184018A696503896A06E846196500638088F3030184018A696503891A06E0", INIT_13 => X"8A0A06F84619650063808993030184018A69650389BA06F04619650063808943", INIT_14 => X"45925590449180025FD15EC95DC15CB95BB18002950189E3030184018A696503", INIT_15 => X"000000000000000000000000000000000000000000000000A00360018A888002", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"8A90000000000000000000000000000000000000000000000000000000000000", INITP_00 => X"FFFFFFC0C3FF33CCF333CCCCCCCCF333333CCCCCCF333333CCF3F00000000000", INITP_01 => X"7F6328CA32A328CA8CA328CA0D1803C600F1803C600F1803D38E3F4380CFD38E", INITP_02 => X"000000000000000000000071FD00186303C6303C6303C6303C6303F7330CCC7F", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000") --synthesis translate_on port map( DI => "0000000000000000", DIP => "00", EN => '1', WE => '0', SSR => '0', CLK => clk, ADDR => address, DO => INSTRUCTION(15 downto 0), DOP => INSTRUCTION(17 downto 16)); -- end low_level_definition; -- ---------------------------------------------------------------------- -- END OF FILE prog_rom.vhd ----------------------------------------------------------------------
mit
25ec3704e760e22cb38311339e62065f
0.735725
4.946989
false
false
false
false
MiddleMan5/233
Experiments/RTL_Components/CPE233-master/vga_clk_div.vhd
1
794
-- -- Declares a clock divider to synchronize the VGA scanlines -- -- Original author: unknown -- -- Peter Heatwole, Aaron Barton -- CPE233, Winter 2012, CalPoly -- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity vga_clk_div is port(clk : in std_logic; clkout : out std_logic); end vga_clk_div; architecture Behavioral of vga_clk_div is signal tmp_clkf : std_logic := '0'; begin my_div_fast: process (clk,tmp_clkf) variable div_cnt : integer := 0; begin if (rising_edge(clk)) then if (div_cnt = 0) then tmp_clkf <= not tmp_clkf; div_cnt := 0; else div_cnt := div_cnt + 1; end if; end if; end process my_div_fast; clkout <= tmp_clkf; end Behavioral;
mit
d1a3c80a6bebc842500b732b4ee1fb99
0.574307
3.308333
false
false
false
false
VLSI-EDA/PoC-Examples
src/io/lcd/lcd_test.vhdl
1
4,734
-- EMACS settings: -*- tab-width:2 -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- ------------------------------------------------------------------------------- -- Description: LCD Test Application designed for the -- Spartan-3 Evaluation Kit. -- -- Authors: Thomas B. Preußer <thomas.preusser@utexas.edu> ------------------------------------------------------------------------------- -- Copyright 2007-2014 Technische Universität Dresden - Germany -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library PoC; use PoC.physical.all; entity lcd_test is generic( CLOCK_FREQ : freq := 100 MHz; DATA_WIDTH : positive := 8 ); port( -- Global Reset / Clock rst, clk : in std_logic; -- LCD Control Signals lcd_e : out std_logic; lcd_rs : out std_logic; lcd_rw : out std_logic; lcd_dat : inout std_logic_vector(7 downto 0) ); end entity lcd_test; library IEEE; use IEEE.numeric_std.all; library PoC; use PoC.lcd.all; architecture rtl of lcd_test is ---------------------------------------------------------------------------- -- Transmission Sequence type tSeq is array (natural range<>) of std_logic_vector(8 downto 0); constant OutSeq : tSeq := ( '1' & lcd_functionset(DATA_WIDTH, 2, 0), -- two line, 5x8 font '1' & lcd_displayctrl(true, false, false),-- on, no cursor, no blink "100000001", -- Display Clear: "00000001" '1' & lcd_entrymode(true, false), -- inc, no shift "10000001-", -- Return Home: "0000001-" "001010000", -- P "001100001", -- a "001110011", -- s "001110011", -- s "111000000", -- Goto 0x40 "001010100", -- T "001100101", -- e "001110011", -- s "001110100", -- t "011011010" -- v ); signal SeqCnt : unsigned(3 downto 0) := (others => '0'); signal Step : std_logic; -- Synchronized Inputs signal rst_i : std_logic; -- LCD Connectivity signal rdy : std_logic; signal dat : std_logic_vector(7 downto 0); signal stb : std_logic; signal cmd : std_logic; begin -- Synchronization of Inputs process(clk) begin if clk'event and clk = '1' then rst_i <= rst; end if; end process; -- Instantiate LCD Bit Level Module blkLCD: block signal lcd_rw_l : std_logic; signal lcd_dat_i : std_logic_vector(DATA_WIDTH-1 downto 0); signal lcd_dat_o : std_logic_vector(DATA_WIDTH-1 downto 0); begin lcd : lcd_dotmatrix generic map ( CLOCK_FREQ => CLOCK_FREQ, DATA_WIDTH => DATA_WIDTH ) port map( clk => clk, rst => rst_i, rdy => rdy, stb => stb, cmd => cmd, dat => dat, lcd_e => lcd_e, lcd_rs => lcd_rs, lcd_rw => lcd_rw_l, lcd_dat_i => lcd_dat_i, lcd_dat_o => lcd_dat_o ); lcd_rw <= lcd_rw_l; lcd_dat_i <= lcd_dat(DATA_WIDTH-1 downto 0); process(lcd_rw_l, lcd_dat_o) begin if lcd_rw_l = '1' then lcd_dat <= (others => 'Z'); else lcd_dat <= (others => '0'); lcd_dat(7 downto 8-DATA_WIDTH) <= lcd_dat_o; end if; end process; end block; -- Sequence Counter process(clk) begin if clk'event and clk = '1' then if rst_i = '1' then SeqCnt <= (others => '0'); elsif Step = '1' then SeqCnt <= SeqCnt + 1; end if; end if; end process; Step <= rdy when (SeqCnt and to_unsigned(OutSeq'length, SeqCnt'length)) /= to_unsigned(OutSeq'length, SeqCnt'length) else '0'; -- LCD Feed process(SeqCnt, Step) variable w : std_logic_vector(8 downto 0); begin --w := OutSeq(to_integer(SeqCnt)) w := (others => '0'); for i in OutSeq'range loop if i = to_integer(SeqCnt) then w := w or OutSeq(i); end if; end loop; stb <= Step; cmd <= w(8); dat <= w(7 downto 0); end process; end rtl;
apache-2.0
6400fa81cd01f8d338b8d86c652e0b67
0.546915
3.601218
false
false
false
false
MiddleMan5/233
Experiments/Experiment8-GeterDone/IPI-BD/RAT/ip/RAT_FlagReg_1_0/sim/RAT_FlagReg_1_0.vhd
2
3,343
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:module_ref:FlagReg:1.0 -- IP Revision: 1 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY RAT_FlagReg_1_0 IS PORT ( IN_FLAG : IN STD_LOGIC; LD : IN STD_LOGIC; SET : IN STD_LOGIC; CLR : IN STD_LOGIC; CLK : IN STD_LOGIC; OUT_FLAG : OUT STD_LOGIC ); END RAT_FlagReg_1_0; ARCHITECTURE RAT_FlagReg_1_0_arch OF RAT_FlagReg_1_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF RAT_FlagReg_1_0_arch: ARCHITECTURE IS "yes"; COMPONENT FlagReg IS PORT ( IN_FLAG : IN STD_LOGIC; LD : IN STD_LOGIC; SET : IN STD_LOGIC; CLR : IN STD_LOGIC; CLK : IN STD_LOGIC; OUT_FLAG : OUT STD_LOGIC ); END COMPONENT FlagReg; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF CLK: SIGNAL IS "xilinx.com:signal:clock:1.0 CLK CLK"; BEGIN U0 : FlagReg PORT MAP ( IN_FLAG => IN_FLAG, LD => LD, SET => SET, CLR => CLR, CLK => CLK, OUT_FLAG => OUT_FLAG ); END RAT_FlagReg_1_0_arch;
mit
a6098fbf395824fed24fd3dd1d6147ab
0.717918
4.022864
false
false
false
false
ErikAndren/SG90-PWM
Servo_pwm.vhd
1
1,130
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; use work.Types.all; entity servo_pwm is generic ( ResW : positive := 7 ); port ( Clk : in bit1; -- Must be 64 kHz RstN : in bit1; -- Pos : in word(ResW-1 downto 0); -- Servo : out bit1 ); end Servo_pwm; architecture Behavioral of Servo_pwm is constant MaxCnt : positive := 1279; constant MaxCntW : positive := bits(MaxCnt); -- Counter, from 0 to 1279. signal Cnt_D : word(MaxCntW-1 downto 0); -- Temporal signal used to generate the PWM pulse. signal pwmi : word(8-1 downto 0); begin -- Minimum value should be 0.5ms. pwmi <= ('0' & Pos) + 32; -- Counter process, from 0 to 1279. counter : process (RstN, Clk) begin if (RstN = '0') then Cnt_D <= (others => '0'); elsif rising_edge(Clk) then if Cnt_D = MaxCnt then Cnt_D <= (others => '0'); else Cnt_D <= Cnt_D + 1; end if; end if; end process; -- Output signal for the Servomotor. Servo <= '1' when Cnt_D < pwmi else '0'; end Behavioral;
gpl-2.0
cf24f6c8d955350e96b1cb0f71c2ebcf
0.593805
3.165266
false
false
false
false
alpenwasser/pitaya
firmware/fpga/p_FIR_sim/FIR_sim/FIR_sim.srcs/sources_1/bd/design_1/ipshared/xilinx.com/xbip_pipe_v3_0/hdl/xbip_pipe_v3_0_vh_rfs.vhd
5
24,644
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block bx24XPMbQl0ZuYgzgnvmK2UJsn5v5rHRrHaBzymEsRVRAjuRN3xRCY+goyOwSGiaL5BZpex2sDSK 2sd0nljSnw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CSRfZVLMWm0HJLEB7NOFzWrGIhgXL0zMCnVPoqKjG5Ur0+RK898D8TnT1vzg0/m9z9AJo34CsLar 7ajBwWmQaStI2T7HakgiApYlcuC6de1XuIEH3rZRMj/RWcjpTLbgkrbMj7lCzKzQdvZHARVRsJHt n6KxqqDLGxMs1/m4zV8= `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block YDR4T6HZUUPDmkJ3uEF/8DG9RH1KIm/Soi0XWVOdqKCDBSgk2PKH3QgKdeu/Ygc+E4sEfsdQ97ZX ZNKLn57bC8vQMoMyVXHXP/gB1IkATHDtiORbiLIN6gz0rbLre/0AWJ4pnD6+ix+zJ2ZtVx7uSjJD UeDwmSaYOZQhEg4QN3w= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block b5TzJrebbTGq/pRucwAvmRYTRYSTXLJ31UHhj7qPdtWGaTRXaKbjtJHLK6r2fdEku+xRcQgb4iwR VR2WDz2dfhkKseFS1Yxa2DFJTK597UszihjnkRHDocjQO3cUY+io6Cbq8kFDe4t/wEf721IVy63Z z1z8RoAbpBZZGG1+seGG0kHDtkTe8wOMD9mRo2qsutfBPBsV5sK8/fmf9Y9E2sAlYwKjVvsGOjpr dIS4pkfWNQ1UbQXn1WlPTe4wXcRDxSDWm2NMDLpVsB7PHxXe/ma6En4gcBeXFN40LqU3TWcyfbF4 Fgd267nviONJrvDRA6uaiECsHX40iXKsaxsGyQ== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block LoXyfjLEjXF6IqzWN8H3K7nR07wwyqyXVISYV16h6KsboFmbDcRTEPo0gH2rwN+AX6fpfnjiQCDi qZVj+jq+3Jpyaex4T6xZDGqASKvTFZ53Vog5975jRBzfQilhyEnt1jyw4Z0UhtEM8LILdgabJqA8 cXdC2MS8KixvDgzWP6ABnTAwC9pDqbLUIqs+coqVvcy1nM4qt9WlS3/X4SHWNrmKgZ5d/HUtKouY 9yGUMGTi2nl4U+Zd7UaI2yJjVCW8JLst+BTCam4lPyVXo4ebpoEbDK6tTwa5DlOxI45b/ZooNuYE Rpmlrdz/peCtaLTTS4+P11HF/WIAxGHuvcXpOg== `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block splRKPjEH+uTzvqD2tjFWmYXGYcB4TmcJH8LhGT8ueKKhMoa+orNkr7mpiSfxGo4nOfb4ddB5A74 rXupMEGR44uXFXmGFms0uV3Mo+LAVOswYWiSib2qqWdsJAVPQV+uS8kwf1pFIhgSfyhJYccE2+LN qen4ppn5nmwPuAnPwhqNoxWgV6I1SCeKHMvOOim/bGhWBFyFuI4F9GeL1p+BC2DYSvijB6DHJgjd lmuMd4WuXe78W//Vv2jhHriZx5nGgRFuRWE3VBR/38AWtMEOOrO4ijdAV2GyHZrphPmDHXfSwU6z 9JSFgLsD3Pd9zxwPDkqCeFOIFV991nTMDEBaMg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16112) `protect data_block McOR5osfN7FhwOeAmCNp580N4F+RByuH7EvhgYojFcBg/8vpIuQzG680sV7weUct28y0SPNs4e+w qQ9lTSMAdVIcIfpb7kmuFm1wYejIM+Am73O6WYKfbLSXCEK0ff7fudxRcaw1CHqSKP5weFNy/W3J xRHGYa3n+7/drRVlEUfoidLOwXLag7w3mk26EFheXCHy5zKZBEFdTDAySFVBOQMKnJuVkr7TSWy6 +HO0S3nwkJb2t/2Obg+Gx0YFDulb9lgpvN5ucQIgofgaL9RLMFmlT6WC0QoQ0AaSw7/55vDDzjDV jaxpTEajXxNZYkEWyl0jlU30mSGCjVvm5auF3L/r1FyUaXcwoU2mKV8EslyjmFHakpZLbqsULgTF Z3RCXvU0B3gSkWB45UlnFFqWlHdxAud9ph+KM9n9o0n0b4iQv8ZCmtvmffNXncTx1J2HG020+mz4 Cke10DSrkd8eQKWWnTa0Yidx/iULtNjVOq2PUACrwBL801bboIvFcqupEhh/fZaI2xiAGGJ4N/aa mU8gjWZ+p+mXH/5C3QrOdww1gXr6EDCOBrIjrNuqNvETCyVsU88lnIyyKpKoSBywYVqvlkT5g2f8 k72MoagO+tyPqA5fY8TJdVFc9ihx97d5gB1ZJxMFPLhbCb2uksKeyASA3+krMvdiRaoDYgs5V1vG uLzMuSgAY7IbL4Unz8FbU7yyoOM4I7S+bZXAdGq1COUITbk/WxmrTQEsomN9JtZbQ7650Jpq42Z1 PnIaZSulvU3KpmvKHMv8Rk7ds6VKtHbnwsN7E+bMmBTMhEW9owkRSXUC4H1puygq/SQFoAKVdQqz z58uDiorVDfwRTL+SmykOihbxcYzKv05VIAmgdlxlwPbHZqZQAvPataV8bOWaLAZb3XZ7D7r4ehK A4MP3YHhbrPb59If4o2XFOzsq+pwXkBQ0qo4X3Mg49CG/U0tp6Y2ykBZ7fpY0iOGTqcS3AVU2+Bj V2Yt0f4x90r++6CuqRuVFqTfGZtw32KVn+EJ/0Wnuzfeko0TrCb52SsI2Qotvu1+WfvqkC+vFa1r B05waKkVC+JDjJK+1opjXByZAk2otJIlOvLPAmNsOS58UQWdW58tBnCH4ROtQC3GoK0lMghVsNQV kicneUY2/YZ3kMEJxH1Qjui1GciG4aRExQXzsGax+AO94AXGSTUzEKJUZdW/KvVjqaHFhVcanjPg /umkSSh9iN1YyljLhQZaXN5wwTwb3gX0xGl+rWIA+AGtrgvDcoNNgnjdRBIr5/imM4mBnEHcazfL EkHeL1WgffEklnQYqiFyI8Qz3czhYwkFCUVAZDjj1TL5m8pBBkQEIlVWfg1pVubC34JG9FVTAhz5 SLtyVzKu0RjLXTg8eSW0t73SjaUtm3uZv4YlrpLaaa9Qa5Sh/Fv/6EBtRTjY0Z7znDHr7u9dyNfP rjrjdqR+S5B3eDWda784jqVebprfV/u81Od+HS2gWaiQZxc5y30Tg1EGAumXzI/jwRHGck/4uUBn WyKLBuHA4FQi6vpQkYDzLzHdskCuXoZ9Ovn8ANicU2TwDfqSFAue0Pb2adM7Jz2lCQ5kWkeSQXsJ Qw5cBwWPsdPtnxsn8g7EeLslxl/TS5iZwt3NEq3MBheVs8/s5H8TwA204ok3uz2wNtajWvZBoYpv BtVvFop7tAnwumSIhkZxx26oC+RhM/tX1osNh/8rMR3NEeNE40iBIFWy1TY3/btmyBdpFGtGA3P9 0VaNky6mopcpu8fUT1vqkiKeTSfwRRjjvspmn9pmBbeKLbRsDJNDP+nywudJvyj1Qg72IjHWRiKM AGsSFzW3upoCS5pIWmF4VZEkRf+TNeUTF4Tk9VMOoYeHZciTzFCr2TiWBLBryxPofaSZPLhlT3Oz b0bAAHWDv8XBvLj7wqlN9fAhk67AaKeeziobtPkcRFiUighBBdUBkhicwj6yUWjTmyv23SAa3/C/ iOyrPAYtyUNv7VpVzN7YlZDmK/vCEr8dp2pXm1XRTZNGiVURwPHlC5ESiN24Bw6s36ZHR+TAH8PC AMRqKURiJXAcUIYJTmTAbekZjHbT0eEDiRQ8j8QIoTP5joiNgmfP1HaINTWJmi3INHBy6lkrq8k8 Zq+3rIfRRCEqR83tS+v0/XA6nCPoSKd3tmWknW068ye0Knpa+Bk1Eu44DpWqNXlAMpdq3EeZLomY 1ksrvZM3mCU9IMCwFafunPykHepD3bu6C8gtp/hv10VD6NozhoyVADUyikWml4+TUvJdruQOpfgx YaOPSVZVzqyQHuhJFrhtVKso0JtCHqc2lJM/67p9G3407Xcpi1hobsToL3YlmbwGmL29++Q9bff+ O+ftK4ym5W4N6iIUeNObuD2ysUJWdM60S0GUeq0rn4NGo8gcxIJvwpNW6TL4v3lW0HpkVSQ/hhFe iQz3MmUu64ESBFwD85JuYkKyy3ZASkZ1RIF+XMIo8ZqPQ5bYnPrTlm8JmemBo6qMDaHGtyEJkCJz e1rlzO1y91YtGvOaC+oHaVCzP20rKUM/1tYWMn0gMCPlZCx8LPGsK5Bj3UE/4wONrWiRUBX7E63v vnRD7IjnT57Iog8RP438SGeQCzTfIyaJxGhk3UKSZ+dnqafULID26RoyXCzJNWHYwND6XJSPfq4p qhlgkR6I+gEWIFkgIfFJJjxUn81gs4PDRkh/xLNMSLeWSSfPrj3d0ZtgK/Y+qXEOZpSGFMec/86W oNh0rTX1HI7IgLOzcD2A76QIglPjO0fJPIIiYhy+41Se+x8A5QOdDQp84fDtnAt8vulhBQRkQgxo XX/XVpgl9g6Q9Uh/CfoFD+UQLdVpbv8+5aez2YWYWK2IO/hVenNWF55+eDfy+7WXmNy+/wT13QZr XbB8crEylPIMfap4Aml3245O8gFj24uCDUYdzyt1Zsc87tLR+LSiPdi3dMJKfhBWhasEcwJGkJ6J dWoN/t6oabIhNQSPyRXspq4RpggkqvmZE92NeFXE1bFZqjaGXZIUdBoPkPg/t55OK5T+cmkoBx9p jWSP+rW7j2Lfz7znAHtE5tOzL+tPU8+vMOWxqqFOC8RCtqUhLg/uGPnik1KAB0KQu7ulMku5mUJQ /54VZRLTkYYpfXd8tGDdNfx6JLX224bWRq9n9ST7fSDLTUG1cXhF5bzKHDytU9ciZLrpMxMue/ik XNKNP6hk3E0M8WntECm1Zqk/rOBhrlrwujcDTG71Ror4J4Jp97scLFFaLkOyoc0YKdCh6dD2q2uR 4POnSrMa7v2ZAQah6HKwA8LAK/1UOSpzlq/DHf40n0hkZ2bqVq4gE/fy77LBy5ngwu47zFlWHk7u iCcM9c9P9Qek+SR5UZdGAX1eZZFHp1CxzybYuNCWmWoifm39DaaHnzk5BDsNG9izs0Z6AK3Jickp 4kf6zOMmgBauFn4WNdK/ASM47ZgvHaA8ymj2iKnJrKF3Z+fiKUzTzEgffTNmfXveK3COL+4i74gi cbKDDhYIrKPIbIQlT/k18l+ZdoA5mIJHYUlSU3zEtkjtuizMrl/dyMMx6jeQBGMGp8QMRi4QfPEM xh27RV9UVs6Blqg9Ugwhlq34LlqjzWS7pRK7Ct+fhjDUmGF+Kqw9irQQaFU/po/lUfMlg5vQizVo oFzZ0FwDddFwNyTvD8Fm21bGXl6iFtHqf6ePngKiTRdve2N9BvzcAUCbfO0iOp98yWURkC7+NUl0 a5J9JVZLuqwCx8oIWsd542e1CJ3IZsjjeCfBaZa8gs7ZiWkfp6jbnfokwKB/yvbCjnnZ2b3gGwnL XaOfi/tWBNcZdwIe5YI1fUu1gvTxMHSRoyx5mNmkoII0BJB4YjbbzBZSldhC+GgtOCbINsUUdrGu g40QQPecAMyQ/qRn8i9pH5KQDslrdDNcZgcKG0wGr5+rHr81BJbmEzy5wSB1GIuiLRIC24U1ZoFx ftuqE8jA7MjEYNRHVQsdcy1GtPIR7ZoFNFwmdRKgNpQAjAJZ3Zyqd0IOq/Q+6Yx4jAWT/XF/Ndn9 qNQiM6oC+r/ExiMZetl9HDNP2mf1BzXfO7Z6Mu80uYa/7qOe5z993ev37ttNW7GWDX2+ay2Lq7m5 EYRt6/WYi9olO9xrIYxz+O4tkffmdFWjNlDlUqDZ6xZyrcrpSXt33MY3vgwqewBroJ+1iC90KhWa bfq4wLN6E1vMdDBSXg57NZL0fx2uKrSI6poA+fBksY/5lgWvd33BuygSUzLknRd87ORS+8rKeOJT ygevFucLl+P0J2NtKgjBziTTQcDRzvAZDqtK712wLLH/syxEeUwR0qi0wxvcYi2vtBF8Pmqo8Hjf v/u3FAJ7cuaXhQWBcQ1nSMYnf+x83pE7lvf/q5MoeLhmAeLKlX/bKHom/dolVPnTWT2tFyPBduH1 X7rW45MQONazXtXt+nr7/+b5mYbCS66YZ16fzGOc/5wgfoEuNkpTZLZtDgF8RI+IaVkG0vSfwwEO Uwz//aK1UX/oURBltzsvV7UZUTe5EWa7MRoRBx/EJO95NkhLw5wJe1GiaiRcjHSJUoOepfZifl5Y +1aLwTJQlrP89EvSDPqA4jS22cH6FJSTNVhIsxHNSh44c+8vo9NYFXN+W12zwkubnUDoqBsIMnWA toNERGmdoZFF5nBpTHK8z43X73XQk87ssPzd/C8zptQEjEyQro/ddpLi3lAN76IkjG5MOjnnBcPJ pcJSocCJCAIt21kflMUGRrg5O2K9ghJAc/v75aeD0xdG4aMBiGQmz8XBRsJ0wcRv2Rj8NPJ3KodW C59voe3aARsjuhaQdgQ60rmsSgPUCgGyyv2JJmiNKT4XdOMCIVuzv53+w01Vp43vlcXVtezxYo/Y CQW5VL7yjgpjiOEY4U/oVn/ZS+qcwC0vU41Lp813PvN26n15hMzZpVOB0Yy7OtbUyP+zaGGeLINR esouHMkTLBcYk/L6pjsMXrWp/OXcQD9GRsF7UHkk1geg2ESEZHw6HhZRUU+iQPj1bFwbNdDOB8e2 Ir6hxqOABoZVJPLNqRfdRczBenXnPDh9eiogdOmtNvdgjN1cYjQ/WtuW1X3B0eGaHVGexLAXUskT LpEITXDwKJV295WWM23Nf0FxxqoFszC20z5t+9puc0FdftScMUd0tySXJe2lHptVyFuUubmYNsWY Zf4Qa+j2f0p9g3FHUQb9DH0cflLlq0ETDLynRMmQdit8Gfn8DVzzOKci0+JiqgAviCsulXeM56nG /nNh7WLu9UcBx21txluRrFe5iyCEsW+g6CA2tAAjCr1H2Z99YSH2oESo5jEJhjJy4MFvtV4Vq5iz +uwl+yqk2DIXSzzDSN/7Vau40wUOWJXsHt1HLzbD3+7BFhHt3VRiNzviPsTqOT+0M5e3mYvZ4VLF pveDh9pjPl+seyJvZo+++vsdMiX/JSEeana2ahvl3JgI0RtBVTGXFMZgUtvcgBicBtXXb/K2nvNX R4A8EqmuVRQ2Pnwgl8rdkGue5RrHQTitHX7iUB1jIqiH1JujCJUKh3ktWEQaG9bAGHBXjhq5R46H f/uK7ItY1yoSH2eX0f57WSw3y4U2yoAn+Ml8KxOVF+2SbOD6umVJCLC/2mfSsjBNTtNWaqlUo88J OH05Dv9MOArF+4WnFjwrcdnfFu4AAWd3gkwt2CzwX/8OaiutUExKwrD5Y0gq+o8Q/p/Zaa/53dkw qRfyHJqY6S+ysORkBi0+BP7LHOVrLEnL3GjOxJ6nyITWdxi7VsCHJPOOXNP7/rzrxt8mSI6KkkLe 2+r/G6hcPW0xQfr3Ygf3z0CrqIW5HKRGnh6hdJL6tNqAoh47830hJ3tq4yFfuQLVfEqRtlfeB/Ac 89D5fYk3bmpVPQrjVfMFE6db0AbxYWQruoSZLPYQe+zycoiL2m4rRHMvMgNtr5dxx9WN7TVGq6Ij 8jWu3AivrDengCFLxd80k1qZbxlVaUM/yzY3sE/RjrJOEJBzK0eZNdKKFggVHuWvXq//tYZ7iF92 Lb7CW6ElGXxAX3n0GEo5dvYwyXETqMSkS4gCvGRzrcF87WSOJ+BJlbW9VVJCyItPI5ePl5fJ53vd PJarpwRwVPLTSQU7qT8jPwYlujNDZ0MXi8syKyZGRSVILJwRDthINP98ck+aNJk5scDmlJ2d2D5+ BQodpO6Hm//IW5titXq0vAbLPdPUSXhMLEQZTCsVtJog2xd3UYcSqZEJUqP0rCPO5rrpIy3tvkN8 p3mDfO2rEnyzicfIj7E3BT2G4TZPtYTIIptGTJ9bk/prx2kKkEeMfUvqBIZR9pcwDeZKbPAKj+Di kH0G0PJXQ26foVwXsDtqD2lFvdcGbhhmSzvfsSI1vVF9dO7CvgfsZBjRqTUDNvBQoTWuhQjfgrQK QxiELPtGts/RY45rOMN2gBM4TjJY4mcj/HHfMAk5BPNLeYg1YPvO3Kcs79DHUtXpvf/QKspqVw0L vaRiM21vx/wTAJxg/n1zgO5/wACMYOJGtWI4cna7+bO89J6VR+0uIRjJ4L3rUVFkEE586D+lrIgW eXIAG8tLeKPJ6/xs+G14LmK4VFkkSmukvdDzuFRbB/44ktjoipmZY7XJA/ExDNUZnR8pK8k/tgHr Q8vqbdXwL6dEJNmlq9D5k9pbyGUmg7eLiqJAeelKRrNKiTe9lcMoqSqUCOfm37mPM6eqNiHstBOc eCc1yjF8OpUaE96thnXAjkUefTqFCM40nEZOZKWjkYlvRFx7reG2nC2qKJCbn834SqgiCzufTZZB tWKlDY2Xuh/RxTI+r7RyDgsQGk/devcqeclCDiTB2W/p9l+nYbiUkoQ4msnnNXg7XhXurW6gsoE2 bQmb+uWj9zZdIvJMuNgqbM6mvPOPk21YV5ZPeUCBPNtIgtX/CYKJk+d9CQcfU+qO0RoePkspGfB/ tRhOB2tTFIAdYvsYQe1KsPHfCrv6Vf/WUp6kHDz3jJjLs0+F5xz6pFzhY3d5tf3ehEGL1pdPT8qk RgASczcWqE6COnbnmHdV7loVnrKpakLtTx+SCpfm1Lffn0MfAuBNaKIKmQz73M9wjg3NyB9tH5Pd Bv8JtHjY227JmrV8qs/uAct5OpV51BZ5k8REco76KggCnywZrJeH1Wb7YW3PM1DPNX2qDlBexDhZ uTIeJURC55sKJFE9i8Gm0lcZi+zhN84cutqiLrMcDZny5NxYr00jvfJ1/HOSPqAUfwKA68nkcdXI kQcgwLiscPbwMBCfc7cJhNrjv7vsE1L6ALalvruwg08rqm8xYfvHVpM5YGudG1X5fxZUnQQZBjXc V1bAF2iSMe461WhczezdElPfEJ+KdlZpK6i9t3/5Z58SFHT+tE4rD3SmgKjnjCh7ary0/d1slUux GEeLkjw9A0OJKAsRZBgPgTXCnaNzGZVZ8KWolCmcAQwNy5ZE/wxesl2AQDObuv6JlSzHw2BdH2Dh rmzhQJ4zD9UJrpzqPt4Oy1wvPKyJBpqdasXZ7uwuBs0Lj5vF/aP3rMptVFuaYrgrquvOWsGd75gO 80qI5tgJilvJ9fVjNJrNYiPh4DvoF6jzOjRZSLVjqyMZhieM6my5zReMKcqGS08c+PI9jk9JlyPM VvW7N8NYTsCpvFsR62kmT8CR9a70UhhMD9w6NeY0ULKEnqa00whScI2Tvl8HovWW9wUdBrVZlB9n /SzmC4gUdhWhFuab0akh7V55WOPM0RNdIdWEmA2sFNrdm3BjTXlbAeEnxPYjsc4Ab94saFi5e+PU qQvuzucDeux4jaDJneebe9U7A5ifhke5iiNGKKwAA3V/C+DiQzhvBcp826tTHUAeWpmerwCriYl8 7OxAYrZXdgLcu6SieAyCfANO89hBhheHEPJzwWycT+T3SedMARi5aWfT8EukgMvKOWFtkvDXdq4l +pw4lDPDm7pBtm12+mWAEo07RBDaAZ6MxJ/ykZe1euIN7SaoVeoTF687iGYY6DrvsWRjZyI0OBJ7 T++uY4zhkskyrpBuBw29K8UhzgFrDWpReO23UEJXZj7bLlpwEo8dBozfKAQom6bFOfcu4ZQshs3J 7TP0itWA6sFKOjdKWbaroS5L2+vzyRAMEspv3+TPOI4Q3dufuni6U7+P30pMTsxU3zD8GjAQv0Ss l7RKECZGy9Z9tgeqnm50BZflqeJDxHSzt4ICqDrf13fZyWC2AGDiPn898TOWndE58u+Zw6DH4WlM rDLEi1ddF+wR9oY7J36HVPxEHGykkk2XgS/Hla4uaC1YiUGCZl8PggsnuMxsP2XGI/F0rY3i6c8N qWztOkSTj7pWXJhH93MfK8BGsH2ZFmW+sMAh3jxk37N+FguIin9BCCvz1GEZt8ciA7X0N1iH4C67 lE0ibUD35MVUfDj75JjOrhPMxoTQKORphC6jBi4qatGN1KRnYnGr9pxDEVAU0p9LXfIRE6qnUM/Q kGrpDDlBpdnS7omC9Wqh3aIOOOoXv80qJamYGcy4NkKG4Jny9oani+hLjQ5Mh7TpVOJlIuTxybow EcfTVlGMEem9vUf03+TyVFGh4CiO4Nxc/c9Q+UICWIHCDrbG9RyJT6i9WNfj1icolLSvuEOQ+n9d rszSTOY1xMX32yc+Z5rXPZSOOMy8agyTbkka9c0cL0aNBD0bOjTiuJbXx4gR5lGCDRCIhfleoSIo hqcFJBdUQ2Us2KGPEZwLz0apq6X60TBPijb3JXhYoWPD3cUSwmqouaWggI4gg1fGfeE9gqrT/Sgg EU+6aF4ccjM0FtytAphjzTK1Ud2UezjoTqO0Gzl6o4JZecfN/UtQeXwha+AcwfwGdK1sknumNCQ9 LLyiZ5H66kgKfEOa6UNvYnIWTOcurww+CExwIX0lzCudzEZWVAYzfjLucIzw5mQu1SK1CEISrkwA l07Nhi+UmwkP+sy+xDCZF+4pPL67SZIofxEA5Ro9P+xVWI13DfVMYM4qC+RmqMh4Ym/OxE8j/55B rm2ftKxqRDvhG7YQjIQU1S+g2ZuMBA2eD8ajBhMEBPsBgOKRlAWS6CP8URq5JCgtriVLt52ipApj 7Mc8rx2KGtqDU3qERvP5jRFjg3Wi4ipqA6A8MM3ERmNYpDRe2u1dUM3EpQRdN0N2/78YSIjBwHES HsKCc/lUXvISI9u+mEbpb7gCaGugsv9x46J1S+VL2Ftt8g5LHUt5ynZUFvShbRPqGv3EiC07C+gN RXj49vCsqaOMweF5/x6n5h8BSY8bMXgnkCAlglmc0dWLdy7C29xFEO1UhOd26r1oK8oSX/XlbDZx LUHaPyu9gSUrc0dJI6rqaldk9/egkRXJqNuxs5LMkaFJcAxeUxpl6M5ssLd6VFylhPDlSajullQR aGWYNnq/tTi9UplvQGNBZ8/qPErbFOnNSic0OD7LCc2FujMx2FgIlLZaFCOIRTgWuFKOMotY1Ddw NXXO4Y+Wqvq4mhtwqOi61FVS9r8hD+9DE8tK4ysdl+rDdZ92jnjoC5Kl+27RfWwx+WYZGblfMvAt Vdkvp6T0hwBlZ55CkXEGwEjtjYYfUGl6y6AJiE1deeezHG3mD8XwcqfukMMgvm/yMc+nwYdx0Id1 J8V65w1GVAv/ixI3oDHTC+gHYtnwuwuHXamV5F0hrfKd8BoSEy0Z5DqsK6iv/E6l8a+qiRs86Ypq soTRqdrsPTNeDDLM6lT/DFw9XOVdbjFGqXHSAUjbzGU6ZpQJXhO0mzR1W2v1NkyidbaQsVRK3Qph dIjLmh5dIFgQa0sICd4LxgL86AhtXTPVPAnONBCRf/lGCMImtyvZWRyR9gelOGusnev2BL9rCDdX 1bGIzS7K58cdDyl734dxoQPCcs6RwfLTwoHJFl0fHH3BIaHS1bqYEpFk2yGljFL4Af/o/UOWviLo aitknySZj7LtVyLZzxExFSXUid3DLc6EmOrdr1TSdP85h9T+0lwPDw0G8CzjArQYRoKK//wGgdRA maSZcnV3lHZkI//fguepqqEShfwWZ8wuoKdp5/fNV94WwLIe7epKJimiFIXR3/U2GiWtKuqn/2Px ATCa2ibZoxqmcJb8r4aZBodd8iiS2MwJBCWI9Z0b/W6pV/Rnq+MiIhqhlxyYnhz6yjeS5iTx8Chb ZJf2NC76UGggwFME5l/zGS7rjCXLikPYNTohJmn9C8xKglviSiOIGtDijtbIEFAsOuabUq7nI3OP iiYK3Bz+VxOLvVEhZ6KmXRSqBrYS6wksny5BW9ps9XGZDyZ8SUj7DdYUrFyp1Il/QvlaiqlOS9AQ r0DFsan2ip9jwcT4KWnrTdAnmafsRbF6EE8CY4OBf0IBzMVvFPd+7iRPkmMvxtd2RG1+HlNIEw2t BjW8h0rAJB+vPixyzBW4pEgO5W2HUbCXKWDTZYN78ziKt9QOV0cPg30Pr649kn3/7MJxva/utqMg jQsqZjc36RM4ScjmuviwvNU3A8LvKnuJL1032FZNH2oKAt4itBniFq9hElWKaR2y3AkejcbBkz3h 1En9/pn/6kXuEYBbFYCRRjixMqLeYqcf15MnsfvCcuXfjwDkTpC/6SUej4nSVTJ/7yVWsT2R3NuP OVFNnoGmUxUUMxgbWQt8YMmcJnyAD1bbP04IPPghj8S9H35FYdzxWjDD23FACXAubA5D7HpE4O+R WntasIaWUaQB1taY4jUSK/tXfDE2B5wFfMXi7b4QJ257lb6T4DZbeAHjx0+04EhNuow7PO5Xis53 P92Oh1XHH5M3u74GJjQwGVNKqDY562QSSWo9J0VogZ9a6uWBCVNUgPJH3a6OOnHis1QsejxB8Ok3 kZ/IdHhUjWkhrpcHKJpYdbGg9HUOb9qH2V2OACzYSDwPxy7m89YuB9qtXkxWhgToUVXoz4rLeOAr j9IhLnYF6YmI8uq92+ZObzO6MYGtz+W/Ya0YCQmIUsIxepdzP6odnEflK30CR95VS+5TSDIFg+Tp Vu1Orc/0BlCuusajd9QvWdft4A5nRcdRo+f/vBsk73H2nSGWP7SpGcgWnomINHgsMFduQGXaj97d Ep4nPkhGaYb9vBYk//CYwcO4T0jdtjjegw7AEEUuFoChE7RPE7ZE1xevHeF0QmiqYXX0PHr9LpoP h0TuqbCJg8NRsNxcOXnnhswkxmBDaLZwLeQ1xEc2Oj7dBflV9vlS4dKknN2XXeCWWOp+R+Nd3R9o 28/nXfrofbyziHWpJ6GXi8on5Mqml4rgWxRQmvrivat1ElTFEaIgLFQOp/9tPyt7Pi24EdcW6Nuq KknqhIqrBRa1NOa3NfRE3LK8kGJDHeTMuqB6MGF3+Yby7q968Su6To545lcM4ot1+HeJ8vRw4zI4 ihoIt65AYFkSRkmqfmRT9pA1MU9jqw1Bsz8+iDs8kXQikA/OdkxdxQSuRvLWLM/CVqW5qMQScQDt Lcq6q1AuyyVT/VFTCs+ddp0cad6wEifcImgh0Wl2akAB+xiXI5Pdc8RSKfdMuqgGCzPKCiX7nuxb aqL5VFML9Iajqhq3E/GxtdHqURzukg6lfjHHEmFykyWWTEhmlk0wJHuTB8hO7Ey9Y0LnooODjFIh kj9dXz78ayNlG3qWnxg9aIe6LQX8Nf+TdqDj7ijPFUddqOGrIX2bgJXulyRL/xQoVHONXCuKBrS8 UrFpNv5UgBLp730Is6ehQhZgpNOzt3tzeEae+cN3shslrKIvr3ZxrcoZs6mjZamIgAo/NhB+5u2v UEE11mhCrCFr8vixva9HRoL+ACdnDYv5fwQQ24zsd14ueUrjCZYNZibTcC6ugsJHj1ISC+HXqbTy CQlrj+N3yB4JrkgZdDCwPEP1wU6qReOTp45+sgxhFOQbWzE2mG0b4X1jWofxvglI2L3H8sG8ebQU sH0bKFoT4iNGp+M5JLFLJe0Wmqyo85a/2tUiMWD0gcZ4/bGAOXu7YCrRz+cWm4V/mpNRrtGjhunl fXoy49jrpYdF2mAPaWp3HzSxncNWvGbYW2Igbb1dTmiCiuxHXVlwZxzWPrURlVZa2hHlq5ss5SKe 4OVNb4uQl6UZ7MP5fo/KjwrV+SlV4kuWbXbWPpZZ9fvl2rdB4lJ48XBBFfEZjF0UyRjgnvXsCZwF lSaNhFBRmsM4lWSVFynbJNKp7eUowgBO05wqs3QRfLltJXbtpuJB8Uore7YUtFrNMtHXzcGhH/Z4 O3stea7YVGAehiDmHykLQD2pjwTAF7q3dV4GtCmJ3ux5O6LOSU79x2pTnbvJJc9ZGpuWcrKPAche JFPJHDE+dG31VwCLYPOtT4lGGUEppwBrE0wNQosTWkvAzthdrxoF0LR2vyvAPwMYoaSqp2z29VaI CjVe60ETUc1cQ/rwNw4PWOVYAuHkn6c0rFizcnJWWZb5FG31rqJSqfkClDLL1LhmjrasdTu7niLA djID9DzX3PJe2e1QKZm57pFLws2q7kKXr6t35XrBC8tzmudsewboQazCfYeDNifOgkg2V/2phiIT MRr8FshOBVCCrReMulQKi6vV6eZiQsl1bMO3lixK5kaYckRuPgMEmtwbXi7FRdbZTHaVqBZANAwA eNR/0LC5QjvIfS1LRskYQfXjnUKQikyygPRCsBJ+fAKB2bqSMEynqC5PlEpXQBSNl4bZV0Q0F4IB p5etA5fXEv+OdQdEP9oChx5TNZ9kBBGpoTevlXv3L6vkv18+xGbNxNEgWrpEgT/dvEE/edgnUaNt 3Y5+k+lZ2zSP+nO0t4S+xloiQaBdqJI0IW29yCTzUYWBMHDilqx+qWWUL4n65DLtAo+hr7Knuu2O /lQk1aqAWhNTkZd1buJzmHBxUBaoLFBjoWj7nQTshtGyKVvOTfsvASnkl6z1v41ufyXi7xvNC1+4 pqDYX3UenFXMHXvNNDmv9sqFVq1qqAzUEUxxxU4l7oIuSM69NIhK3WDrz/m0P82Msw3asL5QNKIx dTQxlJKLpk2Gkd01iQf3IDjj07BwON/aXBk279ljnQXWXHBVdNHQy0TOFj91QJgsUaWeA/TV5I/a ACmdmx+PiycePKSmdn0rlGsDzvZVcY0CasfnSoUoY/xOQfskJFiJp2GU/HNgP3gw17+ItXaDhNCe 5gefZS+PRl5XXnk8RcYlH/2i17peT7fcnsLukHneQn+p6SOAi5EgcFM2x9jWUt7Vk2FGjNWtHJUY 29TIIcyKeWuSQNZu0YROVq4Uj+GTNDlcdwZMz4AuNrZdOF5QHP8j3SpvByHCVyGCx5QR/7C7SosC ZFks1KOqDgIaTgRQd+5+C2hyV+P4zYRMaiMHqphyXJHnJ+JafKovjXkPs7IeK1ttyDhNfziDTLUP 7azXbuELE24TW3Xfd0G/YretpGAtRPOMgo3TZcWbAaUUObufv3Oomuvfwi0rzbOQuvjgBaRbSpwn qS0HoLfQ8l/4i96zPmnV0JX/ctm+rZ4rZLEEjBJGac/lzOsngpiynDeHICPPqU/2lVfDl9oB2C8E TPHAsgL6OECZ7ngKhkmLraAWLbs2Xuxky5Jac8w0mdNyjmSVi1/6l7HQkzSHgzc6HoAieu2bauNE NYh/pOBejrjMxQeh8023SmaYk7QGujX/Nv+JggCuV4ylcIOHK3sM7bUkcb9uPZGekXx5g/QH5If6 xzCgjBkbCAq2fHtFB56nI4mavUrVxXJPuQ6w8Sr00qNtVDlzpuinUmGRczolxFfTSYn8XGuZHGgo GZseFFlbR3zgufPL6KKE+l8GxGP9dhEmIPEyzdmxwuar0Ci/VkhMmSzPFNmW0UU/6ZKNbEYWyJHL +Kv0E0Pzp9NFthu5AHl2EYM/GcVmjnWitqP1qGRayKLAEMd/U6v6POnvXtUunBo4YPepgqyqb/0s uNLcos9kXJWkZgVckFj0Ue1KPMBO/yg4FTfwLf5xFkWSoPmXMlahY+pi2jPy3Synzv+JqIJ/OZPE BZWk3Vali3WvwouGv+zAMSAi3ELrAbkC0D3Gb4CXOn5oxewYZC8nfZuVWGy3cbVEA55h2mPnDCIT UjjfiXhtrsXtHckvfIZPL7OpL0Es8hit/LnwSRAEowXITS7NBJejta9tRHgxa/4rTf9x3Pt7cFDx uyP67Ut1uP5A69JW6FcdzxYEwUB77xHyKFxzi388LQyBoTh+bTPfu/eDVDCS6PnhWTjI5RDB17Rz XdG3cSdnw69S2tXk39HT8nylDpuMhyeTqraQVLq6N8zvBPryLrsvtsEsEZb5wsQnHTNd0o98AKWD ncR62JQLDGr9AG89E76tiiLUwC0AwO5yFHwtgyr8HCisKrhWDTR8q7nm9HWtTCY098liMpPoGQWT A42uBcRfyKs5wwQNWGhOgOYngfKWMR6KRf1YBMFOCfb2/fquWLQQ9AEl+3os3ao3vlQeiwGZVLcu 1GD8JRoTTxgcy+zoaJGmst4QM58Hnkwy8PF7mE63rf4IycVD2z6d+gWBjJqSO9T8dWh0bAF+M4O4 Bml06sbzvf6YT/5W+praxRlGpesDNEYXtL5atZ44z/WZG8JfQN5u5alCa3psyv47os15VagQkZSn WQD9WpLmNJS8u4fFSwUWNcq7kOevOV+EfI7/f9m/dMKDQnuC/jxLdfoHz9o7mJkDv7z17fRn335E bYURJnpbangehWRalN42CKmUooktfE/YJvSvlM3Y4EpOwuKX1rhLG194BRpSMcwhE0y0i2N4ifVW cVu0hpms92pnX49eg40fCWhArvViw+OxpBSVkAqfvMODUqzif1JdnGiS2dV/IbFGDlOX5iTksQCK qol0pJZCu5v9JJn4Ecu+5a3WuxJ/2R9CTMgEX12V7rcbuIfcumXrBCyzoeI5n8rFqmG4CIzhvX+H p7Y4OMUgEp+28Ux/jt4jlipddcfBR5v4ovYV/bbhxTx0SyUz4IGC7tURnJ6MsrU8T0qxvy0wYq3D NoDEenaRup1Z3fF/rb43HecphU3tMp5+HfpsGzyYWG95P9J/29Aoq38Foqq9CIPGkvDWpBTSRXZk DnFgBkFUNBuKp3UBpFk6UH8mf5sFgmJait4O6CX+bYImnyTiYtcuVAedgO56kSZMHmKcWuxhhPMN Dt95h8N3j3ZvY1fg/pocpBCVMa/QZzAXIlVqbc4v7fjrlWo/CKLbaCvGbXY+L1qupP9dE3Wc/QXw HITHMavMTZFhIWIDE5rTam55m1c3vLmrpD4f7ov9d6huFtSaB8uq/iVgbslvY88Ca/nxDrxoTMfZ GaFL/98YCOKS7/s1I1Ikt5y7fJ3iO5YIi+mtMiijeX2g2zEo0gNG6UPY1/fmmb8nRUEdixrbVAwR qsqFpy9WBiewGX5ZQ1uFx98AmcYmqToyOM/TXrlLtKI1JNIQk97nWX2Vwtxu8QXGsQvdU8tqV+V3 yZKRheA9qH6nvHHyO5yBsvj9QIsbbW3rUlMhLOsYpfJX/XgLmfwR6yaN6B+4vb62YY/IvS/4Pb/h p207MFwNnUBy5loytVSghkoh0mw53IojR59D+1cLRAqdAB3Tq0ozXzzS/aJYawHuZRXRrOKKvpoy E5FAFoO1ppGAT4qkRguICf0vFwyCc7ExTEsHkag0UR7wW6wggjGEHanCrJgZ/3NTQJGDKZU35kzd FCO3DE7kXMJuxZzpbE0pezj8v89A/xiGPE2/nTaYptC1oSHSRdYORDF5CM1U42IHRPZvqhIDfoFV jM8w2JRNi+TjsJJXxE2pUhmm334eqWMVh3LwYwni6GaKVXwHGVJCPHUwDSM7iMyV4NJe/1EAsMg3 ZCl/z3CZe7NBDLddFx69zHyzQ7LOr8Jxcw5+sbnHArg/hAZJ4ADQqeGfGZNN/0igJK3O3jPG9lbn VEpqvPDjPXXJCgo6bkiEqJefPzIdDSXJbSBT+cVZ7kr3fSe9S03NplkBCMbhFKIUctwClgebsBsm IMKjRbTHkjbPms9doUfG2XmgY9fY10oDi49a38xY1YLxnKRxK9uhoTcyYTUh2wqqkpXw5Sl0Ca97 VlCsT+57OhW3B+AuAIziLXxJ0lhC8UOx4/5IrDDWDFIz3jSPdLrCTDKnUkrYrBRgLR5mhQl1Gttv eUnxgECqjTdVZYfu1XJ145G3wf4Y/UGDJ55dCUpUrBltN+dhQ1I1SaIAtEO2c8OVTpp+I0gnzOMX eg7q/8S8X/HZFv09PD5x2AxBNqdUMsnj9ReQJfneBSqH8L2KgnLl0YOIr8jWJBF4BL7fr1eDtAEz 5/lSGoq33YxxpPd33k6UJpTgfavmTd/holVnz/2XosEbU5YgmNcm+z5u8OHl+Yffv+rRnLElxJ9m HbbFKg4KIyorwLe5Wc/jHrUwBxtUEt90NjwelONU8vHnqAHmNoF4hAlA6LuWAvieSjqq30Bw3PqT q2EcyilBqPrtc/0nhYWaQF1Lr48K/ouoRpPFKHuKlU412CyQ/2UEYouvjxAB4fyqMSloR4aa2vHi n8dZtc0hZVVqx0phI5/YDxET3T1GyIMb6n82zS/QXjxMxyjEND5L5rHlI4fhnMXyG/+aoTVMw1+P iQ/x9Fw+4RNIosHz7SJTGGqL9gWDgWH87ZM5QA/rD97vUMMZeadwEOtowEBJ6QLZ/KwBnbzSBpAv 5IeybrgWLJCqhJe8xtuR0DPnrQ+6WjyBsLOvqngRaLdiWpMtZnS/c64ghUFFJGelAITdymP6WjMH bV7z6X7fY9SEquxSUtsj95xSdEPYSHavYIrSnLmvqcc8nn/vOl8NpWeLpc3M0UxDeTc4oYR1ZCJh Vct7q+FpGA5nQmLFl1jGdn4WSNEFimweZhIbByLMEt3Cqk15QhztxncszvgaRay0f2FXopp2ahgc MPc+2p3I/adsfw04YNXo9pn4A/oK0LJHgxBLH08XGeBVmjT4/GRC5dwMpOY/VLqprssShkXPIvU2 ic/8/pSXJP9+dVPToDLK1SWweLkFsB6GybG93NRUltjbV1S9UfoQZJMdzSog0JRAkWagDKOsKWPB hB3kyA2lC7NqAp00mWq1QaHEVNXl2kNEN/hIv0Qz76rXZGcqz0vDtJMW86j02P219Sscboq3fB5J msfs8rUAXXevm2peMhAGipbo0GztDJ3ylZ1S+pDHc772oi5Uiz18Rrc3kyH0j51vd6aV9mKq6OSA BsfqfmJq/dz6c53P5cen3P3veh9dtcM3x9Lk8z0AACLQ7qonqJnzejD+fSY/EEHPzPfytgrVzslT IE3B62RYRDnLt3HGT3/S0h8UXZNT0/DuWSFwZ6j/njiIwkXjmREdxLPUnLk5Q02T4RmBwmjkVXhg wtal4UNgY2Ulbq1d/rr3c3qB2EIJy1/oHCMcsT+Jg8iTpKdPjmWZXI89A94lpLZrxLNx6Y2vdLZU OCUKgMLoCORBc/TtcXllpnVlqvqIr5/ikaEHsFN9jeEz8MqKgpM6PFYMzI4Yl/dZGBVT1S8GcuLW jyn01cblCWzY2F1tur3kHDegOWPR0kxxlkr7YTcY0ZZzaHIGXz79jMcXbql8LSCYXuhMI23eokJJ raxMDLx0Z34fPinslGSve5H8DSoP+lAvIhDQyEhusXslzI67dT1rNjRrFpfd2menk2UapJyzhMAX OMo8RveKM+rs4/nt64aILIDPIgEEm2Ae6otQO9zKtHQ3cLI6439KBjU1WDUnsP68H0vHZigaiLBi XdhtZtmPZmmbRG0BpVpOoxmwQ1Fh+UQUpibuZuhS/KiONUJGx1EVWuJYp3rntLaLrRHEhJH+oLd9 ZmCItXYCBVz2eFMA7Mouwo3v0ld7H3KR+faVl3vktl1/PcktGZiBFGahCom1aui/9W1zKUP8UIkO hVetOHbPzC1WVhG1Ho9CVGO05dgdxXAunQIqCwzAee205mDOOuFnLJH3IV+EEK4QPIrEtNv1ESgW SOTHTKgukHQq+1AOGBFj5mN+ZR5V1T76IVRcCmvKO0hvyTpeOC2gU+Y4oRdLQ/uaN8tLDQeZkXBJ CO5uY4Vj+yZrpc4nWbUrmMkMkLLusAM7e/DCFKYXjmNDu2eR+LHy5lxzKAGJMUtUyMeAEefab98Q Ztm5IiOg9HQAj9yZqo4Bta8bAY0pFWqqgjAYlSeBG6xsvjdobkTZm/p5PZ8R8KO+IhlHqVHDdaC/ DG9SsQQpp/wgqSAqJlaN6QTSrADOmFzhnqSkyiuGCa1CB1mMytJ0PMJ946WVuX/5wzjAL6/FhhWR WVzpdKVUlId9Hu5E8BKMG2KXX1S8VUfRhdYL7VPfBfFZmB4Ck1Xm54WLl99HSWEQjNt3cv1oe5j7 TSvfN7V4aabDCka3D0h9RQ8LsTPyjKgC2nMnljbpNAWren/JZfn2RUXOuW/XDx17UqwlPdnpHZXs gdrOBQWQ2NriADyvEdDK2pYOQwebvasxdcyyt6sf+z4nFAFHhq7V/vlpc88C4L7FjSbdWmxecCyD IXQr5alC7BEvuw/rIiSRFPWqoX2pO9XqOdkyItezosTb64u9+HgaX5vtFDPWubfz4AhM7Itg5+WZ by3H5SwJP6L4DQax/Lpbha1jsh+C3YgfiHH19KcVEs2vKNUEB2tIO9MmGZYu0nzrKrNBn07jjcuh 3Xsp5cIpJ3RsV1M0sn/lBEHbCZ/S1gX8faq6RP1pPvg3pMPlJA9gyP/uUByOde0v2fiWKNfdIli5 AE9NINzVYexjPVxyp7eKPbRmtYjCrIyCvK0kQwJtM+qHcDbk+XpAIXRmIa1u3eUx2kejLF5Ce0wU 3W5XQF3AHLHCkuntDwuvYPa5K4RRD+AQzPn6Sr0Hpa/IDErimPwJ0JAtLof74xIqq3ynDCHEB8Jz jPissabw1LPbRYBlEou+lQh96Ge0p0fbJZ/DSDINFJrzxX4axkzhoSdrDVqmkQY4jB1GOLjkYCcT UPQ4yZkD4LGUq7Z4kbgju824TU2c/9T0zAEOKxZHE97DtjYjUAuVsR41ajUHEz6/FTROVIHUS4NK 6Pzk4cl7E955/qaLHlqPnYCDVB/QwGVmtruewaKx2t5+lN2ULBXXRr3wjIKbZxa8m9p4pvY6vCFO gBKJCUiV9pFzdYQTsefF3h/1npvJplpq0rSXajuZeQJdk4Gk36tlhRJ3rJCuZ++JIKSZWncOZMMU M6Vpg0T8bRZ0fBDV0X7+pGY4oUn6qt4F9+d9srvXL4GsGWq/Uj4RQF7OixwWaqba7Y8DTVOK2ge5 ibZzAZHIs5pNGZhkjV2f+YTYvbk1aJFTfP5PvHPvD5OUV9wddFUPNUQtVdsf4SoBxBkSp8C07R3t 2rFHoRnek7SOrFFfj7/LVqKE+gmIA4KkjxnvWOv7e53Kk1xof4qglGniL8sUtmrHNPVEI2Mf2pqS /8LijuD+QJqsVAa/m6opLt/37NCm0eWTmTDGZ6qaSMcerzPMuAbUq7TjUtZU6l5SsZ1Ca4IJPAv3 4IRWTNSal8WtreXLijrYNW4TOhLHNhb0EWKEU5Ljk6AFQJk+wuGxNbMp/EX7O3inJuWVyygX9UPB P1QeC7GqEi5CObYdms3eu/C1tVOWvpc5sO2F4d+LygPHdz7ZJVMQroYHwrkvTawdPgtRDrAhC70c 0DMXtpE7rN4DQPv/BVC2tafzMDrmp82/FsadOsRoQ7AsE5KHEZFEn0pBTBF956RFT71zESIeJe/f pubgmn3SwCOrelMjew7UzCaR/V16y1f0MqhtpBrX/4EeWkvXAxd1rULd01r02Ge7doZ/RjdFktuS K7LeXyxuZ502QwEtElqO0l2/OeemH5EKm6TqVs3u9jAJ+Ui4tsmwpl4RKPLXP/BFvkmfgQI+wINL An2JFUU54OE6xjlgzsn6abXg++IhJtv1n07Ke0oVVEGb3gyTp9xztn9CYk9cNAvKhpQvY7AOXWUT Ez4zfAUpRmMQshwp+hz8icqIs7x24EhDs431Rn1R24b+F2jyy1DQ+xce3OccxBw/o+/8s/IOeLja RI3P4qYz0AYKbSa1rjyN/InpjglaqNJEw6qPNxUtDJh+8upruM2wCxylwI00XZuY1VZQKdA2NnUb Uzwee31+El1iNxLKhuzz3xrPapWrll5JQMwI0quLXKxsaTFdFMCghplU/4Gc2cbMO82vF+2k89Di ydfKADUwx+fgnwc7enbCI2OCG/ZD5nr/fr0lHlV+ShnfcIyKkSdQPjzK8SqL9+2HxEiJPlDXQpOO r/YHkfMXxL5cL0gN0Ce/+goVUAqH4qvNZTpdP4g79Rrs/KQ/56rI9QVH6tg01rBWKaLI5Z3+RLpZ 95MRxuBPePrOzz34dzQ9UE7WuWs1uVa3VbKYu7spG2r5wWBmMsM86J/afcShLmQPH6VS7QrkfK0m eT4e0scMlIvSGDKwzOCeSE/Ps7OsnclyiLnuYvKFhSDo+UgbyNOa8M3nVx8w/lqpvl6NWrgjZ2gf yDYgoZ54aERKyI2YvIQQodsBYp1JqKsfSGHGkQqLoq4jJg7Y1hux7qJIkxUAHC6wkVrwLB+Mgulb Tlbsza90MZRA4Df7N9LcEfmwZ+2DZZFAnN9URImzuoJsUorjo88/Yb7CHQ4YTXW05xvVhSIut7OU xrE4yF7c8776WGp6KQ442ke8A+uw3eqsSEqelWUbbEXHqHPzuyh2ZnRzOfqO0KNSapxBaGv6Qo3p KyYpUZ9Ey4oU/9TPLlu32FhGeJWJ9oIycalf7+86Hh9xIzjCYJ5MEB7VszgG7sUMi2a/4D/uMMQg o5q5fstDXJulSIq5m/7uLYDtDISbpHw9VzF1d5JCGkpky+OR1KPuyhSEcTABi/YdhmfSa5giLbIS RsZQLfBpjT6YylaIRjVvcR2d7wWotU0F9F7D8c/tAmsjGHmtkgu0rKOSGPHxGqKL2OhGYR2/daZe p0YldMKHqsotwdifAwpv4vddVAtEvRzyYj6j4K4SNUhnpeNtEuFnmEykZMSqfqkUG7Omo5mH3zrr WbqvbScxSDN1YWWfgcrvnbCJMxPJBGGsH16pYt2FqmjmfwAw/DZqCvzUL0JY9h/N0gfm8IGSlRu4 qEjYuyfuKgxAWrht7m5XMcAOYYbJRq/aZNY5NP93A1+L8EX/BZvE/4biwHya7kJ8ElpGAsP539ex M6oiALzDeQpDMVkhTxtxrkA2H7vHtGkIiZNIkssp6J7RaEQf8pvAP3VmfEQCbLUX6ML/ZdShNLBz 2lBzIkAWB0jqt+G7vaGEI0GCbhBGDxMDaNIaFQFVuEQKBP8fFqRYSQEUAqF+4GbcMZv0j8wgzGuK AYycDoAlU4xUIK9uEsLw4dpdx4yBW67BKrTWF/k60QaRupyKgM+26f6PeYdXtoOFWEaKTD3Le+EI RczwTfCF//de3hJN41i27+i2m9ggzIulY3ZMIvpRMH0cHICGbXj9fE+FBFP+5ZdtdDXkZf/a6Zgl u0ZvDZ495GxsBXbCd2sTGshaK5X+tmBrWe2xrNwGIfRkTzQ45mErITXiXLshC2aDWCiGyBnTRwix UaKZykCjch9tBIuTsop1bx9APU9Rsd5DYFxnxu2s6LKpC1Kr+B4= `protect end_protected
mit
9b5c6f686fde106b5b4e1dcb6d08e06f
0.940635
1.880934
false
false
false
false
stefanct/aua
hw/sim/tb.vhd
1
3,445
library ieee; use ieee.std_logic_1164.all; use work.aua_types.all; entity aua_tb is end aua_tb; architecture aua_test of aua_tb is component aua port ( clk_in : in std_logic; reset_pin : in std_logic; switch_pins : in std_logic_vector(15 downto 0); led_pins : out std_logic_vector(15 downto 0); digit0_pins : out std_logic_vector(6 downto 0); digit1_pins : out std_logic_vector(6 downto 0); digit2_pins : out std_logic_vector(6 downto 0); digit3_pins : out std_logic_vector(6 downto 0); digit4_pins : out std_logic_vector(6 downto 0); digit5_pins : out std_logic_vector(6 downto 0); sram_addr : out std_logic_vector(RAM_ADDR_SIZE-1 downto 0); sram_dq : inout word_t; sram_we : out std_logic; -- sram_oe : out std_logic; sram_ub : out std_logic; sram_lb : out std_logic; -- sram_ce : out std_logic txd : out std_logic; rxd : in std_ulogic --~ ncts : in std_logic; --~ nrts : out std_logic ); end component; signal clk : std_logic; signal reset_pin : std_logic; signal switch_pins : std_logic_vector(15 downto 0); signal led_pins : std_logic_vector(15 downto 0); signal digit0_pins : std_logic_vector(6 downto 0); signal digit1_pins : std_logic_vector(6 downto 0); signal digit2_pins : std_logic_vector(6 downto 0); signal digit3_pins : std_logic_vector(6 downto 0); signal digit4_pins : std_logic_vector(6 downto 0); signal digit5_pins : std_logic_vector(6 downto 0); signal sram_addr : std_logic_vector(RAM_ADDR_SIZE-1 downto 0); signal sram_dq : word_t; signal sram_we : std_logic; signal sram_ub : std_logic; signal sram_lb : std_logic; signal txd : std_logic; signal rxd : std_logic; constant freq: natural := 70000000; constant clk_tick: natural := 1000000000/freq; constant uart_baud: natural := 115200; constant uart_clks: natural := freq/uart_baud; begin uart: process procedure icwait(cycles : natural) is begin for i in 1 to cycles loop wait until clk = '0' and clk'event; end loop; end; begin rxd <= '0'; icwait(uart_clks*2); rxd <= '1'; icwait(uart_clks); rxd <= '0'; icwait(uart_clks*2); rxd <= '1'; icwait(uart_clks*2); rxd <= '0'; icwait(uart_clks*2); rxd <= '1'; icwait(uart_clks*2); end process; aua1: configuration work.aua_cache port map ( clk_in => clk, reset_pin => reset_pin, switch_pins => switch_pins, led_pins => led_pins, digit0_pins => digit0_pins, digit1_pins => digit1_pins, digit2_pins => digit2_pins, digit3_pins => digit3_pins, digit4_pins => digit4_pins, digit5_pins => digit5_pins, sram_addr => sram_addr, sram_dq => sram_dq, sram_we => sram_we, sram_ub => sram_ub, sram_lb => sram_lb, txd => txd, rxd => rxd ); CLKGEN: process begin clk <= '1'; wait for 10 ns; clk <= '0'; wait for 10 ns; end process CLKGEN; TEST: process procedure icwait(cycles : natural) is begin for i in 1 to cycles loop wait until clk = '0' and clk'event; end loop; end; begin reset_pin <= '0'; switch_pins <= x"ffff"; sram_dq <= (others => '0'); --~ rxd <= '0'; icwait(2); reset_pin <= '1'; icwait(9000); assert false report "sim finish" SEVERITY failure; end process TEST; end aua_test;
gpl-3.0
993a8ca5ece3e60752c115c3bc7cac78
0.606676
2.854184
false
false
false
false
MiddleMan5/233
Experiments/Experiment7-Its_Alive/IPI-BD/RAT/hdl/RAT.vhd
1
26,439
--Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------- --Tool Version: Vivado v.2016.4 (win64) Build 1756540 Mon Jan 23 19:11:23 MST 2017 --Date : Fri Oct 27 00:01:59 2017 --Host : Juice-Laptop running 64-bit major release (build 9200) --Command : generate_target RAT.bd --Design : RAT --Purpose : IP block netlist ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity ALU_imp_CAYOB2 is port ( ALU_OPY_SEL : in STD_LOGIC; ALU_Sel : in STD_LOGIC_VECTOR ( 3 downto 0 ); C_FLAG : out STD_LOGIC; C_IN : in STD_LOGIC; IMMED : in STD_LOGIC_VECTOR ( 7 downto 0 ); REGOUT_X : in STD_LOGIC_VECTOR ( 7 downto 0 ); REGOUT_Y : in STD_LOGIC_VECTOR ( 7 downto 0 ); SUM : out STD_LOGIC_VECTOR ( 7 downto 0 ); Z_FLAG : out STD_LOGIC ); end ALU_imp_CAYOB2; architecture STRUCTURE of ALU_imp_CAYOB2 is component RAT_Mux2x1_8_0_0 is port ( A : in STD_LOGIC_VECTOR ( 7 downto 0 ); B : in STD_LOGIC_VECTOR ( 7 downto 0 ); SEL : in STD_LOGIC; X : out STD_LOGIC_VECTOR ( 7 downto 0 ) ); end component RAT_Mux2x1_8_0_0; component RAT_alu_0_0 is port ( A : in STD_LOGIC_VECTOR ( 7 downto 0 ); B : in STD_LOGIC_VECTOR ( 7 downto 0 ); C_IN : in STD_LOGIC; Sel : in STD_LOGIC_VECTOR ( 3 downto 0 ); SUM : out STD_LOGIC_VECTOR ( 7 downto 0 ); C_FLAG : out STD_LOGIC; Z_FLAG : out STD_LOGIC ); end component RAT_alu_0_0; signal A_1 : STD_LOGIC_VECTOR ( 7 downto 0 ); signal B_1 : STD_LOGIC_VECTOR ( 7 downto 0 ); signal C_IN_1 : STD_LOGIC; signal Mux2x1_8_0_X : STD_LOGIC_VECTOR ( 7 downto 0 ); signal REGOUT_Y_1 : STD_LOGIC_VECTOR ( 7 downto 0 ); signal SEL_1 : STD_LOGIC; signal Sel_2 : STD_LOGIC_VECTOR ( 3 downto 0 ); signal alu_0_C_FLAG : STD_LOGIC; signal alu_0_SUM : STD_LOGIC_VECTOR ( 7 downto 0 ); signal alu_0_Z_FLAG : STD_LOGIC; begin A_1(7 downto 0) <= REGOUT_X(7 downto 0); B_1(7 downto 0) <= IMMED(7 downto 0); C_FLAG <= alu_0_C_FLAG; C_IN_1 <= C_IN; REGOUT_Y_1(7 downto 0) <= REGOUT_Y(7 downto 0); SEL_1 <= ALU_OPY_SEL; SUM(7 downto 0) <= alu_0_SUM(7 downto 0); Sel_2(3 downto 0) <= ALU_Sel(3 downto 0); Z_FLAG <= alu_0_Z_FLAG; Mux2x1_8_0: component RAT_Mux2x1_8_0_0 port map ( A(7 downto 0) => REGOUT_Y_1(7 downto 0), B(7 downto 0) => B_1(7 downto 0), SEL => SEL_1, X(7 downto 0) => Mux2x1_8_0_X(7 downto 0) ); alu_0: component RAT_alu_0_0 port map ( A(7 downto 0) => A_1(7 downto 0), B(7 downto 0) => Mux2x1_8_0_X(7 downto 0), C_FLAG => alu_0_C_FLAG, C_IN => C_IN_1, SUM(7 downto 0) => alu_0_SUM(7 downto 0), Sel(3 downto 0) => Sel_2(3 downto 0), Z_FLAG => alu_0_Z_FLAG ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity FlagRegisters_imp_9QD03I is port ( CLK : in STD_LOGIC; C_CLR : in STD_LOGIC; C_IN_FLAG : in STD_LOGIC; C_LD : in STD_LOGIC; C_OUT_FLAG : out STD_LOGIC; C_SET : in STD_LOGIC; EXT_INTERRUPT : in STD_LOGIC_VECTOR ( 0 to 0 ); INTERRUPT : out STD_LOGIC_VECTOR ( 0 to 0 ); I_CLR : in STD_LOGIC; I_SET : in STD_LOGIC; Z_CLR : in STD_LOGIC; Z_IN_FLAG : in STD_LOGIC; Z_LD : in STD_LOGIC; Z_OUT_FLAG : out STD_LOGIC; Z_SET : in STD_LOGIC ); end FlagRegisters_imp_9QD03I; architecture STRUCTURE of FlagRegisters_imp_9QD03I is component RAT_util_vector_logic_0_0 is port ( Op1 : in STD_LOGIC_VECTOR ( 0 to 0 ); Op2 : in STD_LOGIC_VECTOR ( 0 to 0 ); Res : out STD_LOGIC_VECTOR ( 0 to 0 ) ); end component RAT_util_vector_logic_0_0; component RAT_FlagReg_0_0 is port ( IN_FLAG : in STD_LOGIC; LD : in STD_LOGIC; SET : in STD_LOGIC; CLR : in STD_LOGIC; CLK : in STD_LOGIC; OUT_FLAG : out STD_LOGIC ); end component RAT_FlagReg_0_0; component RAT_FlagReg_0_1 is port ( IN_FLAG : in STD_LOGIC; LD : in STD_LOGIC; SET : in STD_LOGIC; CLR : in STD_LOGIC; CLK : in STD_LOGIC; OUT_FLAG : out STD_LOGIC ); end component RAT_FlagReg_0_1; component RAT_FlagReg_1_0 is port ( IN_FLAG : in STD_LOGIC; LD : in STD_LOGIC; SET : in STD_LOGIC; CLR : in STD_LOGIC; CLK : in STD_LOGIC; OUT_FLAG : out STD_LOGIC ); end component RAT_FlagReg_1_0; signal CLR1_1 : STD_LOGIC; signal CLR_1 : STD_LOGIC; signal CLR_2 : STD_LOGIC; signal IN_FLAG_1 : STD_LOGIC; signal IN_FLAG_2 : STD_LOGIC; signal I_OUT_FLAG : STD_LOGIC; signal LD_1 : STD_LOGIC; signal LD_2 : STD_LOGIC; signal Net : STD_LOGIC; signal Op2_1 : STD_LOGIC_VECTOR ( 0 to 0 ); signal SET1_1 : STD_LOGIC; signal SET_1 : STD_LOGIC; signal SET_2 : STD_LOGIC; signal VECTOR_AND_Res : STD_LOGIC_VECTOR ( 0 to 0 ); begin CLR1_1 <= Z_CLR; CLR_1 <= C_CLR; CLR_2 <= I_CLR; INTERRUPT(0) <= VECTOR_AND_Res(0); IN_FLAG_1 <= C_IN_FLAG; IN_FLAG_2 <= Z_IN_FLAG; LD_1 <= C_LD; LD_2 <= Z_LD; Net <= CLK; Op2_1(0) <= EXT_INTERRUPT(0); SET1_1 <= Z_SET; SET_1 <= C_SET; SET_2 <= I_SET; C: component RAT_FlagReg_0_1 port map ( CLK => Net, CLR => CLR_1, IN_FLAG => IN_FLAG_1, LD => LD_1, OUT_FLAG => C_OUT_FLAG, SET => SET_1 ); I: component RAT_FlagReg_0_0 port map ( CLK => Net, CLR => CLR_2, IN_FLAG => '0', LD => '0', OUT_FLAG => I_OUT_FLAG, SET => SET_2 ); VECTOR_AND: component RAT_util_vector_logic_0_0 port map ( Op1(0) => I_OUT_FLAG, Op2(0) => Op2_1(0), Res(0) => VECTOR_AND_Res(0) ); Z: component RAT_FlagReg_1_0 port map ( CLK => Net, CLR => CLR1_1, IN_FLAG => IN_FLAG_2, LD => LD_2, OUT_FLAG => Z_OUT_FLAG, SET => SET1_1 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity ProgramCounter_imp_FFPXUZ is port ( ADDRESS : out STD_LOGIC_VECTOR ( 0 to 9 ); CLK : in STD_LOGIC; FROM_IMMED : in STD_LOGIC_VECTOR ( 9 downto 0 ); INC : in STD_LOGIC; LOAD : in STD_LOGIC; SEL : in STD_LOGIC_VECTOR ( 1 downto 0 ) ); end ProgramCounter_imp_FFPXUZ; architecture STRUCTURE of ProgramCounter_imp_FFPXUZ is component RAT_Counter10bit_0_0 is port ( Din : in STD_LOGIC_VECTOR ( 0 to 9 ); LOAD : in STD_LOGIC; INC : in STD_LOGIC; RESET : in STD_LOGIC; CLK : in STD_LOGIC; COUNT : out STD_LOGIC_VECTOR ( 0 to 9 ) ); end component RAT_Counter10bit_0_0; component RAT_Mux4x1_10_0_0 is port ( A : in STD_LOGIC_VECTOR ( 9 downto 0 ); B : in STD_LOGIC_VECTOR ( 9 downto 0 ); C : in STD_LOGIC_VECTOR ( 9 downto 0 ); D : in STD_LOGIC_VECTOR ( 9 downto 0 ); SEL : in STD_LOGIC_VECTOR ( 1 downto 0 ); X : out STD_LOGIC_VECTOR ( 9 downto 0 ) ); end component RAT_Mux4x1_10_0_0; component RAT_xlconstant_0_0 is port ( dout : out STD_LOGIC_VECTOR ( 9 downto 0 ) ); end component RAT_xlconstant_0_0; signal A_1 : STD_LOGIC_VECTOR ( 9 downto 0 ); signal CLK_1 : STD_LOGIC; signal Counter10bit_0_COUNT : STD_LOGIC_VECTOR ( 0 to 9 ); signal INC_1 : STD_LOGIC; signal LOAD_1 : STD_LOGIC; signal Mux4x1_10_0_X : STD_LOGIC_VECTOR ( 9 downto 0 ); signal SEL_1 : STD_LOGIC_VECTOR ( 1 downto 0 ); signal xlconstant_0_dout : STD_LOGIC_VECTOR ( 9 downto 0 ); begin ADDRESS(0 to 9) <= Counter10bit_0_COUNT(0 to 9); A_1(9 downto 0) <= FROM_IMMED(9 downto 0); CLK_1 <= CLK; INC_1 <= INC; LOAD_1 <= LOAD; SEL_1(1 downto 0) <= SEL(1 downto 0); Counter10bit_0: component RAT_Counter10bit_0_0 port map ( CLK => CLK_1, COUNT(0 to 9) => Counter10bit_0_COUNT(0 to 9), Din(0) => Mux4x1_10_0_X(9), Din(1) => Mux4x1_10_0_X(8), Din(2) => Mux4x1_10_0_X(7), Din(3) => Mux4x1_10_0_X(6), Din(4) => Mux4x1_10_0_X(5), Din(5) => Mux4x1_10_0_X(4), Din(6) => Mux4x1_10_0_X(3), Din(7) => Mux4x1_10_0_X(2), Din(8) => Mux4x1_10_0_X(1), Din(9) => Mux4x1_10_0_X(0), INC => INC_1, LOAD => LOAD_1, RESET => '0' ); Mux4x1_10_0: component RAT_Mux4x1_10_0_0 port map ( A(9 downto 0) => A_1(9 downto 0), B(9 downto 0) => B"0000000000", C(9 downto 0) => xlconstant_0_dout(9 downto 0), D(9 downto 0) => B"0000000000", SEL(1 downto 0) => SEL_1(1 downto 0), X(9 downto 0) => Mux4x1_10_0_X(9 downto 0) ); xlconstant_0: component RAT_xlconstant_0_0 port map ( dout(9 downto 0) => xlconstant_0_dout(9 downto 0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity ProgramROM_SLICED_imp_MYEMEW is port ( ADDRESS : in STD_LOGIC_VECTOR ( 0 to 9 ); ADDRX : out STD_LOGIC_VECTOR ( 4 downto 0 ); ADDRY : out STD_LOGIC_VECTOR ( 4 downto 0 ); CLK : in STD_LOGIC; IMMED : out STD_LOGIC_VECTOR ( 9 downto 0 ); IMMED_VAL : out STD_LOGIC_VECTOR ( 7 downto 0 ); OPCODE_HI_5 : out STD_LOGIC_VECTOR ( 4 downto 0 ); OPCODE_LO_2 : out STD_LOGIC_VECTOR ( 1 downto 0 ) ); end ProgramROM_SLICED_imp_MYEMEW; architecture STRUCTURE of ProgramROM_SLICED_imp_MYEMEW is component RAT_prog_rom_0_0 is port ( ADDRESS : in STD_LOGIC_VECTOR ( 9 downto 0 ); INSTRUCTION : out STD_LOGIC_VECTOR ( 17 downto 0 ); CLK : in STD_LOGIC ); end component RAT_prog_rom_0_0; component RAT_xlslice_0_0 is port ( Din : in STD_LOGIC_VECTOR ( 17 downto 0 ); Dout : out STD_LOGIC_VECTOR ( 9 downto 0 ) ); end component RAT_xlslice_0_0; component RAT_slice_12_3_0 is port ( Din : in STD_LOGIC_VECTOR ( 17 downto 0 ); Dout : out STD_LOGIC_VECTOR ( 4 downto 0 ) ); end component RAT_slice_12_3_0; component RAT_slice_17_13_0 is port ( Din : in STD_LOGIC_VECTOR ( 17 downto 0 ); Dout : out STD_LOGIC_VECTOR ( 1 downto 0 ) ); end component RAT_slice_17_13_0; component RAT_slice_1_0_0 is port ( Din : in STD_LOGIC_VECTOR ( 17 downto 0 ); Dout : out STD_LOGIC_VECTOR ( 7 downto 0 ) ); end component RAT_slice_1_0_0; component RAT_slice_7_0_0 is port ( Din : in STD_LOGIC_VECTOR ( 17 downto 0 ); Dout : out STD_LOGIC_VECTOR ( 4 downto 0 ) ); end component RAT_slice_7_0_0; component RAT_slice_12_8_0 is port ( Din : in STD_LOGIC_VECTOR ( 17 downto 0 ); Dout : out STD_LOGIC_VECTOR ( 4 downto 0 ) ); end component RAT_slice_12_8_0; signal ADDRESS_1 : STD_LOGIC_VECTOR ( 0 to 9 ); signal CLK_1 : STD_LOGIC; signal prog_rom_0_INSTRUCTION : STD_LOGIC_VECTOR ( 17 downto 0 ); signal slice_12_3_Dout : STD_LOGIC_VECTOR ( 9 downto 0 ); signal slice_12_8_Dout : STD_LOGIC_VECTOR ( 4 downto 0 ); signal slice_17_13_Dout : STD_LOGIC_VECTOR ( 4 downto 0 ); signal slice_1_0_Dout : STD_LOGIC_VECTOR ( 1 downto 0 ); signal slice_7_0_Dout : STD_LOGIC_VECTOR ( 7 downto 0 ); signal slice_7_3_Dout : STD_LOGIC_VECTOR ( 4 downto 0 ); begin ADDRESS_1(0 to 9) <= ADDRESS(0 to 9); ADDRX(4 downto 0) <= slice_12_8_Dout(4 downto 0); ADDRY(4 downto 0) <= slice_7_3_Dout(4 downto 0); CLK_1 <= CLK; IMMED(9 downto 0) <= slice_12_3_Dout(9 downto 0); IMMED_VAL(7 downto 0) <= slice_7_0_Dout(7 downto 0); OPCODE_HI_5(4 downto 0) <= slice_17_13_Dout(4 downto 0); OPCODE_LO_2(1 downto 0) <= slice_1_0_Dout(1 downto 0); prog_rom_0: component RAT_prog_rom_0_0 port map ( ADDRESS(9) => ADDRESS_1(0), ADDRESS(8) => ADDRESS_1(1), ADDRESS(7) => ADDRESS_1(2), ADDRESS(6) => ADDRESS_1(3), ADDRESS(5) => ADDRESS_1(4), ADDRESS(4) => ADDRESS_1(5), ADDRESS(3) => ADDRESS_1(6), ADDRESS(2) => ADDRESS_1(7), ADDRESS(1) => ADDRESS_1(8), ADDRESS(0) => ADDRESS_1(9), CLK => CLK_1, INSTRUCTION(17 downto 0) => prog_rom_0_INSTRUCTION(17 downto 0) ); slice_12_3: component RAT_xlslice_0_0 port map ( Din(17 downto 0) => prog_rom_0_INSTRUCTION(17 downto 0), Dout(9 downto 0) => slice_12_3_Dout(9 downto 0) ); slice_12_8: component RAT_slice_7_0_0 port map ( Din(17 downto 0) => prog_rom_0_INSTRUCTION(17 downto 0), Dout(4 downto 0) => slice_12_8_Dout(4 downto 0) ); slice_17_13: component RAT_slice_12_3_0 port map ( Din(17 downto 0) => prog_rom_0_INSTRUCTION(17 downto 0), Dout(4 downto 0) => slice_17_13_Dout(4 downto 0) ); slice_1_0: component RAT_slice_17_13_0 port map ( Din(17 downto 0) => prog_rom_0_INSTRUCTION(17 downto 0), Dout(1 downto 0) => slice_1_0_Dout(1 downto 0) ); slice_7_0: component RAT_slice_1_0_0 port map ( Din(17 downto 0) => prog_rom_0_INSTRUCTION(17 downto 0), Dout(7 downto 0) => slice_7_0_Dout(7 downto 0) ); slice_7_3: component RAT_slice_12_8_0 port map ( Din(17 downto 0) => prog_rom_0_INSTRUCTION(17 downto 0), Dout(4 downto 0) => slice_7_3_Dout(4 downto 0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity Registers_imp_1M200Q6 is port ( ADRX : in STD_LOGIC_VECTOR ( 4 downto 0 ); ADRY : in STD_LOGIC_VECTOR ( 4 downto 0 ); ALU_RESULT : in STD_LOGIC_VECTOR ( 7 downto 0 ); CLK : in STD_LOGIC; DIN_PORT : in STD_LOGIC_VECTOR ( 7 downto 0 ); REGOUT_X : out STD_LOGIC_VECTOR ( 7 downto 0 ); REGOUT_Y : out STD_LOGIC_VECTOR ( 7 downto 0 ); REG_WRSEL : in STD_LOGIC_VECTOR ( 1 downto 0 ); WE : in STD_LOGIC ); end Registers_imp_1M200Q6; architecture STRUCTURE of Registers_imp_1M200Q6 is component RAT_RegisterFile_0_0 is port ( D_IN : in STD_LOGIC_VECTOR ( 7 downto 0 ); DX_OUT : out STD_LOGIC_VECTOR ( 7 downto 0 ); DY_OUT : out STD_LOGIC_VECTOR ( 7 downto 0 ); ADRX : in STD_LOGIC_VECTOR ( 4 downto 0 ); ADRY : in STD_LOGIC_VECTOR ( 4 downto 0 ); WE : in STD_LOGIC; CLK : in STD_LOGIC ); end component RAT_RegisterFile_0_0; component RAT_Mux4x1_8_0_0 is port ( A : in STD_LOGIC_VECTOR ( 7 downto 0 ); B : in STD_LOGIC_VECTOR ( 7 downto 0 ); C : in STD_LOGIC_VECTOR ( 7 downto 0 ); D : in STD_LOGIC_VECTOR ( 7 downto 0 ); SEL : in STD_LOGIC_VECTOR ( 1 downto 0 ); X : out STD_LOGIC_VECTOR ( 7 downto 0 ) ); end component RAT_Mux4x1_8_0_0; signal ADRX_1 : STD_LOGIC_VECTOR ( 4 downto 0 ); signal ADRY_1 : STD_LOGIC_VECTOR ( 4 downto 0 ); signal A_1 : STD_LOGIC_VECTOR ( 7 downto 0 ); signal CLK_1 : STD_LOGIC; signal D_1 : STD_LOGIC_VECTOR ( 7 downto 0 ); signal Mux4x1_8_0_X : STD_LOGIC_VECTOR ( 7 downto 0 ); signal RegisterFile_0_DX_OUT : STD_LOGIC_VECTOR ( 7 downto 0 ); signal RegisterFile_0_DY_OUT : STD_LOGIC_VECTOR ( 7 downto 0 ); signal SEL_1 : STD_LOGIC_VECTOR ( 1 downto 0 ); signal WE_1 : STD_LOGIC; begin ADRX_1(4 downto 0) <= ADRX(4 downto 0); ADRY_1(4 downto 0) <= ADRY(4 downto 0); A_1(7 downto 0) <= ALU_RESULT(7 downto 0); CLK_1 <= CLK; D_1(7 downto 0) <= DIN_PORT(7 downto 0); REGOUT_X(7 downto 0) <= RegisterFile_0_DX_OUT(7 downto 0); REGOUT_Y(7 downto 0) <= RegisterFile_0_DY_OUT(7 downto 0); SEL_1(1 downto 0) <= REG_WRSEL(1 downto 0); WE_1 <= WE; Mux4x1_8_0: component RAT_Mux4x1_8_0_0 port map ( A(7 downto 0) => A_1(7 downto 0), B(7 downto 0) => B"00000000", C(7 downto 0) => B"00000000", D(7 downto 0) => D_1(7 downto 0), SEL(1 downto 0) => SEL_1(1 downto 0), X(7 downto 0) => Mux4x1_8_0_X(7 downto 0) ); RegisterFile_0: component RAT_RegisterFile_0_0 port map ( ADRX(4 downto 0) => ADRX_1(4 downto 0), ADRY(4 downto 0) => ADRY_1(4 downto 0), CLK => CLK_1, DX_OUT(7 downto 0) => RegisterFile_0_DX_OUT(7 downto 0), DY_OUT(7 downto 0) => RegisterFile_0_DY_OUT(7 downto 0), D_IN(7 downto 0) => Mux4x1_8_0_X(7 downto 0), WE => WE_1 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity RATCPU_imp_157ITB6 is port ( CLK : in STD_LOGIC; DIN_PORT : in STD_LOGIC_VECTOR ( 7 downto 0 ); EXT_INTERRRUPT : in STD_LOGIC_VECTOR ( 0 to 0 ); OUT_PORT : out STD_LOGIC_VECTOR ( 7 downto 0 ); PORT_ID : out STD_LOGIC_VECTOR ( 7 downto 0 ); RST : in STD_LOGIC ); end RATCPU_imp_157ITB6; architecture STRUCTURE of RATCPU_imp_157ITB6 is component RAT_ControlUnit_0_0 is port ( CLK : in STD_LOGIC; C : in STD_LOGIC; Z : in STD_LOGIC; INT : in STD_LOGIC; RST : in STD_LOGIC; OPCODE_HI_5 : in STD_LOGIC_VECTOR ( 4 downto 0 ); OPCODE_LO_2 : in STD_LOGIC_VECTOR ( 1 downto 0 ); PC_LD : out STD_LOGIC; PC_INC : out STD_LOGIC; PC_RESET : out STD_LOGIC; PC_OE : out STD_LOGIC; PC_MUX_SEL : out STD_LOGIC_VECTOR ( 1 downto 0 ); SP_LD : out STD_LOGIC; SP_MUX_SEL : out STD_LOGIC_VECTOR ( 1 downto 0 ); SP_RESET : out STD_LOGIC; RF_WR : out STD_LOGIC; RF_WR_SEL : out STD_LOGIC_VECTOR ( 1 downto 0 ); RF_OE : out STD_LOGIC; REG_IMMED_SEL : out STD_LOGIC; ALU_SEL : out STD_LOGIC_VECTOR ( 3 downto 0 ); ALU_OPY_SEL : out STD_LOGIC; SCR_WR : out STD_LOGIC; SCR_OE : out STD_LOGIC; SCR_ADDR_SEL : out STD_LOGIC_VECTOR ( 1 downto 0 ); C_FLAG_SEL : out STD_LOGIC; C_FLAG_LD : out STD_LOGIC; C_FLAG_SET : out STD_LOGIC; C_FLAG_CLR : out STD_LOGIC; SHAD_C_LD : out STD_LOGIC; Z_FLAG_SEL : out STD_LOGIC; Z_FLAG_LD : out STD_LOGIC; Z_FLAG_SET : out STD_LOGIC; Z_FLAG_CLR : out STD_LOGIC; SHAD_Z_LD : out STD_LOGIC; I_FLAG_SET : out STD_LOGIC; I_FLAG_CLR : out STD_LOGIC; IO_OE : out STD_LOGIC ); end component RAT_ControlUnit_0_0; signal ADDRESS_1 : STD_LOGIC_VECTOR ( 0 to 9 ); signal ADRX_1 : STD_LOGIC_VECTOR ( 4 downto 0 ); signal ADRY_1 : STD_LOGIC_VECTOR ( 4 downto 0 ); signal ALU_C_FLAG : STD_LOGIC; signal ALU_OPY_SEL_1 : STD_LOGIC; signal ALU_RESULT_1 : STD_LOGIC_VECTOR ( 7 downto 0 ); signal ALU_Sel_1 : STD_LOGIC_VECTOR ( 3 downto 0 ); signal ALU_Z_FLAG : STD_LOGIC; signal CLK_1 : STD_LOGIC; signal C_CLR_1 : STD_LOGIC; signal C_LD_1 : STD_LOGIC; signal C_SET_1 : STD_LOGIC; signal ControlUnit_0_PC_INC : STD_LOGIC; signal ControlUnit_0_PC_LD : STD_LOGIC; signal ControlUnit_0_PC_MUX_SEL : STD_LOGIC_VECTOR ( 1 downto 0 ); signal DIN_PORT_1 : STD_LOGIC_VECTOR ( 7 downto 0 ); signal FlagRegisters_C_OUT_FLAG : STD_LOGIC; signal FlagRegisters_INTERRUPT : STD_LOGIC_VECTOR ( 0 to 0 ); signal FlagRegisters_Z_OUT_FLAG : STD_LOGIC; signal I_CLR_1 : STD_LOGIC; signal I_SET_1 : STD_LOGIC; signal Op2_1 : STD_LOGIC_VECTOR ( 0 to 0 ); signal ProgramROM_SLICED_IMMED : STD_LOGIC_VECTOR ( 9 downto 0 ); signal ProgramROM_SLICED_IMMED_VAL : STD_LOGIC_VECTOR ( 7 downto 0 ); signal ProgramROM_SLICED_OPCODE_HI_5 : STD_LOGIC_VECTOR ( 4 downto 0 ); signal ProgramROM_SLICED_OPCODE_LO_2 : STD_LOGIC_VECTOR ( 1 downto 0 ); signal REG_WRSEL_1 : STD_LOGIC_VECTOR ( 1 downto 0 ); signal RST_1 : STD_LOGIC; signal Registers_REGOUT_X : STD_LOGIC_VECTOR ( 7 downto 0 ); signal Registers_REGOUT_Y : STD_LOGIC_VECTOR ( 7 downto 0 ); signal WE_1 : STD_LOGIC; signal Z_CLR_1 : STD_LOGIC; signal Z_LD_1 : STD_LOGIC; signal Z_SET_1 : STD_LOGIC; signal NLW_ControlUnit_0_C_FLAG_SEL_UNCONNECTED : STD_LOGIC; signal NLW_ControlUnit_0_IO_OE_UNCONNECTED : STD_LOGIC; signal NLW_ControlUnit_0_PC_OE_UNCONNECTED : STD_LOGIC; signal NLW_ControlUnit_0_PC_RESET_UNCONNECTED : STD_LOGIC; signal NLW_ControlUnit_0_REG_IMMED_SEL_UNCONNECTED : STD_LOGIC; signal NLW_ControlUnit_0_RF_OE_UNCONNECTED : STD_LOGIC; signal NLW_ControlUnit_0_SCR_OE_UNCONNECTED : STD_LOGIC; signal NLW_ControlUnit_0_SCR_WR_UNCONNECTED : STD_LOGIC; signal NLW_ControlUnit_0_SHAD_C_LD_UNCONNECTED : STD_LOGIC; signal NLW_ControlUnit_0_SHAD_Z_LD_UNCONNECTED : STD_LOGIC; signal NLW_ControlUnit_0_SP_LD_UNCONNECTED : STD_LOGIC; signal NLW_ControlUnit_0_SP_RESET_UNCONNECTED : STD_LOGIC; signal NLW_ControlUnit_0_Z_FLAG_SEL_UNCONNECTED : STD_LOGIC; signal NLW_ControlUnit_0_SCR_ADDR_SEL_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_ControlUnit_0_SP_MUX_SEL_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); begin CLK_1 <= CLK; DIN_PORT_1(7 downto 0) <= DIN_PORT(7 downto 0); OUT_PORT(7 downto 0) <= Registers_REGOUT_X(7 downto 0); Op2_1(0) <= EXT_INTERRRUPT(0); PORT_ID(7 downto 0) <= ProgramROM_SLICED_IMMED_VAL(7 downto 0); RST_1 <= RST; ALU: entity work.ALU_imp_CAYOB2 port map ( ALU_OPY_SEL => ALU_OPY_SEL_1, ALU_Sel(3 downto 0) => ALU_Sel_1(3 downto 0), C_FLAG => ALU_C_FLAG, C_IN => FlagRegisters_C_OUT_FLAG, IMMED(7 downto 0) => ProgramROM_SLICED_IMMED_VAL(7 downto 0), REGOUT_X(7 downto 0) => Registers_REGOUT_X(7 downto 0), REGOUT_Y(7 downto 0) => Registers_REGOUT_Y(7 downto 0), SUM(7 downto 0) => ALU_RESULT_1(7 downto 0), Z_FLAG => ALU_Z_FLAG ); ControlUnit_0: component RAT_ControlUnit_0_0 port map ( ALU_OPY_SEL => ALU_OPY_SEL_1, ALU_SEL(3 downto 0) => ALU_Sel_1(3 downto 0), C => FlagRegisters_C_OUT_FLAG, CLK => CLK_1, C_FLAG_CLR => C_CLR_1, C_FLAG_LD => C_LD_1, C_FLAG_SEL => NLW_ControlUnit_0_C_FLAG_SEL_UNCONNECTED, C_FLAG_SET => C_SET_1, INT => FlagRegisters_INTERRUPT(0), IO_OE => NLW_ControlUnit_0_IO_OE_UNCONNECTED, I_FLAG_CLR => I_CLR_1, I_FLAG_SET => I_SET_1, OPCODE_HI_5(4 downto 0) => ProgramROM_SLICED_OPCODE_HI_5(4 downto 0), OPCODE_LO_2(1 downto 0) => ProgramROM_SLICED_OPCODE_LO_2(1 downto 0), PC_INC => ControlUnit_0_PC_INC, PC_LD => ControlUnit_0_PC_LD, PC_MUX_SEL(1 downto 0) => ControlUnit_0_PC_MUX_SEL(1 downto 0), PC_OE => NLW_ControlUnit_0_PC_OE_UNCONNECTED, PC_RESET => NLW_ControlUnit_0_PC_RESET_UNCONNECTED, REG_IMMED_SEL => NLW_ControlUnit_0_REG_IMMED_SEL_UNCONNECTED, RF_OE => NLW_ControlUnit_0_RF_OE_UNCONNECTED, RF_WR => WE_1, RF_WR_SEL(1 downto 0) => REG_WRSEL_1(1 downto 0), RST => RST_1, SCR_ADDR_SEL(1 downto 0) => NLW_ControlUnit_0_SCR_ADDR_SEL_UNCONNECTED(1 downto 0), SCR_OE => NLW_ControlUnit_0_SCR_OE_UNCONNECTED, SCR_WR => NLW_ControlUnit_0_SCR_WR_UNCONNECTED, SHAD_C_LD => NLW_ControlUnit_0_SHAD_C_LD_UNCONNECTED, SHAD_Z_LD => NLW_ControlUnit_0_SHAD_Z_LD_UNCONNECTED, SP_LD => NLW_ControlUnit_0_SP_LD_UNCONNECTED, SP_MUX_SEL(1 downto 0) => NLW_ControlUnit_0_SP_MUX_SEL_UNCONNECTED(1 downto 0), SP_RESET => NLW_ControlUnit_0_SP_RESET_UNCONNECTED, Z => FlagRegisters_Z_OUT_FLAG, Z_FLAG_CLR => Z_CLR_1, Z_FLAG_LD => Z_LD_1, Z_FLAG_SEL => NLW_ControlUnit_0_Z_FLAG_SEL_UNCONNECTED, Z_FLAG_SET => Z_SET_1 ); FlagRegisters: entity work.FlagRegisters_imp_9QD03I port map ( CLK => CLK_1, C_CLR => C_CLR_1, C_IN_FLAG => ALU_C_FLAG, C_LD => C_LD_1, C_OUT_FLAG => FlagRegisters_C_OUT_FLAG, C_SET => C_SET_1, EXT_INTERRUPT(0) => Op2_1(0), INTERRUPT(0) => FlagRegisters_INTERRUPT(0), I_CLR => I_CLR_1, I_SET => I_SET_1, Z_CLR => Z_CLR_1, Z_IN_FLAG => ALU_Z_FLAG, Z_LD => Z_LD_1, Z_OUT_FLAG => FlagRegisters_Z_OUT_FLAG, Z_SET => Z_SET_1 ); ProgramCounter: entity work.ProgramCounter_imp_FFPXUZ port map ( ADDRESS(0 to 9) => ADDRESS_1(0 to 9), CLK => CLK_1, FROM_IMMED(9 downto 0) => ProgramROM_SLICED_IMMED(9 downto 0), INC => ControlUnit_0_PC_INC, LOAD => ControlUnit_0_PC_LD, SEL(1 downto 0) => ControlUnit_0_PC_MUX_SEL(1 downto 0) ); ProgramROM_SLICED: entity work.ProgramROM_SLICED_imp_MYEMEW port map ( ADDRESS(0 to 9) => ADDRESS_1(0 to 9), ADDRX(4 downto 0) => ADRX_1(4 downto 0), ADDRY(4 downto 0) => ADRY_1(4 downto 0), CLK => CLK_1, IMMED(9 downto 0) => ProgramROM_SLICED_IMMED(9 downto 0), IMMED_VAL(7 downto 0) => ProgramROM_SLICED_IMMED_VAL(7 downto 0), OPCODE_HI_5(4 downto 0) => ProgramROM_SLICED_OPCODE_HI_5(4 downto 0), OPCODE_LO_2(1 downto 0) => ProgramROM_SLICED_OPCODE_LO_2(1 downto 0) ); Registers: entity work.Registers_imp_1M200Q6 port map ( ADRX(4 downto 0) => ADRX_1(4 downto 0), ADRY(4 downto 0) => ADRY_1(4 downto 0), ALU_RESULT(7 downto 0) => ALU_RESULT_1(7 downto 0), CLK => CLK_1, DIN_PORT(7 downto 0) => DIN_PORT_1(7 downto 0), REGOUT_X(7 downto 0) => Registers_REGOUT_X(7 downto 0), REGOUT_Y(7 downto 0) => Registers_REGOUT_Y(7 downto 0), REG_WRSEL(1 downto 0) => REG_WRSEL_1(1 downto 0), WE => WE_1 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity RAT is port ( CLK : in STD_LOGIC; INT_IN : in STD_LOGIC_VECTOR ( 0 to 0 ); IN_PORT : in STD_LOGIC_VECTOR ( 7 downto 0 ); OUT_PORT : out STD_LOGIC_VECTOR ( 7 downto 0 ); PORT_ID : out STD_LOGIC_VECTOR ( 7 downto 0 ); RST : in STD_LOGIC ); attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of RAT : entity is "RAT,IP_Integrator,{x_ipVendor=xilinx.com,x_ipLibrary=BlockDiagram,x_ipName=RAT,x_ipVersion=1.00.a,x_ipLanguage=VHDL,numBlks=25,numReposBlks=19,numNonXlnxBlks=0,numHierBlks=6,maxHierDepth=2,numSysgenBlks=0,numHlsBlks=0,numHdlrefBlks=11,numPkgbdBlks=0,bdsource=USER,synth_mode=OOC_per_IP}"; attribute HW_HANDOFF : string; attribute HW_HANDOFF of RAT : entity is "RAT.hwdef"; end RAT; architecture STRUCTURE of RAT is signal CLK_1 : STD_LOGIC; signal DIN_1 : STD_LOGIC_VECTOR ( 7 downto 0 ); signal Op2_2 : STD_LOGIC_VECTOR ( 0 to 0 ); signal ProgramROM_SLICED_Dout3 : STD_LOGIC_VECTOR ( 7 downto 0 ); signal RATCPU_REGOUT_X : STD_LOGIC_VECTOR ( 7 downto 0 ); signal RST_1 : STD_LOGIC; begin CLK_1 <= CLK; DIN_1(7 downto 0) <= IN_PORT(7 downto 0); OUT_PORT(7 downto 0) <= RATCPU_REGOUT_X(7 downto 0); Op2_2(0) <= INT_IN(0); PORT_ID(7 downto 0) <= ProgramROM_SLICED_Dout3(7 downto 0); RST_1 <= RST; RATCPU: entity work.RATCPU_imp_157ITB6 port map ( CLK => CLK_1, DIN_PORT(7 downto 0) => DIN_1(7 downto 0), EXT_INTERRRUPT(0) => Op2_2(0), OUT_PORT(7 downto 0) => RATCPU_REGOUT_X(7 downto 0), PORT_ID(7 downto 0) => ProgramROM_SLICED_Dout3(7 downto 0), RST => RST_1 ); end STRUCTURE;
mit
fca4ecc5638616e447bd434d22c5cbb7
0.613072
2.90347
false
false
false
false
alpenwasser/pitaya
firmware/fpga/p_FIR_sim/FIR_sim.srcs/sources_1/bd/design_1/ipshared/noah-huesser/dec_to_fir_mux_v1_0/src/dec_to_fir_mux.vhd
2
1,576
library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; entity dec_to_fir_mux is port ( DecRate: in std_logic_vector(31 downto 0); Mux3: out std_logic_vector(1 downto 0); Mux2: out std_logic_vector(1 downto 0); Mux1: out std_logic_vector(1 downto 0); Mux0: out std_logic_vector(1 downto 0) ); end dec_to_fir_mux; architecture V1 of dec_to_fir_mux is begin -- Persistent signal mappings p_converter: process(DecRate) begin case to_integer(unsigned(DecRate)) is when 5 => Mux0 <= "00"; Mux1 <= "00"; Mux2 <= "00"; Mux3 <= "00"; when 25 => Mux0 <= "00"; Mux1 <= "00"; Mux2 <= "01"; Mux3 <= "00"; when 125 => Mux0 <= "00"; Mux1 <= "00"; Mux2 <= "00"; Mux3 <= "01"; when 625 => Mux0 <= "00"; Mux1 <= "00"; Mux2 <= "01"; Mux3 <= "01"; when 1250 => Mux0 <= "01"; Mux1 <= "10"; Mux2 <= "00"; Mux3 <= "10"; when 2500 => Mux0 <= "01"; Mux1 <= "01"; Mux2 <= "00"; Mux3 <= "10"; when others => Mux0 <= "00"; Mux1 <= "00"; Mux2 <= "00"; Mux3 <= "00"; end case; end process; end V1;
mit
c499a334b0f5b068c80772c490330b0e
0.378173
3.843902
false
false
false
false
MiddleMan5/233
Experiments/Experiment8-GeterDone/IPI-BD/RAT/ip/RAT_RegisterFile_0_0/synth/RAT_RegisterFile_0_0.vhd
2
4,275
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:module_ref:RegisterFile:1.0 -- IP Revision: 1 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY RAT_RegisterFile_0_0 IS PORT ( D_IN : IN STD_LOGIC_VECTOR(7 DOWNTO 0); DX_OUT : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); DY_OUT : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); ADRX : IN STD_LOGIC_VECTOR(4 DOWNTO 0); ADRY : IN STD_LOGIC_VECTOR(4 DOWNTO 0); WE : IN STD_LOGIC; CLK : IN STD_LOGIC ); END RAT_RegisterFile_0_0; ARCHITECTURE RAT_RegisterFile_0_0_arch OF RAT_RegisterFile_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF RAT_RegisterFile_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT RegisterFile IS PORT ( D_IN : IN STD_LOGIC_VECTOR(7 DOWNTO 0); DX_OUT : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); DY_OUT : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); ADRX : IN STD_LOGIC_VECTOR(4 DOWNTO 0); ADRY : IN STD_LOGIC_VECTOR(4 DOWNTO 0); WE : IN STD_LOGIC; CLK : IN STD_LOGIC ); END COMPONENT RegisterFile; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF RAT_RegisterFile_0_0_arch: ARCHITECTURE IS "RegisterFile,Vivado 2016.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF RAT_RegisterFile_0_0_arch : ARCHITECTURE IS "RAT_RegisterFile_0_0,RegisterFile,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF RAT_RegisterFile_0_0_arch: ARCHITECTURE IS "RAT_RegisterFile_0_0,RegisterFile,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=module_ref,x_ipName=RegisterFile,x_ipVersion=1.0,x_ipCoreRevision=1,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF CLK: SIGNAL IS "xilinx.com:signal:clock:1.0 CLK CLK"; BEGIN U0 : RegisterFile PORT MAP ( D_IN => D_IN, DX_OUT => DX_OUT, DY_OUT => DY_OUT, ADRX => ADRX, ADRY => ADRY, WE => WE, CLK => CLK ); END RAT_RegisterFile_0_0_arch;
mit
d0b86d330a10bb87504a810417ed2a9d
0.727251
3.896992
false
false
false
false
MiddleMan5/233
Experiments/Experiment8-GeterDone/IPI-BD/RAT/ip/RAT_Mux4x1_10_0_0/RAT_Mux4x1_10_0_0_sim_netlist.vhdl
2
5,305
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1756540 Mon Jan 23 19:11:23 MST 2017 -- Date : Thu Oct 26 22:45:01 2017 -- Host : Juice-Laptop running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- c:/RATCPU/Experiments/Experiment7-Its_Alive/IPI-BD/RAT/ip/RAT_Mux4x1_10_0_0/RAT_Mux4x1_10_0_0_sim_netlist.vhdl -- Design : RAT_Mux4x1_10_0_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7a35tcpg236-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity RAT_Mux4x1_10_0_0_Mux4x1_10 is port ( X : out STD_LOGIC_VECTOR ( 9 downto 0 ); D : in STD_LOGIC_VECTOR ( 9 downto 0 ); B : in STD_LOGIC_VECTOR ( 9 downto 0 ); C : in STD_LOGIC_VECTOR ( 9 downto 0 ); SEL : in STD_LOGIC_VECTOR ( 1 downto 0 ); A : in STD_LOGIC_VECTOR ( 9 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of RAT_Mux4x1_10_0_0_Mux4x1_10 : entity is "Mux4x1_10"; end RAT_Mux4x1_10_0_0_Mux4x1_10; architecture STRUCTURE of RAT_Mux4x1_10_0_0_Mux4x1_10 is begin \X[0]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"AACCAACCF0FFF000" ) port map ( I0 => D(0), I1 => B(0), I2 => C(0), I3 => SEL(1), I4 => A(0), I5 => SEL(0), O => X(0) ); \X[1]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"AACCAACCF0FFF000" ) port map ( I0 => D(1), I1 => B(1), I2 => C(1), I3 => SEL(1), I4 => A(1), I5 => SEL(0), O => X(1) ); \X[2]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"AACCAACCF0FFF000" ) port map ( I0 => D(2), I1 => B(2), I2 => C(2), I3 => SEL(1), I4 => A(2), I5 => SEL(0), O => X(2) ); \X[3]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"AACCAACCF0FFF000" ) port map ( I0 => D(3), I1 => B(3), I2 => C(3), I3 => SEL(1), I4 => A(3), I5 => SEL(0), O => X(3) ); \X[4]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"AACCAACCF0FFF000" ) port map ( I0 => D(4), I1 => B(4), I2 => C(4), I3 => SEL(1), I4 => A(4), I5 => SEL(0), O => X(4) ); \X[5]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"AACCAACCF0FFF000" ) port map ( I0 => D(5), I1 => B(5), I2 => C(5), I3 => SEL(1), I4 => A(5), I5 => SEL(0), O => X(5) ); \X[6]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"AACCAACCF0FFF000" ) port map ( I0 => D(6), I1 => B(6), I2 => C(6), I3 => SEL(1), I4 => A(6), I5 => SEL(0), O => X(6) ); \X[7]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"AACCAACCF0FFF000" ) port map ( I0 => D(7), I1 => B(7), I2 => C(7), I3 => SEL(1), I4 => A(7), I5 => SEL(0), O => X(7) ); \X[8]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"AACCAACCF0FFF000" ) port map ( I0 => D(8), I1 => B(8), I2 => C(8), I3 => SEL(1), I4 => A(8), I5 => SEL(0), O => X(8) ); \X[9]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"AACCAACCF0FFF000" ) port map ( I0 => D(9), I1 => B(9), I2 => C(9), I3 => SEL(1), I4 => A(9), I5 => SEL(0), O => X(9) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity RAT_Mux4x1_10_0_0 is port ( A : in STD_LOGIC_VECTOR ( 9 downto 0 ); B : in STD_LOGIC_VECTOR ( 9 downto 0 ); C : in STD_LOGIC_VECTOR ( 9 downto 0 ); D : in STD_LOGIC_VECTOR ( 9 downto 0 ); SEL : in STD_LOGIC_VECTOR ( 1 downto 0 ); X : out STD_LOGIC_VECTOR ( 9 downto 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of RAT_Mux4x1_10_0_0 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of RAT_Mux4x1_10_0_0 : entity is "RAT_Mux4x1_10_0_0,Mux4x1_10,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of RAT_Mux4x1_10_0_0 : entity is "yes"; attribute x_core_info : string; attribute x_core_info of RAT_Mux4x1_10_0_0 : entity is "Mux4x1_10,Vivado 2016.4"; end RAT_Mux4x1_10_0_0; architecture STRUCTURE of RAT_Mux4x1_10_0_0 is begin U0: entity work.RAT_Mux4x1_10_0_0_Mux4x1_10 port map ( A(9 downto 0) => A(9 downto 0), B(9 downto 0) => B(9 downto 0), C(9 downto 0) => C(9 downto 0), D(9 downto 0) => D(9 downto 0), SEL(1 downto 0) => SEL(1 downto 0), X(9 downto 0) => X(9 downto 0) ); end STRUCTURE;
mit
124f9f618a1480f610f649dfc060c1de
0.51574
2.903667
false
false
false
false
MiddleMan5/233
Experiments/Experiment8-GeterDone/Testbenches/EXP_7_8_cpu_wrapper_tb.vhd
2
1,803
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 11/07/2016 04:39:42 PM -- Design Name: -- Module Name: EXP8_wrrapper_tb - Behavioral -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity EXP8_wrapper_tb is end EXP8_wrapper_tb; architecture Behavioral of EXP8_wrapper_tb is component RAT_Basys3_wrapper Port( LEDS : out STD_LOGIC_VECTOR (7 downto 0); SWITCHES : in STD_LOGIC_VECTOR (7 downto 0); RST : in STD_LOGIC; CLK : in STD_LOGIC); end component; signal switches_tb : std_logic_vector(7 downto 0) :="00000000"; signal leds_tb : std_logic_vector(7 downto 0) :="00000000"; signal clk_tb : std_logic := '0'; signal rst_tb : std_logic := '0'; -- Clock period definitions constant CLK_period : time := 10 ns; begin uut: RAT_Basys3_wrapper PORT MAP ( LEDS => leds_tb, SWITCHES => switches_tb, RST => rst_tb, CLK => clk_tb ); -- Clock process definitions CLK_process :process begin CLK_tb <= '0'; wait for CLK_period/2; CLK_tb <= '1'; wait for CLK_period/2; end process; -- Stimulus process stim_proc: process begin rst_tb <= '1'; switches_tb <= "10101010"; wait for 50 ns; rst_tb <= '0'; wait; end process; end Behavioral;
mit
d3b80e5790617600f66a500000b7cb96
0.489739
3.84435
false
false
false
false
BBN-Q/VHDL-Components
src/PhaseGenerator.vhd
1
1,443
-- Simple truncating phase generator with additional 90 degree shifted output -- -- Original author Colm Ryan -- Copyright 2015, Raytheon BBN Technologies library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity PhaseGenerator is generic ( ACCUMULATOR_WIDTH : natural := 32; OUTPUT_WIDTH : natural := 16 ); port ( clk : in std_logic; rst : in std_logic; phase_increment : in std_logic_vector(ACCUMULATOR_WIDTH-1 downto 0); phase_offset : in std_logic_vector(ACCUMULATOR_WIDTH-1 downto 0); phase : out std_logic_vector(OUTPUT_WIDTH-1 downto 0); vld : out std_logic ); end entity; architecture arch of PhaseGenerator is signal accum : unsigned(ACCUMULATOR_WIDTH-1 downto 0); signal phase_int : unsigned(ACCUMULATOR_WIDTH-1 downto 0); signal phase_offset_d : unsigned(ACCUMULATOR_WIDTH-1 downto 0); begin --Main accumulation process main : process(clk) begin if rising_edge(clk) then if rst = '1' then accum <= (others => '0'); phase_offset_d <= (others => '0'); phase_int <= (others => '0'); else accum <= accum + unsigned(phase_increment); --register to align increment and offset updates phase_offset_d <= unsigned(phase_offset); phase_int <= accum + phase_offset_d; end if; end if; end process; --Truncate output phase <= std_logic_vector(phase_int(accum'high downto accum'high-OUTPUT_WIDTH+1)); end architecture;
mpl-2.0
9731dd7e000d8d3b9d99641a2ed0cf64
0.684685
3.348028
false
false
false
false
MiddleMan5/233
Experiments/Experiment8-GeterDone/RTL/ControlUnit.vhd
1
15,615
---------------------------------------------------------------------------------- -- Company: CPE233 -- Engineer: Justin Nguyen, Quinn Mikelson -- -- Create Date: 20:59:29 02/04/2013 -- Design Name: -- Module Name: RAT_CPU - Behavioral -- Project Name: RAT MCU -- Target Devices: -- Tool versions: -- Description: This is the control unit of the RAT MCU. -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity ControlUnit is Port ( CLK : in STD_LOGIC; C : in STD_LOGIC; Z : in STD_LOGIC; INT : in STD_LOGIC; RST : in STD_LOGIC; OPCODE_HI_5 : in STD_LOGIC_VECTOR (4 downto 0); OPCODE_LO_2 : in STD_LOGIC_VECTOR (1 downto 0); PC_LD : out STD_LOGIC; PC_INC : out STD_LOGIC; PC_RESET : out STD_LOGIC; PC_MUX_SEL : out STD_LOGIC_VECTOR (1 downto 0); SP_LD : out STD_LOGIC; SP_RESET : out STD_LOGIC; SP_INCR : out STD_LOGIC; SP_DECR : out STD_LOGIC; RF_WR : out STD_LOGIC; RF_WR_SEL : out STD_LOGIC_VECTOR (1 downto 0); ALU_SEL : out STD_LOGIC_VECTOR (3 downto 0); ALU_OPY_SEL : out STD_LOGIC; SCR_WR : out STD_LOGIC; SCR_ADDR_SEL : out STD_LOGIC_VECTOR (1 downto 0); SCR_DATA_SEL : out STD_LOGIC; C_FLAG_SEL : out STD_LOGIC; C_FLAG_LD : out STD_LOGIC; C_FLAG_SET : out STD_LOGIC; C_FLAG_CLR : out STD_LOGIC; SHAD_C_LD : out STD_LOGIC; Z_FLAG_SEL : out STD_LOGIC; Z_FLAG_LD : out STD_LOGIC; Z_FLAG_SET : out STD_LOGIC; Z_FLAG_CLR : out STD_LOGIC; SHAD_Z_LD : out STD_LOGIC; I_FLAG_SET : out STD_LOGIC; I_FLAG_CLR : out STD_LOGIC; IO_OE : out STD_LOGIC); end ControlUnit; architecture Behavioral of ControlUnit is -- State machine signals type state_type is (ST_init, ST_fet, ST_exec, ST_int); signal PS,NS : state_type; -- Opcode signal sig_OPCODE_7: std_logic_vector (6 downto 0); begin -- Assign next state sync_p: process (CLK, NS, RST) begin if (RST = '1') then PS <= ST_init; elsif (rising_edge(CLK)) then PS <= NS; end if; end process sync_p; -- Translate instruction to signals comb_p: process (OPCODE_HI_5, OPCODE_LO_2, sig_OPCODE_7, C, Z, PS, NS, INT) begin sig_OPCODE_7 <= OPCODE_HI_5 & OPCODE_LO_2; case PS is -- STATE: the init cycle ------------------------------------ when ST_init => NS <= ST_fet; -- Initialize all control outputs to non-active states and reset the PC and SP to all zeros. PC_LD <= '0'; PC_MUX_SEL <= "00"; PC_RESET <= '1'; PC_INC <= '0'; SP_LD <= '0'; SP_RESET <= '1'; SP_INCR <= '0'; SP_DECR <= '0'; RF_WR <= '0'; RF_WR_SEL <= "00"; ALU_OPY_SEL <= '0'; ALU_SEL <= "0000"; SCR_WR <= '0'; SCR_ADDR_SEL <= "00"; SCR_DATA_SEL<= '0'; C_FLAG_SEL <= '0'; C_FLAG_LD <= '0'; C_FLAG_SET <= '0'; C_FLAG_CLR <= '0'; SHAD_C_LD <= '0'; Z_FLAG_SEL <= '0'; Z_FLAG_LD <= '0'; Z_FLAG_SET <= '0'; Z_FLAG_CLR <= '0'; SHAD_Z_LD <= '0'; I_FLAG_SET <= '0'; I_FLAG_CLR <= '0'; IO_OE <= '0'; --WRITE_STROBE <= '0'; READ_STROBE <= '0'; -- STATE: the fetch cycle ----------------------------------- when ST_fet => NS <= ST_exec; PC_LD <= '0'; PC_MUX_SEL <= "00"; PC_RESET <= '0'; PC_INC <= '1'; SP_LD <= '0'; SP_RESET <= '0'; SP_INCR <= '0'; SP_DECR <= '0'; RF_WR <= '0'; RF_WR_SEL <= "00"; ALU_OPY_SEL <= '0'; ALU_SEL <= "0000"; SCR_WR <= '0'; SCR_ADDR_SEL <= "00"; SCR_DATA_SEL<= '0'; C_FLAG_SEL <= '0'; C_FLAG_LD <= '0'; C_FLAG_SET <= '0'; C_FLAG_CLR <= '0'; SHAD_C_LD <= '0'; Z_FLAG_SEL <= '0'; Z_FLAG_LD <= '0'; Z_FLAG_SET <= '0'; Z_FLAG_CLR <= '0'; SHAD_Z_LD <= '0'; I_FLAG_SET <= '0'; I_FLAG_CLR <= '0'; IO_OE <= '0'; --WRITE_STROBE <= '0'; READ_STROBE <= '0'; -- STATE: the execute cycle --------------------------------- when ST_exec => if (INT = '1') then NS <= ST_int; else NS <= ST_fet; end if; -- Repeat the default block for all variables here, noting that any output values desired to be different -- from init values shown below will be assigned in the following case statements for each opcode. PC_LD <= '0'; PC_MUX_SEL <= "00"; PC_RESET <= '0'; PC_INC <= '0'; SP_LD <= '0'; SP_RESET <= '0'; SP_INCR <= '0'; SP_DECR <= '0'; RF_WR <= '0'; RF_WR_SEL <= "00"; ALU_OPY_SEL <= '0'; ALU_SEL <= "0000"; SCR_WR <= '0'; SCR_ADDR_SEL <= "00"; SCR_DATA_SEL<= '0'; C_FLAG_SEL <= '0'; C_FLAG_LD <= '0'; C_FLAG_SET <= '0'; C_FLAG_CLR <= '0'; SHAD_C_LD <= '0'; Z_FLAG_SEL <= '0'; Z_FLAG_LD <= '0'; Z_FLAG_SET <= '0'; Z_FLAG_CLR <= '0'; SHAD_Z_LD <= '0'; I_FLAG_SET <= '0'; I_FLAG_CLR <= '0'; IO_OE <= '0'; --WRITE_STROBE <= '0'; READ_STROBE <= '0'; if (sig_OPCODE_7 = "0000100") then -- ADD reg-reg RF_WR <= '1'; ALU_SEL <= "0000"; C_FLAG_LD <= '1'; Z_FLAG_LD <= '1'; elsif (OPCODE_HI_5 = "10100" ) then -- ADD reg-immed ALU_OPY_SEL <= '1'; RF_WR <= '1'; ALU_SEL <= "0000"; C_FLAG_LD <= '1'; Z_FLAG_LD <= '1'; elsif (sig_OPCODE_7 = "0000101") then -- ADDC reg-reg RF_WR <= '1'; ALU_SEL <= "0001"; C_FLAG_LD <= '1'; Z_FLAG_LD <= '1'; elsif (OPCODE_HI_5 = "10101" ) then -- ADDC reg-immed ALU_OPY_SEL <= '1'; RF_WR <= '1'; ALU_SEL <= "0001"; C_FLAG_LD <= '1'; Z_FLAG_LD <= '1'; elsif (sig_OPCODE_7 = "0000000") then -- AND reg-reg RF_WR <= '1'; ALU_SEL <= "0101"; Z_FLAG_LD <= '1'; elsif (OPCODE_HI_5 = "10000" ) then -- AND reg-immed ALU_OPY_SEL <= '1'; ALU_SEL <= "0101"; Z_FLAG_LD <= '1'; elsif (sig_OPCODE_7 = "0100100") then -- ASR reg-reg RF_WR <= '1'; ALU_SEL <= "1101"; Z_FLAG_LD <= '1'; C_FLAG_LD <= '1'; elsif (sig_OPCODE_7 = "0010101") then -- BRCC if (c = '0') then PC_LD <= '1'; end if; elsif (sig_OPCODE_7 = "0010100") then -- BRCS if (c = '1') then PC_LD <= '1'; end if; elsif (sig_OPCODE_7 = "0010010") then -- BREQ if (Z = '1') then PC_LD <= '1'; end if; elsif (sig_OPCODE_7 = "0010000") then -- BRN PC_LD <= '1'; elsif (sig_OPCODE_7 = "0010011") then -- BRNE if (Z = '0') then PC_LD <= '1'; end if; elsif (sig_OPCODE_7 = "0010001") then -- CALL PC_LD <= '1'; SP_LD <= '1'; SCR_ADDR_SEL <= "10"; SCR_ADDR_SEL <= "11"; SCR_WR <= '1'; elsif (sig_OPCODE_7 = "0110000") then -- CLC C_FLAG_CLR <= '1'; elsif (sig_OPCODE_7 = "0110101") then -- CLI (INT) I_FLAG_CLR <= '1'; elsif (sig_OPCODE_7 = "0001000") then -- CMP reg-reg ALU_SEL <= "0100"; C_FLAG_LD <= '1'; Z_FLAG_LD <= '1'; elsif (OPCODE_HI_5 = "11000" ) then -- CMP reg-immed ALU_SEL <= "0100"; ALU_OPY_SEL <= '1'; C_FLAG_LD <= '1'; Z_FLAG_LD <= '1'; elsif (sig_OPCODE_7 = "0000010") then -- EXOR reg-reg RF_WR <= '1'; ALU_SEL <= "0111"; C_FLAG_LD <= '1'; Z_FLAG_LD <= '1'; elsif (OPCODE_HI_5 = "10010" ) then -- EXOR reg-immed RF_WR <= '1'; ALU_SEL <= "0111"; ALU_OPY_SEL <= '1'; C_FLAG_LD <= '1'; Z_FLAG_LD <= '1'; elsif (OPCODE_HI_5 = "11001" ) then -- IN RF_WR <= '1'; RF_WR_SEL <= "11"; elsif (sig_OPCODE_7 = "0001010") then -- LD reg-reg SCR_ADDR_SEL <= "00"; RF_WR <= '1'; RF_WR_SEL <= "01"; elsif (OPCODE_HI_5 = "11100" ) then -- LD reg-immed SCR_ADDR_SEL <= "01"; RF_WR <= '1'; RF_WR_SEL <= "01"; elsif (sig_OPCODE_7 = "0100000") then -- LSL RF_WR <= '1'; ALU_SEL <= "1001"; C_FLAG_LD <= '1'; Z_FLAG_LD <= '1'; elsif (sig_OPCODE_7 = "0100001") then -- LSR RF_WR <= '1'; ALU_SEL <= "1010"; C_FLAG_LD <= '1'; Z_FLAG_LD <= '1'; elsif (sig_OPCODE_7 = "0001001") then -- MOV reg-reg RF_WR <= '1'; ALU_SEL <= "1110"; elsif (OPCODE_HI_5 = "11011" ) then -- MOV reg-immed RF_WR <= '1'; ALU_SEL <= "1110"; ALU_OPY_SEL <= '1'; elsif (sig_OPCODE_7 = "0000001") then -- OR reg-reg RF_WR <= '1'; ALU_SEL <= "0110"; Z_FLAG_LD <= '1'; elsif (OPCODE_HI_5 = "10001" ) then -- OR reg-immed RF_WR <= '1'; ALU_SEL <= "0110"; ALU_OPY_SEL <= '1'; Z_FLAG_LD <= '1'; elsif (OPCODE_HI_5 = "11010" ) then -- OUT IO_OE <= '1'; elsif (sig_OPCODE_7 = "0100110") then -- POP SCR_ADDR_SEL <= "10"; RF_WR <= '1'; RF_WR_SEL <= "01"; SCR_ADDR_SEL <= "11"; SP_LD <= '1'; elsif (sig_OPCODE_7 = "0100101") then -- PUSH SCR_WR <= '1'; SCR_ADDR_SEL <= "11"; SCR_ADDR_SEL <= "10"; SP_LD <= '1'; elsif (sig_OPCODE_7 = "0110010") then -- RET SCR_ADDR_SEL <= "10"; PC_MUX_SEL <= "01"; PC_LD <= '1'; SCR_ADDR_SEL <= "11"; SP_LD <= '1'; elsif (sig_OPCODE_7 = "0110110") then -- RETID (INT) SCR_ADDR_SEL <= "10"; PC_MUX_SEL <= "01"; PC_LD <= '1'; SCR_ADDR_SEL <= "11"; SP_LD <= '1'; I_FLAG_CLR <= '1'; elsif (sig_OPCODE_7 = "0110111") then -- RETIE (INT) SCR_ADDR_SEL <= "10"; PC_MUX_SEL <= "01"; PC_LD <= '1'; SCR_ADDR_SEL <= "11"; SP_LD <= '1'; I_FLAG_SET <= '1'; elsif (sig_OPCODE_7 = "0100010") then -- ROL RF_WR <= '1'; ALU_SEL <= "1011"; Z_FLAG_LD <= '1'; C_FLAG_LD <= '1'; elsif (sig_OPCODE_7 = "0100011") then -- ROR RF_WR <= '1'; ALU_SEL <= "1100"; Z_FLAG_LD <= '1'; C_FLAG_LD <= '1'; elsif (sig_OPCODE_7 = "0110001") then -- SEC C_FLAG_SET <= '1'; elsif (sig_OPCODE_7 = "0110100") then -- SEI (INT) I_FLAG_SET <= '1'; elsif (sig_OPCODE_7 = "0001011") then -- ST reg-reg SCR_WR <= '1'; elsif (OPCODE_HI_5 = "11101" ) then -- ST reg-immed SCR_WR <= '1'; SCR_ADDR_SEL <= "01"; elsif (sig_OPCODE_7 = "0000110") then -- SUB reg-reg RF_WR <= '1'; ALU_SEL <= "0010"; C_FLAG_LD <= '1'; Z_FLAG_LD <= '1'; elsif (OPCODE_HI_5 = "10110" ) then -- SUB reg-immed RF_WR <= '1'; ALU_SEL <= "0010"; ALU_OPY_SEL <= '1'; C_FLAG_LD <= '1'; Z_FLAG_LD <= '1'; elsif (sig_OPCODE_7 = "0000111") then -- SUBC reg-reg RF_WR <= '1'; ALU_SEL <= "0011"; C_FLAG_LD <= '1'; Z_FLAG_LD <= '1'; elsif (OPCODE_HI_5 = "10111" ) then -- SUBC reg-immed ALU_OPY_SEL <= '1'; RF_WR <= '1'; ALU_SEL <= "0011"; C_FLAG_LD <= '1'; Z_FLAG_LD <= '1'; elsif (sig_OPCODE_7 = "0000011") then -- TEST reg-reg ALU_SEL <= "1000"; Z_FLAG_LD <= '1'; elsif (OPCODE_HI_5 = "10011" ) then -- TEST reg-immed ALU_OPY_SEL <= '1'; ALU_SEL <= "1000"; Z_FLAG_LD <= '1'; elsif (sig_OPCODE_7 = "0101000") then -- WSP SCR_ADDR_SEL <= "00"; SP_LD <= '1'; else -- repeat the default block here to avoid incompletely specified outputs and hence avoid -- the problem of inadvertently created latches within the synthesized system. PC_LD <= '0'; PC_MUX_SEL <= "00"; PC_RESET <= '0'; PC_INC <= '0'; SP_LD <= '0'; SP_RESET <= '0'; SP_INCR <= '0'; SP_DECR <= '0'; RF_WR <= '0'; RF_WR_SEL <= "00"; ALU_OPY_SEL <= '0'; ALU_SEL <= "0000"; SCR_WR <= '0'; SCR_ADDR_SEL <= "00"; SCR_DATA_SEL <= '0'; C_FLAG_SEL <= '0'; C_FLAG_LD <= '0'; C_FLAG_SET <= '0'; C_FLAG_CLR <= '0'; SHAD_C_LD <= '0'; Z_FLAG_SEL <= '0'; Z_FLAG_LD <= '0'; Z_FLAG_SET <= '0'; Z_FLAG_CLR <= '0'; SHAD_Z_LD <= '0'; I_FLAG_SET <= '0'; I_FLAG_CLR <= '0'; IO_OE <= '0'; --WRITE_STROBE <= '0'; READ_STROBE <= '0'; end if; -- STATE: the interrupt cycle ----------------------------------- when ST_int => NS <= ST_fet; PC_LD <= '1'; PC_MUX_SEL <= "10"; PC_RESET <= '0'; PC_INC <= '0'; SP_LD <= '1'; SP_RESET <= '0'; SP_INCR <= '1'; SP_DECR <= '0'; RF_WR <= '0'; RF_WR_SEL <= "00"; ALU_OPY_SEL <= '0'; ALU_SEL <= "0000"; SCR_WR <= '0'; SCR_ADDR_SEL <= "11"; SCR_DATA_SEL<= '0'; C_FLAG_SEL <= '0'; C_FLAG_LD <= '0'; C_FLAG_SET <= '0'; C_FLAG_CLR <= '0'; SHAD_C_LD <= '0'; Z_FLAG_SEL <= '0'; Z_FLAG_LD <= '0'; Z_FLAG_SET <= '0'; Z_FLAG_CLR <= '0'; SHAD_Z_LD <= '0'; I_FLAG_SET <= '0'; I_FLAG_CLR <= '1'; IO_OE <= '0'; --WRITE_STROBE <= '0'; READ_STROBE <= '0'; when others => NS <= ST_fet; PC_LD <= '0'; PC_MUX_SEL <= "00"; PC_RESET <= '1'; PC_INC <= '0'; SP_LD <= '0'; SP_RESET <= '1'; SP_INCR <= '0'; SP_DECR <= '0'; RF_WR <= '0'; RF_WR_SEL <= "00"; ALU_OPY_SEL <= '0'; ALU_SEL <= "0000"; SCR_WR <= '0'; SCR_ADDR_SEL <= "00"; SCR_DATA_SEL<= '0'; C_FLAG_SEL <= '0'; C_FLAG_LD <= '0'; C_FLAG_SET <= '0'; C_FLAG_CLR <= '0'; SHAD_C_LD <= '0'; Z_FLAG_SEL <= '0'; Z_FLAG_LD <= '0'; Z_FLAG_SET <= '0'; Z_FLAG_CLR <= '0'; SHAD_Z_LD <= '0'; I_FLAG_SET <= '0'; I_FLAG_CLR <= '0'; IO_OE <= '0'; --WRITE_STROBE <= '0'; READ_STROBE <= '0'; end case; end process comb_p; end Behavioral;
mit
c244183ab279aa3ca59c1bdc97ff69e9
0.40333
2.899721
false
false
false
false
MiddleMan5/233
Experiments/IP_Repo/Program Counter/src/Program_Counter_Mux4x1_0_1.vhd
2
3,724
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: CPE233:F17:Mux4x1:1.0 -- IP Revision: 2 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY Program_Counter_Mux4x1_0_1 IS PORT ( A : IN STD_LOGIC_VECTOR(9 DOWNTO 0); B : IN STD_LOGIC_VECTOR(9 DOWNTO 0); C : IN STD_LOGIC_VECTOR(9 DOWNTO 0); D : IN STD_LOGIC_VECTOR(9 DOWNTO 0); SEL : IN STD_LOGIC_VECTOR(1 DOWNTO 0); X : OUT STD_LOGIC_VECTOR(9 DOWNTO 0) ); END Program_Counter_Mux4x1_0_1; ARCHITECTURE Program_Counter_Mux4x1_0_1_arch OF Program_Counter_Mux4x1_0_1 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF Program_Counter_Mux4x1_0_1_arch: ARCHITECTURE IS "yes"; COMPONENT Mux4x1 IS PORT ( A : IN STD_LOGIC_VECTOR(9 DOWNTO 0); B : IN STD_LOGIC_VECTOR(9 DOWNTO 0); C : IN STD_LOGIC_VECTOR(9 DOWNTO 0); D : IN STD_LOGIC_VECTOR(9 DOWNTO 0); SEL : IN STD_LOGIC_VECTOR(1 DOWNTO 0); X : OUT STD_LOGIC_VECTOR(9 DOWNTO 0) ); END COMPONENT Mux4x1; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF Program_Counter_Mux4x1_0_1_arch: ARCHITECTURE IS "Mux4x1,Vivado 2016.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF Program_Counter_Mux4x1_0_1_arch : ARCHITECTURE IS "Program_Counter_Mux4x1_0_1,Mux4x1,{}"; BEGIN U0 : Mux4x1 PORT MAP ( A => A, B => B, C => C, D => D, SEL => SEL, X => X ); END Program_Counter_Mux4x1_0_1_arch;
mit
453752b2ee78c5a8215975e4c743f1be
0.720999
3.754032
false
false
false
false
MiddleMan5/233
Experiments/RTL_Components/CPE233-master/ram2k_8.vhd
1
1,210
-- -- An array of 2048 bytes that works as a framebuffer for the vgaDriverBuffer -- module. Holds the RGB pixel data for each location. -- -- Original author: unknown -- -- Peter Heatwole, Aaron Barton -- CPE233, Winter 2012, CalPoly -- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity ram2k_8 is port(clk: in STD_LOGIC; we: in STD_LOGIC; ra, wa: in STD_LOGIC_VECTOR(10 downto 0); wd: in STD_LOGIC_VECTOR(7 downto 0); rd: out STD_LOGIC_VECTOR(7 downto 0); pixelVal: out STD_LOGIC_VECTOR(7 downto 0) ); end ram2k_8; architecture Behavioral of ram2k_8 is type ramtype is array (2047 downto 0) of STD_LOGIC_VECTOR(7 downto 0); signal mem: ramtype := (OTHERS => "11100000"); begin -- three-ported register file -- read two ports combinationally -- write third port on rising edge of clock process(clk) begin if (clk'event and clk = '1') then if we = '1' then mem(CONV_INTEGER(wa)) <= wd; end if; end if; end process; rd <= mem(CONV_INTEGER(ra)); pixelVal <= mem(CONV_INTEGER(wa)); end Behavioral;
mit
bb2b29e5fc151982a08750e33faa1c08
0.628926
3.389356
false
false
false
false
MiddleMan5/233
Experiments/Experiment8-GeterDone/IPI-BD/RAT/ip/RAT_Counter10bit_0_0/RAT_Counter10bit_0_0_sim_netlist.vhdl
1
8,939
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1756540 Mon Jan 23 19:11:23 MST 2017 -- Date : Fri Oct 27 14:51:03 2017 -- Host : Juice-Laptop running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- C:/RATCPU/Experiments/Experiment8-GeterDone/IPI-BD/RAT/ip/RAT_Counter10bit_0_0/RAT_Counter10bit_0_0_sim_netlist.vhdl -- Design : RAT_Counter10bit_0_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7a35tcpg236-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity RAT_Counter10bit_0_0_Counter10bit is port ( COUNT : out STD_LOGIC_VECTOR ( 0 to 9 ); CLK : in STD_LOGIC; RESET : in STD_LOGIC; LOAD : in STD_LOGIC; INC : in STD_LOGIC; Din : in STD_LOGIC_VECTOR ( 0 to 9 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of RAT_Counter10bit_0_0_Counter10bit : entity is "Counter10bit"; end RAT_Counter10bit_0_0_Counter10bit; architecture STRUCTURE of RAT_Counter10bit_0_0_Counter10bit is signal \^count\ : STD_LOGIC_VECTOR ( 0 to 9 ); signal p_0_in : STD_LOGIC_VECTOR ( 9 downto 0 ); signal \s_COUNT[0]_i_1_n_0\ : STD_LOGIC; signal \s_COUNT[0]_i_3_n_0\ : STD_LOGIC; signal \s_COUNT[1]_i_2_n_0\ : STD_LOGIC; signal \s_COUNT[4]_i_2_n_0\ : STD_LOGIC; signal \s_COUNT[5]_i_2_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \s_COUNT[0]_i_3\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \s_COUNT[2]_i_1\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \s_COUNT[4]_i_2\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \s_COUNT[5]_i_2\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \s_COUNT[8]_i_1\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \s_COUNT[9]_i_1\ : label is "soft_lutpair2"; begin COUNT(0 to 9) <= \^count\(0 to 9); \s_COUNT[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => LOAD, I1 => INC, O => \s_COUNT[0]_i_1_n_0\ ); \s_COUNT[0]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"8BBBB888" ) port map ( I0 => Din(0), I1 => LOAD, I2 => \s_COUNT[0]_i_3_n_0\, I3 => \^count\(1), I4 => \^count\(0), O => p_0_in(9) ); \s_COUNT[0]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"80" ) port map ( I0 => \^count\(2), I1 => \s_COUNT[1]_i_2_n_0\, I2 => \^count\(3), O => \s_COUNT[0]_i_3_n_0\ ); \s_COUNT[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"8BBBBBBBB8888888" ) port map ( I0 => Din(1), I1 => LOAD, I2 => \^count\(3), I3 => \s_COUNT[1]_i_2_n_0\, I4 => \^count\(2), I5 => \^count\(1), O => p_0_in(8) ); \s_COUNT[1]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"8000000000000000" ) port map ( I0 => \^count\(4), I1 => \^count\(6), I2 => \^count\(8), I3 => \^count\(9), I4 => \^count\(7), I5 => \^count\(5), O => \s_COUNT[1]_i_2_n_0\ ); \s_COUNT[2]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"8BBBB888" ) port map ( I0 => Din(2), I1 => LOAD, I2 => \s_COUNT[1]_i_2_n_0\, I3 => \^count\(3), I4 => \^count\(2), O => p_0_in(7) ); \s_COUNT[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"8BB8" ) port map ( I0 => Din(3), I1 => LOAD, I2 => \s_COUNT[1]_i_2_n_0\, I3 => \^count\(3), O => p_0_in(6) ); \s_COUNT[4]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"8BB8" ) port map ( I0 => Din(4), I1 => LOAD, I2 => \s_COUNT[4]_i_2_n_0\, I3 => \^count\(4), O => p_0_in(5) ); \s_COUNT[4]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"80000000" ) port map ( I0 => \^count\(5), I1 => \^count\(7), I2 => \^count\(9), I3 => \^count\(8), I4 => \^count\(6), O => \s_COUNT[4]_i_2_n_0\ ); \s_COUNT[5]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"8BB8" ) port map ( I0 => Din(5), I1 => LOAD, I2 => \s_COUNT[5]_i_2_n_0\, I3 => \^count\(5), O => p_0_in(4) ); \s_COUNT[5]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"8000" ) port map ( I0 => \^count\(6), I1 => \^count\(8), I2 => \^count\(9), I3 => \^count\(7), O => \s_COUNT[5]_i_2_n_0\ ); \s_COUNT[6]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"8BBBBBBBB8888888" ) port map ( I0 => Din(6), I1 => LOAD, I2 => \^count\(8), I3 => \^count\(9), I4 => \^count\(7), I5 => \^count\(6), O => p_0_in(3) ); \s_COUNT[7]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"8BBBB888" ) port map ( I0 => Din(7), I1 => LOAD, I2 => \^count\(9), I3 => \^count\(8), I4 => \^count\(7), O => p_0_in(2) ); \s_COUNT[8]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"8BB8" ) port map ( I0 => Din(8), I1 => LOAD, I2 => \^count\(9), I3 => \^count\(8), O => p_0_in(1) ); \s_COUNT[9]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"8B" ) port map ( I0 => Din(9), I1 => LOAD, I2 => \^count\(9), O => p_0_in(0) ); \s_COUNT_reg[0]\: unisim.vcomponents.FDCE port map ( C => CLK, CE => \s_COUNT[0]_i_1_n_0\, CLR => RESET, D => p_0_in(9), Q => \^count\(0) ); \s_COUNT_reg[1]\: unisim.vcomponents.FDCE port map ( C => CLK, CE => \s_COUNT[0]_i_1_n_0\, CLR => RESET, D => p_0_in(8), Q => \^count\(1) ); \s_COUNT_reg[2]\: unisim.vcomponents.FDCE port map ( C => CLK, CE => \s_COUNT[0]_i_1_n_0\, CLR => RESET, D => p_0_in(7), Q => \^count\(2) ); \s_COUNT_reg[3]\: unisim.vcomponents.FDCE port map ( C => CLK, CE => \s_COUNT[0]_i_1_n_0\, CLR => RESET, D => p_0_in(6), Q => \^count\(3) ); \s_COUNT_reg[4]\: unisim.vcomponents.FDCE port map ( C => CLK, CE => \s_COUNT[0]_i_1_n_0\, CLR => RESET, D => p_0_in(5), Q => \^count\(4) ); \s_COUNT_reg[5]\: unisim.vcomponents.FDCE port map ( C => CLK, CE => \s_COUNT[0]_i_1_n_0\, CLR => RESET, D => p_0_in(4), Q => \^count\(5) ); \s_COUNT_reg[6]\: unisim.vcomponents.FDCE port map ( C => CLK, CE => \s_COUNT[0]_i_1_n_0\, CLR => RESET, D => p_0_in(3), Q => \^count\(6) ); \s_COUNT_reg[7]\: unisim.vcomponents.FDCE port map ( C => CLK, CE => \s_COUNT[0]_i_1_n_0\, CLR => RESET, D => p_0_in(2), Q => \^count\(7) ); \s_COUNT_reg[8]\: unisim.vcomponents.FDCE port map ( C => CLK, CE => \s_COUNT[0]_i_1_n_0\, CLR => RESET, D => p_0_in(1), Q => \^count\(8) ); \s_COUNT_reg[9]\: unisim.vcomponents.FDCE port map ( C => CLK, CE => \s_COUNT[0]_i_1_n_0\, CLR => RESET, D => p_0_in(0), Q => \^count\(9) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity RAT_Counter10bit_0_0 is port ( Din : in STD_LOGIC_VECTOR ( 0 to 9 ); LOAD : in STD_LOGIC; INC : in STD_LOGIC; RESET : in STD_LOGIC; CLK : in STD_LOGIC; COUNT : out STD_LOGIC_VECTOR ( 0 to 9 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of RAT_Counter10bit_0_0 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of RAT_Counter10bit_0_0 : entity is "RAT_Counter10bit_0_0,Counter10bit,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of RAT_Counter10bit_0_0 : entity is "yes"; attribute x_core_info : string; attribute x_core_info of RAT_Counter10bit_0_0 : entity is "Counter10bit,Vivado 2016.4"; end RAT_Counter10bit_0_0; architecture STRUCTURE of RAT_Counter10bit_0_0 is begin U0: entity work.RAT_Counter10bit_0_0_Counter10bit port map ( CLK => CLK, COUNT(0 to 9) => COUNT(0 to 9), Din(0 to 9) => Din(0 to 9), INC => INC, LOAD => LOAD, RESET => RESET ); end STRUCTURE;
mit
1b99ec9b1b19fdc4372a1915fe22a607
0.505761
2.853176
false
false
false
false
BBN-Q/VHDL-Components
src/ComplexMultiplier.vhd
1
3,510
-- Fully pipelined complex AXI stream multiplier with generic widths -- -- Original author Colm Ryan -- Copyright 2015, Raytheon BBN Technologies library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity ComplexMultiplier is generic ( A_WIDTH : natural := 16; B_WIDTH : natural := 16; PROD_WIDTH : natural := 16; -- normally complex multiplication grows by one bit e.g. -1-1im * -1-1im = 0 + 2im -- divide output by BIT_SHIFT if overflow not a concern e.g. multplying by e^i\theta BIT_SHIFT : natural := 0 ); port ( clk : in std_logic; rst : in std_logic; a_data_re : in std_logic_vector(A_WIDTH-1 downto 0); a_data_im : in std_logic_vector(A_WIDTH-1 downto 0); a_vld : in std_logic; a_last : in std_logic; b_data_re : in std_logic_vector(B_WIDTH-1 downto 0); b_data_im : in std_logic_vector(B_WIDTH-1 downto 0); b_vld : in std_logic; b_last : in std_logic; prod_data_re : out std_logic_vector(PROD_WIDTH-1 downto 0); prod_data_im : out std_logic_vector(PROD_WIDTH-1 downto 0); prod_vld : out std_logic; prod_last : out std_logic ); end entity; architecture arch of ComplexMultiplier is signal a_reg_re, a_reg_im : signed(A_WIDTH-1 downto 0); signal b_reg_re, b_reg_im : signed(B_WIDTH-1 downto 0); constant PROD_WIDTH_INT : natural := A_WIDTH + B_WIDTH; signal prod1, prod2, prod3, prod4 : signed(PROD_WIDTH_INT-1 downto 0); signal sum_re, sum_im : signed(PROD_WIDTH_INT-1 downto 0); --How to slice the output sum subtype OUTPUT_SLICE is natural range (PROD_WIDTH_INT - 1 - BIT_SHIFT) downto (PROD_WIDTH_INT - BIT_SHIFT - PROD_WIDTH); begin main : process( clk ) begin if rising_edge(clk) then if rst = '1' then a_reg_re <= (others => '0'); a_reg_im <= (others => '0'); b_reg_re <= (others => '0'); b_reg_im <= (others => '0'); prod1 <= (others => '0'); prod2 <= (others => '0'); prod3 <= (others => '0'); prod4 <= (others => '0'); sum_re <= (others => '0'); sum_im <= (others => '0'); prod_data_re <= (others => '0'); prod_data_im <= (others => '0'); else --Register inputs a_reg_re <= signed(a_data_re); a_reg_im <= signed(a_data_im); b_reg_re <= signed(b_data_re); b_reg_im <= signed(b_data_im); --Pipeline intermediate products prod1 <= a_reg_re * b_reg_re; prod2 <= a_reg_re * b_reg_im; prod3 <= a_reg_im * b_reg_re; prod4 <= a_reg_im * b_reg_im; --don't have to worry about overflow because signed multiplication already has extra bit sum_re <= prod1 - prod4; sum_im <= prod2 + prod3; --Slice output to truncate prod_data_re <= std_logic_vector( sum_re(OUTPUT_SLICE) ); prod_data_im <= std_logic_vector( sum_im(OUTPUT_SLICE) ); end if; end if; end process; -- main --Delay the valid and last for the pipelining through the multiplier --Currently this is 4 clocks: input register; products; additions; output register --Both inputs have to be valid delayLine_vld : entity work.DelayLine generic map(DELAY_TAPS => 4) port map(clk => clk, rst => rst, data_in(0) => (a_vld and b_vld), data_out(0) => prod_vld); --Either last -- unaligned lasts is not defined behaviour delayLine_last : entity work.DelayLine generic map(DELAY_TAPS => 4) port map(clk => clk, rst => rst, data_in(0) => (a_last or b_last), data_out(0) => prod_last); end architecture;
mpl-2.0
c4d2272162b59bdaa776980e7e6c6e46
0.61339
3.111702
false
false
false
false
MiddleMan5/233
Experiments/Experiment8-GeterDone/IPI-BD/RAT/ip/RAT_prog_rom_0_0/RAT_prog_rom_0_0_sim_netlist.vhdl
1
11,590
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1756540 Mon Jan 23 19:11:23 MST 2017 -- Date : Fri Oct 27 14:51:03 2017 -- Host : Juice-Laptop running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- C:/RATCPU/Experiments/Experiment8-GeterDone/IPI-BD/RAT/ip/RAT_prog_rom_0_0/RAT_prog_rom_0_0_sim_netlist.vhdl -- Design : RAT_prog_rom_0_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7a35tcpg236-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity RAT_prog_rom_0_0_prog_rom is port ( INSTRUCTION : out STD_LOGIC_VECTOR ( 17 downto 0 ); CLK : in STD_LOGIC; ADDRESS : in STD_LOGIC_VECTOR ( 9 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of RAT_prog_rom_0_0_prog_rom : entity is "prog_rom"; end RAT_prog_rom_0_0_prog_rom; architecture STRUCTURE of RAT_prog_rom_0_0_prog_rom is signal NLW_ram_1024_x_18_DIBDI_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 ); signal NLW_ram_1024_x_18_DIPBDIP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_ram_1024_x_18_DOBDO_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 ); signal NLW_ram_1024_x_18_DOPBDOP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute CLOCK_DOMAINS : string; attribute CLOCK_DOMAINS of ram_1024_x_18 : label is "INDEPENDENT"; attribute XILINX_LEGACY_PRIM : string; attribute XILINX_LEGACY_PRIM of ram_1024_x_18 : label is "RAMB16_S18"; attribute XILINX_TRANSFORM_PINMAP : string; attribute XILINX_TRANSFORM_PINMAP of ram_1024_x_18 : label is "ADDR[0]:ADDRARDADDR[4] ADDR[1]:ADDRARDADDR[5] ADDR[2]:ADDRARDADDR[6] ADDR[3]:ADDRARDADDR[7] ADDR[4]:ADDRARDADDR[8] ADDR[5]:ADDRARDADDR[9] ADDR[6]:ADDRARDADDR[10] ADDR[7]:ADDRARDADDR[11] ADDR[8]:ADDRARDADDR[12] ADDR[9]:ADDRARDADDR[13] CLK:CLKARDCLK DI[0]:DIADI[0] DI[10]:DIADI[10] DI[11]:DIADI[11] DI[12]:DIADI[12] DI[13]:DIADI[13] DI[14]:DIADI[14] DI[15]:DIADI[15] DI[1]:DIADI[1] DI[2]:DIADI[2] DI[3]:DIADI[3] DI[4]:DIADI[4] DI[5]:DIADI[5] DI[6]:DIADI[6] DI[7]:DIADI[7] DI[8]:DIADI[8] DI[9]:DIADI[9] DIP[0]:DIPADIP[0] DIP[1]:DIPADIP[1] DO[0]:DOADO[0] DO[10]:DOADO[10] DO[11]:DOADO[11] DO[12]:DOADO[12] DO[13]:DOADO[13] DO[14]:DOADO[14] DO[15]:DOADO[15] DO[1]:DOADO[1] DO[2]:DOADO[2] DO[3]:DOADO[3] DO[4]:DOADO[4] DO[5]:DOADO[5] DO[6]:DOADO[6] DO[7]:DOADO[7] DO[8]:DOADO[8] DO[9]:DOADO[9] DOP[0]:DOPADOP[0] DOP[1]:DOPADOP[1] EN:ENARDEN SSR:RSTRAMARSTRAM WE:WEA[1],WEA[0]"; attribute box_type : string; attribute box_type of ram_1024_x_18 : label is "PRIMITIVE"; begin ram_1024_x_18: unisim.vcomponents.RAMB18E1 generic map( DOA_REG => 0, DOB_REG => 0, INITP_00 => X"22CB22CB20B232C8F2CE3738DCE3738ECE3B38EF38E340E340E3038C0E30FFFC", INITP_01 => X"3CE3038C38FCE30E30E30E34D34D35DDDDCE35DCE37DCE3DDCE3DFCE218B218B", INITP_02 => X"488BBB42004FDD373AA833D3833CE0CF4E0CF38398C3A30E630EEF38FCE3CCE3", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000004EF0", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"44098BA154403401806B030A0310430962AA610F6B016A0074005E817E010000", INIT_01 => X"06004A5846098BA15440340480DB05A5051245098BA15440340280A304AF0411", INIT_02 => X"8C01544034E08BA154403410815B078707014A5847098BA154403408811B061F", INIT_03 => X"6AAB8BA15F403F0281EB0AFF2AAA6A558BA15F403F0181B30A110ADB6A357F00", INIT_04 => X"0A7F0A036AFE8BA15F403F08825B0AFE0A026A7F8BA15F403F0482230AFF4A54", INIT_05 => X"047764778BA1810161008C015F403FE082CB0ADF2A006ABE8BA15F403F108293", INIT_06 => X"8380C10483808BA1810441408350C102835304668BA1810241408318C101831A", INIT_07 => X"8400C110A401841164778BA18110414083C0C108A3C0845564FF8BA181084140", INIT_08 => X"8480C140A4808001C4118BA1814041408440C120A441800084FF8BA181204140", INIT_09 => X"6011410061D18BA15F403F0184DB015081FF200160505F407F008C0141408180", INIT_0A => X"5F403F04857B01222102A0FF6022410061FF8BA15F403F02852B011181D02001", INIT_0B => X"603320016022200160118BA15F403F0885CB0142210220016042410061008BA1", INIT_0C => X"0122210286AB0133210286AB0144210286AB0155210220016055200160442001", INIT_0D => X"870B01888B118BA15F403F2086DB01778B018BA15F403F1086AB0111210286AB", INIT_0E => X"8BA15440340187730A016A0174008C015F403F80873B00598B398BA15F403F40", INIT_0F => X"4DAA7502AA028BA15440340487DB0C014C5A4A5B8BA15440340287A30B014B51", INIT_10 => X"0C018F04AA048BA154403410885B0E018E034AB376038BA154403408881B0D01", INIT_11 => X"800124028BA14140012188E30203820262012401640061008C01544034E08893", INIT_12 => X"E203800124088BA141400121895B0205C20224048BA14140012189230207A203", INIT_13 => X"80018BA14F402F0189EB4C506C092A586B046A058C01414021F0012189A30201", INIT_14 => X"2F048A834C506C012A5A6B046A058BA14F402F028A3B4C506C0A2A596B046A05", INIT_15 => X"8AF84F402F802F402F202F102F088AF34C506C022A5B6B026A0580018BA14F40", INIT_16 => X"402141014409200880028B61630A610160018002618880028B29613080026177", INIT_17 => X"80028BAB3D008BBB3C008BCBDB017B5EDC017CFFDD017DFF80028B618B9AC301", INIT_18 => X"0000000000000000000000000000000080028BA15E819E015F407F008BA18BA1", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"00000", INIT_B => X"00000", READ_WIDTH_A => 18, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SRVAL_A => X"00000", SRVAL_B => X"00000", WRITE_MODE_A => "WRITE_FIRST", WRITE_WIDTH_A => 18 ) port map ( ADDRARDADDR(13 downto 4) => ADDRESS(9 downto 0), ADDRARDADDR(3 downto 0) => B"1111", ADDRBWRADDR(13 downto 0) => B"11111111111111", CLKARDCLK => CLK, CLKBWRCLK => '0', DIADI(15 downto 0) => B"0000000000000000", DIBDI(15 downto 0) => NLW_ram_1024_x_18_DIBDI_UNCONNECTED(15 downto 0), DIPADIP(1 downto 0) => B"00", DIPBDIP(1 downto 0) => NLW_ram_1024_x_18_DIPBDIP_UNCONNECTED(1 downto 0), DOADO(15 downto 0) => INSTRUCTION(15 downto 0), DOBDO(15 downto 0) => NLW_ram_1024_x_18_DOBDO_UNCONNECTED(15 downto 0), DOPADOP(1 downto 0) => INSTRUCTION(17 downto 16), DOPBDOP(1 downto 0) => NLW_ram_1024_x_18_DOPBDOP_UNCONNECTED(1 downto 0), ENARDEN => '1', ENBWREN => '0', REGCEAREGCE => '0', REGCEB => '0', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', WEA(1 downto 0) => B"00", WEBWE(3 downto 0) => B"0000" ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity RAT_prog_rom_0_0 is port ( ADDRESS : in STD_LOGIC_VECTOR ( 9 downto 0 ); INSTRUCTION : out STD_LOGIC_VECTOR ( 17 downto 0 ); CLK : in STD_LOGIC ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of RAT_prog_rom_0_0 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of RAT_prog_rom_0_0 : entity is "RAT_prog_rom_0_0,prog_rom,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of RAT_prog_rom_0_0 : entity is "yes"; attribute x_core_info : string; attribute x_core_info of RAT_prog_rom_0_0 : entity is "prog_rom,Vivado 2016.4"; end RAT_prog_rom_0_0; architecture STRUCTURE of RAT_prog_rom_0_0 is begin U0: entity work.RAT_prog_rom_0_0_prog_rom port map ( ADDRESS(9 downto 0) => ADDRESS(9 downto 0), CLK => CLK, INSTRUCTION(17 downto 0) => INSTRUCTION(17 downto 0) ); end STRUCTURE;
mit
d7caf40b2f4c2c7c0461a8fd450ebae9
0.739085
3.748383
false
false
false
false
alpenwasser/pitaya
firmware/fpga/p_FIR_sim/FIR_sim.srcs/sources_1/bd/design_1/ip/design_1_MUX0_0/sim/design_1_MUX0_0.vhd
2
6,083
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: raphael-frey:user:axis_multiplexer:1.0 -- IP Revision: 1 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY design_1_MUX0_0 IS PORT ( ClkxCI : IN STD_LOGIC; RstxRBI : IN STD_LOGIC; SelectxDI : IN STD_LOGIC_VECTOR(1 DOWNTO 0); Data0xDI : IN STD_LOGIC_VECTOR(23 DOWNTO 0); Data1xDI : IN STD_LOGIC_VECTOR(23 DOWNTO 0); Data2xDI : IN STD_LOGIC_VECTOR(23 DOWNTO 0); Valid0xSI : IN STD_LOGIC; Valid1xSI : IN STD_LOGIC; Valid2xSI : IN STD_LOGIC; Ready0xSO : OUT STD_LOGIC; Ready1xSO : OUT STD_LOGIC; Ready2xSO : OUT STD_LOGIC; DataxDO : OUT STD_LOGIC_VECTOR(23 DOWNTO 0); ValidxSO : OUT STD_LOGIC; ReadyxSI : IN STD_LOGIC ); END design_1_MUX0_0; ARCHITECTURE design_1_MUX0_0_arch OF design_1_MUX0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF design_1_MUX0_0_arch: ARCHITECTURE IS "yes"; COMPONENT multiplexer IS GENERIC ( C_AXIS_TDATA_WIDTH : INTEGER; C_AXIS_NUM_SI_SLOTS : INTEGER ); PORT ( ClkxCI : IN STD_LOGIC; RstxRBI : IN STD_LOGIC; SelectxDI : IN STD_LOGIC_VECTOR(1 DOWNTO 0); Data0xDI : IN STD_LOGIC_VECTOR(23 DOWNTO 0); Data1xDI : IN STD_LOGIC_VECTOR(23 DOWNTO 0); Data2xDI : IN STD_LOGIC_VECTOR(23 DOWNTO 0); Data3xDI : IN STD_LOGIC_VECTOR(23 DOWNTO 0); Valid0xSI : IN STD_LOGIC; Valid1xSI : IN STD_LOGIC; Valid2xSI : IN STD_LOGIC; Valid3xSI : IN STD_LOGIC; Ready0xSO : OUT STD_LOGIC; Ready1xSO : OUT STD_LOGIC; Ready2xSO : OUT STD_LOGIC; Ready3xSO : OUT STD_LOGIC; DataxDO : OUT STD_LOGIC_VECTOR(23 DOWNTO 0); ValidxSO : OUT STD_LOGIC; ReadyxSI : IN STD_LOGIC ); END COMPONENT multiplexer; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF ClkxCI: SIGNAL IS "xilinx.com:signal:clock:1.0 SI_clk CLK"; ATTRIBUTE X_INTERFACE_INFO OF RstxRBI: SIGNAL IS "xilinx.com:signal:reset:1.0 SI_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF Data0xDI: SIGNAL IS "xilinx.com:interface:axis:1.0 SI0 TDATA"; ATTRIBUTE X_INTERFACE_INFO OF Data1xDI: SIGNAL IS "xilinx.com:interface:axis:1.0 SI1 TDATA"; ATTRIBUTE X_INTERFACE_INFO OF Data2xDI: SIGNAL IS "xilinx.com:interface:axis:1.0 SI2 TDATA"; ATTRIBUTE X_INTERFACE_INFO OF Valid0xSI: SIGNAL IS "xilinx.com:interface:axis:1.0 SI0 TVALID"; ATTRIBUTE X_INTERFACE_INFO OF Valid1xSI: SIGNAL IS "xilinx.com:interface:axis:1.0 SI1 TVALID"; ATTRIBUTE X_INTERFACE_INFO OF Valid2xSI: SIGNAL IS "xilinx.com:interface:axis:1.0 SI2 TVALID"; ATTRIBUTE X_INTERFACE_INFO OF Ready0xSO: SIGNAL IS "xilinx.com:interface:axis:1.0 SI0 TREADY"; ATTRIBUTE X_INTERFACE_INFO OF Ready1xSO: SIGNAL IS "xilinx.com:interface:axis:1.0 SI1 TREADY"; ATTRIBUTE X_INTERFACE_INFO OF Ready2xSO: SIGNAL IS "xilinx.com:interface:axis:1.0 SI2 TREADY"; ATTRIBUTE X_INTERFACE_INFO OF DataxDO: SIGNAL IS "xilinx.com:interface:axis:1.0 MO TDATA"; ATTRIBUTE X_INTERFACE_INFO OF ValidxSO: SIGNAL IS "xilinx.com:interface:axis:1.0 MO TVALID"; ATTRIBUTE X_INTERFACE_INFO OF ReadyxSI: SIGNAL IS "xilinx.com:interface:axis:1.0 MO TREADY"; BEGIN U0 : multiplexer GENERIC MAP ( C_AXIS_TDATA_WIDTH => 24, C_AXIS_NUM_SI_SLOTS => 3 ) PORT MAP ( ClkxCI => ClkxCI, RstxRBI => RstxRBI, SelectxDI => SelectxDI, Data0xDI => Data0xDI, Data1xDI => Data1xDI, Data2xDI => Data2xDI, Data3xDI => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 24)), Valid0xSI => Valid0xSI, Valid1xSI => Valid1xSI, Valid2xSI => Valid2xSI, Valid3xSI => '0', Ready0xSO => Ready0xSO, Ready1xSO => Ready1xSO, Ready2xSO => Ready2xSO, DataxDO => DataxDO, ValidxSO => ValidxSO, ReadyxSI => ReadyxSI ); END design_1_MUX0_0_arch;
mit
5e41f8d1ba7268fa9f64ca8878ee5714
0.71034
3.691141
false
false
false
false
VLSI-EDA/PoC-Examples
src/xil/clknet/clknet_ClockNetwork_ArtyS7.vhdl
1
10,395
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- ============================================================================= -- Authors: Patrick Lehmann -- -- Entity: TODO -- -- Description: -- ------------------------------------ -- TODO -- -- License: -- ============================================================================= -- Copyright 2017-2020 Patrick Lehmann - Boetzingen, Germany -- Copyright 2007-2017 Technische Universitaet Dresden - Germany -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================= library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; library UNISIM; use UNISIM.VCOMPONENTS.all; library PoC; use PoC.utils.all; use PoC.physical.all; use PoC.components.all; use PoC.io.all; entity clknet_ClockNetwork_ArtyS7 is generic ( DEBUG : BOOLEAN := FALSE; CLOCK_IN_FREQ : FREQ := 100 MHz ); port ( ClockIn_100MHz : in STD_LOGIC; ClockNetwork_Reset : in STD_LOGIC; ClockNetwork_ResetDone : out STD_LOGIC; Control_Clock_100MHz : out STD_LOGIC; Clock_200MHz : out STD_LOGIC; Clock_125MHz : out STD_LOGIC; Clock_100MHz : out STD_LOGIC; Clock_10MHz : out STD_LOGIC; Clock_Stable_200MHz : out STD_LOGIC; Clock_Stable_125MHz : out STD_LOGIC; Clock_Stable_100MHz : out STD_LOGIC; Clock_Stable_10MHz : out STD_LOGIC ); end entity; -- DCM - clock wizard report -- -- Output Output Phase Duty Pk-to-Pk Phase -- Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps) ------------------------------------------------------------------------------- -- CLK_OUT0 100.000 0.000 50.0 200.000 150.000 -- CLK_OUT1 200.000 0.000 50.0 300.000 150.000 -- CLK_OUT2 125.000 0.000 50.0 360.000 150.000 -- CLK_OUT3 10.000 0.000 50.0 300.000 150.000 -- architecture rtl of clknet_ClockNetwork_ArtyS7 is attribute KEEP : BOOLEAN; -- delay CMB resets until the slowed syncBlock has noticed that LockedState is low -- control clock: 100 MHz -- slowest output clock: 10 MHz -- worst case delay: (Control_Clock freq / slowest clock in MHz) * register stages + safety -- => 24 (100 MHz / 10 MHz) * 2 register stages + 4 constant CMB_DELAY_CYCLES : POSITIVE := integer(real(CLOCK_IN_FREQ / 10 MHz) * 2.0 + 4.0); signal ClkNet_Reset : STD_LOGIC; signal DCM_Reset : STD_LOGIC; signal DCM_Reset_clr : STD_LOGIC; signal DCM_ResetState : STD_LOGIC := '0'; signal DCM_Reset_delayed : STD_LOGIC_VECTOR(CMB_DELAY_CYCLES - 1 DOWNTO 0); signal DCM_Locked_async : STD_LOGIC; signal DCM_Locked : STD_LOGIC; signal DCM_Locked_d : STD_LOGIC := '0'; signal DCM_Locked_re : STD_LOGIC; signal DCM_LockedState : STD_LOGIC := '0'; signal Locked : STD_LOGIC; signal Reset : STD_LOGIC; signal Control_Clock : STD_LOGIC; signal Control_Clock_BUFG : STD_LOGIC; signal DCM_Clock_10MHz : STD_LOGIC; signal DCM_Clock_100MHz : STD_LOGIC; signal DCM_Clock_125MHz : STD_LOGIC; signal DCM_Clock_200MHz : STD_LOGIC; signal DCM_Clock_10MHz_BUFG : STD_LOGIC; signal DCM_Clock_100MHz_BUFG : STD_LOGIC; signal DCM_Clock_125MHz_BUFG : STD_LOGIC; signal DCM_Clock_200MHz_BUFG : STD_LOGIC; attribute KEEP of DCM_Clock_10MHz_BUFG : signal is DEBUG; attribute KEEP of DCM_Clock_100MHz_BUFG : signal is DEBUG; attribute KEEP of DCM_Clock_125MHz_BUFG : signal is DEBUG; attribute KEEP of DCM_Clock_200MHz_BUFG : signal is DEBUG; begin -- ================================================================== -- ResetControl -- ================================================================== -- synchronize external (async) ClockNetwork_Reset and internal (but async) DCM_Locked signals to "Control_Clock" domain syncControlClock: entity PoC.sync_Bits_Xilinx generic map ( BITS => 2 -- number of BITS to synchronize ) port map ( Clock => Control_Clock, -- Clock to be synchronized to Input(0) => ClockNetwork_Reset, -- Data to be synchronized Input(1) => DCM_Locked_async, -- Output(0) => ClkNet_Reset, -- synchronized data Output(1) => DCM_Locked -- ); -- clear reset signals, if external Reset is low and CMB (clock modifying block) noticed reset -> locked = low DCM_Reset_clr <= ClkNet_Reset nor DCM_Locked; -- detect rising edge on CMB locked signals DCM_Locked_d <= DCM_Locked when rising_edge(Control_Clock); DCM_Locked_re <= not DCM_Locked_d and DCM_Locked; -- RS-FF Q RST SET CLK -- hold reset until external reset goes low and CMB noticed reset DCM_ResetState <= ffrs(q => DCM_ResetState, rst => DCM_Reset_clr, set => ClkNet_Reset) when rising_edge(Control_Clock); -- deassert *_LockedState, if CMBs are going to be reseted; assert it if *_Locked is high again DCM_LockedState <= ffrs(q => DCM_LockedState, rst => DCM_Reset, set => DCM_Locked_re) when rising_edge(Control_Clock); -- delay CMB resets until the slowed syncBlock has noticed that LockedState is low DCM_Reset_delayed <= shreg_left(DCM_Reset_delayed, DCM_ResetState) when rising_edge(Control_Clock); DCM_Reset <= DCM_Reset_delayed(DCM_Reset_delayed'high); Locked <= DCM_LockedState and '1'; --PLL_LockedState; ClockNetwork_ResetDone <= Locked; -- ================================================================== -- ClockBuffers -- ================================================================== -- Control_Clock BUFR_Control_Clock : BUFG port map ( I => ClockIn_100MHz, O => Control_Clock_BUFG ); Control_Clock <= Control_Clock_BUFG; -- 10 MHz BUFG BUFG_DCM_Clock_10MHz : BUFG port map ( I => DCM_Clock_10MHz, O => DCM_Clock_10MHz_BUFG ); -- 100 MHz BUFG BUFG_DCM_Clock_100MHz : BUFG port map ( I => DCM_Clock_100MHz, O => DCM_Clock_100MHz_BUFG ); -- 125 MHz BUFG BUFG_DCM_Clock_125MHz : BUFG port map ( I => DCM_Clock_125MHz, O => DCM_Clock_125MHz_BUFG ); -- 200 MHz BUFG BUFG_DCM_Clock_200MHz : BUFG port map ( I => DCM_Clock_200MHz, O => DCM_Clock_200MHz_BUFG ); -- ================================================================== -- Mixed-Mode Clock Manager (DCM) -- ================================================================== System_DCM : DCM_SP generic map ( STARTUP_WAIT => false, DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", -- "SOURCE_SYNCHRONOUS" PHASE_SHIFT => 0, CLKIN_PERIOD => to_real(to_time(CLOCK_IN_FREQ), 1.0 ns), CLKIN_DIVIDE_BY_2 => FALSE, CLK_FEEDBACK => "1X", CLKOUT_PHASE_SHIFT => "NONE", CLKDV_DIVIDE => 10.0, CLKFX_DIVIDE => 4, CLKFX_MULTIPLY => 5 ) port map ( RST => DCM_Reset, CLKIN => ClockIn_100MHz, CLKFB => DCM_Clock_100MHz_BUFG, CLK0 => DCM_Clock_100MHz, CLK90 => open, CLK180 => open, CLK270 => open, CLK2X => DCM_Clock_200MHz, CLK2X180 => open, CLKFX => DCM_Clock_125MHz, CLKFX180 => open, CLKDV => DCM_Clock_10MHz, -- DCM status LOCKED => DCM_Locked_async, STATUS => open, -- Dynamic Phase Shift Port PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => open, DSSEN => '0' ); Control_Clock_100MHz <= Control_Clock_BUFG; Clock_200MHz <= DCM_Clock_200MHz_BUFG; Clock_125MHz <= DCM_Clock_125MHz_BUFG; Clock_100MHz <= DCM_Clock_100MHz_BUFG; Clock_10MHz <= DCM_Clock_10MHz_BUFG; -- synchronize internal Locked signal to output clock domains syncLocked200MHz: entity PoC.sync_Bits_Xilinx port map ( Clock => DCM_Clock_200MHz_BUFG, -- Clock to be synchronized to Input(0) => Locked, -- Data to be synchronized Output(0) => Clock_Stable_200MHz -- synchronized data ); syncLocked125MHz: entity PoC.sync_Bits_Xilinx port map ( Clock => DCM_Clock_125MHz_BUFG, -- Clock to be synchronized to Input(0) => Locked, -- Data to be synchronized Output(0) => Clock_Stable_125MHz -- synchronized data ); syncLocked100MHz: entity PoC.sync_Bits_Xilinx port map ( Clock => DCM_Clock_100MHz_BUFG, -- Clock to be synchronized to Input(0) => Locked, -- Data to be synchronized Output(0) => Clock_Stable_100MHz -- synchronized data ); syncLocked10MHz: entity PoC.sync_Bits_Xilinx port map ( Clock => DCM_Clock_10MHz_BUFG, -- Clock to be synchronized to Input(0) => Locked, -- Data to be synchronized Output(0) => Clock_Stable_10MHz -- synchronized data ); end architecture;
apache-2.0
278ca299ba68951f95264e73c4c77dac
0.535931
3.630807
false
false
false
false
alpenwasser/pitaya
doc/report/sandbox/code-listings/code/comparator.vhd
1
1,270
---------------------------------------------------------------------------------- -- -- comparator.vhd -- -- (c) 2015 -- L. Schrittwieser -- N. Huesser -- ---------------------------------------------------------------------------------- -- -- Old descision piece for the trigger units; obsolete -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; entity comparator is generic ( Width : integer := 14 ); port ( AxDI : in unsigned(Width - 1 downto 0); BxDI : in unsigned(Width - 1 downto 0); GreaterxSO : out std_logic; EqualxSO : out std_logic; LowerxSO : out std_logic ); end comparator; architecture Behavioral of comparator is begin process(AxDI, BxDI) begin GreaterxSO <= '0'; EqualxSO <= '0'; LowerxSO <= '0'; if AxDI > BxDI then GreaterxSO <= '1'; elsif AxDI = BxDI then EqualxSO <= '1'; else LowerxSO <= '1'; end if; end process; end Behavioral;
mit
0606f49830a46528995c2b01c5ee93c3
0.476378
4.45614
false
false
false
false
stefanct/aua
hw/src/aua_types_de2.vhd
1
3,161
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; -- used for address width calculation use ieee.math_real.log2; use ieee.math_real.ceil; package aua_types is constant ADDR_SIZE : natural := 16; constant WORD_SIZE : natural := ADDR_SIZE; subtype word_t is std_logic_vector(ADDR_SIZE-1 downto 0); subtype pc_t is unsigned(ADDR_SIZE-1 downto 0); subtype opcode_t is std_logic_vector(5 downto 0); subtype reg_t is std_logic_vector(4 downto 0); -- constant CLK_FREQ : natural := 70000000; -- main clock frequency constant SRAM_RD_FREQ : natural := 50000000; -- ram clock when reading constant SRAM_WR_FREQ : natural := 50000000; -- ram clock when writing constant UART_RATE : natural := 115200; -- uart baud rate constant RST_VECTOR : pc_t := x"8000"; constant RAM_ADDR_SIZE : natural := 14; constant SC_SLAVE_CNT : natural := 4; -- count of simpcon slaves constant SC_ADDR_SIZE : natural := ADDR_SIZE; constant SC_DATA_SIZE : natural := 32; constant SC_RDY_CNT_SIZE : natural := 2; -- -- number of bits needed to address all slaves (2**SC_ADDR_BITS >= SLAVE_CNT) constant SC_ADDR_BITS : integer := integer(ceil(log2(real(SC_SLAVE_CNT)))); --~ constant SC_ADDR_BITS : integer := integer(reqbits_for_choices(SC_SLAVE_CNT)); subtype sc_addr_t is std_logic_vector(SC_ADDR_SIZE-1 downto 0); subtype sc_data_t is std_logic_vector(SC_DATA_SIZE-1 downto 0); type sc_out_t is record address : sc_addr_t; wr_data : sc_data_t; rd : std_logic; wr : std_logic; end record; type sc_out_at is array (0 to SC_SLAVE_CNT-1) of sc_out_t; subtype sc_rdy_cnt_t is unsigned(SC_RDY_CNT_SIZE-1 downto 0); type sc_in_t is record rd_data : sc_data_t; rdy_cnt : sc_rdy_cnt_t; end record; type sc_in_at is array (0 to SC_SLAVE_CNT-1) of sc_in_t; function max (L, R: real) return real; function bool2sl (arg : boolean) return std_logic; function sl2bool (arg : std_logic) return boolean; function reqbits_for_choices (choices : natural) return positive; function reqbitsZ_for_choices (choices : natural) return natural; end aua_types; -- package body for aua on DE2 package body aua_types is function max (L, R: real) return real is begin if L > R then return L; else return R; end if; end function max; function bool2sl (arg : boolean) return std_logic is begin if arg then return '1'; else return '0'; end if; end function bool2sl; function sl2bool (arg : std_logic) return boolean is begin if arg='1' then return true; else return false; end if; end function sl2bool; function reqbits_for_choices (choices : natural) return positive is begin if choices=1 then return 1; else return positive(ceil(log2(real(choices)))); end if; end function reqbits_for_choices; function reqbitsZ_for_choices (choices : natural) return natural is begin return natural(ceil(log2(real(choices)))); end function reqbitsZ_for_choices; end aua_types;
gpl-3.0
6c182087156b4af3da8e3e2bfc26ac28
0.654856
3.151545
false
false
false
false
stefanct/aua
hw/io/sc_de2_switches/src/sc_de2_switches.vhd
1
1,192
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.aua_types.all; entity sc_de2_switches is port ( clk : in std_logic; reset : in std_logic; -- SimpCon slave interface to IO ctrl address : in sc_addr_t; wr_data : in sc_data_t; rd : in std_logic; wr : in std_logic; rd_data : out sc_data_t; rdy_cnt : out sc_rdy_cnt_t; -- pins switch_pins : in std_logic_vector(15 downto 0); led_pins : out std_logic_vector(15 downto 0) ); end sc_de2_switches; architecture sat1 of sc_de2_switches is signal switch_reg : std_logic_vector(15 downto 0); signal led_reg : std_logic_vector(15 downto 0); begin rdy_cnt <= (others => '0'); -- no wait states rd_data <= ((rd_data'length-1 downto switch_reg'length => '0')&switch_reg); led_pins <= led_reg; process(clk, reset) begin if (reset='1') then switch_reg <= (others => '0'); led_reg <= (others => '0'); -- high active LEDs elsif rising_edge(clk) then if rd = '1' then switch_reg <= switch_pins; end if; if wr = '1' then led_reg <= not wr_data(15 downto 0); end if; end if; end process; end sat1;
gpl-3.0
797ef8b80e8f9e880570044c127e13f0
0.603188
2.672646
false
false
false
false
alpenwasser/pitaya
firmware/fpga/p_FIR_sim/FIR_sim/FIR_sim.srcs/sources_1/bd/design_1/ipshared/xilinx.com/xbip_pipe_v3_0/hdl/xbip_pipe_v3_0.vhd
5
8,323
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block bx24XPMbQl0ZuYgzgnvmK2UJsn5v5rHRrHaBzymEsRVRAjuRN3xRCY+goyOwSGiaL5BZpex2sDSK 2sd0nljSnw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CSRfZVLMWm0HJLEB7NOFzWrGIhgXL0zMCnVPoqKjG5Ur0+RK898D8TnT1vzg0/m9z9AJo34CsLar 7ajBwWmQaStI2T7HakgiApYlcuC6de1XuIEH3rZRMj/RWcjpTLbgkrbMj7lCzKzQdvZHARVRsJHt n6KxqqDLGxMs1/m4zV8= `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block YDR4T6HZUUPDmkJ3uEF/8DG9RH1KIm/Soi0XWVOdqKCDBSgk2PKH3QgKdeu/Ygc+E4sEfsdQ97ZX ZNKLn57bC8vQMoMyVXHXP/gB1IkATHDtiORbiLIN6gz0rbLre/0AWJ4pnD6+ix+zJ2ZtVx7uSjJD UeDwmSaYOZQhEg4QN3w= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block b5TzJrebbTGq/pRucwAvmRYTRYSTXLJ31UHhj7qPdtWGaTRXaKbjtJHLK6r2fdEku+xRcQgb4iwR VR2WDz2dfhkKseFS1Yxa2DFJTK597UszihjnkRHDocjQO3cUY+io6Cbq8kFDe4t/wEf721IVy63Z z1z8RoAbpBZZGG1+seGG0kHDtkTe8wOMD9mRo2qsutfBPBsV5sK8/fmf9Y9E2sAlYwKjVvsGOjpr dIS4pkfWNQ1UbQXn1WlPTe4wXcRDxSDWm2NMDLpVsB7PHxXe/ma6En4gcBeXFN40LqU3TWcyfbF4 Fgd267nviONJrvDRA6uaiECsHX40iXKsaxsGyQ== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block LoXyfjLEjXF6IqzWN8H3K7nR07wwyqyXVISYV16h6KsboFmbDcRTEPo0gH2rwN+AX6fpfnjiQCDi qZVj+jq+3Jpyaex4T6xZDGqASKvTFZ53Vog5975jRBzfQilhyEnt1jyw4Z0UhtEM8LILdgabJqA8 cXdC2MS8KixvDgzWP6ABnTAwC9pDqbLUIqs+coqVvcy1nM4qt9WlS3/X4SHWNrmKgZ5d/HUtKouY 9yGUMGTi2nl4U+Zd7UaI2yJjVCW8JLst+BTCam4lPyVXo4ebpoEbDK6tTwa5DlOxI45b/ZooNuYE Rpmlrdz/peCtaLTTS4+P11HF/WIAxGHuvcXpOg== `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block splRKPjEH+uTzvqD2tjFWmYXGYcB4TmcJH8LhGT8ueKKhMoa+orNkr7mpiSfxGo4nOfb4ddB5A74 rXupMEGR44uXFXmGFms0uV3Mo+LAVOswYWiSib2qqWdsJAVPQV+uS8kwf1pFIhgSfyhJYccE2+LN qen4ppn5nmwPuAnPwhqNoxWgV6I1SCeKHMvOOim/bGhWBFyFuI4F9GeL1p+BC2DYSvijB6DHJgjd lmuMd4WuXe78W//Vv2jhHriZx5nGgRFuRWE3VBR/38AWtMEOOrO4ijdAV2GyHZrphPmDHXfSwU6z 9JSFgLsD3Pd9zxwPDkqCeFOIFV991nTMDEBaMg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4032) `protect data_block McOR5osfN7FhwOeAmCNp5yxj8N3/g6Bq5Q5ouU3XTxl7+PasnExf0o4waiyX76zG70RaakRXMbol AlUwSoxKhNyjkXCvIiWxYvaf7X84cSKo+JEKv/b5Rdm94q1QH/SQ7X9dRvORac1MTtDuCtBO+zBu 5zKvhrBmefLEYWiuiuOprr52zY8OMEGTbI/IwpCKXca57+WnR7nWC4FuVPbQ21m+6tXR4EzqRFsO cqDqMu1Ab3ovVp3eqCWQitO6rEHDy04pCb1sKV8c0hiZzUHzt4I/g68EwPxa/XX8j+Fs3QgqC3Fs 8+ulEURPPs2r2ZSyn6904jQHnxL56kZS/iblOI4x6yQ1ciP6F+Va2qJG34pTrO2+umwjJpUU5hmW LsQBb1R0fQrg0LtM0ngeP7JjVgoY5DPLYYIb7ClA9aX6RCdKNShQpPNTP+loGzH9uoXiIq8Qat7y nKDTbjVHuhsNDudTYuvhv19rtdc3imoXRwdQnfSVBI7K2lBRBul2GnZFWgYhYFP9HB4IphnsU0fg f4N92OMVfavI9rW5cK9MHLTjDRpFn5fnffpTwZ0oqRoJ6SwQ2Sbo9Mw66VDcBSFB0IkAbEVttWP8 Ew7KyPPIv7Rsrhi7gXuL+4fYA+o8oy8TtXUauSsAJk8gRzC1Z0YUosp26uv2Yw7p5hBx1yVJF2HV DMKd+V4rqgBhNUMrJKVt5CmwAvUssDtyHWgYU2wZeQjToi3h4hSDd1A/wqrupXzihYHUQLr9Ugrk KAGBmUVIibQLWSJO4JfypRlH5HxIsvmmAGjycOfh0wzd3B9EDH5gZAVvCp9fdf8pcJY2DmmF5Gvi IV1wR2SZ91KO0kSiQBiNy4h6hw16w2CBIADVTAgHgU3UPgBsMTb0w5QOE6G1I/GntqXTySIcumi0 miNxaqrGdv9JeirHyOnIaBLjsehPCnq0h5LP6lnL7WzCa5XpuJWdLX6PuQjCluZIAj5lqVo6UXI+ sawtxM+pAB8CjfHjD/Jyjwt5bJveVQTXY6C/U4M8Qc18rmxFoNoeUb9cuAgog/tZ6TkAvjVJK4wp M44SSLZfkpb3+VC789N8mklwDh2KsIW2gfm41E1uNApP+K048SvrQB2fyK4bYbOPbdrUNkFUKhT0 WGI+pNZbGGOvXkpGbzjs24NuKynVLG9aUnYs/3OZ3XPXxhXZhwkqig1JhZQ67SUksqLdwOmqAvNC lbBWSOT7Z1plXe/H7RBjS2H1KjU01dhUfr6McHKLRBkUJWQLWge0UXkjT6xtCFUW35ri3ZRiLTQ5 FveWdaCZersd3LWdccyiDKQa256MBw4ZruZpPFbdKS1+crF9qH6mrXaL3T7VCeV5dQLy5LJtIA8l dKRBxj7iKR5w05SRZ8VBmm9moHOG/Gz3Q3zER8414XEAIQpNrZEKICsk8BroS0HSNw4jt+e+7bwq l9GQHHq1kVz/ZFp24x6yQORyP8Hd/Jo64BRAtFjQq9rF+DtFJjxhGsWnUkBrS4ER7Xnvj0Npkcc2 ClbCoRAqT1oMTTAEJzrRC8d03fgztYZWuF/t1L8aQeQOJGCzApSEp6PXOd9TtojP2u2uAL13l+rT zZQsDx4Fwyphlm8euMIHjiN683sEcPln21+0pwyPMX1IfcM697hC56Q0GlEXHLmcgfF1U6kxZXuB Qg67FIJ1tI04Sj3+IcldpvTCxWYd3yXNBghK43c6xsECkvGQ0adNYwkd7zRKSd7mgbzrsnF9zJF/ gQWAxd6lj+39h0fToKlzy5j7SMTP8ioL6EQTCO9u53X4e8EGXAciKQ+gB+U7et/nIrx4/SeEJAjE ILPuyZQX250V7Ds3T8RpVEz9LRZI6iokIbR2s9G1837uM2j/+2Z1E8iZEA8vp7+5cFgIDm+SJ+DG l9uuMmc2UkL7ogmO5EA7iTFBcI+CvHcbvZm4xMUGp6ZevdVzQ0WfvCSU6CHHxzvuJ/MjVLioO5wt /7fQOeP1HSZTT9ZLLXlNThOUN6oL2Yhh94yiqWb0NV5LVh60oVEspG/Vweg9HELym6Gw7+cip3IA mfCLiFrx6uifIVMZM2ot4H1FuH7zxEVXFDBq1LGlit5SNw8G79KpJPVJHMLWQz0+vL0BZIq2bJB7 96MU9akCIrltQhqZZNRltAjQBlYxmuUHHDqnIUoeFsmbDJvjb9RFD3hVjsNpjS8rqANz1JODRW3N Zzqt4AbX3sS5B2UbYnJPA1IqIME5nBS+7ymbE4f27xhxGnNf085QmEYNxHPfmEWlhjJD9OA8aIwF S6Yyg/V3Z3aiD/9ZK9t7JiT33x9tt1iDcmVCzAYPm2x7m8ejsYW3BYrg9lVjqTqX2WvkELPlLpk5 YC+bVpM7B8HFHAId4ZD/6IKkB4Pdwc/dPpskWy6xVTzRomvgLjNsI0i/sJsyXAvO3/bnOzpKklJb BIt2/ZzEYg69726qokqMPRb8oc92lDwY/R82E9FQ4D2RS9uuCGcNQiuo+1ENPIEJDqjUgOVHUlAn zh3AuBc0b5ADWAegcRyjKWBXGZCrMY/EMfy1HZdLk8UbDulcO5JHl0B84XxsU1QYKih0rVrA5uCL aF1bGgsbcOYXIUahjDkrGKg1k7GlE7Tq7TV9Kx6tFBZDTgXOVzxSirAausVE20wIiroP2Ss9TgZ2 0t8Ov6zq+35t9fCOO59JyQ/vQLKL2lDwCWpq8ZFtALBAq7ghs81kqCWLmIAs9dW44QyC7ogopUHe p5ttYELifTRauybnRDHvTEYuQoreo2ZE6vs5itp7px9TuIEFSTmF9hT/CpD+LnCkfgMBYzSukSwj PUAy3OC9GzwOOEZvHprUUzbGDKI3H9K/3FZnbFPktrWMjlBc+8u23x+O/xLp8Tt7CL0kfIpshCzF OiYnRosTPfDIvn7k1ePCEO81qp/6EuyMXfEKfEvlbyUPMEPvOyRR0yGrz5L3crhmE1pCCEZ/sxM5 bzS+kAJgVlGXRx1ymTtEWwVHlZ+KaMGIF+9er9WWB50YBOvG68Ud28jtw/HrArymVEAqxfFxcoeg jqRjESDY5JNSpfcgMLm5c94xq31E0fSgBofcHZSutlzHcmI2U/7Tc85arkAOoMF2fSJFBqA+VRrl lUvbLKA7LDHkKyKghcx18amJxhxxUsCN6PKpx+SM3e3DWT7aBSFIchoVr1fM2CpsJvCbp2nvnwUO Ua4GV1mQVYdCHa+j7XI7hfAgHaxwIxtqbpSapANoF9rJR6S7Y3G/x3peeJWqgvdN5yWeNMIT+1iH JoXbQvERUP4HE+hM7B+D4yVb4Vkl6Q5PljKo99dvgUT9Eu3vTCALY8MRn0B0ohEhMf1qteGoZ8o+ 0wn6PpsieC96agWBj89asJVJ1X+rrhV9fATs4FqY0uAZRgnyW78VI4l/Nuse7Il42aKMnFmaIbQx 56mbV/LrFWKk67qHKSsFfHTZZRxIqzBhhcdgr8i5rY+W3TaZPprP/J5vqR2/3fBdzXuLhwoQSuPU aDvp/FMEMyI+onya/xYmtfqDPDa7wCjHIiiQzwxWXZkALk0yg7WYtqiDcWoXMoy6xTqbJ0rL1sBT P+Uxfc2XfqZUXeIhPX8aSBngz96pCLG0wMRcwdCS0BVHr77wNNfNzF19HMRL9NbpZT1AwHENYlWa ZpiPNjc1lZ67lnmsRB2en8gnV7lY0mXIpSse0oTfwyNXY8y4ENxgmCAi6vVh43zvKz+GzqrGsSWL nwrqwBvVhHb4LZ6tbOK9j8bHQbDeI7DcGlryhvZYhfW9Mju/T2xai3f82PL6BWkGjCeM4YXyB7Ih 5fbefL9h3j18d15W2AseoEmL8AY3gzJcL52wnUj7AO/mbgtC/FoiGuN1NtBixDS11lViMLsUMFTv DHPWpwufFBYWflbuY1hyAGHU4CZ9qTVuKzk8PwqNWVHeI2YtzcDCYWkFILok+EoePZj5dnFk8vUk 1ZUsCSVvtpUsaKaZ9VT7wzp04XvKWQUQy6Lz7Ahy+YLa1Wwp4xhxpJLD1vsvB/CyVr34v5Y0lvtt tpP1lTyywI+YG5dIChA10hAezCKZ6bvlm4Rld5Et0shvd5zED6xgxvXiLc+nSpS65UPf8YGdtlDP EauT1p2sT3d9jz1b+N7gOgI96eoLLEy25q2NhoLf69VughJ2rqKesuEdI0rcR67YHmTrTiLAp07g 9apSsof1L87FgFYONBnsw6gzwnJxqTU9YqEFrp2YROnKfYkR8fT1ipwhT1ho0ND3pWKXkaIuigJs JxW1RMgmhzJQFsYfx1aZNLpPc6CbrXZR64CkVrctRdz6TTyJgWsOFHBhk/D3PI5IlUCSnVfzJmKi Bfqokc7rzBv9jBnmdZCfAaHbGHYU/6nL2jb84Bi10gTJ7ZOh/selN3i9FqgagYhafegpSd56F+Jf 6MUpfshgMyKx0nD+EmhVeuFLQ4xMIlPvL5DB9kXlr7Tb0ElY2UphHv58rgZnjus1IUzqeBjhwq2J 5qlQbEdRM/5ukq+q2WxtdpIv9vQac0x68m9Nq9h+1P93kFUrry8RfjqT2XSdoGh3cSL7ehQ+PEOD ESIOE59ZVdLiUM2hTbZb7QEHQu/uuvHPwt5aXboJWgYleUGeWHMKCsCQQqICqHeqonMzf7CwVZAb /G7zhiOVcoRQ3TReJu34hQ/ku4K+6bsCTjPqipNZYoNItBl2sO41Di2Wcus57D5ysVboljgcv6PU ZgIXvxc804Htzxabke0oV12xVcAQUpebkXGBZYo0KBXIQBHNXIUcA3l3iUTvwNlnwQOt5NSV6Uhk oaZWUlXSprRfRjhBW1Fi6WpMl53f/HyhjigJgx3T3koGZvFANLwshz6WyUaBNlBGsWqywWQDUpGj iM30w8DWcu3oJ8ougFnKJ3QsEfctWOPO4gJ19eCStdiKDOpdusoxIEeUsAjEssHXRpvdn0HSfrRY 3IJd6N576qUW3qycOopn2ozfgXXu99mMEoGFbiD9BFKlqtXsN9Votw3qqLsnDWtJkcehlpaFrd6C dRa6334xd/NClt7P1OQ9/sx3DDrxbKyES8ghtOfs8Fg5HN/KqQ8UAxG9kiK45l+iFaKvoYpyrENE 2l70wILQW79wBb7ypUAa5IhCx/wgtO5xYgbO34xC91Pba55BU3GCXlmE9PqKRHZCmdamOlPKxlt2 J2yqTvoBxSGw8KRRgZK1HwlJ1ym3kUS80FpVCQpAyJ5wLKCN79gnXNgNJKCnaeukdfQFGeAP5IG2 yD2gRPS7x2p3rVPNwp78TQmY4JjRdOFqBmbd9k4x21xZA2YdzhnT0EFCZQvupmIW311R9OWLNTcp ROfM29FCRSTChwLvlADN2GRteZ25W1xpU6dQjtebnB0YvK9jSqv8mtTj `protect end_protected
mit
cb3ffe01b472d497ace327c3101875e4
0.916737
1.968078
false
false
false
false
MiddleMan5/233
Experiments/RTL_Components/CPE233-master/sseg_dec.vhd
1
9,071
------------------------------------------------------------------------ -- CPE 133 VHDL File: sseg_dec.vhd -- Description: Special seven segment display driver; -- -- two special inputs: -- -- VALID: if valid = 0, four dashes will be display -- if valid = 1, decimal number appears on display -- -- SIGN: if sign = 1, a minus sign appears in left-most digit -- if sign = 0, no minus sign appears -- -- -- Author: bryan mealy (12-16-10) -- -- revisions: ------------------------------------------------------------------------ ----------------------------------------------------------------------- ----------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ------------------------------------------------------------- -- 4 digit seven-segment display driver. Outputs are active -- low and configured ABCEDFG in "segment" output. -------------------------------------------------------------- entity sseg_dec is Port ( ALU_VAL : in std_logic_vector(7 downto 0); SIGN : in std_logic; VALID : in std_logic; CLK : in std_logic; DISP_EN : out std_logic_vector(3 downto 0); SEGMENTS : out std_logic_vector(7 downto 0)); end sseg_dec; ------------------------------------------------------------- -- description of ssegment decoder ------------------------------------------------------------- architecture my_sseg of sseg_dec is -- declaration of 8-bit binary to 2-digit BCD converter -- component bin2bcdconv Port ( BIN_CNT_IN : in std_logic_vector(7 downto 0); LSD_OUT : out std_logic_vector(3 downto 0); MSD_OUT : out std_logic_vector(3 downto 0); MMSD_OUT : out std_logic_vector(3 downto 0)); end component; component clk_div Port ( clk : in std_logic; sclk : out std_logic); end component; -- intermediate signal declaration ----------------------- signal cnt_dig : std_logic_vector(1 downto 0); signal digit : std_logic_vector (3 downto 0); signal lsd,msd,mmsd : std_logic_vector(3 downto 0); signal sclk : std_logic; begin -- instantiation of bin to bcd converter ----------------- my_conv: bin2bcdconv port map ( BIN_CNT_IN => ALU_VAL, LSD_OUT => lsd, MSD_OUT => msd, MMSD_OUT => mmsd); my_clk: clk_div port map (clk => clk, sclk => sclk ); -- advance the count (used for display multiplexing) ----- process (SCLK) begin if (rising_edge(SCLK)) then cnt_dig <= cnt_dig + 1; end if; end process; -- select the display sseg data abcdefg (active low) ----- segments <= "00000011" when digit = "0000" else "10011111" when digit = "0001" else "00100101" when digit = "0010" else "00001101" when digit = "0011" else "10011001" when digit = "0100" else "01001001" when digit = "0101" else "01000001" when digit = "0110" else "00011111" when digit = "0111" else "00000001" when digit = "1000" else "00001001" when digit = "1001" else "11111101" when digit = "1110" else -- dash "11111111" when digit = "1110" else -- blank "11111111"; -- actuate the correct display -------------------------- disp_en <= "1110" when cnt_dig = "00" else "1101" when cnt_dig = "01" else "1011" when cnt_dig = "10" else "0111" when cnt_dig = "11" else "1111"; process (cnt_dig, lsd, msd, mmsd, sign, valid) variable mmsd_v, msd_v : std_logic_vector(3 downto 0); begin mmsd_v := mmsd; msd_v := msd; -- do the lead zero blanking for two msb's if (mmsd_v = X"0") then if (msd_v = X"0") then msd_v := X"F"; end if; mmsd_v := X"F"; end if; if (valid = '1') then if (sign = '0') then case cnt_dig is when "00" => digit <= "1111"; when "01" => digit <= mmsd_v; when "10" => digit <= msd_v; when "11" => digit <= lsd; when others => digit <= "0000"; end case; else case cnt_dig is when "00" => digit <= "1110"; when "01" => digit <= mmsd_v; when "10" => digit <= msd_v; when "11" => digit <= lsd; when others => digit <= "0000"; end case; end if; else digit <= "1110"; end if; end process; end my_sseg; -------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -------------------------------------------------------------------- -- interface description for bin to bcd converter -------------------------------------------------------------------- entity bin2bcdconv is Port ( BIN_CNT_IN : in std_logic_vector(7 downto 0); LSD_OUT : out std_logic_vector(3 downto 0); MSD_OUT : out std_logic_vector(3 downto 0); MMSD_OUT : out std_logic_vector(3 downto 0)); end bin2bcdconv; --------------------------------------------------------------------- -- description of 8-bit binary to 3-digit BCD converter --------------------------------------------------------------------- architecture my_ckt of bin2bcdconv is begin process(bin_cnt_in) variable cnt_tot : INTEGER range 0 to 255 := 0; variable lsd,msd,mmsd : INTEGER range 0 to 9 := 0; begin -- convert input binary value to decimal cnt_tot := 0; if (bin_cnt_in(7) = '1') then cnt_tot := cnt_tot + 128; end if; if (bin_cnt_in(6) = '1') then cnt_tot := cnt_tot + 64; end if; if (bin_cnt_in(5) = '1') then cnt_tot := cnt_tot + 32; end if; if (bin_cnt_in(4) = '1') then cnt_tot := cnt_tot + 16; end if; if (bin_cnt_in(3) = '1') then cnt_tot := cnt_tot + 8; end if; if (bin_cnt_in(2) = '1') then cnt_tot := cnt_tot + 4; end if; if (bin_cnt_in(1) = '1') then cnt_tot := cnt_tot + 2; end if; if (bin_cnt_in(0) = '1') then cnt_tot := cnt_tot + 1; end if; -- initialize intermediate signals msd := 0; mmsd := 0; lsd := 0; -- calculate the MMSB for I in 1 to 2 loop exit when (cnt_tot >= 0 and cnt_tot < 100); mmsd := mmsd + 1; -- increment the mmds count cnt_tot := cnt_tot - 100; end loop; -- calculate the MSB for I in 1 to 9 loop exit when (cnt_tot >= 0 and cnt_tot < 10); msd := msd + 1; -- increment the mds count cnt_tot := cnt_tot - 10; end loop; lsd := cnt_tot; -- lsd is what is left over -- convert lsd to binary case lsd is when 9 => lsd_out <= "1001"; when 8 => lsd_out <= "1000"; when 7 => lsd_out <= "0111"; when 6 => lsd_out <= "0110"; when 5 => lsd_out <= "0101"; when 4 => lsd_out <= "0100"; when 3 => lsd_out <= "0011"; when 2 => lsd_out <= "0010"; when 1 => lsd_out <= "0001"; when 0 => lsd_out <= "0000"; when others => lsd_out <= "0000"; end case; -- convert msd to binary case msd is when 9 => msd_out <= "1001"; when 8 => msd_out <= "1000"; when 7 => msd_out <= "0111"; when 6 => msd_out <= "0110"; when 5 => msd_out <= "0101"; when 4 => msd_out <= "0100"; when 3 => msd_out <= "0011"; when 2 => msd_out <= "0010"; when 1 => msd_out <= "0001"; when 0 => msd_out <= "0000"; when others => msd_out <= "0000"; end case; -- convert msd to binary case mmsd is when 2 => mmsd_out <= "0010"; when 1 => mmsd_out <= "0001"; when 0 => mmsd_out <= "0000"; when others => mmsd_out <= "0000"; end case; end process; end my_ckt; ----------------------------------------------------------------------- ----------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ----------------------------------------------------------------------- -- Module to divide the clock ----------------------------------------------------------------------- entity clk_div is Port ( clk : in std_logic; sclk : out std_logic); end clk_div; architecture my_clk_div of clk_div is constant max_count : integer := (2200); signal tmp_clk : std_logic := '0'; begin my_div: process (clk,tmp_clk) variable div_cnt : integer := 0; begin if (rising_edge(clk)) then if (div_cnt = MAX_COUNT) then tmp_clk <= not tmp_clk; div_cnt := 0; else div_cnt := div_cnt + 1; end if; end if; sclk <= tmp_clk; end process my_div; end my_clk_div;
mit
457b867c006440ab817c1407ee1babd9
0.464227
3.759221
false
false
false
false
VLSI-EDA/PoC-Examples
src/xil/clknet/clknet_ClockNetwork_VC707.vhdl
1
16,554
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================= -- Authors: Patrick Lehmann -- -- Package: TODO -- -- Description: -- ------------------------------------ -- TODO -- -- License: -- ============================================================================= -- Copyright 2007-2015 Technische Universitaet Dresden - Germany -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================= library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; library UNISIM; use UNISIM.VCOMPONENTS.all; library PoC; use PoC.utils.all; use PoC.physical.all; use PoC.components.all; use PoC.io.all; entity clknet_ClockNetwork_VC707 is generic ( DEBUG : BOOLEAN := FALSE; CLOCK_IN_FREQ : FREQ := 200 MHz ); port ( ClockIn_200MHz : in STD_LOGIC; ClockNetwork_Reset : in STD_LOGIC; ClockNetwork_ResetDone : out STD_LOGIC; Control_Clock_200MHz : out STD_LOGIC; Clock_250MHz : out STD_LOGIC; Clock_200MHz : out STD_LOGIC; Clock_175MHz : out STD_LOGIC; Clock_125MHz : out STD_LOGIC; Clock_100MHz : out STD_LOGIC; Clock_10MHz : out STD_LOGIC; Clock_Stable_250MHz : out STD_LOGIC; Clock_Stable_200MHz : out STD_LOGIC; Clock_Stable_175MHz : out STD_LOGIC; Clock_Stable_125MHz : out STD_LOGIC; Clock_Stable_100MHz : out STD_LOGIC; Clock_Stable_10MHz : out STD_LOGIC ); end; -- MMCM - clock wizard report -- -- Output Output Phase Duty Pk-to-Pk Phase -- Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps) ------------------------------------------------------------------------------- -- CLK_OUT0 200.000 0.000 50.0 97.642 89.971 -- CLK_OUT1 100.000 0.000 50.0 111.850 89.971 -- CLK_OUT2 125.000 0.000 50.0 107.064 89.971 -- CLK_OUT3 250.000 0.000 50.0 93.464 89.971 -- CLK_OUT4 10.000 0.000 50.0 175.649 89.971 -- -- -- PLL - clock wizard report -- -- Output Output Phase Duty Pk-to-Pk Phase -- Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps) ------------------------------------------------------------------------------- -- CLK_OUT1 175.000 0.000 50.0 82.535 112.560 -- CLK_OUT2 125.000 0.000 50.0 87.158 112.560 architecture rtl of clknet_ClockNetwork_VC707 is attribute KEEP : BOOLEAN; -- delay CMB resets until the slowed syncBlock has noticed that LockedState is low -- control clock: 200 MHz -- slowest output clock: 10 MHz -- worst case delay: (Control_Clock freq / slowest clock in MHz) * register stages + safety -- => 44 (200 MHz / 10 MHz) * 2 register stages + 4 constant CMB_DELAY_CYCLES : POSITIVE := integer(real(CLOCK_IN_FREQ / 10 MHz) * 2.0 + 4.0); signal ClkNet_Reset : STD_LOGIC; signal MMCM_Reset : STD_LOGIC; signal MMCM_Reset_clr : STD_LOGIC; signal MMCM_ResetState : STD_LOGIC := '0'; signal MMCM_Reset_delayed : STD_LOGIC_VECTOR(CMB_DELAY_CYCLES - 1 downto 0); signal MMCM_Locked_async : STD_LOGIC; signal MMCM_Locked : STD_LOGIC; signal MMCM_Locked_d : STD_LOGIC := '0'; signal MMCM_Locked_re : STD_LOGIC; signal MMCM_LockedState : STD_LOGIC := '0'; signal PLL_Reset : STD_LOGIC; signal PLL_Reset_clr : STD_LOGIC; signal PLL_ResetState : STD_LOGIC := '0'; signal PLL_Reset_delayed : STD_LOGIC_VECTOR(CMB_DELAY_CYCLES - 1 downto 0); signal PLL_Locked_async : STD_LOGIC; signal PLL_Locked : STD_LOGIC; signal PLL_Locked_d : STD_LOGIC := '0'; signal PLL_Locked_re : STD_LOGIC; signal PLL_LockedState : STD_LOGIC := '0'; signal Locked : STD_LOGIC; signal Reset : STD_LOGIC; signal Control_Clock : STD_LOGIC; signal Control_Clock_BUFR : STD_LOGIC; signal MMCM_Clock_10MHz : STD_LOGIC; signal MMCM_Clock_100MHz : STD_LOGIC; signal MMCM_Clock_125MHz : STD_LOGIC; signal MMCM_Clock_200MHz : STD_LOGIC; signal MMCM_Clock_250MHz : STD_LOGIC; signal MMCM_Clock_10MHz_BUFG : STD_LOGIC; signal MMCM_Clock_100MHz_BUFG : STD_LOGIC; signal MMCM_Clock_125MHz_BUFG : STD_LOGIC; signal MMCM_Clock_200MHz_BUFG : STD_LOGIC; signal MMCM_Clock_250MHz_BUFG : STD_LOGIC; signal PLL_Clock_125MHz : STD_LOGIC; signal PLL_Clock_175MHz : STD_LOGIC; -- signal PLL_Clock_125MHz_BUFG : STD_LOGIC; signal PLL_Clock_175MHz_BUFG : STD_LOGIC; attribute KEEP of MMCM_Clock_10MHz_BUFG : signal is DEBUG; attribute KEEP of MMCM_Clock_100MHz_BUFG : signal is DEBUG; attribute KEEP of MMCM_Clock_125MHz_BUFG : signal is DEBUG; attribute KEEP of MMCM_Clock_200MHz_BUFG : signal is DEBUG; attribute KEEP of MMCM_Clock_250MHz_BUFG : signal is DEBUG; begin -- ================================================================== -- ResetControl -- ================================================================== -- synchronize external (async) ClockNetwork_Reset and internal (but async) MMCM_Locked signals to "Control_Clock" domain syncControlClock: entity PoC.sync_Bits_Xilinx generic map ( BITS => 3 -- number of BITS to synchronize ) port map ( Clock => Control_Clock, -- Clock to be synchronized to Input(0) => ClockNetwork_Reset, -- Data to be synchronized Input(1) => MMCM_Locked_async, -- Input(2) => PLL_Locked_async, -- Output(0) => ClkNet_Reset, -- synchronized data Output(1) => MMCM_Locked, -- Output(2) => PLL_Locked -- ); -- clear reset signals, if external Reset is low and CMB (clock modifying block) noticed reset -> locked = low MMCM_Reset_clr <= ClkNet_Reset NOR MMCM_Locked; PLL_Reset_clr <= ClkNet_Reset NOR PLL_Locked; -- detect rising edge on CMB locked signals MMCM_Locked_d <= MMCM_Locked when rising_edge(Control_Clock); PLL_Locked_d <= PLL_Locked when rising_edge(Control_Clock); MMCM_Locked_re <= NOT MMCM_Locked_d AND MMCM_Locked; PLL_Locked_re <= NOT PLL_Locked_d AND PLL_Locked; -- RS-FF Q RST SET CLK -- hold reset until external reset goes low and CMB noticed reset MMCM_ResetState <= ffrs(q => MMCM_ResetState, rst => MMCM_Reset_clr, set => ClkNet_Reset) when rising_edge(Control_Clock); PLL_ResetState <= ffrs(q => PLL_ResetState, rst => PLL_Reset_clr, set => ClkNet_Reset) when rising_edge(Control_Clock); -- deassert *_LockedState, if CMBs are going to be reseted; assert it if *_Locked is high again MMCM_LockedState <= ffrs(q => MMCM_LockedState, rst => MMCM_Reset, set => MMCM_Locked_re) when rising_edge(Control_Clock); PLL_LockedState <= ffrs(q => PLL_LockedState, rst => PLL_Reset, set => PLL_Locked_re) when rising_edge(Control_Clock); -- delay CMB resets until the slowed syncBlock has noticed that LockedState is low MMCM_Reset_delayed <= shreg_left(MMCM_Reset_delayed, MMCM_ResetState) when rising_edge(Control_Clock); PLL_Reset_delayed <= shreg_left(PLL_Reset_delayed, PLL_ResetState) when rising_edge(Control_Clock); MMCM_Reset <= MMCM_Reset_delayed(MMCM_Reset_delayed'high); PLL_Reset <= PLL_Reset_delayed(PLL_Reset_delayed'high); Locked <= MMCM_LockedState AND PLL_LockedState; ClockNetwork_ResetDone <= Locked; -- ================================================================== -- ClockBuffers -- ================================================================== -- Control_Clock BUFR_Control_Clock : BUFR generic map ( SIM_DEVICE => "7SERIES" ) port map ( CE => '1', CLR => '0', I => ClockIn_200MHz, O => Control_Clock_BUFR ); Control_Clock <= Control_Clock_BUFR; -- 10 MHz BUFG BUFG_Clock_10MHz : BUFG port map ( I => MMCM_Clock_10MHz, O => MMCM_Clock_10MHz_BUFG ); -- 100 MHz BUFG BUFG_Clock_100MHz : BUFG port map ( I => MMCM_Clock_100MHz, O => MMCM_Clock_100MHz_BUFG ); -- 125 MHz BUFG BUFG_Clock_125MHz : BUFG port map ( I => MMCM_Clock_125MHz, O => MMCM_Clock_125MHz_BUFG ); -- 175 MHz BUFG BUFG_Clock_175MHz : BUFG port map ( I => PLL_Clock_175MHz, O => PLL_Clock_175MHz_BUFG ); -- 200 MHz BUFG BUFG_Clock_200MHz : BUFG port map ( I => MMCM_Clock_200MHz, O => MMCM_Clock_200MHz_BUFG ); -- 250 MHz BUFG BUFG_Clock_250MHz : BUFG port map ( I => MMCM_Clock_250MHz, O => MMCM_Clock_250MHz_BUFG ); -- ================================================================== -- Mixed-Mode Clock Manager (MMCM) -- ================================================================== System_MMCM : MMCME2_ADV generic map ( STARTUP_WAIT => FALSE, BANDWIDTH => "LOW", -- LOW = Jitter Filter COMPENSATION => "BUF_IN", --"ZHOLD", CLKIN1_PERIOD => 1.0e9 / real(CLOCK_IN_FREQ / 1 Hz), CLKIN2_PERIOD => 1.0e9 / real(CLOCK_IN_FREQ / 1 Hz), -- Not used REF_JITTER1 => 0.00048, REF_JITTER2 => 0.00048, -- Not used CLKFBOUT_MULT_F => 5.0, CLKFBOUT_PHASE => 0.0, CLKFBOUT_USE_FINE_PS => FALSE, DIVCLK_DIVIDE => 1, CLKOUT0_DIVIDE_F => 5.0, CLKOUT0_PHASE => 0.0, CLKOUT0_DUTY_CYCLE => 0.500, CLKOUT0_USE_FINE_PS => FALSE, CLKOUT1_DIVIDE => 10, CLKOUT1_PHASE => 0.0, CLKOUT1_DUTY_CYCLE => 0.500, CLKOUT1_USE_FINE_PS => FALSE, CLKOUT2_DIVIDE => 8, CLKOUT2_PHASE => 0.0, CLKOUT2_DUTY_CYCLE => 0.500, CLKOUT2_USE_FINE_PS => FALSE, CLKOUT3_DIVIDE => 4, CLKOUT3_PHASE => 0.0, CLKOUT3_DUTY_CYCLE => 0.500, CLKOUT3_USE_FINE_PS => FALSE, CLKOUT4_CASCADE => FALSE, CLKOUT4_DIVIDE => 100, CLKOUT4_PHASE => 0.0, CLKOUT4_DUTY_CYCLE => 0.500, CLKOUT4_USE_FINE_PS => FALSE ) port map ( RST => MMCM_Reset, CLKIN1 => ClockIn_200MHz, CLKIN2 => ClockIn_200MHz, CLKINSEL => '1', CLKINSTOPPED => open, CLKFBOUT => open, CLKFBOUTB => open, CLKFBIN => MMCM_Clock_200MHz_BUFG, CLKFBSTOPPED => open, CLKOUT0 => MMCM_Clock_200MHz, CLKOUT0B => open, CLKOUT1 => MMCM_Clock_100MHz, CLKOUT1B => open, CLKOUT2 => MMCM_Clock_125MHz, CLKOUT2B => open, CLKOUT3 => MMCM_Clock_250MHz, CLKOUT3B => open, CLKOUT4 => MMCM_Clock_10MHz, CLKOUT5 => open, CLKOUT6 => open, -- Dynamic Reconfiguration Port DO => open, DRDY => open, DADDR => "0000000", DCLK => '0', DEN => '0', DI => x"0000", DWE => '0', PWRDWN => '0', LOCKED => MMCM_Locked_async, PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => open ); System_PLL : PLLE2_ADV generic map ( BANDWIDTH => "HIGH", COMPENSATION => "BUF_IN", CLKIN1_PERIOD => 1.0e9 / real(CLOCK_IN_FREQ / 1 Hz), CLKIN2_PERIOD => 1.0e9 / real(CLOCK_IN_FREQ / 1 Hz), -- Not used REF_JITTER1 => 0.00048, REF_JITTER2 => 0.00048, CLKFBOUT_MULT => 35, CLKFBOUT_PHASE => 0.000, DIVCLK_DIVIDE => 4, CLKOUT0_DIVIDE => 10, CLKOUT0_PHASE => 0.000, CLKOUT0_DUTY_CYCLE => 0.500, CLKOUT1_DIVIDE => 14, CLKOUT1_PHASE => 0.000, CLKOUT1_DUTY_CYCLE => 0.500 ) port map ( RST => PLL_Reset, CLKIN1 => ClockIn_200MHz, CLKIN2 => ClockIn_200MHz, CLKINSEL => '1', CLKFBIN => PLL_Clock_175MHz_BUFG, CLKFBOUT => open, CLKOUT0 => PLL_Clock_175MHz, CLKOUT1 => PLL_Clock_125MHz, CLKOUT2 => open, CLKOUT3 => open, CLKOUT4 => open, CLKOUT5 => open, -- Dynamic Reconfiguration Port DO => open, DRDY => open, DADDR => "0000000", DCLK => '0', DEN => '0', DI => x"0000", DWE => '0', -- Other control and status signals PWRDWN => '0', LOCKED => PLL_Locked_async ); Control_Clock_200MHz <= Control_Clock_BUFR; Clock_250MHz <= MMCM_Clock_250MHz_BUFG; Clock_200MHz <= MMCM_Clock_200MHz_BUFG; Clock_175MHz <= PLL_Clock_175MHz_BUFG; Clock_125MHz <= MMCM_Clock_125MHz_BUFG; Clock_100MHz <= MMCM_Clock_100MHz_BUFG; Clock_10MHz <= MMCM_Clock_10MHz_BUFG; -- synchronize internal Locked signal to ouput clock domains syncLocked250MHz: entity PoC.sync_Bits_Xilinx port map ( Clock => MMCM_Clock_250MHz_BUFG, -- Clock to be synchronized to Input(0) => Locked, -- Data to be synchronized Output(0) => Clock_Stable_250MHz -- synchronized data ); syncLocked200MHz: entity PoC.sync_Bits_Xilinx port map ( Clock => MMCM_Clock_200MHz_BUFG, -- Clock to be synchronized to Input(0) => Locked, -- Data to be synchronized Output(0) => Clock_Stable_200MHz -- synchronized data ); syncLocked175MHz: entity PoC.sync_Bits_Xilinx port map ( Clock => PLL_Clock_175MHz_BUFG, -- Clock to be synchronized to Input(0) => Locked, -- Data to be synchronized Output(0) => Clock_Stable_175MHz -- synchronized data ); syncLocked125MHz: entity PoC.sync_Bits_Xilinx port map ( Clock => MMCM_Clock_125MHz_BUFG, -- Clock to be synchronized to Input(0) => Locked, -- Data to be synchronized Output(0) => Clock_Stable_125MHz -- synchronized data ); syncLocked100MHz: entity PoC.sync_Bits_Xilinx port map ( Clock => MMCM_Clock_100MHz_BUFG, -- Clock to be synchronized to Input(0) => Locked, -- Data to be synchronized Output(0) => Clock_Stable_100MHz -- synchronized data ); syncLocked10MHz: entity PoC.sync_Bits_Xilinx port map ( Clock => MMCM_Clock_10MHz_BUFG, -- Clock to be synchronized to Input(0) => Locked, -- Data to be synchronized Output(0) => Clock_Stable_10MHz -- synchronized data ); end architecture;
apache-2.0
4b09d3fd15b24d9626f4aadc41019540
0.516673
3.51465
false
false
false
false
viniciussmello/SistemasDigitais
Trabalho 2/Principal/MultBcd_1Dig.vhd
2
2,681
LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.NUMERIC_STD.ALL; ENTITY MultBcd_1Dig IS PORT ( a_bcd_1dig : IN unsigned(3 DOWNTO 0); b_bcd_1dig : IN unsigned(3 DOWNTO 0); cin_bcd_1dig : IN unsigned(3 DOWNTO 0); z_bcd_1dig : OUT unsigned(3 DOWNTO 0); cout_bcd_1dig : OUT unsigned(3 DOWNTO 0) ); END MultBcd_1Dig; ARCHITECTURE behavior OF MultBcd_1Dig IS SIGNAL signal_out_1dig : unsigned(7 DOWNTO 0) := (others => '0'); SIGNAL signal_out_1dig_aux : unsigned(7 DOWNTO 0) := (others => '0'); SIGNAL cout_bcd_1dig_aux : unsigned(3 DOWNTO 0) := (others => '0'); BEGIN PROCESS (a_bcd_1dig, b_bcd_1dig, cin_bcd_1dig, signal_out_1dig, signal_out_1dig_aux, cout_bcd_1dig_aux) BEGIN -- Exemplo A = "0000 0111" B = "0000 0111" --< Saida = 1001 Cout = 0100 signal_out_1dig <= (a_bcd_1dig * b_bcd_1dig) + cin_bcd_1dig; -- Prod = (A * B) + Cin IF ((signal_out_1dig >= "00000000") AND (signal_out_1dig < "00001010")) THEN -- Entre 0 e 9? cout_bcd_1dig_aux <= "0000"; signal_out_1dig_aux <= signal_out_1dig; ELSIF ((signal_out_1dig >= "00001010") AND (signal_out_1dig < "00010100")) THEN -- Entre 10 e 19? cout_bcd_1dig_aux <= "0001"; signal_out_1dig_aux <= (signal_out_1dig - "00001010"); ELSIF ((signal_out_1dig >= "00010100") AND (signal_out_1dig < "00011110")) THEN cout_bcd_1dig_aux <= "0010"; signal_out_1dig_aux <= (signal_out_1dig - "00010100"); ELSIF ((signal_out_1dig >= "00011110") AND (signal_out_1dig < "00101000")) THEN cout_bcd_1dig_aux <= ("0011"); signal_out_1dig_aux <= (signal_out_1dig - "00011110"); ELSIF ((signal_out_1dig >= "00101000") AND (signal_out_1dig < "00110010")) THEN cout_bcd_1dig_aux <= ("0100"); signal_out_1dig_aux <= (signal_out_1dig - "00101000"); ELSIF ((signal_out_1dig >= "00110010") AND (signal_out_1dig < "00111100")) THEN cout_bcd_1dig_aux <= ("0101"); signal_out_1dig_aux <= (signal_out_1dig - "00110010"); ELSIF ((signal_out_1dig >= "00111100") AND (signal_out_1dig < "01000110")) THEN cout_bcd_1dig_aux <= ("0110"); signal_out_1dig_aux <= (signal_out_1dig - "00111100"); ELSIF ((signal_out_1dig >= "01000110") AND (signal_out_1dig < "01010000")) THEN cout_bcd_1dig_aux <= ("0111"); signal_out_1dig_aux <= (signal_out_1dig - "01000110"); ELSIF ((signal_out_1dig >= "01010000") AND (signal_out_1dig < "01011010")) THEN cout_bcd_1dig_aux <= ("1000"); signal_out_1dig_aux <= (signal_out_1dig - "01010000"); ELSE cout_bcd_1dig_aux <= ("1001"); signal_out_1dig_aux <= (signal_out_1dig - "01011010"); END IF; z_bcd_1dig <= signal_out_1dig_aux(3 DOWNTO 0); cout_bcd_1dig <= cout_bcd_1dig_aux; end process; END behavior;
gpl-3.0
0b23e43e955758c4ecf04922000f6b19
0.633346
2.65183
false
false
false
false
MiddleMan5/233
Experiments/Experiment5-Ram_Reg/Programming Assignment/prog_rom.vhd
1
19,877
----------------------------------------------------------------------------- -- Definition of a single port ROM for RATASM defined by prog_rom.psm -- -- Generated by RATASM Assembler -- -- Standard IEEE libraries -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; library unisim; use unisim.vcomponents.all; ----------------------------------------------------------------------------- entity prog_rom is port ( ADDRESS : in std_logic_vector(9 downto 0); INSTRUCTION : out std_logic_vector(17 downto 0); CLK : in std_logic); end prog_rom; architecture low_level_definition of prog_rom is ----------------------------------------------------------------------------- -- Attributes to define ROM contents during implementation synthesis. -- The information is repeated in the generic map for functional simulation. ----------------------------------------------------------------------------- attribute INIT_00 : string; attribute INIT_01 : string; attribute INIT_02 : string; attribute INIT_03 : string; attribute INIT_04 : string; attribute INIT_05 : string; attribute INIT_06 : string; attribute INIT_07 : string; attribute INIT_08 : string; attribute INIT_09 : string; attribute INIT_0A : string; attribute INIT_0B : string; attribute INIT_0C : string; attribute INIT_0D : string; attribute INIT_0E : string; attribute INIT_0F : string; attribute INIT_10 : string; attribute INIT_11 : string; attribute INIT_12 : string; attribute INIT_13 : string; attribute INIT_14 : string; attribute INIT_15 : string; attribute INIT_16 : string; attribute INIT_17 : string; attribute INIT_18 : string; attribute INIT_19 : string; attribute INIT_1A : string; attribute INIT_1B : string; attribute INIT_1C : string; attribute INIT_1D : string; attribute INIT_1E : string; attribute INIT_1F : string; attribute INIT_20 : string; attribute INIT_21 : string; attribute INIT_22 : string; attribute INIT_23 : string; attribute INIT_24 : string; attribute INIT_25 : string; attribute INIT_26 : string; attribute INIT_27 : string; attribute INIT_28 : string; attribute INIT_29 : string; attribute INIT_2A : string; attribute INIT_2B : string; attribute INIT_2C : string; attribute INIT_2D : string; attribute INIT_2E : string; attribute INIT_2F : string; attribute INIT_30 : string; attribute INIT_31 : string; attribute INIT_32 : string; attribute INIT_33 : string; attribute INIT_34 : string; attribute INIT_35 : string; attribute INIT_36 : string; attribute INIT_37 : string; attribute INIT_38 : string; attribute INIT_39 : string; attribute INIT_3A : string; attribute INIT_3B : string; attribute INIT_3C : string; attribute INIT_3D : string; attribute INIT_3E : string; attribute INIT_3F : string; attribute INITP_00 : string; attribute INITP_01 : string; attribute INITP_02 : string; attribute INITP_03 : string; attribute INITP_04 : string; attribute INITP_05 : string; attribute INITP_06 : string; attribute INITP_07 : string; ---------------------------------------------------------------------- -- Attributes to define ROM contents during implementation synthesis. ---------------------------------------------------------------------- attribute INIT_00 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_01 of ram_1024_x_18 : label is "3F08820181E141A960077F00170F160F360036003600360057A156A134103510"; attribute INIT_02 of ram_1024_x_18 : label is "81E141B160043F0A81E141A960023F0A81E141A960033F0AA20081E141A96004"; attribute INIT_03 of ram_1024_x_18 : label is "800281E3C001010240095F313FB83F0A81E141B160013F0A81E141B160023F08"; attribute INIT_04 of ram_1024_x_18 : label is "000000000000000000000000000000000000000000000000000000008002DF01"; attribute INIT_05 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_06 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_07 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_08 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_09 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_0A of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_0B of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_0C of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_0D of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_0E of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_0F of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_10 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_11 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_12 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_13 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_14 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_15 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_16 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_17 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_18 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_19 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_1A of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_1B of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_1C of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_1D of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_1E of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_1F of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_20 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_21 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_22 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_23 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_24 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_25 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_26 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_27 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_28 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_29 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_2A of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_2B of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_2C of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_2D of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_2E of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_2F of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_30 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_31 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_32 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_33 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_34 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_35 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_36 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_37 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_38 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_39 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_3A of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_3B of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_3C of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_3D of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_3E of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_3F of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INITP_00 of ram_1024_x_18 : label is "0000000000000000000000000000000649300C0C0C0C0C0300FA550F00000000"; attribute INITP_01 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INITP_02 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INITP_03 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INITP_04 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INITP_05 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INITP_06 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INITP_07 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; begin ---------------------------------------------------------------------- --Instantiate the Xilinx primitive for a block RAM --INIT values repeated to define contents for functional simulation ---------------------------------------------------------------------- ram_1024_x_18: RAMB16_S18 --synthesitranslate_off --INIT values repeated to define contents for functional simulation generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"3F08820181E141A960077F00170F160F360036003600360057A156A134103510", INIT_02 => X"81E141B160043F0A81E141A960023F0A81E141A960033F0AA20081E141A96004", INIT_03 => X"800281E3C001010240095F313FB83F0A81E141B160013F0A81E141B160023F08", INIT_04 => X"000000000000000000000000000000000000000000000000000000008002DF01", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_00 => X"0000000000000000000000000000000649300C0C0C0C0C0300FA550F00000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000") --synthesis translate_on port map( DI => "0000000000000000", DIP => "00", EN => '1', WE => '0', SSR => '0', CLK => clk, ADDR => address, DO => INSTRUCTION(15 downto 0), DOP => INSTRUCTION(17 downto 16)); -- end low_level_definition; -- ---------------------------------------------------------------------- -- END OF FILE prog_rom.vhd ----------------------------------------------------------------------
mit
a9773f2863aebb9f21685e0677bc4a30
0.735725
6.116
false
false
false
false
MiddleMan5/233
Experiments/Experiment8-GeterDone/IPI-BD/RAT/ip/RAT_xlconcat_0_0/synth/RAT_xlconcat_0_0.vhd
1
8,802
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:xlconcat:2.1 -- IP Revision: 2 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY work; USE work.xlconcat; ENTITY RAT_xlconcat_0_0 IS PORT ( In0 : IN STD_LOGIC_VECTOR(7 DOWNTO 0); In1 : IN STD_LOGIC_VECTOR(1 DOWNTO 0); dout : OUT STD_LOGIC_VECTOR(9 DOWNTO 0) ); END RAT_xlconcat_0_0; ARCHITECTURE RAT_xlconcat_0_0_arch OF RAT_xlconcat_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF RAT_xlconcat_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT xlconcat IS GENERIC ( IN0_WIDTH : INTEGER; IN1_WIDTH : INTEGER; IN2_WIDTH : INTEGER; IN3_WIDTH : INTEGER; IN4_WIDTH : INTEGER; IN5_WIDTH : INTEGER; IN6_WIDTH : INTEGER; IN7_WIDTH : INTEGER; IN8_WIDTH : INTEGER; IN9_WIDTH : INTEGER; IN10_WIDTH : INTEGER; IN11_WIDTH : INTEGER; IN12_WIDTH : INTEGER; IN13_WIDTH : INTEGER; IN14_WIDTH : INTEGER; IN15_WIDTH : INTEGER; IN16_WIDTH : INTEGER; IN17_WIDTH : INTEGER; IN18_WIDTH : INTEGER; IN19_WIDTH : INTEGER; IN20_WIDTH : INTEGER; IN21_WIDTH : INTEGER; IN22_WIDTH : INTEGER; IN23_WIDTH : INTEGER; IN24_WIDTH : INTEGER; IN25_WIDTH : INTEGER; IN26_WIDTH : INTEGER; IN27_WIDTH : INTEGER; IN28_WIDTH : INTEGER; IN29_WIDTH : INTEGER; IN30_WIDTH : INTEGER; IN31_WIDTH : INTEGER; dout_width : INTEGER; NUM_PORTS : INTEGER ); PORT ( In0 : IN STD_LOGIC_VECTOR(7 DOWNTO 0); In1 : IN STD_LOGIC_VECTOR(1 DOWNTO 0); In2 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In3 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In4 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In5 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In6 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In7 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In8 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In9 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In10 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In11 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In12 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In13 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In14 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In15 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In16 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In17 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In18 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In19 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In20 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In21 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In22 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In23 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In24 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In25 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In26 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In27 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In28 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In29 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In30 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In31 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); dout : OUT STD_LOGIC_VECTOR(9 DOWNTO 0) ); END COMPONENT xlconcat; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF RAT_xlconcat_0_0_arch: ARCHITECTURE IS "xlconcat,Vivado 2016.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF RAT_xlconcat_0_0_arch : ARCHITECTURE IS "RAT_xlconcat_0_0,xlconcat,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF RAT_xlconcat_0_0_arch: ARCHITECTURE IS "RAT_xlconcat_0_0,xlconcat,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=xlconcat,x_ipVersion=2.1,x_ipCoreRevision=2,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,IN0_WIDTH=8,IN1_WIDTH=2,IN2_WIDTH=1,IN3_WIDTH=1,IN4_WIDTH=1,IN5_WIDTH=1,IN6_WIDTH=1,IN7_WIDTH=1,IN8_WIDTH=1,IN9_WIDTH=1,IN10_WIDTH=1,IN11_WIDTH=1,IN12_WIDTH=1,IN13_WIDTH=1,IN14_WIDTH=1,IN15_WIDTH=1,IN16_WIDTH=1,IN17_WIDTH=1,IN18_WIDTH=1,IN19_WIDTH=1,IN20_WIDTH=1,IN21_WIDTH=1,IN22_WIDTH=1,IN23_WIDTH=1,IN24_WIDTH=1,IN" & "25_WIDTH=1,IN26_WIDTH=1,IN27_WIDTH=1,IN28_WIDTH=1,IN29_WIDTH=1,IN30_WIDTH=1,IN31_WIDTH=1,dout_width=10,NUM_PORTS=2}"; BEGIN U0 : xlconcat GENERIC MAP ( IN0_WIDTH => 8, IN1_WIDTH => 2, IN2_WIDTH => 1, IN3_WIDTH => 1, IN4_WIDTH => 1, IN5_WIDTH => 1, IN6_WIDTH => 1, IN7_WIDTH => 1, IN8_WIDTH => 1, IN9_WIDTH => 1, IN10_WIDTH => 1, IN11_WIDTH => 1, IN12_WIDTH => 1, IN13_WIDTH => 1, IN14_WIDTH => 1, IN15_WIDTH => 1, IN16_WIDTH => 1, IN17_WIDTH => 1, IN18_WIDTH => 1, IN19_WIDTH => 1, IN20_WIDTH => 1, IN21_WIDTH => 1, IN22_WIDTH => 1, IN23_WIDTH => 1, IN24_WIDTH => 1, IN25_WIDTH => 1, IN26_WIDTH => 1, IN27_WIDTH => 1, IN28_WIDTH => 1, IN29_WIDTH => 1, IN30_WIDTH => 1, IN31_WIDTH => 1, dout_width => 10, NUM_PORTS => 2 ) PORT MAP ( In0 => In0, In1 => In1, In2 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In3 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In4 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In5 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In6 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In7 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In8 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In9 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In10 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In11 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In12 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In13 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In14 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In15 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In16 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In17 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In18 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In19 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In20 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In21 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In22 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In23 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In24 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In25 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In26 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In27 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In28 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In29 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In30 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In31 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), dout => dout ); END RAT_xlconcat_0_0_arch;
mit
07f53fd9ea98ac54d29480b043957dd3
0.645762
3.230092
false
false
false
false
David-Estevez/spaceinvaders
src/timer.vhd
1
1,337
---------------------------------------------------------------------------------- -- GENERIC TIMER -- David Estévez Fernández -- Sergio Vilches -- Gives a pulse of one clock cycle width with a period of t (in milliseconds) ---------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity timer is generic ( t: integer := 1); -- Period in ms port (clk : in std_logic; reset : in std_logic; clear : in std_logic; en : in std_logic; q : out std_logic); end timer; architecture behavioral of timer is constant clkFreq : integer := 50000; -- 50 MHz clock constant max : integer := (t*clkFreq)-1; signal count : integer range 0 to max; begin process (reset, clk, count) begin if reset = '1' then count <= 0; elsif clk'event and clk = '1' then -- Sequential behaviors: if clear ='1' then count <= 0; elsif en = '1' then if count = max then count <= 0; else count <= count + 1; end if; end if; end if; -- Concurrent behaviors: if count = max then q <= '1'; else q <= '0'; end if; end process; end behavioral;
gpl-3.0
bd6ba48a510340f569e4d4b67b822485
0.479401
3.973214
false
false
false
false
stefanct/aua
hw/mmu/src/mmu.vhd
1
9,220
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.log2; use ieee.math_real.ceil; use ieee.math_real.floor; use work.aua_types.all; entity mmu is generic ( CLK_FREQ : natural; SRAM_RD_FREQ : natural; SRAM_WR_FREQ : natural ); port ( clk : in std_logic; reset : in std_logic; -- IF stage/cache instr_addr : in word_t; instr_enable: in std_logic; instr_data : out word_t; instr_valid : out std_logic; -- interface to EX stage ex_address : in word_t; ex_rd_data : out word_t; ex_wr_data : in word_t; ex_enable : in std_logic; ex_opcode : in std_logic_vector(1 downto 0); ex_done : out std_logic; -- SimpCon interfaces to IO devices sc_io_in : in sc_in_t; sc_io_out : out sc_out_t; -- interface to SRAM sram_addr : out std_logic_vector(RAM_ADDR_SIZE-1 downto 0); sram_dq : inout word_t; sram_we : out std_logic; -- write enable, low active, 0=enable, 1=disable --~ sram_oe : out std_logic; -- output enable, low active sram_ub : out std_logic; -- upper byte, low active sram_lb : out std_logic -- lower byte, low active --~ sram_ce : out std_logic -- chip enable, low active ); end mmu; architecture sat1 of mmu is -- constant io_devs_name : io_devs := ("bla", "blu"); constant SRAM_RD_RATIO : real := real(CLK_FREQ)/real(SRAM_RD_FREQ); constant SRAM_WR_RATIO : real := real(CLK_FREQ)/real(SRAM_WR_FREQ); -- constant SRAM_WAIT_WIDTH : integer := integer(ceil(log2(max(real(5), real(7))))); constant SRAM_WAIT_WIDTH : integer := integer(ceil(log2(max(SRAM_RD_RATIO, SRAM_WR_RATIO)))) + 1; signal sc_addr : sc_addr_t; signal sc_addr_nxt : sc_addr_t; signal sc_addr_out : sc_addr_t; signal sc_wr_data : sc_data_t; signal sc_rd, sc_wr : std_logic; signal sc_rd_data : sc_data_t; signal sc_rdy_cnt : sc_rdy_cnt_t; type mmu_state_t is (mmu_idle, scst_init_rd, scst_rd, scst_init_wr, scst_wr, st_sram); -- Request selbst, Simpcon, kein Simpcon signal mmu_state : mmu_state_t; signal mmu_state_nxt : mmu_state_t; --signal sc_rd_state : std_logic; --signal sc_rd_state_nxt : std_logic; signal address : word_t; -- Addresse zu lesen (gemuxt Ex - Instr) signal write : std_logic; -- schreiben=1, lesen=0 (gemuxt Ex - Instr) signal q : word_t; signal done : std_logic; signal sram_a : std_logic_vector(RAM_ADDR_SIZE-1 downto 0); signal sram_d : word_t; signal sram_w : std_logic; signal sram_a_nxt : std_logic_vector(RAM_ADDR_SIZE-1 downto 0); signal sram_d_nxt : word_t; signal sram_w_nxt : std_logic; signal sram_wait : unsigned(SRAM_WAIT_WIDTH-1 downto 0); signal sram_wait_nxt : unsigned(SRAM_WAIT_WIDTH-1 downto 0); signal sram_writing : std_logic; signal sram_writing_nxt : std_logic; signal sram_b_en : std_logic_vector(1 downto 0); signal sram_b_en_nxt : std_logic_vector(1 downto 0); component rom is port ( clk : in std_logic; address : in word_t; q : out word_t ); end component; signal rom_addr : word_t; signal rom_q : word_t; begin cmp_rom: rom port map(clk, rom_addr, rom_q); sc_io_out.address <= sc_addr_out; sc_io_out.wr_data <= sc_wr_data; sc_io_out.rd <= sc_rd; sc_io_out.wr <= sc_wr; sc_rd_data <= sc_io_in.rd_data; sc_rdy_cnt <= sc_io_in.rdy_cnt; -- Speicher 16bit Adressen -- 0* --> SRAM -- 10* --> non-Simpcon -- 1000* --> ROM -- 11* --> Simpcon 0xC000/2 mmu_get_addr: process(instr_addr, ex_address, ex_enable, ex_opcode) begin if(ex_enable = '1') then address <= ex_address; write <= ex_opcode(1); else address <= instr_addr; write <= '0'; end if; end process; sc_addr_write: process(sc_addr, sc_addr_nxt) begin if sc_addr = x"0000" then sc_addr_out <= sc_addr_nxt; else sc_addr_out <= sc_addr; end if; end process; sram: process(sram_a_nxt, sram_w_nxt, sram_b_en_nxt) begin sram_addr <= sram_a_nxt; sram_we <= sram_w_nxt; sram_ub <= sram_b_en_nxt(0); sram_lb <= sram_b_en_nxt(1); end process; mmu_load_store: process(address, write, ex_enable, ex_wr_data, sram_dq, sram_b_en, rom_q, sc_rd, sc_rdy_cnt, sc_rd_data, mmu_state, sc_addr, sram_wait, sram_a, sram_d, sram_w, sram_writing, ex_opcode) begin sram_a_nxt <= (others => '0'); sram_d_nxt <= (others => '0'); -- tri-state, 'Z' unless writing to SRAM sram_w_nxt <= '1'; sram_wait_nxt <= TO_UNSIGNED(10, SRAM_WAIT_WIDTH); sram_writing_nxt <= sram_writing; sram_b_en_nxt <= "00"; sram_dq <= (others => 'Z'); sc_wr_data <= (others => '0'); sc_rd <= '0'; sc_wr <= '0'; rom_addr <= (others => '0'); q <= (others => '0'); done <= '0'; mmu_state_nxt <= mmu_state; sc_addr_nxt <= x"0000"; case mmu_state is when mmu_idle => if(address(15) = '0') then -- SRAM sram_a_nxt(13 downto 0) <= address(14 downto 1); -- SRAM adressiert word, instr byte => shift if(ex_opcode(1) = '1') and (ex_enable = '1') then sram_b_en_nxt <= address(0) & not address(0); --if(address(0) = '0') then -- sram_b_en_nxt <= "01"; --else -- sram_b_en_nxt <= "10"; --end if; end if; if(write = '1') then sram_w_nxt <= '0'; if(ex_opcode(0) = '1' and (ex_enable = '1') and (address(0) = '0')) then sram_d_nxt <= ex_wr_data(7 downto 0) & x"00"; sram_dq <= ex_wr_data(7 downto 0) & x"00"; else sram_d_nxt <= ex_wr_data; sram_dq <= ex_wr_data; end if; if(CLK_FREQ > SRAM_WR_FREQ) then sram_wait_nxt <= TO_UNSIGNED(0, 2);--TO_UNSIGNED(natural(floor(SRAM_WR_RATIO)), SRAM_WAIT_WIDTH) - 1; mmu_state_nxt <= st_sram; sram_writing_nxt <= '1'; else done <= '1'; end if; else if(CLK_FREQ > SRAM_RD_FREQ) then sram_wait_nxt <= TO_UNSIGNED(0, 2);--TO_UNSIGNED(natural(floor(SRAM_RD_RATIO)), SRAM_WAIT_WIDTH) - 1; mmu_state_nxt <= st_sram; else q <= sram_dq; done <= '1'; end if; end if; else if(address(14) = '0') then -- non-Simpcon if(address(13) = '0') then -- ROM (write wird ignoriert) rom_addr <= address(15 downto 1) & '0'; q <= rom_q; done <= '1'; end if; else -- Simpcon sc_addr_nxt <= address; if(write = '1') then sc_wr <= '1'; sc_wr_data(15 downto 0) <= ex_wr_data; mmu_state_nxt <= scst_init_rd; else sc_rd <= '1'; mmu_state_nxt <= scst_init_rd; end if; end if; end if; when st_sram => sram_a_nxt <= sram_a; sram_d_nxt <= sram_d; sram_w_nxt <= sram_w; if sram_wait = 0 then done <= '1'; mmu_state_nxt <= mmu_idle; sram_writing_nxt <= '0'; if sram_writing = '0' then q <= sram_dq; end if; else sram_wait_nxt <= sram_wait - 1; mmu_state_nxt <= st_sram; sram_writing_nxt <= sram_writing; end if; sram_b_en_nxt <= sram_b_en; when scst_init_rd => mmu_state_nxt <= scst_rd; sc_addr_nxt <= sc_addr; when scst_rd => if sc_rdy_cnt > 0 then sc_addr_nxt <= sc_addr; else mmu_state_nxt <= mmu_idle; done <= '1'; q <= sc_rd_data(15 downto 0); end if; when scst_init_wr => mmu_state_nxt <= scst_wr; sc_addr_nxt <= sc_addr; when scst_wr => if sc_rdy_cnt > 0 then sc_addr_nxt <= sc_addr; else mmu_state_nxt <= mmu_idle; done <= '1'; end if; when others => mmu_state_nxt <= mmu_idle; end case; end process; mmu_return_result: process(ex_enable, q, done, sram_a, ex_opcode) begin instr_data <= (others => '0'); ex_rd_data <= (others => '0'); instr_valid <= '0'; ex_done <= '0'; if(ex_enable = '1') then if(ex_opcode(0) = '1' and sram_a(0) = '0') then ex_rd_data <= x"00" & q(15 downto 8); else ex_rd_data <= q; end if; ex_done <= done; else instr_data <= q; instr_valid <= done; end if; end process; sync: process (clk, reset) begin if reset = '1' then mmu_state <= mmu_idle; sc_addr <= (others => '0'); sram_wait <= TO_UNSIGNED(0, SRAM_WAIT_WIDTH); elsif rising_edge(clk) then mmu_state <= mmu_state_nxt; sc_addr <= sc_addr_nxt; sram_a <= sram_a_nxt; sram_d <= sram_d_nxt; sram_w <= sram_w_nxt; sram_writing <= sram_writing_nxt; sram_wait <= sram_wait_nxt; sram_b_en <= sram_b_en_nxt; end if; end process; end sat1;
gpl-3.0
7bdee991d92f49fbbd98f72e5c6b44ad
0.528416
2.777945
false
false
false
false
MiddleMan5/233
Experiments/Experiment8-GeterDone/IPI-BD/RAT/ip/RAT_slice_17_13_0/sim/RAT_slice_17_13_0.vhd
2
3,216
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:xlslice:1.0 -- IP Revision: 0 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY work; USE work.xlslice; ENTITY RAT_slice_17_13_0 IS PORT ( Din : IN STD_LOGIC_VECTOR(17 DOWNTO 0); Dout : OUT STD_LOGIC_VECTOR(1 DOWNTO 0) ); END RAT_slice_17_13_0; ARCHITECTURE RAT_slice_17_13_0_arch OF RAT_slice_17_13_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF RAT_slice_17_13_0_arch: ARCHITECTURE IS "yes"; COMPONENT xlslice IS GENERIC ( DIN_WIDTH : INTEGER; DIN_FROM : INTEGER; DIN_TO : INTEGER ); PORT ( Din : IN STD_LOGIC_VECTOR(17 DOWNTO 0); Dout : OUT STD_LOGIC_VECTOR(1 DOWNTO 0) ); END COMPONENT xlslice; BEGIN U0 : xlslice GENERIC MAP ( DIN_WIDTH => 18, DIN_FROM => 1, DIN_TO => 0 ) PORT MAP ( Din => Din, Dout => Dout ); END RAT_slice_17_13_0_arch;
mit
cfbd1e63c173b496c511235b42466ba1
0.722326
4.060606
false
false
false
false
David-Estevez/spaceinvaders
src/edgeDetector_tb.vhd
1
2,031
---------------------------------------------------------------------------------- -- -- Lab session #2: edge detector testbench -- -- Detects raising edges and ouputs a one-period pulse. -- -- Authors: -- David Estévez Fernández -- Sergio Vilches Expósito -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity edgeDetector_tb is end edgeDetector_tb; architecture Behavioral of edgeDetector_tb is -- Declare component: component edgeDetector port( clk: in STD_LOGIC; reset: in STD_LOGIC; enable: in STD_LOGIC; input: in STD_LOGIC; detected: out STD_LOGIC ); end component; -- Inputs signal clk: std_logic := '0'; signal reset: std_logic := '0'; signal enable: std_logic := '0'; signal input: std_logic := '0'; -- Outputs signal detected: std_logic; -- clk period constant clk_period: time := 20 ns; begin -- Instantiation of edgeDetector: uut: edgeDetector port map( clk => clk, reset => reset, enable => enable, input => input, detected => detected ); -- Clock signal clk_process : process begin clk <= '0'; wait for clk_period / 2; clk <= '1'; wait for clk_period / 2; end process; -- Other stimulus stim_process : process begin -- Reset circuit: reset <= '0'; wait for 80 ns; reset <= '1'; wait for 20 ns; -- Test circuit with enable disabled: enable <= '0'; input <= '0'; wait for clk_period; input <= '1'; wait for clk_period; input <= '0'; wait for clk_period; -- Test circuit with enable enabled: enable <= '1'; wait for 2*clk_period; input <= '1'; wait for 2*clk_period; input <= '0'; wait for 2*clk_period; -- Test circuit with enable disabled again: enable <= '0'; input <= '1'; wait for 3*clk_period; input <= '0'; wait for clk_period; wait; end process; end Behavioral;
gpl-3.0
3293e7b88af37da207000bb6f599b839
0.543886
3.508651
false
false
false
false
alpenwasser/pitaya
firmware/fpga/cores/dec_to_fir_mux_v1_0/dec_to_fir_mux.vhd
1
1,830
library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; entity dec_to_fir_mux is port ( DecRate: in std_logic_vector(31 downto 0); Mux3: out std_logic_vector(1 downto 0); Mux2: out std_logic_vector(1 downto 0); Mux1: out std_logic_vector(1 downto 0); Mux0: out std_logic_vector(1 downto 0); MuxF: out std_logic_vector(1 downto 0) ); end dec_to_fir_mux; architecture V1 of dec_to_fir_mux is begin -- Persistent signal mappings p_converter: process(DecRate) begin case to_integer(unsigned(DecRate)) is when 5 => Mux0 <= "00"; Mux1 <= "00"; Mux2 <= "00"; Mux3 <= "00"; MuxF <= "00"; when 25 => Mux0 <= "00"; Mux1 <= "00"; Mux2 <= "01"; Mux3 <= "00"; MuxF <= "00"; when 125 => Mux0 <= "00"; Mux1 <= "00"; Mux2 <= "00"; Mux3 <= "01"; MuxF <= "01"; when 625 => Mux0 <= "00"; Mux1 <= "00"; Mux2 <= "01"; Mux3 <= "01"; MuxF <= "01"; when 1250 => Mux0 <= "01"; Mux1 <= "10"; Mux2 <= "00"; Mux3 <= "10"; MuxF <= "01"; when 2500 => Mux0 <= "01"; Mux1 <= "01"; Mux2 <= "00"; Mux3 <= "10"; MuxF <= "01"; when others => Mux0 <= "00"; Mux1 <= "00"; Mux2 <= "00"; Mux3 <= "00"; MuxF <= "00"; end case; end process; end V1;
mit
1ad0f4b1c6116bd0f9d32b1d6408461d
0.364481
3.927039
false
false
false
false
viniciussmello/SistemasDigitais
Trabalho 2/Principal/MaquinaDeDividir.vhd
1
3,063
--Bibliotecas Utilizadas library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.numeric_std.all; --Declaração de entidade entity MaquinaDeDividir is GENERIC ( n : NATURAL := 7 --Indica número de bits [0 --> 7] ); port ( clock: in std_logic; --Sinal de clock da Spartan3E dividendo: in std_logic_vector(n downto 0); --Operador divisor: in std_logic_vector(n downto 0); --Operador resto: out std_logic_vector(n downto 0); --Resultado quociente: out std_logic_vector(n downto 0); --Resultado enable: in std_logic; --Habilita o funcionamento do módulo finish: out std_logic; reset: in std_logic -- codigoErro: out integer --Flag de erro ); end MaquinaDeDividir; --Arquitetura da entidade BlocoDivisor architecture ArcMaquinaDeDividir of MaquinaDeDividir is begin --Processo no qual a operação de divisão é realizada Operacao : process (Dividendo, Divisor, clock) --Declaração de variáveis variable inicio: natural:= 0; --Marca o estágio da operação de divisão variable numDiv: std_logic_vector(n downto 0); variable UM : std_logic_vector(n downto 0) ; variable Pquociente : std_logic_vector(n downto 0); --Resultado interno ao processo variable Pdivisor : std_logic_vector(n downto 0); --Operador interno ao processo variable Pdividendo : std_logic_vector(n downto 0); --Operador interno ao processo variable Presto : std_logic_vector(n downto 0); --Resultado interno ao processo variable numeroDividendo : std_logic_vector(n downto 0); --Vetor auxiliar variable numeroQuociente: std_logic_vector(n downto 0); variable t: std_logic_vector(n downto 0); variable i: integer := n; variable finishAuxiliar : std_logic := '0'; begin if (rising_edge(clock) and enable = '1') then if (inicio = 0) then finishAuxiliar := '0'; Pdividendo := Dividendo; Pdivisor := Divisor; Pquociente := "00000000"; UM := "00000001"; --Variável que armazena o valor 1, em binário inicio := 1; elsif (inicio = 1) then if (Pdivisor = "00000000") then Resto <= "00000000"; Quociente <= "00000000"; inicio := 2; else varredorNumero: for i in n downto 0 loop numeroDividendo := to_stdlogicvector(to_bitvector(pDividendo) srl i); if (unsigned(numeroDividendo) >= unsigned(pDivisor))then numeroQuociente := to_stdlogicvector(to_bitvector(um) sll i); pQuociente := std_logic_vector(unsigned(pQuociente) + unsigned(numeroQuociente)); numDiv := to_stdlogicvector(to_bitvector(pDivisor) sll i); t := pDividendo; pDividendo := std_logic_vector(unsigned(t) - unsigned(numDiv)); end if; end loop varredorNumero; Resto <= pDividendo; Quociente <= pQuociente; inicio := 3; end if; end if; if inicio = 3 then finishAuxiliar := '1'; if (reset='1') then inicio:=0; end if; else finishAuxiliar := '0'; end if; finish <= finishAuxiliar; end if; end process Operacao; end ArcMaquinaDeDividir;
gpl-3.0
5c3fd07d0d535cfb4dc5817ab43fcbe3
0.672029
3.296537
false
false
false
false
stefanct/aua
hw/reg/src/reg.vhd
1
1,455
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.aua_types.all; entity reg is port ( clk : in std_logic; reset : in std_logic; async_rega : in reg_t; async_regb : in reg_t; rega : in reg_t; regb : in reg_t; async_regr : in reg_t; async_valr : in word_t; vala : out word_t; valb : out word_t ); end reg; architecture rtl of reg is component ram is port ( clock : in std_logic; data : in word_t; rdaddress : in reg_t; wraddress : in reg_t; wren : in std_logic; q : out word_t ); end component; signal vala_ram : word_t; signal valb_ram : word_t; signal regr : reg_t; signal valr : word_t; begin cmp_ram_a: ram port map(clk, async_valr, async_rega, async_regr, '1', vala_ram); cmp_ram_b: ram port map(clk, async_valr, async_regb, async_regr, '1', valb_ram); process(rega, regb, regr, valr, vala_ram, valb_ram) begin if(rega = regr) then vala <= valr; else vala <= vala_ram; end if; if(regb = regr) then valb <= valr; else valb <= valb_ram; end if; end process; sync: process (clk, reset) begin if reset = '1' then valr <= (others => '0'); regr <= (others => '0'); elsif rising_edge(clk) then valr <= async_valr; regr <= async_regr; end if; end process; end rtl;
gpl-3.0
01fbef3ae8971321afdc48cf2faf4933
0.549141
2.694444
false
false
false
false
viniciussmello/SistemasDigitais
Trabalho 2/ConversorBCD/bcd_converter.vhd
1
3,280
LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY bcd_converter IS PORT ( in_binary : IN std_logic_vector(31 DOWNTO 0); digit_0 : OUT std_logic_vector(3 DOWNTO 0); digit_1 : OUT std_logic_vector(3 DOWNTO 0); digit_2 : OUT std_logic_vector(3 DOWNTO 0); digit_3 : OUT std_logic_vector(3 DOWNTO 0); digit_4 : OUT std_logic_vector(3 DOWNTO 0); digit_5 : OUT std_logic_vector(3 DOWNTO 0); digit_6 : OUT std_logic_vector(3 DOWNTO 0); digit_7 : OUT std_logic_vector(3 DOWNTO 0); digit_8 : OUT std_logic_vector(3 DOWNTO 0); digit_9 : OUT std_logic_vector(3 DOWNTO 0) ); END ENTITY bcd_converter; ----------------------------------- ARCHITECTURE a OF bcd_converter IS BEGIN PROCESS (in_binary) VARIABLE s_digit_0 : unsigned(3 DOWNTO 0); VARIABLE s_digit_1 : unsigned(3 DOWNTO 0); VARIABLE s_digit_2 : unsigned(3 DOWNTO 0); VARIABLE s_digit_3 : unsigned(3 DOWNTO 0); VARIABLE s_digit_4 : unsigned(3 DOWNTO 0); VARIABLE s_digit_5 : unsigned(3 DOWNTO 0); VARIABLE s_digit_6 : unsigned(3 DOWNTO 0); VARIABLE s_digit_7 : unsigned(3 DOWNTO 0); VARIABLE s_digit_8 : unsigned(3 DOWNTO 0); VARIABLE s_digit_9 : unsigned(3 DOWNTO 0); BEGIN s_digit_9 := "0000"; s_digit_8 := "0000"; s_digit_7 := "0000"; s_digit_6 := "0000"; s_digit_5 := "0000"; s_digit_4 := "0000"; s_digit_3 := "0000"; s_digit_2 := "0000"; s_digit_1 := "0000"; s_digit_0 := "0000"; FOR i IN 31 DOWNTO 0 LOOP IF (s_digit_9 >= 5) THEN s_digit_9 := s_digit_9 + 3; END IF; IF (s_digit_8 >= 5) THEN s_digit_8 := s_digit_8 + 3; END IF; IF (s_digit_7 >= 5) THEN s_digit_7 := s_digit_7 + 3; END IF; IF (s_digit_6 >= 5) THEN s_digit_6 := s_digit_6 + 3; END IF; IF (s_digit_5 >= 5) THEN s_digit_5 := s_digit_5 + 3; END IF; IF (s_digit_4 >= 5) THEN s_digit_4 := s_digit_4 + 3; END IF; IF (s_digit_3 >= 5) THEN s_digit_3 := s_digit_3 + 3; END IF; IF (s_digit_2 >= 5) THEN s_digit_2 := s_digit_2 + 3; END IF; IF (s_digit_1 >= 5) THEN s_digit_1 := s_digit_1 + 3; END IF; IF (s_digit_0 >= 5) THEN s_digit_0 := s_digit_0 + 3; END IF; s_digit_9 := s_digit_9 SLL 1; s_digit_9(0) := s_digit_8(3); s_digit_8 := s_digit_8 SLL 1; s_digit_8(0) := s_digit_7(3); s_digit_7 := s_digit_7 SLL 1; s_digit_7(0) := s_digit_6(3); s_digit_6 := s_digit_6 SLL 1; s_digit_6(0) := s_digit_5(3); s_digit_5 := s_digit_5 SLL 1; s_digit_5(0) := s_digit_4(3); s_digit_4 := s_digit_4 SLL 1; s_digit_4(0) := s_digit_3(3); s_digit_3 := s_digit_3 SLL 1; s_digit_3(0) := s_digit_2(3); s_digit_2 := s_digit_2 SLL 1; s_digit_2(0) := s_digit_1(3); s_digit_1 := s_digit_1 SLL 1; s_digit_1(0) := s_digit_0(3); s_digit_0 := s_digit_0 SLL 1; s_digit_0(0) := in_binary(i); END LOOP; digit_0 <= std_logic_vector(s_digit_0); digit_1 <= std_logic_vector(s_digit_1); digit_2 <= std_logic_vector(s_digit_2); digit_3 <= std_logic_vector(s_digit_3); digit_4 <= std_logic_vector(s_digit_4); digit_5 <= std_logic_vector(s_digit_5); digit_6 <= std_logic_vector(s_digit_6); digit_7 <= std_logic_vector(s_digit_7); digit_8 <= std_logic_vector(s_digit_8); digit_9 <= std_logic_vector(s_digit_9); END PROCESS; END ARCHITECTURE a;
gpl-3.0
1774544ad0fee2f4b2499ec326fa9631
0.584756
2.327892
false
false
false
false
viniciussmello/SistemasDigitais
Trabalho 2/Multiplicador/mult_BCD_comb.vhd
1
2,719
------------------------------------------------------------------ -- BCD multiplier N by M digits -- Fully combiational -- Simple version ------------------------------------------------------------------ library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; --use work.my_package.all; --library UNISIM; --use UNISIM.VComponents.all; entity mult_BCD_comb is Generic (NDigit : natural:=5; MDigit : natural:=5); Port ( a : in STD_LOGIC_VECTOR (NDigit*4-1 downto 0); b : in STD_LOGIC_VECTOR (MDigit*4-1 downto 0); p : out STD_LOGIC_VECTOR ((NDigit+MDigit)*4-1 downto 0)); end mult_BCD_comb; architecture Behavioral of mult_BCD_comb is function log2sup (num: natural) return natural is variable i,pw: natural; begin i := 0; pw := 1; while(pw < num) loop i := i+1; pw := pw*2; end loop; return i; end log2sup; component mult_Nx1_BCD is Generic (NDigit : integer); Port ( a : in STD_LOGIC_VECTOR (NDigit*4-1 downto 0); b : in STD_LOGIC_VECTOR (3 downto 0); p : out STD_LOGIC_VECTOR ((NDigit+1)*4-1 downto 0)); end component; component cych_adder_BCD_v2 is Generic (NDigit : integer); Port ( a, b : in STD_LOGIC_VECTOR (NDigit*4-1 downto 0); cin : in STD_LOGIC; cout : out STD_LOGIC; s : out STD_LOGIC_VECTOR (NDigit*4-1 downto 0)); end component; --constant logM natural := log2sup(MDigit); type partialSum is array (2*MDigit-2 downto 0) of STD_LOGIC_VECTOR ((NDigit+MDigit)*4-1 downto 0); signal pp,pps: partialSum; begin GenM: for i in 0 to (MDigit-1) generate --Multiply one by N mlt: mult_Nx1_BCD generic map (NDIGIT => NDigit) PORT MAP ( a => a, b => b((i+1)*4-1 downto i*4), p => pp(i)((NDigit+1)*4-1 downto 0) ); end generate; GenOps: for i in 0 to log2sup(MDigit)-1 generate --Tree of adders G_P: for j in ((2**i-1)*2**(log2sup(MDigit)-i)) to (((2**i-1)*2**(log2sup(MDigit)-i)) + 2**(log2sup(MDigit)-i-1) -1) generate pps(2*j)((NDigit+MDigit)*4-1 downto (NDigit)*4) <= (others => '0'); pps(2*j)((NDigit)*4-1 downto 0) <= pp(2*j)((NDigit+2**i)*4-1 downto (2**i)*4); adder: cych_adder_BCD_v2 generic map (NDIGIT => NDigit+2**i) PORT MAP( a => pps(2*j)((NDigit+2**i)*4-1 downto 0), b => pp(2*j+1)((NDigit+2**i)*4-1 downto 0), cin => '0', cout => open, s => pp(MDIGIT+j)((NDigit+2**i+2**i)*4-1 downto ((2**i)*4))); pp(MDIGIT+j)((2**i)*4-1 downto 0) <= pp(2*j)((2**i)*4-1 downto 0); end generate; end generate; p((NDigit+MDigit)*4-1 downto 0) <= pp(MDigit*2-2); end Behavioral;
gpl-3.0
828cfde7006384973ef759099e604c30
0.5605
3.037989
false
false
false
false
MiddleMan5/233
Experiments/Experiment6-ALU/RTL/ALU.vhd
1
2,734
---------------------------------------------------------------------------------- -- Company: PolySat -- Engineer: Quinn Mikelson -- -- Create Date: 10/02/2017 - 9:25AM -- Design Name: RAT CPU -- Module Name: ALU - Behavioral -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity alu is Generic ( data_width : integer := 8; sel_width : integer := 4); Port ( A : in STD_LOGIC_VECTOR (data_width-1 downto 0); B : in STD_LOGIC_VECTOR (data_width-1 downto 0); C_IN : in STD_LOGIC; Sel : in STD_LOGIC_VECTOR (sel_width-1 downto 0); SUM : out STD_LOGIC_VECTOR (data_width-1 downto 0); C_FLAG : out STD_LOGIC; Z_FLAG : out STD_LOGIC); end alu; architecture Behavioral of alu is signal temp_s : STD_LOGIC_VECTOR (8 downto 0); begin process (A, B, C_IN, SEL) begin case SEL is when "0000" => temp_s <= ('0' & A) + B; -- add when "0001" => temp_s <= ('0' & A) + B + C_IN; -- addc when "0010" => temp_s <= ('0' & A) - B; -- sub when "0011" => temp_s <= ('0' & A) - B - C_IN; -- subc when "0100" => temp_s <= ('0' & A) - B; -- cmp when "0101" => temp_s <= ('0' & A) and ('0' & B); -- and when "0110" => temp_s <= ('0' & A) or ('0' & B); -- or when "0111" => temp_s <= ('0' & A) xor ('0' & B); -- exor when "1000" => temp_s <= ('0' & A) and ('0' & B); -- test when "1001" => temp_s <= A & C_IN; -- lsl when "1010" => temp_s <= A(0) & C_IN & A (7 downto 1); -- lsr when "1011" => temp_s <= A(7 downto 0) & A(7); -- rol when "1100" => temp_s <= A(0) & A(0) & A(7 downto 1); -- ror when "1101" => temp_s <= A(0) & A(7) & A(7 downto 1); -- asr when "1110" => temp_s <= '0' & B; -- mov when "1111" => temp_s <= "000000000"; -- unused when others => temp_s <= "000000000"; end case; end process; -- account for overflow during subtraction SUM <= not(temp_s (data_width-1 downto 0)) + 1 when ((SEL = "0010" or SEL = "0011") and temp_s(data_width) = '1') else temp_s(data_width-1 downto 0); Z_FLAG <= '1' when (temp_s = "000000000" or temp_s = "100000000") else '0'; C_FLAG <= temp_s(8); end Behavioral;
mit
e9a4ca26a9369b3b9ee1ef4e1ece4486
0.424287
3.358722
false
false
false
false
David-Estevez/spaceinvaders
src/SpaceInv.vhd
1
15,861
---------------------------------------------------------------------------------- -- -- Lab session #2: Space Invaders -- ---------------------------------------------------------------------------------- -- Top level entity for the Space Invaders vga controller -- Authors: David Estevez Fernandez -- Sergio Vilches Exposito ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity SpaceInv is Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; Test: in STD_LOGIC; left1, right1, start1, shoot1: in STD_LOGIC; left2, right2, start2, shoot2: in STD_LOGIC; LED0, LED1, LED2, LED3, LED4, LED5, LED6, LED7: out STD_LOGIC; HSync : out STD_LOGIC; VSync : out STD_LOGIC; R,G,B : out STD_LOGIC; speaker : out STD_LOGIC ); end SpaceInv; architecture Behavioral of SpaceInv is -- Component declaration for vga controller: COMPONENT vga PORT( clk : IN std_logic; reset : IN std_logic; RGB : IN std_logic_vector(2 downto 0); HSync : OUT std_logic; VSync : OUT std_logic; R : OUT std_logic; G : OUT std_logic; B : OUT std_logic; X : OUT std_logic_vector(9 downto 0); Y : OUT std_logic_vector(9 downto 0) ); END COMPONENT; -- Compoment declaration for screen format: COMPONENT screenFormat PORT ( VGAx : in std_logic_vector (9 downto 0); VGAy : in std_logic_vector (9 downto 0); test : in std_logic; invArray: in std_logic_vector (39 downto 0); invLine : in std_logic_vector (3 downto 0); shipX1 : in std_logic_vector (4 downto 0); bullX1 : in std_logic_vector (4 downto 0); bullY1 : in std_logic_vector (3 downto 0); bulletFlying1: in std_logic; player2shown : in std_logic; shipX2 : in std_logic_vector (4 downto 0); bullX2 : in std_logic_vector (4 downto 0); bullY2 : in std_logic_vector (3 downto 0); bulletFlying2: in std_logic; specialScreen: in std_logic_vector( 2 downto 0); p1Score: in std_logic_vector(7 downto 0); p2Score: in std_logic_vector(7 downto 0); rgb : out std_logic_vector(2 downto 0) ); END COMPONENT; component invaders is port ( clk : in std_logic; reset : in std_logic; clear : in std_logic; start : in std_logic; bullX1 : in std_logic_vector(4 downto 0); bullY1 : in std_logic_vector(3 downto 0); hit1 : out std_logic; bullX2 : in std_logic_vector(4 downto 0); bullY2 : in std_logic_vector(3 downto 0); hit2 : out std_logic; invArray : inout std_logic_vector(39 downto 0); invLine : inout std_logic_vector(3 downto 0); level : in std_logic_vector( 2 downto 0 ) ); end component; -- Declaration of component player component player is port ( -- User controls Right : in STD_LOGIC; Left : in STD_LOGIC; Start : in STD_LOGIC; Shoot : in STD_LOGIC; -- Control signals clk : in STD_LOGIC; Reset : in STD_LOGIC; Clear : in STD_LOGIC; ScoreClear : in STD_LOGIC; Enable : in STD_LOGIC; -- Internal signals hit : in STD_LOGIC; posShip : out STD_LOGIC_VECTOR (4 downto 0); startPulse : out STD_LOGIC; BulletX : out STD_LOGIC_VECTOR (4 downto 0); BulletY : out STD_LOGIC_VECTOR (3 downto 0); BulletActive : out STD_LOGIC; Score : out STD_LOGIC_VECTOR (7 downto 0) ); end component; component toneGenerator is port (clk : in std_logic; reset : in std_logic; p1_posShip : in std_logic; p2_posShip : in std_logic; p1_BulletActive : in std_logic; p2_BulletActive : in std_logic; inv_Hit1 : in std_logic; inv_Hit2 : in std_logic; specialScreen : in std_logic_vector( 2 downto 0); toneOutput : out std_logic ); end component; -- Clear lines: ---------------------------------------------------- signal player1Clear: STD_LOGIC; signal p1ScoreClear: STD_LOGIC; signal player2Clear: STD_LOGIC; signal p2ScoreClear: STD_LOGIC; signal invadersClear: STD_LOGIC; -- Inputs to ScreenFormat ---------------------------------------------------- signal RGB: STD_LOGIC_VECTOR (2 downto 0); signal X, Y: STD_LOGIC_VECTOR (9 downto 0); signal specialScreen: STD_LOGIC_VECTOR( 2 downto 0); signal testEnable: STD_LOGIC; signal invArray: std_logic_vector (39 downto 0); signal invLine : std_logic_vector (3 downto 0); -- Invaders trigger: ---------------------------------------------------- signal invadersStart: STD_LOGIC; -- Player 1 signals: ---------------------------------------------------- -- User control signals signal p1right: std_logic; signal p1left: std_logic; signal p1start: std_logic; signal p1shoot: std_logic; signal p1enable: std_logic; -- Internal signals signal p1startPulse: std_logic; signal p1posH : std_logic_vector (4 downto 0); signal p1hit : std_logic; signal p1bullX : std_logic_vector (4 downto 0); signal p1bullY : std_logic_vector (3 downto 0); signal p1bulletFlying : std_logic; signal p1Score: std_logic_vector (7 downto 0); -- Player 2 signals --------------------------------------------------- -- User control signals signal p2right: std_logic; signal p2left: std_logic; signal p2start: std_logic; signal p2shoot: std_logic; signal p2enable: std_logic; -- Internal signals signal p2startPulse: std_logic; signal p2posH : std_logic_vector (4 downto 0); signal p2hit : std_logic; signal p2bullX : std_logic_vector (4 downto 0); signal p2bullY : std_logic_vector (3 downto 0); signal p2bulletFlying : std_logic; signal p2Score: std_logic_vector (7 downto 0); -- State machine things: ------------------------------------------------------ type State is ( testState, Start, Playing, YouWin, YouLose, WinGame ); signal currentState, nextState: State; -- Level control: ------------------------------------------------------ signal level: std_logic_vector( 2 downto 0 ); signal levelClear: std_logic; signal levelUp: std_logic; begin vgaController: vga PORT MAP( clk => clk, reset => reset, RGB => RGB, HSync => HSync, VSync => VSync, R => R, G => G, B => B, X => X, Y => Y ); framebuffer: screenFormat PORT MAP( VGAx => X, VGAy => Y, test => testEnable, invArray => invArray, invLine => invLine, shipX1 => p1posH, bullX1 => p1bullX, bullY1 => p1bullY, bulletFlying1 => p1bulletFlying, player2shown => p2Enable, shipX2 => p2posH, bullX2 => p2bullX, bullY2 => p2bullY, bulletFlying2 => p2bulletFlying, specialScreen => specialScreen, p1Score => p1Score, p2Score => p2Score, rgb => rgb ); badGuys: invaders PORT MAP( clk => clk, reset => Reset, Clear => invadersClear, start => invadersStart, bullX1 => p1bullX, bullY1 => p1bullY, hit1 => p1hit, bullX2 => p2bullX, bullY2 => p2bullY, hit2 => p2hit, invArray => invArray, invLine => invLine, level => level ); player1: player PORT MAP ( Right => p1right, Left => p1left, Start => p1start, Shoot => p1shoot, clk => clk, Reset => reset, Clear => player1Clear, ScoreClear => p1ScoreClear, Enable => p1Enable, hit => p1hit, posShip => p1posH, startPulse => p1startPulse, BulletX => p1bullX, BulletY => p1bullY, BulletActive => p1bulletFlying, Score => p1Score ); player2: player PORT MAP ( Right => p2right, Left => p2left, Start => p2start, Shoot => p2shoot, clk => clk, Reset => reset, Clear => player2Clear, ScoreClear => p2ScoreClear, Enable => p2Enable, hit => p2hit, posShip => p2posH, startPulse => p2startPulse, BulletX => p2bullX, BulletY => p2bullY, BulletActive => p2bulletFlying, Score => p2Score ); soundCard: toneGenerator port map ( clk => clk, reset => reset, p1_posShip => p1posH(0), p2_posShip => p2posH(0), p1_BulletActive => p1bulletFlying, p2_BulletActive => p2bulletFlying, inv_Hit1 => p1hit, inv_Hit2 => p2hit, specialScreen => specialScreen, toneOutput => speaker ); -- Linking external I/O lines with players: p1right <= right1; p1left <= left1; p1start <= start1; p1shoot <= shoot1; p2right <= right2; p2left <= left2; p2start <= start2; p2shoot <= shoot2; -- Process for changing states: process( clk, reset) begin -- Reset if reset = '1' then currentState <= Start; -- Update State elsif clk'Event and clk = '1' then currentState <= nextState; end if; end process; -- Process for modelling the transitions / outputs -- of the state machine process( currentState, Test, invArray, invLine, p1startPulse, p2startPulse, level) begin nextState <= currentState; case currentState is when testState=> -- Show checkerboard pattern -- Set outputs: ----------------------------- -- Special signals testEnable <= '1'; specialScreen <= "000"; -- Invaders signals invadersClear <= '1'; invadersStart <= '0'; -- Player signals p1Enable <= '0'; player1Clear <= '1'; player2Clear <= '1'; -- Level control levelUp <= '0'; -- Next state: if ( Test = '0' ) then nextState <= Start; else nextState <= currentState; end if; when Start => -- Wait for user to start the game -- Set outputs: ----------------------------- -- Special signals testEnable <= '0'; specialScreen <= "000"; -- Invaders signals invadersClear <= '1'; invadersStart <= '0'; -- Player signals p1Enable <= '0'; player1Clear <= '1'; player2Clear <= '1'; -- Level control levelUp <= '0'; -- Next state: if ( Test = '1' ) then nextState <= testState; elsif ( p1startPulse = '1' ) then nextState <= Playing; else nextState <= currentState; end if; when Playing => -- Playing the game -- Set outputs: ----------------------------- -- Special signals testEnable <= '0'; specialScreen <= "000"; -- Invaders signals invadersClear <= '0'; invadersStart <= '1'; -- Player signals p1Enable <= '1'; player1Clear <= '0'; player2Clear <= '0'; -- Level control levelUp <= '0'; -- Next state: if ( Test = '1' ) then nextState <= testState; elsif ( invArray = "0000000000000000000000000000000000000000" ) then nextState <= YouWin; elsif ( invLine = "1110" ) then nextState <= YouLose; else nextState <= currentState; end if; when YouWin => -- Winning screen -- Set outputs: ----------------------------- -- Special signals testEnable <= '0'; specialScreen <= "001"; -- Invaders signals invadersClear <= '1'; invadersStart <= '0'; -- Player signals p1Enable <= '0'; player1Clear <= '0'; player2Clear <= '0'; -- Level control levelUp <= '1'; -- Next state: if ( Test = '1' ) then nextState <= testState; elsif ( (p1startPulse = '1') or (p2startPulse = '1')) and (level = "000" ) then nextState <= WinGame; elsif ( p1startPulse = '1') or (p2startPulse = '1') then nextState <= Start; else nextState <= currentState; end if; when YouLose => -- Losing screen -- Set outputs: ----------------------------- -- Special signals testEnable <= '0'; specialScreen <= "010"; -- Invaders signals invadersClear <= '1'; invadersStart <= '0'; -- Player signals p1Enable <= '0'; player1Clear <= '0'; player2Clear <= '0'; -- Level control levelUp <= '0'; -- Next state: if ( Test = '1' ) then nextState <= testState; elsif ( p1startPulse = '1') or (p2startPulse = '1') then nextState <= Start; else nextState <= currentState; end if; when WinGame => -- Win game screen -- Set outputs: ----------------------------- -- Special signals testEnable <= '0'; specialScreen <= "011"; -- Invaders signals invadersClear <= '1'; invadersStart <= '0'; -- Player signals p1Enable <= '0'; player1Clear <= '0'; player2Clear <= '0'; -- Level control levelUp <= '0'; -- Next state: if ( Test = '1' ) then nextState <= testState; elsif ( p1startPulse = '1') or (p2startPulse = '1') then nextState <= Start; else nextState <= currentState; end if; end case; end process; -- Score and level clear control: process( clk ) begin if clk'event and clk = '1' then if ((nextState = Start) and ( currentState = YouLose or currentState = WinGame)) or currentState = testState then p1ScoreClear <= '1'; p2ScoreClear <= '1'; levelClear <= '1'; else p1ScoreClear <= '0'; p2ScoreClear <= '0'; levelClear <= '0'; end if; end if; end process; -- Latch for the player 2 enable signal process( clk, Reset) begin if Reset = '1' then p2Enable <= '0'; elsif clk'event and clk = '1' then -- Player 2 enable: ------------------------------------------------------------------------------------------------------- -- Enable player 2 if p2start is pressed on start screen if ( currentState = Start ) and (p2startPulse = '1') then p2Enable <= '1'; -- Disable player 2 when game is lost elsif ( currentState = YouLose or currentState = WinGame ) and (p1startPulse = '1' or p2startPulse = '1') then p2Enable <= '0'; end if; end if; end process; -- Process controlling the level process( clk, Reset) variable intLevel : integer range 0 to 7; variable previousLevelUp: std_logic; begin -- Counter for the level (counts edges) -- Reset if Reset = '1' then intLevel := 0; previousLevelUp := '0'; elsif clk'event and clk = '1' then -- Clear if levelClear = '1' then intLevel := 0; -- Up counter elsif levelUp = '1' and previousLevelUp = '0' then if intLevel = 7 then intlevel := 0; else intLevel := intLevel + 1; end if; end if; -- Store the last value previousLevelUp := levelUp; end if; level <= std_logic_vector( to_unsigned( intLevel, 3)); end process; -- Show score on the leds: LED0 <= '1' when level = "000" else '0'; LED1 <= '1' when level = "001" else '0'; LED2 <= '1' when level = "010" else '0'; LED3 <= '1' when level = "011" else '0'; LED4 <= '1' when level = "100" else '0'; LED5 <= '1' when level = "101" else '0'; LED6 <= '1' when level = "110" else '0'; LED7 <= '1' when level = "111" else '0'; end Behavioral;
gpl-3.0
b766a2050bee7c34bc6338e4046c64ba
0.531934
3.495152
false
false
false
false
David-Estevez/spaceinvaders
src/player.vhd
1
4,316
---------------------------------------------------------------------------------- -- -- Lab session #4: Player -- -- Block with everything needed for a player -- -- -- Authors: -- David Estévez Fernández -- Sergio Vilches Expósito -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity player is Port ( -- User controls Right : in STD_LOGIC; Left : in STD_LOGIC; Start : in STD_LOGIC; Shoot : in STD_LOGIC; -- Control signals clk : in STD_LOGIC; Reset : in STD_LOGIC; Clear : in STD_LOGIC; ScoreClear : in STD_LOGIC; Enable: in STD_LOGIC; -- Internal signals hit : in STD_LOGIC; posShip : out STD_LOGIC_VECTOR (4 downto 0); startPulse : out STD_LOGIC; BulletX : out STD_LOGIC_VECTOR (4 downto 0); BulletY : out STD_LOGIC_VECTOR (3 downto 0); BulletActive : out STD_LOGIC; Score : out STD_LOGIC_VECTOR (7 downto 0)); end player; architecture Structural of player is -- Component declaration for player spaceship control block: COMPONENT spaceship PORT ( clk : in STD_LOGIC; reset : in STD_LOGIC; clear: in STD_LOGIC; left : in STD_LOGIC; right : in STD_LOGIC; enable : in STD_LOGIC; posH : out STD_LOGIC_VECTOR (4 downto 0) ); END COMPONENT; -- Component declaration for button edge detector (without debouncing ) COMPONENT edgeDetector PORT ( clk: in STD_LOGIC; reset: in STD_LOGIC; clear: in STD_LOGIC; enable: in STD_LOGIC; input: in STD_LOGIC; detected: out STD_LOGIC ); END COMPONENT; -- Component declaration for button edge detector (with/ debouncing ) COMPONENT edgeDetectorDebounce PORT ( clk: in STD_LOGIC; reset: in STD_LOGIC; clear: in STD_LOGIC; enable: in STD_LOGIC; input: in STD_LOGIC; detected: out STD_LOGIC ); END COMPONENT; -- Component declaration for bullet shooting control COMPONENT bullet PORT ( clk : in std_logic; reset : in std_logic; clear : in std_logic; enable : in std_logic; hit : in std_logic; shoot : in std_logic; posH : in std_logic_vector(4 downto 0); flying : out std_logic; bullX : out std_logic_vector(4 downto 0); bullY : out std_logic_vector(3 downto 0) ); END COMPONENT; -- Signals to connect things internally signal leftDetected: std_logic; signal rightDetected: std_logic; signal posHBus: std_logic_vector( 4 downto 0); begin spaceshipControl: spaceship PORT MAP( clk => clk, reset => Reset, clear => clear, left => leftDetected, right => rightDetected, enable => enable, posH => posHBus ); leftEdgeDetector: edgeDetectorDebounce PORT MAP( clk => clk, reset => Reset, clear => clear, enable => enable, input => Left, detected => leftDetected ); rightEdgeDetector: edgeDetectorDebounce PORT MAP( clk => clk, reset => Reset, clear => clear, enable => enable, input => Right, detected => rightDetected ); startEdgeDetector: edgeDetectorDebounce PORT MAP( clk => clk, reset => Reset, clear => '0', enable => '1', input => Start, detected => startPulse ); laserGun: bullet PORT MAP( clk => clk, reset => Reset, clear => clear, enable => enable, hit => hit, shoot => Shoot, posH => posHBus, flying => BulletActive, bullX => BulletX, bullY => BulletY ); posShip <= posHBus; process( clk, reset ) variable intScore: integer range 0 to 255; begin if Reset = '1' then intScore := 0; elsif clk'event and clk = '1' then -- Erase score if ScoreClear = '1' then intScore := 0; -- Increase score when alien is hit elsif hit = '1' then intScore := intScore + 1; end if; end if; score <= std_logic_vector( to_unsigned( intScore, 8)); end process; end Structural;
gpl-3.0
fc6d3ee0842fa97422ec1509938e93c8
0.554834
3.576285
false
false
false
false
BBN-Q/VHDL-Components
src/Synchronizer.vhd
1
1,486
-- 1 bit synchronizer based of n flip-flops for clock-domain crossings. -- drive constant `data_in` to use as a reset synchronizer -- -- Original author: Colm Ryan -- -- Copyright (c) 2016 Raytheon BBN Technologies library ieee; use ieee.std_logic_1164.all; entity synchronizer is generic ( RESET_VALUE : std_logic := '0'; -- reset value of all flip-flops in the chain NUM_FLIP_FLOPS : natural := 2 -- number of flip-flops in the synchronizer chain ); port( rst : in std_logic; -- asynchronous, high-active clk : in std_logic; -- destination clock data_in : in std_logic; data_out : out std_logic ); end synchronizer; architecture arch of synchronizer is --synchronizer chain of flip-flops signal sync_chain : std_logic_vector(NUM_FLIP_FLOPS-1 downto 0) := (others => RESET_VALUE); -- Xilinx XST: disable shift-register LUT (SRL) extraction attribute shreg_extract : string; attribute shreg_extract of sync_chain : signal is "no"; -- Vivado: set ASYNC_REG to specify registers receive asynchronous data -- also acts as DONT_TOUCH attribute ASYNC_REG : string; attribute ASYNC_REG of sync_chain : signal is "TRUE"; begin main : process(clk, rst) begin if rst = '1' then sync_chain <= (others => RESET_VALUE); elsif rising_edge(clk) then sync_chain <= sync_chain(sync_chain'high-1 downto 0) & data_in; end if; end process; data_out <= sync_chain(sync_chain'high); end architecture;
mpl-2.0
446141f44a5172b5573229918e52c752
0.683042
3.687345
false
false
false
false
alpenwasser/pitaya
firmware/fpga/p_FIR_sim/FIR_sim/FIR_sim.srcs/sources_1/bd/design_1/ip/design_1_FIR_resized4_0/sim/design_1_FIR_resized4_0.vhd
2
10,444
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:fir_compiler:7.2 -- IP Revision: 6 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY fir_compiler_v7_2_6; USE fir_compiler_v7_2_6.fir_compiler_v7_2_6; ENTITY design_1_FIR_resized4_0 IS PORT ( aclk : IN STD_LOGIC; s_axis_data_tvalid : IN STD_LOGIC; s_axis_data_tready : OUT STD_LOGIC; s_axis_data_tdata : IN STD_LOGIC_VECTOR(23 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END design_1_FIR_resized4_0; ARCHITECTURE design_1_FIR_resized4_0_arch OF design_1_FIR_resized4_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF design_1_FIR_resized4_0_arch: ARCHITECTURE IS "yes"; COMPONENT fir_compiler_v7_2_6 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_ELABORATION_DIR : STRING; C_COMPONENT_NAME : STRING; C_COEF_FILE : STRING; C_COEF_FILE_LINES : INTEGER; C_FILTER_TYPE : INTEGER; C_INTERP_RATE : INTEGER; C_DECIM_RATE : INTEGER; C_ZERO_PACKING_FACTOR : INTEGER; C_SYMMETRY : INTEGER; C_NUM_FILTS : INTEGER; C_NUM_TAPS : INTEGER; C_NUM_CHANNELS : INTEGER; C_CHANNEL_PATTERN : STRING; C_ROUND_MODE : INTEGER; C_COEF_RELOAD : INTEGER; C_NUM_RELOAD_SLOTS : INTEGER; C_COL_MODE : INTEGER; C_COL_PIPE_LEN : INTEGER; C_COL_CONFIG : STRING; C_OPTIMIZATION : INTEGER; C_DATA_PATH_WIDTHS : STRING; C_DATA_IP_PATH_WIDTHS : STRING; C_DATA_PX_PATH_WIDTHS : STRING; C_DATA_WIDTH : INTEGER; C_COEF_PATH_WIDTHS : STRING; C_COEF_WIDTH : INTEGER; C_DATA_PATH_SRC : STRING; C_COEF_PATH_SRC : STRING; C_PX_PATH_SRC : STRING; C_DATA_PATH_SIGN : STRING; C_COEF_PATH_SIGN : STRING; C_ACCUM_PATH_WIDTHS : STRING; C_OUTPUT_WIDTH : INTEGER; C_OUTPUT_PATH_WIDTHS : STRING; C_ACCUM_OP_PATH_WIDTHS : STRING; C_EXT_MULT_CNFG : STRING; C_DATA_PATH_PSAMP_SRC : STRING; C_OP_PATH_PSAMP_SRC : STRING; C_NUM_MADDS : INTEGER; C_OPT_MADDS : STRING; C_OVERSAMPLING_RATE : INTEGER; C_INPUT_RATE : INTEGER; C_OUTPUT_RATE : INTEGER; C_DATA_MEMTYPE : INTEGER; C_COEF_MEMTYPE : INTEGER; C_IPBUFF_MEMTYPE : INTEGER; C_OPBUFF_MEMTYPE : INTEGER; C_DATAPATH_MEMTYPE : INTEGER; C_MEM_ARRANGEMENT : INTEGER; C_DATA_MEM_PACKING : INTEGER; C_COEF_MEM_PACKING : INTEGER; C_FILTS_PACKED : INTEGER; C_LATENCY : INTEGER; C_HAS_ARESETn : INTEGER; C_HAS_ACLKEN : INTEGER; C_DATA_HAS_TLAST : INTEGER; C_S_DATA_HAS_FIFO : INTEGER; C_S_DATA_HAS_TUSER : INTEGER; C_S_DATA_TDATA_WIDTH : INTEGER; C_S_DATA_TUSER_WIDTH : INTEGER; C_M_DATA_HAS_TREADY : INTEGER; C_M_DATA_HAS_TUSER : INTEGER; C_M_DATA_TDATA_WIDTH : INTEGER; C_M_DATA_TUSER_WIDTH : INTEGER; C_HAS_CONFIG_CHANNEL : INTEGER; C_CONFIG_SYNC_MODE : INTEGER; C_CONFIG_PACKET_SIZE : INTEGER; C_CONFIG_TDATA_WIDTH : INTEGER; C_RELOAD_TDATA_WIDTH : INTEGER ); PORT ( aresetn : IN STD_LOGIC; aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_data_tvalid : IN STD_LOGIC; s_axis_data_tready : OUT STD_LOGIC; s_axis_data_tlast : IN STD_LOGIC; s_axis_data_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_data_tdata : IN STD_LOGIC_VECTOR(23 DOWNTO 0); s_axis_config_tvalid : IN STD_LOGIC; s_axis_config_tready : OUT STD_LOGIC; s_axis_config_tlast : IN STD_LOGIC; s_axis_config_tdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_reload_tvalid : IN STD_LOGIC; s_axis_reload_tready : OUT STD_LOGIC; s_axis_reload_tlast : IN STD_LOGIC; s_axis_reload_tdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tready : IN STD_LOGIC; m_axis_data_tlast : OUT STD_LOGIC; m_axis_data_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_data_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); event_s_data_tlast_missing : OUT STD_LOGIC; event_s_data_tlast_unexpected : OUT STD_LOGIC; event_s_data_chanid_incorrect : OUT STD_LOGIC; event_s_config_tlast_missing : OUT STD_LOGIC; event_s_config_tlast_unexpected : OUT STD_LOGIC; event_s_reload_tlast_missing : OUT STD_LOGIC; event_s_reload_tlast_unexpected : OUT STD_LOGIC ); END COMPONENT fir_compiler_v7_2_6; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TDATA"; BEGIN U0 : fir_compiler_v7_2_6 GENERIC MAP ( C_XDEVICEFAMILY => "zynq", C_ELABORATION_DIR => "./", C_COMPONENT_NAME => "design_1_FIR_resized4_0", C_COEF_FILE => "design_1_FIR_resized4_0.mif", C_COEF_FILE_LINES => 105, C_FILTER_TYPE => 1, C_INTERP_RATE => 1, C_DECIM_RATE => 5, C_ZERO_PACKING_FACTOR => 1, C_SYMMETRY => 1, C_NUM_FILTS => 1, C_NUM_TAPS => 204, C_NUM_CHANNELS => 1, C_CHANNEL_PATTERN => "fixed", C_ROUND_MODE => 1, C_COEF_RELOAD => 0, C_NUM_RELOAD_SLOTS => 1, C_COL_MODE => 1, C_COL_PIPE_LEN => 4, C_COL_CONFIG => "21", C_OPTIMIZATION => 0, C_DATA_PATH_WIDTHS => "24", C_DATA_IP_PATH_WIDTHS => "24", C_DATA_PX_PATH_WIDTHS => "24", C_DATA_WIDTH => 24, C_COEF_PATH_WIDTHS => "16", C_COEF_WIDTH => 16, C_DATA_PATH_SRC => "0", C_COEF_PATH_SRC => "0", C_PX_PATH_SRC => "0", C_DATA_PATH_SIGN => "0", C_COEF_PATH_SIGN => "0", C_ACCUM_PATH_WIDTHS => "43", C_OUTPUT_WIDTH => 32, C_OUTPUT_PATH_WIDTHS => "32", C_ACCUM_OP_PATH_WIDTHS => "43", C_EXT_MULT_CNFG => "none", C_DATA_PATH_PSAMP_SRC => "0", C_OP_PATH_PSAMP_SRC => "0", C_NUM_MADDS => 21, C_OPT_MADDS => "none", C_OVERSAMPLING_RATE => 1, C_INPUT_RATE => 1, C_OUTPUT_RATE => 5, C_DATA_MEMTYPE => 0, C_COEF_MEMTYPE => 2, C_IPBUFF_MEMTYPE => 2, C_OPBUFF_MEMTYPE => 0, C_DATAPATH_MEMTYPE => 2, C_MEM_ARRANGEMENT => 1, C_DATA_MEM_PACKING => 0, C_COEF_MEM_PACKING => 0, C_FILTS_PACKED => 0, C_LATENCY => 28, C_HAS_ARESETn => 0, C_HAS_ACLKEN => 0, C_DATA_HAS_TLAST => 0, C_S_DATA_HAS_FIFO => 1, C_S_DATA_HAS_TUSER => 0, C_S_DATA_TDATA_WIDTH => 24, C_S_DATA_TUSER_WIDTH => 1, C_M_DATA_HAS_TREADY => 0, C_M_DATA_HAS_TUSER => 0, C_M_DATA_TDATA_WIDTH => 32, C_M_DATA_TUSER_WIDTH => 1, C_HAS_CONFIG_CHANNEL => 0, C_CONFIG_SYNC_MODE => 0, C_CONFIG_PACKET_SIZE => 0, C_CONFIG_TDATA_WIDTH => 1, C_RELOAD_TDATA_WIDTH => 1 ) PORT MAP ( aresetn => '1', aclk => aclk, aclken => '1', s_axis_data_tvalid => s_axis_data_tvalid, s_axis_data_tready => s_axis_data_tready, s_axis_data_tlast => '0', s_axis_data_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_data_tdata => s_axis_data_tdata, s_axis_config_tvalid => '0', s_axis_config_tlast => '0', s_axis_config_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_reload_tvalid => '0', s_axis_reload_tlast => '0', s_axis_reload_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axis_data_tvalid => m_axis_data_tvalid, m_axis_data_tready => '1', m_axis_data_tdata => m_axis_data_tdata ); END design_1_FIR_resized4_0_arch;
mit
eb50f2abd4718c145b769c0ee1c01b6c
0.633953
3.261711
false
true
false
false
David-Estevez/spaceinvaders
src/counter_tb.vhd
1
1,863
library ieee; use ieee.std_logic_1164.all; -- uncomment the following library declaration if using -- arithmetic functions with signed or unsigned values --use ieee.numeric_std.all; entity counter_tb is end counter_tb; architecture behavior of counter_tb is -- component declaration for the unit under test (uut) component counter generic ( n: integer; max: integer ); port( clk : in std_logic; reset : in std_logic; clear : in std_logic; enable: in STD_LOGIC; count_out : out std_logic_vector(n-1 downto 0); cout : out std_logic ); end component; --inputs signal clk : std_logic := '0'; signal reset : std_logic := '0'; signal clear : std_logic := '0'; signal enable: std_logic := '1'; --outputs signal count_out_3 : std_logic_vector(2 downto 0); signal cout: std_logic; -- clock period definitions constant clk_period : time := 40 ns; begin -- instantiate the unit under test (uut) uut: counter generic map (3,5) -- 4-bit counter port map ( clk => clk, reset => reset, clear => clear, enable => enable, count_out => count_out_3, cout => cout ); -- clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; -- stimulus process stim_proc: process begin reset <= '1'; -- hold reset state for 100 ns. wait for 100 ns; reset <= '0'; wait for clk_period*10; clear <= '1'; wait for clk_period; clear <= '0'; wait for clk_period*10; enable <= '0'; wait for clk_period*2; enable <= '1'; wait for clk_period*10; wait; end process; end;
gpl-3.0
e2d5a6d6bff3995a863186e8a6e7999c
0.565217
3.568966
false
false
false
false
MiddleMan5/233
Experiments/Experiment8-GeterDone/IPI-BD/RAT/ip/RAT_RegisterFile_0_0/sim/RAT_RegisterFile_0_0.vhd
2
3,653
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:module_ref:RegisterFile:1.0 -- IP Revision: 1 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY RAT_RegisterFile_0_0 IS PORT ( D_IN : IN STD_LOGIC_VECTOR(7 DOWNTO 0); DX_OUT : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); DY_OUT : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); ADRX : IN STD_LOGIC_VECTOR(4 DOWNTO 0); ADRY : IN STD_LOGIC_VECTOR(4 DOWNTO 0); WE : IN STD_LOGIC; CLK : IN STD_LOGIC ); END RAT_RegisterFile_0_0; ARCHITECTURE RAT_RegisterFile_0_0_arch OF RAT_RegisterFile_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF RAT_RegisterFile_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT RegisterFile IS PORT ( D_IN : IN STD_LOGIC_VECTOR(7 DOWNTO 0); DX_OUT : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); DY_OUT : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); ADRX : IN STD_LOGIC_VECTOR(4 DOWNTO 0); ADRY : IN STD_LOGIC_VECTOR(4 DOWNTO 0); WE : IN STD_LOGIC; CLK : IN STD_LOGIC ); END COMPONENT RegisterFile; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF CLK: SIGNAL IS "xilinx.com:signal:clock:1.0 CLK CLK"; BEGIN U0 : RegisterFile PORT MAP ( D_IN => D_IN, DX_OUT => DX_OUT, DY_OUT => DY_OUT, ADRX => ADRX, ADRY => ADRY, WE => WE, CLK => CLK ); END RAT_RegisterFile_0_0_arch;
mit
2a97421c79ac900983bb4a5d8f63cbaa
0.717219
3.970652
false
false
false
false
alpenwasser/pitaya
firmware/fpga/p_FIR_sim/FIR_sim/FIR_sim.srcs/sources_1/bd/design_1/ipshared/noah-huesser/axis_to_data_lanes_v1_0/src/axis_to_data_lanes.vhd
5
2,362
library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; entity axis_to_data_lanes is generic ( Decimation: integer := 1; Offset: integer := 32768 ); port ( ClkxCI: in std_logic; RstxRBI: in std_logic; AxiTDataxDI: in std_logic_vector(31 downto 0) := (others => '0'); AxiTValid: in std_logic := '0'; AxiTReady: out std_logic := '1'; Data0xDO: out std_logic_vector(15 downto 0); Data1xDO: out std_logic_vector(15 downto 0); DataStrobexDO: out std_logic ); end axis_to_data_lanes; architecture V1 of axis_to_data_lanes is signal DataxDP, DataxDN : std_logic_vector(31 downto 0) := (others => '0'); signal StrobexDP, StrobexDN : std_logic := '0'; signal CntxDP, CntxDN: unsigned(16 downto 0) := (others => '0'); begin -- Persistent signal mappings Data0xDO <= DataxDP(15 downto 0); Data1xDO <= DataxDP(31 downto 16); DataStrobexDO <= StrobexDP; -- FF logic process(ClkxCI) begin if rising_edge(ClkxCI) then if RstxRBI = '0' then -- Reset Signals DataxDP <= (others => '0'); StrobexDP <= '0'; CntxDP <= (others => '0'); AxiTReady <= '0'; else -- Advance signals by 1 DataxDP <= DataxDN; StrobexDP <= StrobexDN; CntxDP <= CntxDN; AxiTReady <= '1'; end if; end if; end process; p_converter: process(AxiTValid, CntxDP, AxiTDataxDI) variable X: std_logic_vector(16 downto 0); variable Y: std_logic_vector(16 downto 0); variable A: std_logic_vector(15 downto 0); variable B: std_logic_vector(15 downto 0); begin if AxiTValid = '1' then -- receive every nth sample if CntxDP = Decimation - 1 then A := AxiTDataxDI(15 downto 0); B := AxiTDataxDI(31 downto 16); X := std_logic_vector(resize(signed(A),17) + Offset); Y := std_logic_vector(resize(signed(B),17) + Offset); DataxDN <= Y(15 downto 0) & X(15 downto 0); StrobexDN <= '1'; CntxDN <= (others => '0'); else DataxDN <= DataxDP; CntxDN <= CntxDP + 1; StrobexDN <= '0'; end if; else DataxDN <= DataxDP; CntxDN <= CntxDP; StrobexDN <= '0'; end if; end process; end V1;
mit
2b2dd5ebcb2e79d59bb271e62d88ec30
0.563082
3.684867
false
false
false
false
MiddleMan5/233
Experiments/Experiment7-Its_Alive/IPI-BD/RAT/ip/RAT_ControlUnit_0_0/RAT_ControlUnit_0_0_sim_netlist.vhdl
1
13,218
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1756540 Mon Jan 23 19:11:23 MST 2017 -- Date : Thu Oct 26 22:45:43 2017 -- Host : Juice-Laptop running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- c:/RATCPU/Experiments/Experiment7-Its_Alive/IPI-BD/RAT/ip/RAT_ControlUnit_0_0/RAT_ControlUnit_0_0_sim_netlist.vhdl -- Design : RAT_ControlUnit_0_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7a35tcpg236-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity RAT_ControlUnit_0_0_ControlUnit is port ( ALU_OPY_SEL : out STD_LOGIC; PC_RESET : out STD_LOGIC; PC_OE : out STD_LOGIC; IO_OE : out STD_LOGIC; RF_OE : out STD_LOGIC; RF_WR_SEL : out STD_LOGIC_VECTOR ( 0 to 0 ); RF_WR : out STD_LOGIC; ALU_SEL : out STD_LOGIC_VECTOR ( 2 downto 0 ); PC_LD : out STD_LOGIC; PC_INC : out STD_LOGIC; \OPCODE_HI_5_4__s_port_]\ : in STD_LOGIC; OPCODE_HI_5 : in STD_LOGIC_VECTOR ( 4 downto 0 ); \OPCODE_LO_2_0__s_port_]\ : in STD_LOGIC; OPCODE_LO_2 : in STD_LOGIC_VECTOR ( 1 downto 0 ); INT : in STD_LOGIC; \OPCODE_HI_5_2__s_port_\ : in STD_LOGIC; CLK : in STD_LOGIC; RST : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of RAT_ControlUnit_0_0_ControlUnit : entity is "ControlUnit"; end RAT_ControlUnit_0_0_ControlUnit; architecture STRUCTURE of RAT_ControlUnit_0_0_ControlUnit is signal ALU_OPY_SEL_reg_i_1_n_0 : STD_LOGIC; signal ALU_OPY_SEL_reg_i_2_n_0 : STD_LOGIC; signal NS : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \OPCODE_HI_5_2__s_net_1\ : STD_LOGIC; signal \OPCODE_HI_5_4__s_net_1\ : STD_LOGIC; signal \OPCODE_LO_2_0__s_net_1\ : STD_LOGIC; signal PS : STD_LOGIC_VECTOR ( 1 downto 0 ); signal RF_WR_INST_0_i_1_n_0 : STD_LOGIC; signal RF_WR_INST_0_i_2_n_0 : STD_LOGIC; signal \RF_WR_SEL[0]_INST_0_i_1_n_0\ : STD_LOGIC; attribute XILINX_LEGACY_PRIM : string; attribute XILINX_LEGACY_PRIM of ALU_OPY_SEL_reg : label is "LD"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of ALU_OPY_SEL_reg_i_2 : label is "soft_lutpair3"; attribute SOFT_HLUTNM of I_FLAG_CLR_INST_0 : label is "soft_lutpair2"; attribute SOFT_HLUTNM of PC_INC_INST_0 : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \PS[1]_i_1\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of RF_WR_INST_0_i_1 : label is "soft_lutpair0"; attribute SOFT_HLUTNM of RF_WR_INST_0_i_2 : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \RF_WR_SEL[0]_INST_0_i_1\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of SP_RESET_INST_0 : label is "soft_lutpair1"; begin \OPCODE_HI_5_2__s_net_1\ <= \OPCODE_HI_5_2__s_port_\; \OPCODE_HI_5_4__s_net_1\ <= \OPCODE_HI_5_4__s_port_]\; \OPCODE_LO_2_0__s_net_1\ <= \OPCODE_LO_2_0__s_port_]\; ALU_OPY_SEL_reg: unisim.vcomponents.LDCE generic map( INIT => '0' ) port map ( CLR => '0', D => ALU_OPY_SEL_reg_i_1_n_0, G => ALU_OPY_SEL_reg_i_2_n_0, GE => '1', Q => ALU_OPY_SEL ); ALU_OPY_SEL_reg_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"40000040" ) port map ( I0 => OPCODE_HI_5(2), I1 => OPCODE_HI_5(1), I2 => OPCODE_HI_5(4), I3 => OPCODE_HI_5(0), I4 => OPCODE_HI_5(3), O => ALU_OPY_SEL_reg_i_1_n_0 ); ALU_OPY_SEL_reg_i_2: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => PS(1), I1 => PS(0), O => ALU_OPY_SEL_reg_i_2_n_0 ); \ALU_SEL[1]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"FF000820AA000820" ) port map ( I0 => RF_WR_INST_0_i_1_n_0, I1 => OPCODE_LO_2(0), I2 => OPCODE_LO_2(1), I3 => OPCODE_HI_5(1), I4 => OPCODE_HI_5(4), I5 => RF_WR_INST_0_i_2_n_0, O => ALU_SEL(1) ); \ALU_SEL[3]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAA0C0000000000" ) port map ( I0 => RF_WR_INST_0_i_2_n_0, I1 => RF_WR_INST_0_i_1_n_0, I2 => OPCODE_LO_2(1), I3 => OPCODE_LO_2(0), I4 => OPCODE_HI_5(4), I5 => OPCODE_HI_5(1), O => ALU_SEL(2) ); IO_OE_INST_0: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000080000" ) port map ( I0 => \OPCODE_HI_5_4__s_net_1\, I1 => OPCODE_HI_5(3), I2 => OPCODE_HI_5(0), I3 => OPCODE_HI_5(2), I4 => PS(1), I5 => PS(0), O => IO_OE ); I_FLAG_CLR_INST_0: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => PS(0), I1 => PS(1), O => PC_OE ); PC_INC_INST_0: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => PS(0), I1 => PS(1), O => PC_INC ); PC_LD_INST_0: unisim.vcomponents.LUT6 generic map( INIT => X"FFFF00000002FFFF" ) port map ( I0 => \OPCODE_HI_5_2__s_net_1\, I1 => OPCODE_LO_2(0), I2 => OPCODE_LO_2(1), I3 => OPCODE_HI_5(1), I4 => PS(1), I5 => PS(0), O => PC_LD ); \PS[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( I0 => PS(1), I1 => PS(0), O => NS(0) ); \PS[1]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"2C" ) port map ( I0 => INT, I1 => PS(0), I2 => PS(1), O => NS(1) ); \PS_reg[0]\: unisim.vcomponents.FDCE port map ( C => CLK, CE => '1', CLR => RST, D => NS(0), Q => PS(0) ); \PS_reg[1]\: unisim.vcomponents.FDCE port map ( C => CLK, CE => '1', CLR => RST, D => NS(1), Q => PS(1) ); RF_OE_INST_0: unisim.vcomponents.LUT6 generic map( INIT => X"3030000000001000" ) port map ( I0 => OPCODE_HI_5(3), I1 => OPCODE_HI_5(0), I2 => \RF_WR_SEL[0]_INST_0_i_1_n_0\, I3 => \OPCODE_LO_2_0__s_net_1\, I4 => OPCODE_HI_5(4), I5 => OPCODE_HI_5(1), O => RF_OE ); RF_WR_INST_0: unisim.vcomponents.LUT6 generic map( INIT => X"FFFF028088880280" ) port map ( I0 => RF_WR_INST_0_i_1_n_0, I1 => OPCODE_HI_5(1), I2 => OPCODE_LO_2(0), I3 => OPCODE_LO_2(1), I4 => OPCODE_HI_5(4), I5 => RF_WR_INST_0_i_2_n_0, O => RF_WR ); RF_WR_INST_0_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"00000100" ) port map ( I0 => OPCODE_HI_5(3), I1 => OPCODE_HI_5(0), I2 => OPCODE_HI_5(2), I3 => PS(1), I4 => PS(0), O => RF_WR_INST_0_i_1_n_0 ); RF_WR_INST_0_i_2: unisim.vcomponents.LUT5 generic map( INIT => X"00000800" ) port map ( I0 => OPCODE_HI_5(3), I1 => OPCODE_HI_5(0), I2 => OPCODE_HI_5(2), I3 => PS(1), I4 => PS(0), O => RF_WR_INST_0_i_2_n_0 ); \RF_WR_SEL[0]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"40000000" ) port map ( I0 => OPCODE_HI_5(1), I1 => OPCODE_HI_5(4), I2 => \RF_WR_SEL[0]_INST_0_i_1_n_0\, I3 => OPCODE_HI_5(0), I4 => OPCODE_HI_5(3), O => RF_WR_SEL(0) ); \RF_WR_SEL[0]_INST_0_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => PS(0), I1 => PS(1), I2 => OPCODE_HI_5(2), O => \RF_WR_SEL[0]_INST_0_i_1_n_0\ ); SP_RESET_INST_0: unisim.vcomponents.LUT2 generic map( INIT => X"1" ) port map ( I0 => PS(0), I1 => PS(1), O => PC_RESET ); Z_FLAG_LD_INST_0: unisim.vcomponents.LUT5 generic map( INIT => X"80828080" ) port map ( I0 => RF_WR_INST_0_i_1_n_0, I1 => OPCODE_HI_5(1), I2 => OPCODE_HI_5(4), I3 => OPCODE_LO_2(0), I4 => OPCODE_LO_2(1), O => ALU_SEL(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity RAT_ControlUnit_0_0 is port ( CLK : in STD_LOGIC; C : in STD_LOGIC; Z : in STD_LOGIC; INT : in STD_LOGIC; RST : in STD_LOGIC; OPCODE_HI_5 : in STD_LOGIC_VECTOR ( 4 downto 0 ); OPCODE_LO_2 : in STD_LOGIC_VECTOR ( 1 downto 0 ); PC_LD : out STD_LOGIC; PC_INC : out STD_LOGIC; PC_RESET : out STD_LOGIC; PC_OE : out STD_LOGIC; PC_MUX_SEL : out STD_LOGIC_VECTOR ( 1 downto 0 ); SP_LD : out STD_LOGIC; SP_MUX_SEL : out STD_LOGIC_VECTOR ( 1 downto 0 ); SP_RESET : out STD_LOGIC; RF_WR : out STD_LOGIC; RF_WR_SEL : out STD_LOGIC_VECTOR ( 1 downto 0 ); RF_OE : out STD_LOGIC; REG_IMMED_SEL : out STD_LOGIC; ALU_SEL : out STD_LOGIC_VECTOR ( 3 downto 0 ); ALU_OPY_SEL : out STD_LOGIC; SCR_WR : out STD_LOGIC; SCR_OE : out STD_LOGIC; SCR_ADDR_SEL : out STD_LOGIC_VECTOR ( 1 downto 0 ); C_FLAG_SEL : out STD_LOGIC; C_FLAG_LD : out STD_LOGIC; C_FLAG_SET : out STD_LOGIC; C_FLAG_CLR : out STD_LOGIC; SHAD_C_LD : out STD_LOGIC; Z_FLAG_SEL : out STD_LOGIC; Z_FLAG_LD : out STD_LOGIC; Z_FLAG_SET : out STD_LOGIC; Z_FLAG_CLR : out STD_LOGIC; SHAD_Z_LD : out STD_LOGIC; I_FLAG_SET : out STD_LOGIC; I_FLAG_CLR : out STD_LOGIC; IO_OE : out STD_LOGIC ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of RAT_ControlUnit_0_0 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of RAT_ControlUnit_0_0 : entity is "RAT_ControlUnit_0_0,ControlUnit,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of RAT_ControlUnit_0_0 : entity is "yes"; attribute x_core_info : string; attribute x_core_info of RAT_ControlUnit_0_0 : entity is "ControlUnit,Vivado 2016.4"; end RAT_ControlUnit_0_0; architecture STRUCTURE of RAT_ControlUnit_0_0 is signal \<const0>\ : STD_LOGIC; signal \^alu_sel\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal IO_OE_INST_0_i_1_n_0 : STD_LOGIC; signal PC_LD_INST_0_i_1_n_0 : STD_LOGIC; signal \^pc_oe\ : STD_LOGIC; signal \^pc_reset\ : STD_LOGIC; signal RF_OE_INST_0_i_1_n_0 : STD_LOGIC; signal \^rf_wr_sel\ : STD_LOGIC_VECTOR ( 1 to 1 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of IO_OE_INST_0_i_1 : label is "soft_lutpair4"; attribute SOFT_HLUTNM of PC_LD_INST_0_i_1 : label is "soft_lutpair4"; begin ALU_SEL(3 downto 2) <= \^alu_sel\(3 downto 2); ALU_SEL(1) <= \^alu_sel\(2); ALU_SEL(0) <= \^alu_sel\(0); C_FLAG_CLR <= \<const0>\; C_FLAG_LD <= \^alu_sel\(0); C_FLAG_SEL <= \<const0>\; C_FLAG_SET <= \<const0>\; I_FLAG_CLR <= \^pc_oe\; I_FLAG_SET <= \<const0>\; PC_MUX_SEL(1) <= \^pc_oe\; PC_MUX_SEL(0) <= \<const0>\; PC_OE <= \^pc_oe\; PC_RESET <= \^pc_reset\; REG_IMMED_SEL <= \<const0>\; RF_WR_SEL(1) <= \^rf_wr_sel\(1); RF_WR_SEL(0) <= \^rf_wr_sel\(1); SCR_ADDR_SEL(1) <= \^pc_oe\; SCR_ADDR_SEL(0) <= \^pc_oe\; SCR_OE <= \<const0>\; SCR_WR <= \^pc_oe\; SHAD_C_LD <= \<const0>\; SHAD_Z_LD <= \<const0>\; SP_LD <= \^pc_oe\; SP_MUX_SEL(1) <= \^pc_oe\; SP_MUX_SEL(0) <= \<const0>\; SP_RESET <= \^pc_reset\; Z_FLAG_CLR <= \<const0>\; Z_FLAG_LD <= \^alu_sel\(0); Z_FLAG_SEL <= \<const0>\; Z_FLAG_SET <= \<const0>\; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); IO_OE_INST_0_i_1: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => OPCODE_HI_5(1), I1 => OPCODE_HI_5(4), O => IO_OE_INST_0_i_1_n_0 ); PC_LD_INST_0_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"0100" ) port map ( I0 => OPCODE_HI_5(3), I1 => OPCODE_HI_5(0), I2 => OPCODE_HI_5(4), I3 => OPCODE_HI_5(2), O => PC_LD_INST_0_i_1_n_0 ); RF_OE_INST_0_i_1: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => OPCODE_LO_2(1), I1 => OPCODE_LO_2(0), O => RF_OE_INST_0_i_1_n_0 ); U0: entity work.RAT_ControlUnit_0_0_ControlUnit port map ( ALU_OPY_SEL => ALU_OPY_SEL, ALU_SEL(2 downto 1) => \^alu_sel\(3 downto 2), ALU_SEL(0) => \^alu_sel\(0), CLK => CLK, INT => INT, IO_OE => IO_OE, OPCODE_HI_5(4 downto 0) => OPCODE_HI_5(4 downto 0), \OPCODE_HI_5_2__s_port_\ => PC_LD_INST_0_i_1_n_0, \OPCODE_HI_5_4__s_port_]\ => IO_OE_INST_0_i_1_n_0, OPCODE_LO_2(1 downto 0) => OPCODE_LO_2(1 downto 0), \OPCODE_LO_2_0__s_port_]\ => RF_OE_INST_0_i_1_n_0, PC_INC => PC_INC, PC_LD => PC_LD, PC_OE => \^pc_oe\, PC_RESET => \^pc_reset\, RF_OE => RF_OE, RF_WR => RF_WR, RF_WR_SEL(0) => \^rf_wr_sel\(1), RST => RST ); end STRUCTURE;
mit
02e07332b6690dc4be8057cda7f6c670
0.538886
2.709717
false
false
false
false
MiddleMan5/233
Experiments/Experiment5-Ram_Reg/Testbenches/ScratchRamTestBench.vhd
1
4,152
-------------------------------------------------------------------------------- -- Company: -- Engineer: Justin Nguyen, Quinn Mikelson -- -- Create Date: 18:59:22 01/11/2012 -- Design Name: -- Module Name: F:/repos/cpe-233-test-benches/lab-4-arc/RegisterFileTestBench.vhd -- Project Name: RAT CPU -- Target Device: xc7a50tcsg324-1 -- Tool versions: -- Description: Testbench for Scratch RAM component of RAT CPU. -- -- VHDL Test Bench Created by ISE for module: RegisterFile -- -- Dependencies: ScratchRAM -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- Runtime must be set to 700ns for proper execution -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY ScratchRamTestBench IS END ScratchRamTestBench; ARCHITECTURE behavior OF ScratchRamTestBench IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT ScratchRam PORT( DATA_IN : IN std_logic_vector(9 downto 0); DATA_OUT : OUT std_logic_vector(9 downto 0); ADDR : IN std_logic_vector(7 downto 0); WE : IN std_logic; CLK : IN std_logic ); END COMPONENT; -- test signals signal data_x_exp : std_logic_vector(9 downto 0) := "0000000000"; signal data_y_exp : std_logic_vector(9 downto 0) := "0000000000"; --Inputs signal D_IN_tb : std_logic_vector(9 downto 0) := (others => '0'); signal ADRX_tb : std_logic_vector(7 downto 0) := (others => '0'); signal ADRY_tb : std_logic_vector(7 downto 0) := (others => '0'); signal DX_OE_tb : std_logic := '0'; signal WE_tb : std_logic := '0'; signal CLK_tb : std_logic := '0'; --Outputs signal DX_OUT_tb : std_logic_vector(9 downto 0); signal DY_OUT_tb : std_logic_vector(9 downto 0); -- Clock period definitions constant CLK_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: ScratchRam PORT MAP ( DATA_IN => D_IN_tb, DATA_OUT => DX_OUT_tb, ADDR => ADRX_tb, WE => WE_tb, CLK => CLK_tb ); -- Clock process definitions CLK_process :process begin CLK_tb <= '0'; wait for CLK_period/2; CLK_tb <= '1'; wait for CLK_period/2; end process; -- verify memory VERIFY_process :process variable I : integer range 0 to 32 := 0; begin --Write to RegisterFile ADRX_tb<="00000000"; D_IN_tb<="0000000000"; wait for 4ns; WE_tb <= '1'; --togle high before rising edge wait for 1ns; while( I < 32) loop wait for 1ns; WE_tb <= '0'; --drop after rising edge wait for 1ns; ADRX_tb <= ADRX_tb + 1; --prepare next address and data wait for 1ns; D_IN_tb <= D_IN_tb +2; wait for 6ns; I := I+1; if(I <32) then WE_tb <= '1'; end if; wait for 1ns; end loop; WE_tb <= '0'; DX_OE_tb <= '1'; wait for 75ns; --no reason, just like to start at a nice number such as 400ns... -- Read from RegisterFile I := 0; -- set initial values data_x_exp <= "0000000000"; data_y_exp <= "0000000010"; ADRX_tb <= "00000000"; ADRY_tb <= "00000001"; -- loop through all memory locations. NOTE: can read two at once while ( I < 16) loop WE_tb <= '0'; wait for 1ns; if not(DX_OUT_tb = data_x_exp) then report "error with data X at t= " & time'image(now) severity failure; else report "data X at t= " & time'image(now) & " is good" severity note; end if; if not(DY_OUT_tb = data_y_exp) then report "error with data Y at t= " & time'image(now) severity failure; else report "data Y at t= " & time'image(now) & " is good" severity note; end if; wait for 1ns; --get new values data_x_exp <= data_x_exp + 4 ; --add 4 because each location increases by 2, and you're increasing by 2 memory locations data_y_exp <= data_y_exp + 4 ; ADRX_tb <= ADRX_tb + 2; ADRY_tb <= ADRY_tb + 2; wait for 8ns; I := I + 1; end loop; wait for 40ns; -- again, just lining up for a nice start time of 600ns. end process; END;
mit
823e251dba9410269ceb7fcea85fafba
0.586224
3.18894
false
true
false
false
VLSI-EDA/PoC-Examples
src/xil/clknet/clknet_ClockNetwork_KC705.vhdl
1
16,562
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================= -- Authors: Patrick Lehmann -- -- Package: TODO -- -- Description: -- ------------------------------------ -- TODO -- -- License: -- ============================================================================= -- Copyright 2007-2015 Technische Universitaet Dresden - Germany -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================= library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; library UNISIM; use UNISIM.VCOMPONENTS.all; library PoC; use PoC.utils.all; use PoC.physical.all; use PoC.components.all; use PoC.io.all; entity clknet_ClockNetwork_KC705 is generic ( DEBUG : BOOLEAN := FALSE; CLOCK_IN_FREQ : FREQ := 200 MHz ); port ( ClockIn_200MHz : in STD_LOGIC; ClockNetwork_Reset : in STD_LOGIC; ClockNetwork_ResetDone : out STD_LOGIC; Control_Clock_200MHz : out STD_LOGIC; Clock_250MHz : out STD_LOGIC; Clock_200MHz : out STD_LOGIC; Clock_175MHz : out STD_LOGIC; Clock_125MHz : out STD_LOGIC; Clock_100MHz : out STD_LOGIC; Clock_10MHz : out STD_LOGIC; Clock_Stable_250MHz : out STD_LOGIC; Clock_Stable_200MHz : out STD_LOGIC; Clock_Stable_175MHz : out STD_LOGIC; Clock_Stable_125MHz : out STD_LOGIC; Clock_Stable_100MHz : out STD_LOGIC; Clock_Stable_10MHz : out STD_LOGIC ); end entity; -- MMCM - clock wizard report -- -- Output Output Phase Duty Pk-to-Pk Phase -- Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps) ------------------------------------------------------------------------------- -- CLK_OUT0 200.000 0.000 50.0 97.642 89.971 -- CLK_OUT1 100.000 0.000 50.0 111.850 89.971 -- CLK_OUT2 125.000 0.000 50.0 107.064 89.971 -- CLK_OUT3 250.000 0.000 50.0 93.464 89.971 -- CLK_OUT4 10.000 0.000 50.0 175.649 89.971 -- -- -- PLL - clock wizard report -- -- Output Output Phase Duty Pk-to-Pk Phase -- Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps) ------------------------------------------------------------------------------- -- CLK_OUT1 175.000 0.000 50.0 82.535 112.560 -- CLK_OUT2 125.000 0.000 50.0 87.158 112.560 architecture rtl of clknet_ClockNetwork_KC705 is attribute KEEP : BOOLEAN; -- delay CMB resets until the slowed syncBlock has noticed that LockedState is low -- control clock: 200 MHz -- slowest output clock: 10 MHz -- worst case delay: (Control_Clock freq / slowest clock in MHz) * register stages + safety -- => 44 (200 MHz / 10 MHz) * 2 register stages + 4 constant CMB_DELAY_CYCLES : POSITIVE := integer(real(CLOCK_IN_FREQ / 10 MHz) * 2.0 + 4.0); signal ClkNet_Reset : STD_LOGIC; signal MMCM_Reset : STD_LOGIC; signal MMCM_Reset_clr : STD_LOGIC; signal MMCM_ResetState : STD_LOGIC := '0'; signal MMCM_Reset_delayed : STD_LOGIC_VECTOR(CMB_DELAY_CYCLES - 1 downto 0); signal MMCM_Locked_async : STD_LOGIC; signal MMCM_Locked : STD_LOGIC; signal MMCM_Locked_d : STD_LOGIC := '0'; signal MMCM_Locked_re : STD_LOGIC; signal MMCM_LockedState : STD_LOGIC := '0'; signal PLL_Reset : STD_LOGIC; signal PLL_Reset_clr : STD_LOGIC; signal PLL_ResetState : STD_LOGIC := '0'; signal PLL_Reset_delayed : STD_LOGIC_VECTOR(CMB_DELAY_CYCLES - 1 downto 0); signal PLL_Locked_async : STD_LOGIC; signal PLL_Locked : STD_LOGIC; signal PLL_Locked_d : STD_LOGIC := '0'; signal PLL_Locked_re : STD_LOGIC; signal PLL_LockedState : STD_LOGIC := '0'; signal Locked : STD_LOGIC; signal Reset : STD_LOGIC; signal Control_Clock : STD_LOGIC; signal Control_Clock_BUFR : STD_LOGIC; signal MMCM_Clock_10MHz : STD_LOGIC; signal MMCM_Clock_100MHz : STD_LOGIC; signal MMCM_Clock_125MHz : STD_LOGIC; signal MMCM_Clock_200MHz : STD_LOGIC; signal MMCM_Clock_250MHz : STD_LOGIC; signal MMCM_Clock_10MHz_BUFG : STD_LOGIC; signal MMCM_Clock_100MHz_BUFG : STD_LOGIC; signal MMCM_Clock_125MHz_BUFG : STD_LOGIC; signal MMCM_Clock_200MHz_BUFG : STD_LOGIC; signal MMCM_Clock_250MHz_BUFG : STD_LOGIC; signal PLL_Clock_125MHz : STD_LOGIC; signal PLL_Clock_175MHz : STD_LOGIC; -- signal PLL_Clock_125MHz_BUFG : STD_LOGIC; signal PLL_Clock_175MHz_BUFG : STD_LOGIC; attribute KEEP of MMCM_Clock_10MHz_BUFG : signal is DEBUG; attribute KEEP of MMCM_Clock_100MHz_BUFG : signal is DEBUG; attribute KEEP of MMCM_Clock_125MHz_BUFG : signal is DEBUG; attribute KEEP of MMCM_Clock_200MHz_BUFG : signal is DEBUG; attribute KEEP of MMCM_Clock_250MHz_BUFG : signal is DEBUG; begin -- ================================================================== -- ResetControl -- ================================================================== -- synchronize external (async) ClockNetwork_Reset and internal (but async) MMCM_Locked signals to "Control_Clock" domain syncControlClock: entity PoC.sync_Bits_Xilinx generic map ( BITS => 3 -- number of BITS to synchronize ) port map ( Clock => Control_Clock, -- Clock to be synchronized to Input(0) => ClockNetwork_Reset, -- Data to be synchronized Input(1) => MMCM_Locked_async, -- Input(2) => PLL_Locked_async, -- Output(0) => ClkNet_Reset, -- synchronized data Output(1) => MMCM_Locked, -- Output(2) => PLL_Locked -- ); -- clear reset signals, if external Reset is low and CMB (clock modifying block) noticed reset -> locked = low MMCM_Reset_clr <= ClkNet_Reset NOR MMCM_Locked; PLL_Reset_clr <= ClkNet_Reset NOR PLL_Locked; -- detect rising edge on CMB locked signals MMCM_Locked_d <= MMCM_Locked when rising_edge(Control_Clock); PLL_Locked_d <= PLL_Locked when rising_edge(Control_Clock); MMCM_Locked_re <= NOT MMCM_Locked_d AND MMCM_Locked; PLL_Locked_re <= NOT PLL_Locked_d AND PLL_Locked; -- RS-FF Q RST SET CLK -- hold reset until external reset goes low and CMB noticed reset MMCM_ResetState <= ffrs(q => MMCM_ResetState, rst => MMCM_Reset_clr, set => ClkNet_Reset) when rising_edge(Control_Clock); PLL_ResetState <= ffrs(q => PLL_ResetState, rst => PLL_Reset_clr, set => ClkNet_Reset) when rising_edge(Control_Clock); -- deassert *_LockedState, if CMBs are going to be reseted; assert it if *_Locked is high again MMCM_LockedState <= ffrs(q => MMCM_LockedState, rst => MMCM_Reset, set => MMCM_Locked_re) when rising_edge(Control_Clock); PLL_LockedState <= ffrs(q => PLL_LockedState, rst => PLL_Reset, set => PLL_Locked_re) when rising_edge(Control_Clock); -- delay CMB resets until the slowed syncBlock has noticed that LockedState is low MMCM_Reset_delayed <= shreg_left(MMCM_Reset_delayed, MMCM_ResetState) when rising_edge(Control_Clock); PLL_Reset_delayed <= shreg_left(PLL_Reset_delayed, PLL_ResetState) when rising_edge(Control_Clock); MMCM_Reset <= MMCM_Reset_delayed(MMCM_Reset_delayed'high); PLL_Reset <= PLL_Reset_delayed(PLL_Reset_delayed'high); Locked <= MMCM_LockedState AND PLL_LockedState; ClockNetwork_ResetDone <= Locked; -- ================================================================== -- ClockBuffers -- ================================================================== -- Control_Clock BUFR_Control_Clock : BUFR generic map ( SIM_DEVICE => "7SERIES" ) port map ( CE => '1', CLR => '0', I => ClockIn_200MHz, O => Control_Clock_BUFR ); Control_Clock <= Control_Clock_BUFR; -- 10 MHz BUFG BUFG_Clock_10MHz : BUFG port map ( I => MMCM_Clock_10MHz, O => MMCM_Clock_10MHz_BUFG ); -- 100 MHz BUFG BUFG_Clock_100MHz : BUFG port map ( I => MMCM_Clock_100MHz, O => MMCM_Clock_100MHz_BUFG ); -- 125 MHz BUFG BUFG_Clock_125MHz : BUFG port map ( I => MMCM_Clock_125MHz, O => MMCM_Clock_125MHz_BUFG ); -- 175 MHz BUFG BUFG_Clock_175MHz : BUFG port map ( I => PLL_Clock_175MHz, O => PLL_Clock_175MHz_BUFG ); -- 200 MHz BUFG BUFG_Clock_200MHz : BUFG port map ( I => MMCM_Clock_200MHz, O => MMCM_Clock_200MHz_BUFG ); -- 250 MHz BUFG BUFG_Clock_250MHz : BUFG port map ( I => MMCM_Clock_250MHz, O => MMCM_Clock_250MHz_BUFG ); -- ================================================================== -- Mixed-Mode Clock Manager (MMCM) -- ================================================================== System_MMCM : MMCME2_ADV generic map ( STARTUP_WAIT => FALSE, BANDWIDTH => "LOW", -- LOW = Jitter Filter COMPENSATION => "BUF_IN", --"ZHOLD", CLKIN1_PERIOD => 1.0e9 / real(CLOCK_IN_FREQ / 1 Hz), CLKIN2_PERIOD => 1.0e9 / real(CLOCK_IN_FREQ / 1 Hz), -- Not used REF_JITTER1 => 0.00048, REF_JITTER2 => 0.00048, -- Not used CLKFBOUT_MULT_F => 5.0, CLKFBOUT_PHASE => 0.0, CLKFBOUT_USE_FINE_PS => FALSE, DIVCLK_DIVIDE => 1, CLKOUT0_DIVIDE_F => 5.0, CLKOUT0_PHASE => 0.0, CLKOUT0_DUTY_CYCLE => 0.500, CLKOUT0_USE_FINE_PS => FALSE, CLKOUT1_DIVIDE => 10, CLKOUT1_PHASE => 0.0, CLKOUT1_DUTY_CYCLE => 0.500, CLKOUT1_USE_FINE_PS => FALSE, CLKOUT2_DIVIDE => 8, CLKOUT2_PHASE => 0.0, CLKOUT2_DUTY_CYCLE => 0.500, CLKOUT2_USE_FINE_PS => FALSE, CLKOUT3_DIVIDE => 4, CLKOUT3_PHASE => 0.0, CLKOUT3_DUTY_CYCLE => 0.500, CLKOUT3_USE_FINE_PS => FALSE, CLKOUT4_CASCADE => FALSE, CLKOUT4_DIVIDE => 100, CLKOUT4_PHASE => 0.0, CLKOUT4_DUTY_CYCLE => 0.500, CLKOUT4_USE_FINE_PS => FALSE ) port map ( RST => MMCM_Reset, CLKIN1 => ClockIn_200MHz, CLKIN2 => ClockIn_200MHz, CLKINSEL => '1', CLKINSTOPPED => open, CLKFBOUT => open, CLKFBOUTB => open, CLKFBIN => MMCM_Clock_200MHz_BUFG, CLKFBSTOPPED => open, CLKOUT0 => MMCM_Clock_200MHz, CLKOUT0B => open, CLKOUT1 => MMCM_Clock_100MHz, CLKOUT1B => open, CLKOUT2 => MMCM_Clock_125MHz, CLKOUT2B => open, CLKOUT3 => MMCM_Clock_250MHz, CLKOUT3B => open, CLKOUT4 => MMCM_Clock_10MHz, CLKOUT5 => open, CLKOUT6 => open, -- Dynamic Reconfiguration Port DO => open, DRDY => open, DADDR => "0000000", DCLK => '0', DEN => '0', DI => x"0000", DWE => '0', PWRDWN => '0', LOCKED => MMCM_Locked_async, PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => open ); System_PLL : PLLE2_ADV generic map ( BANDWIDTH => "HIGH", COMPENSATION => "BUF_IN", CLKIN1_PERIOD => 1.0e9 / real(CLOCK_IN_FREQ / 1 Hz), CLKIN2_PERIOD => 1.0e9 / real(CLOCK_IN_FREQ / 1 Hz), -- Not used REF_JITTER1 => 0.00048, REF_JITTER2 => 0.00048, CLKFBOUT_MULT => 35, CLKFBOUT_PHASE => 0.000, DIVCLK_DIVIDE => 4, CLKOUT0_DIVIDE => 10, CLKOUT0_PHASE => 0.000, CLKOUT0_DUTY_CYCLE => 0.500, CLKOUT1_DIVIDE => 14, CLKOUT1_PHASE => 0.000, CLKOUT1_DUTY_CYCLE => 0.500 ) port map ( RST => PLL_Reset, CLKIN1 => ClockIn_200MHz, CLKIN2 => ClockIn_200MHz, CLKINSEL => '1', CLKFBIN => PLL_Clock_175MHz_BUFG, CLKFBOUT => open, CLKOUT0 => PLL_Clock_175MHz, CLKOUT1 => PLL_Clock_125MHz, CLKOUT2 => open, CLKOUT3 => open, CLKOUT4 => open, CLKOUT5 => open, -- Dynamic Reconfiguration Port DO => open, DRDY => open, DADDR => "0000000", DCLK => '0', DEN => '0', DI => x"0000", DWE => '0', -- Other control and status signals PWRDWN => '0', LOCKED => PLL_Locked_async ); Control_Clock_200MHz <= Control_Clock_BUFR; Clock_250MHz <= MMCM_Clock_250MHz_BUFG; Clock_200MHz <= MMCM_Clock_200MHz_BUFG; Clock_175MHz <= PLL_Clock_175MHz_BUFG; Clock_125MHz <= MMCM_Clock_125MHz_BUFG; Clock_100MHz <= MMCM_Clock_100MHz_BUFG; Clock_10MHz <= MMCM_Clock_10MHz_BUFG; -- synchronize internal Locked signal to output clock domains syncLocked250MHz: entity PoC.sync_Bits_Xilinx port map ( Clock => MMCM_Clock_250MHz_BUFG, -- Clock to be synchronized to Input(0) => Locked, -- Data to be synchronized Output(0) => Clock_Stable_250MHz -- synchronized data ); syncLocked200MHz: entity PoC.sync_Bits_Xilinx port map ( Clock => MMCM_Clock_200MHz_BUFG, -- Clock to be synchronized to Input(0) => Locked, -- Data to be synchronized Output(0) => Clock_Stable_200MHz -- synchronized data ); syncLocked175MHz: entity PoC.sync_Bits_Xilinx port map ( Clock => PLL_Clock_175MHz_BUFG, -- Clock to be synchronized to Input(0) => Locked, -- Data to be synchronized Output(0) => Clock_Stable_175MHz -- synchronized data ); syncLocked125MHz: entity PoC.sync_Bits_Xilinx port map ( Clock => MMCM_Clock_125MHz_BUFG, -- Clock to be synchronized to Input(0) => Locked, -- Data to be synchronized Output(0) => Clock_Stable_125MHz -- synchronized data ); syncLocked100MHz: entity PoC.sync_Bits_Xilinx port map ( Clock => MMCM_Clock_100MHz_BUFG, -- Clock to be synchronized to Input(0) => Locked, -- Data to be synchronized Output(0) => Clock_Stable_100MHz -- synchronized data ); syncLocked10MHz: entity PoC.sync_Bits_Xilinx port map ( Clock => MMCM_Clock_10MHz_BUFG, -- Clock to be synchronized to Input(0) => Locked, -- Data to be synchronized Output(0) => Clock_Stable_10MHz -- synchronized data ); end architecture;
apache-2.0
3b15d61f8295e3ae7586d8f605786431
0.516846
3.515602
false
false
false
false
MiddleMan5/233
Experiments/Experiment8-GeterDone/IPI-BD/RAT/ip/RAT_Mux4x1_8_0_0/synth/RAT_Mux4x1_8_0_0.vhd
2
3,970
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:module_ref:Mux4x1_8:1.0 -- IP Revision: 1 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY RAT_Mux4x1_8_0_0 IS PORT ( A : IN STD_LOGIC_VECTOR(7 DOWNTO 0); B : IN STD_LOGIC_VECTOR(7 DOWNTO 0); C : IN STD_LOGIC_VECTOR(7 DOWNTO 0); D : IN STD_LOGIC_VECTOR(7 DOWNTO 0); SEL : IN STD_LOGIC_VECTOR(1 DOWNTO 0); X : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END RAT_Mux4x1_8_0_0; ARCHITECTURE RAT_Mux4x1_8_0_0_arch OF RAT_Mux4x1_8_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF RAT_Mux4x1_8_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT Mux4x1_8 IS PORT ( A : IN STD_LOGIC_VECTOR(7 DOWNTO 0); B : IN STD_LOGIC_VECTOR(7 DOWNTO 0); C : IN STD_LOGIC_VECTOR(7 DOWNTO 0); D : IN STD_LOGIC_VECTOR(7 DOWNTO 0); SEL : IN STD_LOGIC_VECTOR(1 DOWNTO 0); X : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END COMPONENT Mux4x1_8; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF RAT_Mux4x1_8_0_0_arch: ARCHITECTURE IS "Mux4x1_8,Vivado 2016.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF RAT_Mux4x1_8_0_0_arch : ARCHITECTURE IS "RAT_Mux4x1_8_0_0,Mux4x1_8,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF RAT_Mux4x1_8_0_0_arch: ARCHITECTURE IS "RAT_Mux4x1_8_0_0,Mux4x1_8,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=module_ref,x_ipName=Mux4x1_8,x_ipVersion=1.0,x_ipCoreRevision=1,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED}"; BEGIN U0 : Mux4x1_8 PORT MAP ( A => A, B => B, C => C, D => D, SEL => SEL, X => X ); END RAT_Mux4x1_8_0_0_arch;
mit
3a1e1bea3c81c5397693eb1aac104702
0.719144
3.532028
false
false
false
false
blytkerchan/BrainF
BrainF_tb.vhdl
1
6,889
-- BrainF* interpreter - testbench -- Version: 20141001 -- Author: Ronald Landheer-Cieslak -- Copyright (c) 2014 Vlinder Software -- License: LGPL-3.0 library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.txt_util.all; entity BrainF_tb is end entity; architecture behavior of BrainF_tb is constant WARMUP_COUNTDOWN : integer := 4; constant INITIAL_COUNTDOWN : integer := 10; --constant PROGRAM : string := "++++++++"; --constant PROGRAM : string := "[.]"; --constant PROGRAM : string := "-+[-+][[-+]]"; --constant PROGRAM : string := "++[-][[-+]]"; --constant PROGRAM : string := ">+++++++++[<++++++++>-]<.>+++++++[<++++>-]<+.+++++++..+++.0>++++++++[<++++>-] <.>+++++++++++[<++++++++>-]<-.--------.+++.------.--------.0>++++++++[<++++>- ]<+.0++++++++++."; constant PROGRAM : string := ">+++++++++[<++++++++>-]<.>+++++++[<++++>-]<+.+++++++..+++.[-]>++++++++[<++++>-] <.>+++++++++++[<++++++++>-]<-.--------.+++.------.--------.[-]>++++++++[<++++>- ]<+.[-]++++++++++."; constant PROGRAM_TIMEOUT : Time := 138 ns; component BrainF is generic( MAX_INSTRUCTION_COUNT : positive := 65536 ; MEMORY_SIZE : positive := 65536 ); port( resetN : in std_logic ; clock : in std_logic ; load_instructions : in std_logic ; instruction_octet : in std_logic_vector(7 downto 0) ; ack_instruction : out std_logic ; program_full : out std_logic ; read_memory : in std_logic ; memory_byte : out std_logic_vector(7 downto 0) ; memory_byte_ready : out std_logic ; memory_byte_read_ack : in std_logic ; done : out std_logic ); end component; type State is (warmup, initial, start_loading_program, loading_program, running_program, success); function to_std_logic_vector(c : character) return std_logic_vector is variable cc : integer; begin cc := character'pos(c); return std_logic_vector(to_unsigned(cc, 8)); end to_std_logic_vector; signal clock : std_logic := '0'; signal load_instructions : std_logic := '0'; signal instruction_octet : std_logic_vector(7 downto 0) := (others => '0'); signal ack_instruction : std_logic := '0'; signal program_full : std_logic := '0'; signal read_memory : std_logic := '0'; signal memory_byte : std_logic_vector(7 downto 0) := (others => '0'); signal memory_byte_ready : std_logic := '0'; signal memory_byte_read_ack : std_logic := '0'; signal done : std_logic := '0'; signal tb_state : State := warmup; signal should_be_done : std_logic := '0'; signal end_of_simulation : std_logic := '0'; begin interpreter : BrainF port map( resetN => '1' , clock => clock , load_instructions => load_instructions , instruction_octet => instruction_octet , ack_instruction => ack_instruction , program_full => program_full , read_memory => read_memory , memory_byte => memory_byte , memory_byte_ready => memory_byte_ready , memory_byte_read_ack => memory_byte_read_ack , done => done ); -- generate the clock clock <= not clock after 1 ps; -- generate the time-out signal should_be_done <= '1' after PROGRAM_TIMEOUT; p_tb : process(clock) variable countdown : integer := WARMUP_COUNTDOWN; variable program_load_counter : integer := 0; begin if rising_edge(clock) then case tb_state is when warmup => assert done = '0' report "Cannot be done while warming up (pipe filling with halt instructions)" severity failure; assert program_full = '0' report "Program cannot be initially full" severity failure; assert ack_instruction = '0' report "Cannot acknowledge an instruction I haven't given yet" severity failure; assert memory_byte_ready = '0' report "Cannot have memory ready when I haven't asked for anything yet" severity failure; if countdown = 1 then tb_state <= initial; countdown := INITIAL_COUNTDOWN; else countdown := countdown - 1; end if; when initial => assert done = '1' report "Once warmed up, it should know it has no program and say it's done" severity failure; assert program_full = '0' report "Program cannot be initially full" severity failure; assert ack_instruction = '0' report "Cannot acknowledge an instruction I haven't given yet" severity failure; assert memory_byte_ready = '0' report "Cannot have memory ready when I haven't asked for anything yet" severity failure; if countdown = 1 then tb_state <= start_loading_program; else countdown := countdown - 1; end if; when start_loading_program => assert program_full = '0' report "Program cannot be initially full" severity failure; assert ack_instruction = '0' report "Cannot acknowledge an instruction I haven't given yet" severity failure; assert memory_byte_ready = '0' report "Cannot have memory ready when I haven't asked for anything yet" severity failure; instruction_octet <= to_std_logic_vector(program(1)); load_instructions <= '1'; tb_state <= loading_program; program_load_counter := 2; when loading_program => if program_load_counter <= program'length then if ack_instruction = '1' then instruction_octet <= to_std_logic_vector(program(program_load_counter)); program_load_counter := program_load_counter + 1; end if; else load_instructions <= '0'; tb_state <= running_program; end if; when running_program => if should_be_done = '1' then assert done = '1' report "Timeout!" severity failure; end if; if done = '1' then tb_state <= success; end if; when success => end_of_simulation <= '1'; when others => null; end case; end if; end process; end behavior;
lgpl-3.0
b652f140b20fca81d8f72795da216eed
0.514443
4.476283
false
false
false
false
MiddleMan5/233
Experiments/RTL_Components/CPE233-master/RAT_CPU.vhd
1
9,295
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 02/03/2016 10:45:34 AM -- Design Name: -- Module Name: RAT_CPU - Behavioral -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; entity RAT_CPU is Port ( IN_PORT : in STD_LOGIC_VECTOR (7 downto 0); RST : in STD_LOGIC; INT_IN : in STD_LOGIC; CLK : in STD_LOGIC; OUT_PORT : out STD_LOGIC_VECTOR (7 downto 0); PORT_ID : out STD_LOGIC_VECTOR (7 downto 0); IO_OE : out STD_LOGIC); end RAT_CPU; architecture Behavioral of RAT_CPU is component ControlUnit Port ( CLK : in STD_LOGIC; C : in STD_LOGIC; Z : in STD_LOGIC; INT : in STD_LOGIC; RST : in STD_LOGIC; PC_LD : out STD_LOGIC; PC_INC : out STD_LOGIC; PC_RESET : out STD_LOGIC; PC_OE : out STD_LOGIC; PC_MUX_SEL : out STD_LOGIC_VECTOR (1 downto 0); SP_LD : out STD_LOGIC; SP_MUX_SEL : out STD_LOGIC_VECTOR (1 downto 0); SP_RESET : out STD_LOGIC; RF_WR : out STD_LOGIC; RF_WR_SEL : out STD_LOGIC_VECTOR (1 downto 0); RF_OE : out STD_LOGIC; REG_IMMED_SEL : out STD_LOGIC; ALU_SEL : out STD_LOGIC_VECTOR (3 downto 0); SCR_WR : out STD_LOGIC; SCR_OE : out STD_LOGIC; SCR_ADDR_SEL : out STD_LOGIC_VECTOR (1 downto 0); C_FLAG_SEL : out STD_LOGIC; C_FLAG_LD : out STD_LOGIC; C_FLAG_SET : out STD_LOGIC; C_FLAG_CLR : out STD_LOGIC; Z_FLAG_SEL : out STD_LOGIC; Z_FLAG_LD : out STD_LOGIC; Z_FLAG_SET : out STD_LOGIC; Z_FLAG_CLR : out STD_LOGIC; I_FLAG_SET : out STD_LOGIC; I_FLAG_CLR : out STD_LOGIC); end component; component counter Port ( FROM_IMMED : in STD_LOGIC_VECTOR (9 downto 0); FROM_STACK : in STD_LOGIC_VECTOR (9 downto 0); INTERRUPT : in STD_LOGIC_VECTOR (9 downto 0); PC_MUX_SEL : in STD_LOGIC_VECTOR (1 downto 0); PC_OE : in STD_LOGIC; PC_LD : in STD_LOGIC; PC_INC : in STD_LOGIC; RST : in STD_LOGIC; CLK : in STD_LOGIC; PC_COUNT : out STD_LOGIC_VECTOR (9 downto 0); PC_TRI : out STD_LOGIC_VECTOR (9 downto 0)); end component; component prog_rom Port ( ADDRESS : in std_logic_vector(9 downto 0); INSTRUCTION : out std_logic_vector(17 downto 0); CLK : in std_logic); end component; component RegisterFile Port ( D_IN : in STD_LOGIC_VECTOR (7 downto 0); DX_OUT : out STD_LOGIC_VECTOR (7 downto 0); DY_OUT : out STD_LOGIC_VECTOR (7 downto 0); ADRX : in STD_LOGIC_VECTOR (4 downto 0); ADRY : in STD_LOGIC_VECTOR (4 downto 0); DX_OE : in STD_LOGIC; WE : in STD_LOGIC; CLK : in STD_LOGIC); end component; component alu Port ( A : in STD_LOGIC_VECTOR (7 downto 0); B : in STD_LOGIC_VECTOR (7 downto 0); C_IN : in STD_LOGIC; Sel : in STD_LOGIC_VECTOR (3 downto 0); SUM : out STD_LOGIC_VECTOR (7 downto 0); C_FLAG : out STD_LOGIC; Z_FLAG : out STD_LOGIC); end component; component FlagReg Port ( IN_FLAG : in STD_LOGIC; LD : in STD_LOGIC; SET : in STD_LOGIC; CLR : in STD_LOGIC; CLK : in STD_LOGIC; OUT_FLAG : out STD_LOGIC); end component; component ShadowFlagReg port ( F_IN : in STD_LOGIC; LD : in STD_LOGIC; CLK : in STD_LOGIC; FLAG : out STD_LOGIC ); end component; component StackPointer is port ( D_IN_BUS : in STD_LOGIC_VECTOR (7 downto 0); SEL : in STD_LOGIC_VECTOR (1 downto 0); LD : in STD_LOGIC; RST : in STD_LOGIC; CLK : in STD_LOGIC; D_OUT : out STD_LOGIC_VECTOR (7 downto 0); D_OUT_DEC : out STD_LOGIC_VECTOR (7 downto 0) ); end component; component scratchPad is port ( Scr_Addr : in STD_LOGIC_VECTOR (7 downto 0); Scr_Oe : in STD_LOGIC; SCR_WE : in STD_LOGIC; CLK : in STD_LOGIC; SCR_DATA : inout STD_LOGIC_VECTOR (9 downto 0) ); end component; signal MULTI_BUS : STD_LOGIC_VECTOR (9 downto 0) := "ZZZZZZZZZZ"; -- Program counter signals signal PC_MUX_SEL : STD_LOGIC_VECTOR (1 downto 0); signal PC_OE, PC_LD, PC_INC, PC_RST : STD_LOGIC; signal PC_COUNT : STD_LOGIC_VECTOR (9 downto 0); -- Prog-rom signal signal Instruction : STD_LOGIC_VECTOR (17 downto 0); -- Register file signals signal RF_DATA_IN : STD_LOGIC_VECTOR (7 downto 0); signal RF_WR_SEL : STD_LOGIC_VECTOR (1 downto 0); signal RF_OUT_X, RF_OUT_Y : STD_LOGIC_VECTOR (7 downto 0); signal RF_OE, RF_WE : STD_LOGIC; -- ALU signals signal ALU_IN_B, ALU_OUT : STD_LOGIC_VECTOR (7 downto 0); signal ALU_SEL : STD_LOGIC_VECTOR (3 downto 0); signal REG_IMMED_SEL : STD_LOGIC; signal ALU_OUT_C, ALU_OUT_Z : STD_LOGIC; -- C Flag signals signal C_FLAG, C_FLAG_SHAD, C_SET, C_CLR, C_LD, C_LD_SHAD, C_SEL : STD_LOGIC; signal C_IN : STD_LOGIC; -- Z Flag signals signal Z_FLAG, Z_FLAG_SHAD, Z_SET, Z_CLR, Z_LD, Z_LD_SHAD, Z_SEL : STD_LOGIC; signal Z_IN : STD_LOGIC; -- Stack signals signal SP_MUX_SEL : STD_LOGIC_VECTOR (1 downto 0); signal SP_LD, SP_RST : STD_LOGIC; signal SP, SP_DEC : STD_LOGIC_VECTOR (7 downto 0); -- ScratchPad signals signal SCR_WR, SCR_OE : STD_LOGIC; signal SCR_ADDR_SEL : STD_LOGIC_VECTOR (1 downto 0); signal SCR_ADDR : STD_LOGIC_VECTOR (7 downto 0); -- Interrupt signals signal I_FLAG, ISR_OUT, I_SET, I_CLR : STD_LOGIC; begin ISR : FlagReg port map (INT_IN, '0', I_SET, I_CLR, CLK, ISR_OUT); I_FLAG <= INT_IN and ISR_OUT; control : controlUnit PORT MAP (CLK, C_FLAG, Z_FLAG, I_FLAG, RST, Instruction (17 downto 13), Instruction (1 downto 0), PC_LD, PC_INC, PC_RST, PC_OE, PC_MUX_SEL, SP_LD, SP_MUX_SEL, SP_RST, RF_WE, RF_WR_SEL, RF_OE, REG_IMMED_SEL, ALU_SEL, SCR_WR, SCR_OE, SCR_ADDR_SEL, C_SEL, C_LD, C_SET, C_CLR, Z_SEL, Z_LD, Z_SET, Z_CLR, I_SET, I_CLR); pc : counter PORT MAP (Instruction (12 downto 3), MULTI_BUS (9 downto 0), (others => '1'), PC_MUX_SEL, PC_OE, PC_LD, PC_INC, PC_RST, CLK, PC_COUNT, MULTI_BUS); progRom : prog_rom PORT MAP (PC_COUNT, Instruction, CLK); RF_DATA_IN <= ALU_OUT when RF_WR_SEL = "00" else MULTI_BUS (7 downto 0) when RF_WR_SEL = "01" else IN_PORT when RF_WR_SEL = "11" else (others => '0'); regFile : RegisterFile PORT MAP (RF_DATA_IN, RF_OUT_X, RF_OUT_Y, Instruction (12 downto 8), Instruction (7 downto 3), RF_OE, RF_WE, CLK); MULTI_BUS (7 downto 0) <= RF_OUT_X; ALU_IN_B <= RF_OUT_Y when REG_IMMED_SEL = '0' else Instruction (7 downto 0); aluMod : alu PORT MAP (RF_OUT_X, ALU_IN_B, C_FLAG, ALU_SEL, ALU_OUT, ALU_OUT_C, ALU_OUT_Z); C_IN <= ALU_OUT_C when C_SEL = '0' else C_FLAG_SHAD; Z_IN <= ALU_OUT_Z when Z_SEL = '0' else Z_FLAG_SHAD; cFlag : FlagReg PORT MAP (C_IN, C_LD, C_SET, C_CLR, CLK, C_FLAG); zFlag : FlagReg PORT MAP (Z_IN, Z_LD, Z_SET, Z_CLR, CLK, Z_FLAG); stack : StackPointer port map (MULTI_BUS (7 downto 0), SP_MUX_SEL, SP_LD, SP_RST, CLK, SP, SP_DEC); SCR_ADDR <= RF_OUT_Y when (SCR_ADDR_SEL = "00") else Instruction (7 downto 0) when (SCR_ADDR_SEL = "01") else SP when (SCR_ADDR_SEL = "10") else SP_DEC; scratch : scratchPad port map (SCR_ADDR, SCR_OE, SCR_WR, CLK, MULTI_BUS); PORT_ID <= Instruction (7 downto 0); OUT_PORT <= MULTI_BUS (7 downto 0); end Behavioral;
mit
688c2b35c7fa3c4e4ea0cd7fa28423c7
0.511027
3.441318
false
false
false
false
viniciussmello/SistemasDigitais
Trabalho 1/Inversor.vhd
1
678
---------------------------------------------------------------------------------- -- Create Date: 15:15:27 04/04/2017 -- Module Name: Inversor - Behavioral ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity Inversor is Port ( A : in STD_LOGIC_VECTOR (3 downto 0); B : out STD_LOGIC_VECTOR (3 downto 0)); end Inversor; architecture Behavioral of Inversor is signal aux: STD_LOGIC_VECTOR (3 downto 0); begin aux(0)<= not A(0); aux(1)<= not A(1); aux(2)<= not A(2); aux(3)<= not A(3); B(0)<= aux(0); B(1)<= aux(1); B(2)<= aux(2); B(3)<= aux(3); end Behavioral;
gpl-3.0
f9447106d8490ca17e7ce413b7393fcd
0.457227
3.39
false
false
false
false
MiddleMan5/233
Experiments/Experiment8-GeterDone/IPI-BD/RAT/ip/RAT_xlconstant_0_0/RAT_xlconstant_0_0_sim_netlist.vhdl
2
1,760
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1756540 Mon Jan 23 19:11:23 MST 2017 -- Date : Thu Oct 26 22:45:01 2017 -- Host : Juice-Laptop running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- c:/RATCPU/Experiments/Experiment7-Its_Alive/IPI-BD/RAT/ip/RAT_xlconstant_0_0/RAT_xlconstant_0_0_sim_netlist.vhdl -- Design : RAT_xlconstant_0_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7a35tcpg236-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity RAT_xlconstant_0_0 is port ( dout : out STD_LOGIC_VECTOR ( 9 downto 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of RAT_xlconstant_0_0 : entity is true; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of RAT_xlconstant_0_0 : entity is "yes"; end RAT_xlconstant_0_0; architecture STRUCTURE of RAT_xlconstant_0_0 is signal \<const1>\ : STD_LOGIC; begin dout(9) <= \<const1>\; dout(8) <= \<const1>\; dout(7) <= \<const1>\; dout(6) <= \<const1>\; dout(5) <= \<const1>\; dout(4) <= \<const1>\; dout(3) <= \<const1>\; dout(2) <= \<const1>\; dout(1) <= \<const1>\; dout(0) <= \<const1>\; VCC: unisim.vcomponents.VCC port map ( P => \<const1>\ ); end STRUCTURE;
mit
2e97913ae97df3f906f6d341cb005874
0.603409
3.801296
false
false
false
false
MiddleMan5/233
Experiments/RTL_Components/CPE233-master/cpu_TB.vhd
1
1,768
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 02/04/2016 11:46:58 PM -- Design Name: -- Module Name: cpu_TB - Behavioral -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; entity cpu_TB is -- Port ( ); end cpu_TB; architecture Behavioral of cpu_TB is component RAT_WRAPPER Port ( LEDS : out STD_LOGIC_VECTOR (7 downto 0); SWITCHES : in STD_LOGIC_VECTOR (7 downto 0); BTN : in STD_LOGIC; RST : in STD_LOGIC; CLK : in STD_LOGIC); end component; signal BTN, RST, CLK : STD_LOGIC; signal LEDS, SWITCHES : STD_LOGIC_VECTOR (7 downto 0); begin cpu : RAT_WRAPPER PORT MAP (LEDS, SWITCHES, BTN, RST, CLK); process begin CLK <= '0'; wait for 20ns; CLK <= '1'; wait for 20ns; end process; process begin RST <= '1'; SWITCHES <= x"09"; wait for 50ns; RST <= '0'; wait for 10050ns; BTN <= '1'; wait for 400ns; BTN <= '0'; wait; end process; end Behavioral;
mit
3acc97709b0ff905d0a9e401abaefe0d
0.50905
4.092593
false
false
false
false
BBN-Q/VHDL-Components
src/DelayLine.vhd
1
1,185
-- Shift register delay line with synchronous reset -- -- Original authors Colm Ryan and Blake Johnson -- Copyright 2015, Raytheon BBN Technologies library ieee; use ieee.std_logic_1164.all; entity DelayLine is generic( REG_WIDTH : natural := 1; RESET_VALUE : std_logic := '0'; DELAY_TAPS : natural := 1 ); port ( clk : in std_logic; rst : in std_logic; data_in : in std_logic_vector(REG_WIDTH-1 downto 0); data_out : out std_logic_vector(REG_WIDTH-1 downto 0) ); end entity; architecture arch of DelayLine is type DELAY_LINE_t is array(DELAY_TAPS-1 downto 0) of std_logic_vector(REG_WIDTH-1 downto 0); shared variable delay_line : DELAY_LINE_t := (others => (others => RESET_VALUE)); attribute shreg_extract : string; attribute shreg_extract of delay_line : variable is "TRUE"; begin main : process(clk) begin if rising_edge(clk) then if rst = '1' then delay_line := (others => (others => RESET_VALUE)); data_out <= (others => RESET_VALUE); else delay_line := delay_line(delay_line'high-1 downto 0) & data_in; data_out <= delay_line(delay_line'high); end if; end if; end process; end architecture;
mpl-2.0
f06f0d61a07081c4d0e3efe7a918ba5c
0.665823
3.347458
false
false
false
false
MiddleMan5/233
Experiments/Experiment8-GeterDone/IPI-BD/RAT/ip/RAT_alu_0_0/sim/RAT_alu_0_0.vhd
2
3,525
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:module_ref:alu:1.0 -- IP Revision: 1 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY RAT_alu_0_0 IS PORT ( A : IN STD_LOGIC_VECTOR(7 DOWNTO 0); B : IN STD_LOGIC_VECTOR(7 DOWNTO 0); C_IN : IN STD_LOGIC; Sel : IN STD_LOGIC_VECTOR(3 DOWNTO 0); SUM : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); C_FLAG : OUT STD_LOGIC; Z_FLAG : OUT STD_LOGIC ); END RAT_alu_0_0; ARCHITECTURE RAT_alu_0_0_arch OF RAT_alu_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF RAT_alu_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT alu IS GENERIC ( data_width : INTEGER; sel_width : INTEGER ); PORT ( A : IN STD_LOGIC_VECTOR(7 DOWNTO 0); B : IN STD_LOGIC_VECTOR(7 DOWNTO 0); C_IN : IN STD_LOGIC; Sel : IN STD_LOGIC_VECTOR(3 DOWNTO 0); SUM : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); C_FLAG : OUT STD_LOGIC; Z_FLAG : OUT STD_LOGIC ); END COMPONENT alu; BEGIN U0 : alu GENERIC MAP ( data_width => 8, sel_width => 4 ) PORT MAP ( A => A, B => B, C_IN => C_IN, Sel => Sel, SUM => SUM, C_FLAG => C_FLAG, Z_FLAG => Z_FLAG ); END RAT_alu_0_0_arch;
mit
1fa4df6fda5a7de6065291b7843fe85d
0.697305
3.882159
false
false
false
false
marcoep/MusicBoxNano
hdl/CrossClockDomain.vhd
1
1,888
------------------------------------------------------------------------------- -- Title : Simple Clock Domain Crossing for Single Bit Signals -- Project : ------------------------------------------------------------------------------- -- File : CrossClockDomain.vhd -- Author : <Marco@JUDI-WIN10> -- Company : -- Created : 2016-07-28 -- Last update: 2016-07-28 -- Platform : Mentor Graphics ModelSim, Altera Quartus -- Standard : VHDL'93/02 ------------------------------------------------------------------------------- -- Description: Clock Domain Crossing for std_logic signals with a 5-stage -- synchronizer circuit. ------------------------------------------------------------------------------- -- Copyright (c) 2016 Marco Eppenberger <mail@mebg.ch> ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2016-07-28 1.0 Marco Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity CrossClockDomain is port ( Clk_CI : in std_logic; AsyncIn_SI : in std_logic; SyncOut_SO : out std_logic); end entity CrossClockDomain; architecture RTL of CrossClockDomain is signal clk_sync_0 : std_logic := '0'; signal clk_sync_1 : std_logic := '0'; signal clk_sync_2 : std_logic := '0'; signal clk_sync_3 : std_logic := '0'; begin -- architecture RTL sync_clock_domain : process (Clk_CI) is begin -- process sync_clock_domain if Clk_CI'event and Clk_CI = '1' then -- rising clock edge clk_sync_0 <= AsyncIn_SI; clk_sync_1 <= clk_sync_0; clk_sync_2 <= clk_sync_1; clk_sync_3 <= clk_sync_2; SyncOut_SO <= clk_sync_3; end if; end process sync_clock_domain; end architecture RTL;
gpl-3.0
f0096745f657109d6b41fbff0227d8ab
0.480932
4.195556
false
false
false
false
stefanct/aua
hw/io/sc_dummy/src/sc_dummy.vhd
1
2,062
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.aua_types.all; entity sc_test_slave is port ( clk : in std_logic; reset : in std_logic; -- SimpCon slave interface to IO ctrl address : in sc_addr_t; wr_data : in sc_data_t; rd : in std_logic; wr : in std_logic; rd_data : out sc_data_t; rdy_cnt : out sc_rdy_cnt_t ); end sc_test_slave; architecture rtl of sc_test_slave is signal cycle_cnt, cycle_cnt_nxt : unsigned(3 downto 0); signal ready, ready_nxt : unsigned(3 downto 0); signal reg, reg_nxt : sc_data_t; signal sc_out, sc_out_nxt : sc_data_t; type state_type is (st_done, st_wait); signal state : state_type; signal state_nxt : state_type; begin rd_data <= sc_out; nxt: process(reset, state, cycle_cnt, reg, wr_data, address, wr, rd, ready, sc_out) begin cycle_cnt_nxt <= cycle_cnt; reg_nxt <= reg; sc_out_nxt <= sc_out; rdy_cnt <= (others => '0'); ready_nxt <= ready; state_nxt <= st_done; if state=st_done then if address(0)='0' then if(wr='1') then cycle_cnt_nxt <= unsigned(wr_data(3 downto 0)); end if; if rd='1' then sc_out_nxt <= (sc_out_nxt'length-1 downto cycle_cnt'length => '0')&std_logic_vector(cycle_cnt); end if; else if(wr='1') then reg_nxt <= wr_data; state_nxt <= st_wait; ready_nxt <= cycle_cnt; end if; if(rd='1') then sc_out_nxt <= reg; state_nxt <= st_wait; ready_nxt <= cycle_cnt; end if; end if; else ready_nxt <= ready-1; sc_out_nxt <= reg; if ready > 3 then rdy_cnt <= "11"; else rdy_cnt <= ready(1 downto 0); end if; if ready /= 0 then state_nxt <= st_wait; end if; end if; end process; sync: process(clk, reset) begin if (reset='1') then sc_out <= (others => '0'); cycle_cnt <= (others => '0'); reg <= (others => '0'); ready <= (others => '0'); state <= st_done; elsif rising_edge(clk) then sc_out <= sc_out_nxt; cycle_cnt <= cycle_cnt_nxt; reg <= reg_nxt; ready <= ready_nxt; state <= state_nxt; end if; end process; end rtl;
gpl-3.0
3c1d0903751e455fac69ed03fd51afa5
0.604268
2.472422
false
false
false
false
marcoep/MusicBoxNano
hdl/SongDB.vhd
1
5,792
------------------------------------------------------------------------------- -- Title : Song ROM and Duration Counter -- Project : ------------------------------------------------------------------------------- -- File : SongDB.vhd -- Author : <Marco@JUDI-WIN10> -- Company : -- Created : 2016-07-28 -- Last update: 2016-07-29 -- Platform : Mentor Graphics ModelSim, Altera Quartus -- Standard : VHDL'93/02 ------------------------------------------------------------------------------- -- Description: Implements the song ROM and the duration counter to release the -- next key. ------------------------------------------------------------------------------- -- Copyright (c) 2016 ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2016-07-28 1.0 Marco Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.Helpers_Pkg.all; entity SongDB is port ( Clk_CI : in std_logic; Reset_SI : in std_logic; KeyTick_SI : in std_logic; NewKeyData_DO : out std_logic_vector(6 downto 0); NewKeyValid_SO : out std_logic); end entity SongDB; architecture RTL of SongDB is -- ROM constants (adapt to Quartus IP and generated .mif file) constant SONG_ROM_ADDR_WIDTH : integer := 11; -- adapt to song ROM address width constant MAX_SONG_ADDR : integer := 1067; -- adapt to song ROM depth -- duration counter constant DURATION_COUNTER_WIDTH : integer := 10; -- fixed in MATLAB signal DurCounter_S : unsigned(DURATION_COUNTER_WIDTH-1 downto 0) := (others => '0'); signal DurationZero_S : std_logic := '0'; signal LoadNextDuration_S : std_logic := '0'; -- address counter signal GenNextAddr_S : std_logic := '0'; signal AddrCounter_S : unsigned(SONG_ROM_ADDR_WIDTH-1 downto 0) := (others => '0'); -- song rom output signal ROMout_D : std_logic_vector(16 downto 0) := (others => '0'); signal CurKey_D : std_logic_vector(6 downto 0) := (others => '0'); signal CurDuration_D : std_logic_vector(9 downto 0) := (others => '0'); -- fsm type states_t is (INIT, WAITZERO, ADDRLAT1, ROMLAT1, ROMLAT2); signal State_SN, State_SP : states_t; begin -- architecture RTL -- instantiate song rom (latency 2) SongROM_i : entity work.SongROM port map ( address => std_logic_vector(AddrCounter_S), clock => Clk_CI, q => ROMout_D); CurKey_D <= ROMout_D(6 downto 0); CurDuration_D <= ROMout_D(16 downto 7); -- address counter addr_gen : process (Clk_CI) is begin -- process addr_gen if Clk_CI'event and Clk_CI = '1' then -- rising clock edge if Reset_SI = '1' then -- synchronous reset (active high) AddrCounter_S <= (others => '0'); else if GenNextAddr_S = '1' then if AddrCounter_S = MAX_SONG_ADDR then AddrCounter_S <= (others => '0'); else AddrCounter_S <= AddrCounter_S + 1; end if; end if; end if; end if; end process addr_gen; -- duration counter dur_gen : process (Clk_CI) is begin -- process addr_gen if Clk_CI'event and Clk_CI = '1' then -- rising clock edge if Reset_SI = '1' then -- synchronous reset (active high) DurCounter_S <= (others => '0'); else if KeyTick_SI = '1' then DurCounter_S <= DurCounter_S - 1; end if; if LoadNextDuration_S = '1' then DurCounter_S <= unsigned(CurDuration_D); end if; end if; end if; end process dur_gen; DurationZero_S <= bool2sl(DurCounter_S = 0); -- outputs NewKeyData_DO <= CurKey_D; NewKeyValid_SO <= LoadNextDuration_S; ----------------------------------------------------------------------------- -- FSM ----------------------------------------------------------------------------- fsm_comb : process (DurationZero_S, State_SP) is begin -- process fsm_comb -- default assignments State_SN <= State_SP; LoadNextDuration_S <= '0'; GenNextAddr_S <= '0'; case State_SP is when INIT => -- init state, duration does not matter, just load address 0 data for -- the first note State_SN <= ADDRLAT1; ------------------------------------------------------------------------- when WAITZERO => if DurationZero_S = '1' then GenNextAddr_S <= '1'; State_SN <= ADDRLAT1; end if; ------------------------------------------------------------------------- when ADDRLAT1 => State_SN <= ROMLAT1; ------------------------------------------------------------------------- when ROMLAT1 => State_SN <= ROMLAT2; ------------------------------------------------------------------------- when ROMLAT2 => LoadNextDuration_S <= '1'; State_SN <= WAITZERO; ----------------------------------------------------------------------- when others => State_SN <= INIT; end case; end process fsm_comb; fsm_reg : process (Clk_CI) is begin -- process fsm_reg if Clk_CI'event and Clk_CI = '1' then -- rising clock edge if Reset_SI = '1' then -- synchronous reset (active high) State_SP <= INIT; else State_SP <= State_SN; end if; end if; end process fsm_reg; end architecture RTL;
gpl-3.0
d2d23e322fcc035c1273c18a189ace9a
0.464088
4.184971
false
false
false
false
alpenwasser/pitaya
firmware/fpga/p_FIR_sim/FIR_sim/FIR_sim.srcs/sources_1/bd/design_1/ip/design_1_cic_compiler_0_0/synth/design_1_cic_compiler_0_0.vhd
2
8,480
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:cic_compiler:4.0 -- IP Revision: 10 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY cic_compiler_v4_0_10; USE cic_compiler_v4_0_10.cic_compiler_v4_0_10; ENTITY design_1_cic_compiler_0_0 IS PORT ( aclk : IN STD_LOGIC; s_axis_data_tdata : IN STD_LOGIC_VECTOR(15 DOWNTO 0); s_axis_data_tvalid : IN STD_LOGIC; s_axis_data_tready : OUT STD_LOGIC; m_axis_data_tdata : OUT STD_LOGIC_VECTOR(39 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC ); END design_1_cic_compiler_0_0; ARCHITECTURE design_1_cic_compiler_0_0_arch OF design_1_cic_compiler_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF design_1_cic_compiler_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT cic_compiler_v4_0_10 IS GENERIC ( C_COMPONENT_NAME : STRING; C_FILTER_TYPE : INTEGER; C_NUM_STAGES : INTEGER; C_DIFF_DELAY : INTEGER; C_RATE : INTEGER; C_INPUT_WIDTH : INTEGER; C_OUTPUT_WIDTH : INTEGER; C_USE_DSP : INTEGER; C_HAS_ROUNDING : INTEGER; C_NUM_CHANNELS : INTEGER; C_RATE_TYPE : INTEGER; C_MIN_RATE : INTEGER; C_MAX_RATE : INTEGER; C_SAMPLE_FREQ : INTEGER; C_CLK_FREQ : INTEGER; C_USE_STREAMING_INTERFACE : INTEGER; C_FAMILY : STRING; C_XDEVICEFAMILY : STRING; C_C1 : INTEGER; C_C2 : INTEGER; C_C3 : INTEGER; C_C4 : INTEGER; C_C5 : INTEGER; C_C6 : INTEGER; C_I1 : INTEGER; C_I2 : INTEGER; C_I3 : INTEGER; C_I4 : INTEGER; C_I5 : INTEGER; C_I6 : INTEGER; C_S_AXIS_CONFIG_TDATA_WIDTH : INTEGER; C_S_AXIS_DATA_TDATA_WIDTH : INTEGER; C_M_AXIS_DATA_TDATA_WIDTH : INTEGER; C_M_AXIS_DATA_TUSER_WIDTH : INTEGER; C_HAS_DOUT_TREADY : INTEGER; C_HAS_ACLKEN : INTEGER; C_HAS_ARESETN : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_config_tdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_config_tvalid : IN STD_LOGIC; s_axis_config_tready : OUT STD_LOGIC; s_axis_data_tdata : IN STD_LOGIC_VECTOR(15 DOWNTO 0); s_axis_data_tvalid : IN STD_LOGIC; s_axis_data_tready : OUT STD_LOGIC; s_axis_data_tlast : IN STD_LOGIC; m_axis_data_tdata : OUT STD_LOGIC_VECTOR(39 DOWNTO 0); m_axis_data_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tready : IN STD_LOGIC; m_axis_data_tlast : OUT STD_LOGIC; event_tlast_unexpected : OUT STD_LOGIC; event_tlast_missing : OUT STD_LOGIC; event_halted : OUT STD_LOGIC ); END COMPONENT cic_compiler_v4_0_10; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF design_1_cic_compiler_0_0_arch: ARCHITECTURE IS "cic_compiler_v4_0_10,Vivado 2016.2"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF design_1_cic_compiler_0_0_arch : ARCHITECTURE IS "design_1_cic_compiler_0_0,cic_compiler_v4_0_10,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF design_1_cic_compiler_0_0_arch: ARCHITECTURE IS "design_1_cic_compiler_0_0,cic_compiler_v4_0_10,{x_ipProduct=Vivado 2016.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=cic_compiler,x_ipVersion=4.0,x_ipCoreRevision=10,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_COMPONENT_NAME=design_1_cic_compiler_0_0,C_FILTER_TYPE=1,C_NUM_STAGES=4,C_DIFF_DELAY=1,C_RATE=25,C_INPUT_WIDTH=16,C_OUTPUT_WIDTH=35,C_USE_DSP=1,C_HAS_ROUNDING=0,C_NUM_CHANNELS=1,C_RATE_TYPE=0,C_MIN_RATE=25,C_MAX_RATE=25,C_SAMPLE_FREQ=1,C_CLK_FREQ=1,C_USE_STREAMING_INTERFACE=1,C_FAMILY=" & "zynq,C_XDEVICEFAMILY=zynq,C_C1=35,C_C2=35,C_C3=35,C_C4=35,C_C5=0,C_C6=0,C_I1=35,C_I2=35,C_I3=35,C_I4=35,C_I5=0,C_I6=0,C_S_AXIS_CONFIG_TDATA_WIDTH=1,C_S_AXIS_DATA_TDATA_WIDTH=16,C_M_AXIS_DATA_TDATA_WIDTH=40,C_M_AXIS_DATA_TUSER_WIDTH=1,C_HAS_DOUT_TREADY=0,C_HAS_ACLKEN=0,C_HAS_ARESETN=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TVALID"; BEGIN U0 : cic_compiler_v4_0_10 GENERIC MAP ( C_COMPONENT_NAME => "design_1_cic_compiler_0_0", C_FILTER_TYPE => 1, C_NUM_STAGES => 4, C_DIFF_DELAY => 1, C_RATE => 25, C_INPUT_WIDTH => 16, C_OUTPUT_WIDTH => 35, C_USE_DSP => 1, C_HAS_ROUNDING => 0, C_NUM_CHANNELS => 1, C_RATE_TYPE => 0, C_MIN_RATE => 25, C_MAX_RATE => 25, C_SAMPLE_FREQ => 1, C_CLK_FREQ => 1, C_USE_STREAMING_INTERFACE => 1, C_FAMILY => "zynq", C_XDEVICEFAMILY => "zynq", C_C1 => 35, C_C2 => 35, C_C3 => 35, C_C4 => 35, C_C5 => 0, C_C6 => 0, C_I1 => 35, C_I2 => 35, C_I3 => 35, C_I4 => 35, C_I5 => 0, C_I6 => 0, C_S_AXIS_CONFIG_TDATA_WIDTH => 1, C_S_AXIS_DATA_TDATA_WIDTH => 16, C_M_AXIS_DATA_TDATA_WIDTH => 40, C_M_AXIS_DATA_TUSER_WIDTH => 1, C_HAS_DOUT_TREADY => 0, C_HAS_ACLKEN => 0, C_HAS_ARESETN => 0 ) PORT MAP ( aclk => aclk, aclken => '1', aresetn => '1', s_axis_config_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_config_tvalid => '0', s_axis_data_tdata => s_axis_data_tdata, s_axis_data_tvalid => s_axis_data_tvalid, s_axis_data_tready => s_axis_data_tready, s_axis_data_tlast => '0', m_axis_data_tdata => m_axis_data_tdata, m_axis_data_tvalid => m_axis_data_tvalid, m_axis_data_tready => '0' ); END design_1_cic_compiler_0_0_arch;
mit
141bce9ab5e7a3cb34fa04bb5a2eaac7
0.665448
3.118794
false
false
false
false
viniciussmello/SistemasDigitais
Trabalho 2/Principal/lcd_controller.vhd
3
8,014
-------------------------------------------------------------------------------- -- -- FileName: lcd_controller.vhd -- Dependencies: none -- Design Software: Quartus II 32-bit Version 11.1 Build 173 SJ Full Version -- -- HDL CODE IS PROVIDED "AS IS." DIGI-KEY EXPRESSLY DISCLAIMS ANY -- WARRANTY OF ANY KIND, WHETHER EXPRESS OR IMPLIED, INCLUDING BUT NOT -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY, FITNESS FOR A -- PARTICULAR PURPOSE, OR NON-INFRINGEMENT. IN NO EVENT SHALL DIGI-KEY -- BE LIABLE FOR ANY INCIDENTAL, SPECIAL, INDIRECT OR CONSEQUENTIAL -- DAMAGES, LOST PROFITS OR LOST DATA, HARM TO YOUR EQUIPMENT, COST OF -- PROCUREMENT OF SUBSTITUTE GOODS, TECHNOLOGY OR SERVICES, ANY CLAIMS -- BY THIRD PARTIES (INCLUDING BUT NOT LIMITED TO ANY DEFENSE THEREOF), -- ANY CLAIMS FOR INDEMNITY OR CONTRIBUTION, OR OTHER SIMILAR COSTS. -- -- Version History -- Version 1.0 6/2/2006 Scott Larson -- Initial Public Release -- Version 2.0 6/13/2012 Scott Larson -- -- CLOCK FREQUENCY: to change system clock frequency, change Line 65 -- -- LCD INITIALIZATION SETTINGS: to change, comment/uncomment lines: -- -- Function Set -- 2-line mode, display on Line 93 lcd_data <= "00111100"; -- 1-line mode, display on Line 94 lcd_data <= "00110100"; -- 1-line mode, display off Line 95 lcd_data <= "00110000"; -- 2-line mode, display off Line 96 lcd_data <= "00111000"; -- Display ON/OFF -- display on, cursor off, blink off Line 104 lcd_data <= "00001100"; -- display on, cursor off, blink on Line 105 lcd_data <= "00001101"; -- display on, cursor on, blink off Line 106 lcd_data <= "00001110"; -- display on, cursor on, blink on Line 107 lcd_data <= "00001111"; -- display off, cursor off, blink off Line 108 lcd_data <= "00001000"; -- display off, cursor off, blink on Line 109 lcd_data <= "00001001"; -- display off, cursor on, blink off Line 110 lcd_data <= "00001010"; -- display off, cursor on, blink on Line 111 lcd_data <= "00001011"; -- Entry Mode Set -- increment mode, entire shift off Line 127 lcd_data <= "00000110"; -- increment mode, entire shift on Line 128 lcd_data <= "00000111"; -- decrement mode, entire shift off Line 129 lcd_data <= "00000100"; -- decrement mode, entire shift on Line 130 lcd_data <= "00000101"; -- -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY lcd_controller IS PORT( clk : IN STD_LOGIC; --system clock reset_n : IN STD_LOGIC; --active low reinitializes lcd lcd_enable : IN STD_LOGIC; --latches data into lcd controller lcd_bus : IN STD_LOGIC_VECTOR(9 DOWNTO 0); --data and control signals busy : OUT STD_LOGIC := '1'; --lcd controller busy/idle feedback rw, rs, e : OUT STD_LOGIC; --read/write, setup/data, and enable for lcd lcd_data : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)); --data signals for lcd END lcd_controller; ARCHITECTURE controller OF lcd_controller IS TYPE CONTROL IS(power_up, initialize, ready, send); SIGNAL state : CONTROL; CONSTANT freq : INTEGER := 50; --system clock frequency in MHz BEGIN PROCESS(clk) VARIABLE clk_count : INTEGER := 0; --event counter for timing BEGIN IF(clk'EVENT and clk = '1') THEN CASE state IS --wait 50 ms to ensure Vdd has risen and required LCD wait is met WHEN power_up => busy <= '1'; IF(clk_count < (50000 * freq)) THEN --wait 50 ms clk_count := clk_count + 1; state <= power_up; ELSE --power-up complete clk_count := 0; rs <= '0'; rw <= '0'; lcd_data <= "00110000"; state <= initialize; END IF; --cycle through initialization sequence WHEN initialize => busy <= '1'; clk_count := clk_count + 1; IF(clk_count < (10 * freq)) THEN --function set lcd_data <= "00111100"; --2-line mode, display on --lcd_data <= "00110100"; --1-line mode, display on --lcd_data <= "00110000"; --1-line mdoe, display off --lcd_data <= "00111000"; --2-line mode, display off e <= '1'; state <= initialize; ELSIF(clk_count < (60 * freq)) THEN --wait 50 us lcd_data <= "00000000"; e <= '0'; state <= initialize; ELSIF(clk_count < (70 * freq)) THEN --display on/off control lcd_data <= "00001100"; --display on, cursor off, blink off --lcd_data <= "00001101"; --display on, cursor off, blink on --lcd_data <= "00001110"; --display on, cursor on, blink off --lcd_data <= "00001111"; --display on, cursor on, blink on --lcd_data <= "00001000"; --display off, cursor off, blink off --lcd_data <= "00001001"; --display off, cursor off, blink on --lcd_data <= "00001010"; --display off, cursor on, blink off --lcd_data <= "00001011"; --display off, cursor on, blink on e <= '1'; state <= initialize; ELSIF(clk_count < (120 * freq)) THEN --wait 50 us lcd_data <= "00000000"; e <= '0'; state <= initialize; ELSIF(clk_count < (130 * freq)) THEN --display clear lcd_data <= "00000001"; e <= '1'; state <= initialize; ELSIF(clk_count < (2130 * freq)) THEN --wait 2 ms lcd_data <= "00000000"; e <= '0'; state <= initialize; ELSIF(clk_count < (2140 * freq)) THEN --entry mode set lcd_data <= "00000110"; --increment mode, entire shift off --lcd_data <= "00000111"; --increment mode, entire shift on --lcd_data <= "00000100"; --decrement mode, entire shift off --lcd_data <= "00000101"; --decrement mode, entire shift on e <= '1'; state <= initialize; ELSIF(clk_count < (2200 * freq)) THEN --wait 60 us lcd_data <= "00000000"; e <= '0'; state <= initialize; ELSE --initialization complete clk_count := 0; busy <= '0'; state <= ready; END IF; --wait for the enable signal and then latch in the instruction WHEN ready => IF(lcd_enable = '1') THEN busy <= '1'; rs <= lcd_bus(9); rw <= lcd_bus(8); lcd_data <= lcd_bus(7 DOWNTO 0); clk_count := 0; state <= send; ELSE busy <= '0'; rs <= '0'; rw <= '0'; lcd_data <= "00000000"; clk_count := 0; state <= ready; END IF; --send instruction to lcd WHEN send => busy <= '1'; IF(clk_count < (50 * freq)) THEN --do not exit for 50us busy <= '1'; IF(clk_count < freq) THEN --negative enable e <= '0'; ELSIF(clk_count < (14 * freq)) THEN --positive enable half-cycle e <= '1'; ELSIF(clk_count < (27 * freq)) THEN --negative enable half-cycle e <= '0'; END IF; clk_count := clk_count + 1; state <= send; ELSE clk_count := 0; state <= ready; END IF; END CASE; --reset IF(reset_n = '0') THEN state <= power_up; END IF; END IF; END PROCESS; END controller;
gpl-3.0
4ce742a38ef7d4ca5b1129bc893424f7
0.51435
4.120308
false
false
false
false
endlos99/finalgrom99
vhdl/src/basic.vhdl
1
10,479
-- FinalGROM 99 -- A cartridge for the TI 99 that allows for running ROM and GROM images -- stored on an SD card -- -- Copyright (c) 2017 Ralph Benzinger <r@0x01.de> -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, see <http://www.gnu.org/licenses/>. library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity logic is Port ( -- TI99 bus connections ROMS : in std_logic; WE : in std_logic; GS : in std_logic; DBIN : in std_logic; GC : in std_logic; GR : out std_logic := 'Z'; BUS_DX : inout std_logic_vector(7 downto 0) := (others => 'Z'); BUS_AX : in std_logic_vector(12 downto 0); -- RAM connections RAM_DX : inout std_logic_vector(7 downto 0) := (others => 'Z'); RAM_AX : out std_logic_vector(19 downto 0); -- 1 MB RAM_OE : out std_logic := '1'; RAM_WE : out std_logic := '1'; -- µC control connections CCMD : in std_logic_vector(2 downto 0); -- actions CCLK : in std_logic; -- load clock CDX : inout std_logic_vector(7 downto 0) := (others => 'Z'); -- byte transfered CX : inout std_logic -- ROM Write Enable (in) and Select Clock (out) ); end logic; -- Technical Data: -- 128 banks of 8 KB -- 5 usable GROMs -- GROMs occupy banks 123-127, banks 120-122 reserved -- Actions (CCMD2-CCDMD1-CCMD0): -- 0-- RUN run normally, with active selection -- 100 LOAD SETSIZE set ROM/GROM start address and mask -- 101 LOAD SETCONF activate RAM or/and GRAM mode -- 110 LOAD RDUMP dump current image -- 111 LOAD RLOAD load new image -- Set Size -- 0xxxxxxx Set binary ROM bank mask -- 10-xxxxx Set unary GROM mask -- 11------ Reset GROM SRAM address -- Configuration: -- conf 0 RAM mode -- conf 1 GRAM mode architecture Behavioral of logic is -- GROM Ready state type grstate_t is (GR0, GRZ); signal grstate : grstate_t; -- current operation type action_t is (RUN, LOAD); signal action : action_t := LOAD; -- cart offline type subaction_t is (RLOAD, RDUMP, SETSIZE, SETCONF); signal subaction : subaction_t := RLOAD; -- state change signal ocmd2 : std_logic := '1'; -- LOAD signal ocmd1 : std_logic := '1'; -- RLOAD signal ocmd0 : std_logic := '1'; signal conf : std_logic_vector(1 downto 0) := (others => '0'); -- all inactive -- GROM signals signal grom : std_logic_vector(2 downto 0) := (others => '0'); -- 8 GROMs signal gromAddr : std_logic_vector(12 downto 0); -- 6K/8K signal gromMask : std_logic_vector(4 downto 0) := (others => '0'); -- 5 usable GROMs signal gromSel : std_logic := '0'; signal delay : std_logic; -- ROM signals signal bank : std_logic_vector(6 downto 0); -- 128 banks signal bankRam : std_logic_vector(6 downto 0); -- RAM banks signal bankMask : std_logic_vector(6 downto 0); -- mask >00..>7F -- image selection signals signal selection : std_logic_vector(8 downto 0); -- clock & byte signal romWrite : std_logic := '1'; -- address counters signal loadAddr : std_logic_vector(19 downto 0); -- 1 MB signal ramAddr : std_logic_vector(19 downto 0); -- (4 & 3) & 13 begin -- data bus output BUS_DX <= -- ROM read RAM_DX when action = RUN and ROMS = '0' and DBIN = '1' else -- GROM read RAM_DX when action = RUN and GS = '0' and DBIN = '1' and BUS_AX(1) = '0' and gromSel = '1' else -- remove BAX? -- no read, don't touch data bus (others => 'Z'); -- SRAM address RAM_AX <= -- load address when loading/dumping ROM loadAddr when action = LOAD else -- current SRAM address for bus access ramAddr; ramAddr <= -- read from GROM == upper banks "1111" & grom & gromAddr when GS = '0' else -- read from RAM (if enabled) bankRam & BUS_AX when conf(0) = '1' and BUS_AX(12) = '1' else -- RAM bank -- read from ROM bank & BUS_AX; -- SRAM data RAM_DX <= -- write external data when loading ROM CDX when action = LOAD and subaction = RLOAD else -- write bus data when writing to RAM bank BUS_DX when action = RUN and WE = '0' else -- otherwise read from SRAM (others => 'Z'); -- read SRAM RAM_OE <= -- disable SRAM output when loading image '1' when action = LOAD and subaction = RLOAD else -- disable SRAM output when updating RAM bank '1' when action = RUN and WE = '0' else -- else always output '0'; -- write RAM RAM_WE <= -- enable SRAM write when loading image CX when action = LOAD and subaction = RLOAD and CCLK = '0' else -- CCLK for stability -- enable SRAM write when updating RAM bank '0' when action = RUN and ROMS = '0' and WE = '0' and conf(0) = '1' and BUS_AX(12) = '1' else -- enable GRAM write for all GROMs '0' when action = RUN and GS = '0' and WE = '0' and conf(1) = '1' and BUS_AX(1) = '0' else -- else never write '1'; -- data transfer to uC CDX <= selection(7 downto 0) when action = RUN else -- image selection RAM_DX when action = LOAD and subaction = RDUMP else -- dump image (others => 'Z'); romWrite <= '0' when ROMS = '0' and WE = '0' else '1'; -- clock transfer to uC CX <= selection(8) when action = RUN else 'Z'; -- GROM Ready logic with grstate select GR <= '0' when GR0, 'Z' when others; -- GROM Ready state machine PGRDY: process(GC, GS) begin if GS = '1' then grstate <= GR0; -- no read -> not ready elsif rising_edge(GC) then case grstate is when GR0 => grstate <= GRZ; -- wait at least one GROM clock ... when GRZ => grstate <= GRZ; -- ... before being ready end case; end if; end process; -- GROM logic PGROM: process(GS, action, subaction, CDX) variable newGrom : std_logic_vector(2 downto 0); begin if action = LOAD and subaction = SETSIZE and CDX(7 downto 6) = "10" then -- GSET command w/RESET grom <= (others => '0'); gromAddr <= (others => '0'); gromSel <= '0'; elsif falling_edge(GS) then -- observe GROM addrs even when loading/dumping if DBIN = '0' and BUS_AX(1) = '1' then -- set GROM address newGrom := gromAddr(7 downto 5); grom <= newGrom; gromAddr <= gromAddr(4 downto 0) & BUS_DX; case newGrom is -- check if GROM is loaded when "011" => gromSel <= gromMask(0); -- GROMs 3-7 when "100" => gromSel <= gromMask(1); when "101" => gromSel <= gromMask(2); when "110" => gromSel <= gromMask(3); when "111" => gromSel <= gromMask(4); when others => gromSel <= '0'; -- no GROMs 0-2 end case; delay <= '0'; -- do not increment addr on first read elsif BUS_AX(1) = '0' then -- auto-increment GROM addr gromAddr <= gromAddr + delay; delay <= '1'; -- enable GROM auto increment after first read end if; end if; end process; -- bank switch logic PBANK: process(WE, action, subaction) begin if action = LOAD and subaction = SETSIZE then -- SET command (GSET precedes RSET) bank <= (others => '0'); bankRam <= (others => '0'); elsif rising_edge(WE) then if ROMS = '0' and BUS_AX(0) = '1' then -- fetch from first byte -- could add action = RUN, but who writes to ROM while loading? -- select bank if conf(0) = '1' and BUS_AX(12 downto 11) = "01" then -- switch RAM bank (needs to be enabled) bankRam <= BUS_AX(7 downto 1) and bankMask; elsif conf(0) = '0' or BUS_AX(12) = '0' then -- switch ROM bank bank <= BUS_AX(7 downto 1) and bankMask; end if; end if; end if; end process; -- image selection PSELC: process(action, romWrite, BUS_AX) begin if action = RUN and falling_edge(romWrite) then -- select image selection <= BUS_AX(12) & BUS_AX(8 downto 1); end if; end process; -- image load and dump address logic PLOAD: process(CCLK) begin if rising_edge(CCLK) then if action = LOAD and subaction = SETSIZE then -- set where to load if CDX(7) = '0' then -- load in ROM loadAddr <= (others => '0'); bankMask <= CDX(6 downto 0); -- valid bank switches else -- load in GROM loadAddr <= "1111011" & (BUS_AX'range => '0'); -- start at GROM 3 gromMask <= CDX(4 downto 0); -- valid GROMs end if; else -- load each byte sequentially loadAddr <= loadAddr + 1; end if; end if; end process; -- mode lock to filter out glitches in control lines -- NOTE: it may take up to almost 3 GC cycles => 3 * 2,24 us < 7 us -- a CPU cycle takes 1/3 us => 7 us = 21 CPU cycles PMODE: process(GC) begin if rising_edge(GC) then ocmd2 <= CCMD(2); -- action RUN or LOAD ocmd1 <= CCMD(1); -- subaction ocmd0 <= CCMD(0); if ocmd2 = CCMD(2) and ocmd1 = CCMD(1) and ocmd0 = CCMD(0) then if ocmd2 = '0' then action <= RUN; else action <= LOAD; end if; if ocmd1 = '0' then if ocmd0 = '0' then subaction <= SETSIZE; else subaction <= SETCONF; conf <= CDX(1 downto 0); end if; else if ocmd0 = '0' then subaction <= RDUMP; else subaction <= RLOAD; end if; end if; end if; end if; end process; end Behavioral;
gpl-3.0
1a4773a48205d91a25aa4dad53a571ea
0.568525
3.648329
false
false
false
false
MiddleMan5/233
Experiments/Experiment8-GeterDone/IPI-BD/RAT/ip/RAT_Mux4x1_10_0_0/sim/RAT_Mux4x1_10_0_0.vhd
2
3,394
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:module_ref:Mux4x1_10:1.0 -- IP Revision: 1 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY RAT_Mux4x1_10_0_0 IS PORT ( A : IN STD_LOGIC_VECTOR(9 DOWNTO 0); B : IN STD_LOGIC_VECTOR(9 DOWNTO 0); C : IN STD_LOGIC_VECTOR(9 DOWNTO 0); D : IN STD_LOGIC_VECTOR(9 DOWNTO 0); SEL : IN STD_LOGIC_VECTOR(1 DOWNTO 0); X : OUT STD_LOGIC_VECTOR(9 DOWNTO 0) ); END RAT_Mux4x1_10_0_0; ARCHITECTURE RAT_Mux4x1_10_0_0_arch OF RAT_Mux4x1_10_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF RAT_Mux4x1_10_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT Mux4x1_10 IS PORT ( A : IN STD_LOGIC_VECTOR(9 DOWNTO 0); B : IN STD_LOGIC_VECTOR(9 DOWNTO 0); C : IN STD_LOGIC_VECTOR(9 DOWNTO 0); D : IN STD_LOGIC_VECTOR(9 DOWNTO 0); SEL : IN STD_LOGIC_VECTOR(1 DOWNTO 0); X : OUT STD_LOGIC_VECTOR(9 DOWNTO 0) ); END COMPONENT Mux4x1_10; BEGIN U0 : Mux4x1_10 PORT MAP ( A => A, B => B, C => C, D => D, SEL => SEL, X => X ); END RAT_Mux4x1_10_0_0_arch;
mit
804d9dd06d20b15d51d3e41994489ea4
0.713318
3.762749
false
false
false
false
cedd70/PID-ON-FPGA
PID_V3_1.vhd
1
4,224
--####################################### --# Correcteur PID V.3.1 # --####################################### library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; --use ieee.std_logic_signed.all; entity PID_V3_1 is generic ( ------------ Coefficient ------------ Kp : integer range 0 to 255:=1; Ki : integer range 0 to 255:=1; Kd : integer range 0 to 255:=1 ); port ( ------------ Input ------------ clock, reset : in std_logic; difference_in : in std_logic_vector(31 downto 0 ); ------------ Output ------------ consigne_end : out std_logic:='0'; commande_out : out std_logic_vector(31 downto 0 ) ); end entity; architecture arch_PID of PID_V3_1 is ------------ accuracy ------------ constant accuracy : integer :=4; ------------ clipping_threshold and Saturation Value ------------ constant clipping_threshold : integer := 500; constant value_saturation : integer := 500; ------------ output commande ------------ signal commande_buffer : integer range -2147483647 to 2147483647:=0; signal commande : integer range -2147483647 to 2147483647:=0; ------------ difference between feedback and commande (PID) ------------ signal difference : integer range -2147483647 to 2147483647:=0; signal cpt_integrator : integer range -2147483647 to 2147483647:=0; signal previous_difference : integer range -2147483647 to 2147483647:=0; ------------ Signal temp ------------ signal temp_P : integer range -2147483647 to 2147483647:=0; signal temp_I : integer range -2147483647 to 2147483647:=0; signal temp_D : integer range -2147483647 to 2147483647:=0; signal i : integer range 0 to 5 :=0; begin ---------------------------------------------------------------------------------- computation : process(clock,reset) begin if rising_edge(clock) then if reset = '0' then commande <= 0; else --loi de commande PID ( Pipe) for i in 0 to 1 loop case i is when 0 => temp_P <= (difference * Kp); temp_I <= (cpt_integrator * Ki); temp_D <= (difference - difference_previous) * Kd); when 1 => commande_buffer <= temp_P + temp_I +temp_D; when others => null; end case; end loop; end if; end if; end process; ---------------------------------------------------------------------------------- integrate : process(clock,reset) begin if reset = '0' then cpt_integrator <=0; elseif rising_edge(clock) then if(difference < accuracy and difference > - accuracy) then cpt_integrator <= 0; else cpt_integrator <= cpt_integrator + difference; end if; end if; end process; ---------------------------------------------------------------------------------- derivative: process(clock,reset) begin if reset = '0' then previous_difference <= 0; elseif rising_edge(clock) then previous_difference <= difference; end if; end process; ---------------------------------------------------------------------------------- commande_process : process(clock,reset) variable tempo : integer range 0 to 30000000:=0; begin if (reset = '0') then commande <= 0; elseif rising_edge(clock) then ------------ stop Tuning ------------ -- if ok for X time good position if ((difference < accuracy) and (difference > - accuracy)) then if tempo > 20000000 then commande <= 0; consigne_end <= '1'; else tempo := tempo + 1 ; end if; ------------ Clipping + ------------ elsif (commande > clipping_threshold) then commande <= value_saturation; consigne_end <= '0'; tempo := 0 ; ------------ Clipping - ------------ elsif (commande < - clipping_threshold) then commande <= - value_saturation; consigne_end <= '0'; tempo := 0 ; ------------ Tuning ------------ else commande <= commande_buffer ; consigne_end <= '0'; tempo := 0 ; end if; end if; end process; ---------------------------------------------------------------------------------- difference <= to_integer(signed(difference_in )); commande_out <= std_logic_vector(to_signed(commande,commande'length)); end arch_PID;
mit
8254ea0424016cc36e6789ae4d1af688
0.520597
3.57663
false
false
false
false
stefanct/aua
hw/caches/src/cache_direct.vhd
1
6,609
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; -- used for address width calculation use ieee.math_real.log2; use ieee.math_real.ceil; use work.aua_types.all; --~ entity instr_cache is --~ port ( --~ clk : in std_logic; --~ reset : in std_logic; --~ --~ -- cache/if --~ id_instr_addr : in word_t; --~ id_instr_valid : out std_logic; --~ id_instr : out word_t; --~ -- cache/mmu --~ mmu_instr_addr : out word_t; --~ mmu_enable : out std_logic; --~ mmu_instr_valid : in std_logic; --~ mmu_instr : in word_t --~ ); --~ end instr_cache; -- a direct mapped instruction cache for AUA architecture cache_direct of instr_cache is constant WORDS_PER_LINE : positive := 1; constant NUMBER_OF_LINES : positive := 16; --~ constant LINE_SIZE : positive := WORDS_PER_LINE * WORD_SIZE; constant WORD_BITS : natural := reqbitsZ_for_choices(WORDS_PER_LINE); -- 0 --~ subtype word_index_r is natural range WORD_BITS downto 1; -- 2 downto 1, word aligned addresses only constant LINE_BITS : positive := reqbits_for_choices(NUMBER_OF_LINES); -- 5 --~ subtype line_index_r is natural range LINE_BITS downto WORD_BITS+1; -- 7 downto 3 constant TAG_BITS : positive := WORD_SIZE-LINE_BITS-WORD_BITS-1; -- 16-5-2-1=8 subtype tag_r is natural range WORD_SIZE-1 downto WORD_SIZE-TAG_BITS; -- 15 downto 8 type line_t is array (natural range 0 to WORDS_PER_LINE-1) of word_t; subtype tag_t is std_logic_vector(tag_r); constant VEC_BITS : natural := TAG_BITS + 1 + WORDS_PER_LINE * WORD_SIZE; type entry_t is record tag : tag_t; valid : boolean; data : line_t; end record; type store_array_t is array(natural range 0 to NUMBER_OF_LINES-1) of entry_t; function clear_entry return entry_t is variable ret_e : entry_t; begin ret_e.tag := (others => '0'); ret_e.valid := false; for j in ret_e.data'range loop ret_e.data(j) := (others => '0'); end loop; return ret_e; end function; function clear_array return store_array_t is variable return_array : store_array_t; begin for i in return_array'range loop return_array(i) := clear_entry; end loop; return return_array; end function; function get_word_idx (constant ADDR : word_t) return integer is begin if WORD_BITS = 0 then return 0; else return to_integer(unsigned(ADDR(WORD_BITS downto 1))); end if; end function; function get_line_idx (constant ADDR : word_t) return integer is variable ret : integer; begin --~ if WORD_BITS = 0 then --~ return to_integer(unsigned(ADDR(LINE_BITS downto WORD_BITS+1))); --~ else return to_integer(unsigned(ADDR(WORD_BITS+LINE_BITS downto WORD_BITS+1))); end function; -- encodes a cache entry (record) into a std_logic_vector function entry2slv (constant E: in entry_t) return std_logic_vector is constant WORD_CNT : natural := E.data'length; constant TAG_SIZE : natural := E.tag'length; constant WORD_SIZE : natural := E.data(0)'length; constant VEC_BITS : natural := TAG_SIZE + 1 + WORD_CNT * WORD_SIZE; variable ret_vec : std_logic_vector(VEC_BITS-1 downto 0); variable vec_off : integer; begin ret_vec(VEC_BITS-1 downto VEC_BITS-TAG_SIZE) := E.tag; ret_vec(VEC_BITS-TAG_SIZE-1) := bool2sl(E.valid); vec_off := VEC_BITS-TAG_SIZE-2; for i in E.data'range loop ret_vec(vec_off downto vec_off-WORD_SIZE+1) := E.data(i); vec_off := vec_off-WORD_SIZE; end loop; return ret_vec; end function; -- decodes the fields of a cache entry from a std_logic_vector function slv2entry (constant V: in std_logic_vector) return entry_t is variable ret_e : entry_t; variable vec_off : integer; begin ret_e.tag := V(VEC_BITS-1 downto VEC_BITS-TAG_BITS); ret_e.valid := sl2bool(V(VEC_BITS-TAG_BITS-1)); vec_off := VEC_BITS-TAG_BITS-2; for i in 0 to WORDS_PER_LINE-1 loop ret_e.data(i) := V(vec_off downto vec_off-WORD_SIZE+1); vec_off := vec_off-WORD_SIZE; end loop; return ret_e; end function; --~ constant init_store : store_array_t := array_constructor; signal store : store_array_t; signal store_nxt : store_array_t; signal in_cache : boolean; signal cur_line : natural range 0 to NUMBER_OF_LINES-1; signal cur_word : natural range 0 to WORDS_PER_LINE-1; --~ signal test : std_logic_vector(VEC_BITS-1 downto 0); --~ signal testr : entry_t; begin mmu_enable <= not bool2sl(in_cache); mmu_instr_addr <= id_instr_addr; in_cache <= store(cur_line).valid and (store(cur_line).tag = id_instr_addr(tag_r)); id_instr_valid <= '1' when in_cache else mmu_instr_valid; cur_line <= get_line_idx(id_instr_addr); cur_word <= get_word_idx(id_instr_addr); --~ test <= entry2slv(store(0)); --~ testr <= slv2entry(test); --~ id_instr <= store(cur_line).data(0) when in_cache='1' else mmu_instr; mux_res: process (reset, in_cache, store, cur_line, cur_word, id_instr_addr, mmu_instr) begin if reset = '1' then report "WORD_BITS="&integer'image(WORD_BITS)&", LINE_BITS="&integer'image(LINE_BITS)&", TAG_BITS="&integer'image(TAG_BITS); id_instr <= (others => '0'); else if in_cache then id_instr <= store(cur_line).data(cur_word); else id_instr <= mmu_instr; end if; end if; end process; cache_update: process (reset, store, cur_line, cur_word, in_cache, id_instr_addr, mmu_instr, mmu_instr_valid) begin if reset = '1' then --~ for i in store_nxt'range loop --~ store_nxt(i).tag <= (others => '0'); --~ store_nxt(i).valid <= false; --~ for j in store_nxt(i).data'range loop --~ store_nxt(i).data(j) <= (others => '0'); --~ end loop; --~ end loop; store_nxt <= clear_array; else store_nxt <= store; if mmu_instr_valid = '1' then store_nxt(cur_line).data(cur_word) <= mmu_instr; --~ store_nxt(cur_line).data(1) <= mmu_instr(7 downto 0)&mmu_instr(15 downto 8); --~ store_nxt(cur_line).data(3) <= x"0123"; store_nxt(cur_line).valid <= true; store_nxt(cur_line).tag <= id_instr_addr(tag_r); end if; end if; end process; sync: process (clk, reset) begin if reset = '1' then --~ for i in store'range loop --~ store(i).tag <= (others => '0'); --~ store(i).valid <= false; --~ for j in store(i).data'range loop --~ store(i).data(j) <= (others => '0'); --~ end loop; --~ end loop; store <= clear_array; elsif rising_edge(clk) then --~ for i in store'range loop --~ store(i).tag <= store_nxt(i).tag; --~ store(i).valid <= store_nxt(i).valid; --~ for j in store(i).data'range loop --~ store(i).data(j) <= store_nxt(i).data(j); --~ end loop; --~ end loop; store <= store_nxt; end if; end process; end cache_direct;
gpl-3.0
f776d91545522e64ab5c6a98c390f796
0.648964
2.768747
false
false
false
false
MiddleMan5/233
Experiments/Experiment8-GeterDone/IPI-BD/RAT/ip/RAT_slice_7_0_0/sim/RAT_slice_7_0_0.vhd
2
3,205
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:xlslice:1.0 -- IP Revision: 0 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY work; USE work.xlslice; ENTITY RAT_slice_7_0_0 IS PORT ( Din : IN STD_LOGIC_VECTOR(17 DOWNTO 0); Dout : OUT STD_LOGIC_VECTOR(4 DOWNTO 0) ); END RAT_slice_7_0_0; ARCHITECTURE RAT_slice_7_0_0_arch OF RAT_slice_7_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF RAT_slice_7_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT xlslice IS GENERIC ( DIN_WIDTH : INTEGER; DIN_FROM : INTEGER; DIN_TO : INTEGER ); PORT ( Din : IN STD_LOGIC_VECTOR(17 DOWNTO 0); Dout : OUT STD_LOGIC_VECTOR(4 DOWNTO 0) ); END COMPONENT xlslice; BEGIN U0 : xlslice GENERIC MAP ( DIN_WIDTH => 18, DIN_FROM => 12, DIN_TO => 8 ) PORT MAP ( Din => Din, Dout => Dout ); END RAT_slice_7_0_0_arch;
mit
4becb2df3ad9e77d1b46d683417d11e1
0.721373
4.046717
false
false
false
false
marcoep/MusicBoxNano
hdl/MusicBoxNano.vhd
1
4,720
------------------------------------------------------------------------------- -- Title : Music Box Nano -- Project : ------------------------------------------------------------------------------- -- File : MusicBoxNano.vhd -- Author : <Marco@JUDI-WIN10> -- Company : -- Created : 2016-07-28 -- Last update: 2016-08-01 -- Platform : Mentor Graphics ModelSim, Altera Quartus -- Standard : VHDL'93/02 ------------------------------------------------------------------------------- -- Description: Top-Level for the MusicBoxNano Project ------------------------------------------------------------------------------- -- Copyright (c) 2016 ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2016-07-28 1.0 Marco Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity MusicBoxNano is port ( CLOCK_50 : in std_logic; Button_DI : in std_logic_vector(1 downto 0); Led_DO : out std_logic_vector(7 downto 0); PWMOut_DO : out std_logic); end entity MusicBoxNano; architecture RTL of MusicBoxNano is -- global signals signal ClkSys_C : std_logic := '0'; signal ResetSys_S : std_logic := '0'; signal ClkPWM_C : std_logic := '0'; signal ResetPWM_S : std_logic := '0'; -- frequency and key ticks signal FreqTick_S : std_logic := '0'; signal KeyTick_S : std_logic := '0'; -- note data from Song ROM signal KeyData_D : std_logic_vector(6 downto 0) := (others => '0'); signal KeyValid_S : std_logic := '0'; -- key to freq increment signal FreqIncrement_D : std_logic_vector(28 downto 0) := (others => '0'); signal KeyValidShim_S : std_logic := '0'; signal FreqIncrValid_S : std_logic := '0'; -- dds to pwm signal Waveform_D : std_logic_vector(9 downto 0) := (others => '0'); begin -- architecture RTL ----------------------------------------------------------------------------- -- Clocking and Reset Resources ----------------------------------------------------------------------------- MusicBoxClocking_i : entity work.MusicBoxClocking port map ( CLOCK_50 => CLOCK_50, RESET_RI => not(Button_DI(0)), ClkSystem_CO => ClkSys_C, ClkPWM_CO => ClkPWM_C, ResetSystem_SO => ResetSys_S, ResetPWM_SO => ResetPWM_S, FreqInt_SO => FreqTick_S, KeyInt_SO => KeyTick_S); ----------------------------------------------------------------------------- -- Song ROM ----------------------------------------------------------------------------- SongDB_i : entity work.SongDB port map ( Clk_CI => ClkSys_C, Reset_SI => ResetSys_S, KeyTick_SI => KeyTick_S, NewKeyData_DO => KeyData_D, NewKeyValid_SO => KeyValid_S); -- output LEDs Led_DO <= '0' & KeyData_D; ----------------------------------------------------------------------------- -- Key to Frequency Mapping ----------------------------------------------------------------------------- -- latency 2 KeyToFreqROM_i : entity work.KeyToFreqROM port map ( address => KeyData_D, clock => ClkSys_C, q => FreqIncrement_D); shim_valid : process (ClkSys_C) is begin -- process shim_valid if ClkSys_C'event and ClkSys_C = '1' then -- rising clock edge if ResetSys_S = '1' then -- synchronous reset (active high) KeyValidShim_S <= '0'; FreqIncrValid_S <= '0'; else KeyValidShim_S <= KeyValid_S; FreqIncrValid_S <= KeyValidShim_S; end if; end if; end process shim_valid; ----------------------------------------------------------------------------- -- DDS and Envelope ----------------------------------------------------------------------------- MusicBoxDDS_i : entity work.MusicBoxDDS port map ( Clk_CI => ClkSys_C, Reset_SI => ResetSys_S, FreqTick_SI => FreqTick_S, FreqIncrement_D => FreqIncrement_D, FreqIncrValid_SI => FreqIncrValid_S, Waveform_DO => Waveform_D); ----------------------------------------------------------------------------- -- PWM Generator ----------------------------------------------------------------------------- PWMGenerator_i : entity work.PWMGenerator port map ( Clk_CI => ClkPWM_C, Reset_SI => ResetPWM_S, DutyCycle_DI => Waveform_D, PulseOut_DO => PWMOut_DO); end architecture RTL;
gpl-3.0
9594030af4809ed255eb59bc4c200a61
0.422881
4.407096
false
false
false
false
alpenwasser/pitaya
firmware/fpga/p_FIR_sim/FIR_sim/FIR_sim.srcs/sources_1/bd/design_1/ipshared/xilinx.com/xbip_bram18k_v3_0/hdl/xbip_bram18k_v3_0.vhd
5
9,340
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block cQDd3XIPPlgRDhqULvYHvwCty2ZrVwzfefmANvx1dZIylIMC/SlAcj88wfYJOEUSOPC1U3p3rRJH cF/G+RPdfg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block hcwXqOGXIKp1yMXglvtwKNDD2csTguI/218BbAfP1Qe5YaY7t7J14bh3PN4/sY8v5SUfs5PPhYYF AVoQ7+Y8KyIAkFOjVjl8Q3cizlaMAyaX6UCc4wmflvCCOjy7mkT0VJKPELyiFH5OE1gTiKu4NfqY cLpas2QiSAVn/xZw83g= `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block JJiSVarYWytdLFzHp3wkrD5+jxEb6zxCxwIxMuHES7X4vO/81ppoMZmSB67P59pBX5Chyu0EswKT bCRha6XDZljqkcBWrrqj3cLRE57UCaEr1RVpDNBMw7hjNrwCb9eTELEwb3X0mZPKBqVrRNroBMN5 Mb9o7SPJ2GKhIDEDF5Q= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block x9rjekK3vn0E248BFQkRU8rm2REs1XV6NiMfscimCVnt3moe1QOgVJzTLPCcPYvThLcZJXwVyFUX J1k2lVxuHKaC3FNNToKLX7girUcVANbS6jS2AjaAfdpYmQXF6epSjXy+KOWM7AfrGv2r7XNIcV6T P4He3ZDDIABlWanBaDiVD6NYtB9SspFXaifjJ2faT9Et8gWmYJogYQ4BjXl960BUcxWS5faBudWm MidcfsfVFpzH5bJ9L+thBkdIh/P3Rjr9ssCSzEagp+1l0DsZGX583KqMaKiaZiIsR+KyQ8Hrld0H vh5k+kh3k9z7ewkJNwM0LCpa2Y0qGSJOxIauzg== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bMGW+/GNxe7XIGxZQsPwYg9NhBUySelE4d3DawPwcsMkcAefxMJ1JdlslSvSp+VjxIobQhkauqfs plGQEEjRkhr+3m8iz7uiwT6s+TtBZQ509t+m12KAHsziCshi0m7JEPgqnpkYUxS5ZbKQCRgudms0 J1TIIpIIdBJiHjiJWPFKhl2FSk46olekE0MQ/LvS36IE6UC8sP+H2MLZpAxpzqHuZ9TNFvVcyr9C pc7viw1i7pElJF0USsLWRjDFrkLdXdznJwKPhjmDvq2WWhH0UZss4B7FZEDrUrjB/HO8EjVy2Hj1 fpw3eQ84VC/StEBHWhh2/ovbE1xsoAsXeBE8Tw== `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block pp8HZNaXt/evJqKzoiOa8A1cmkUh/1mQf/2Vkpam3N+hCoX7wAAqGU/zZVMPYP16RpMjeC5zeSin YvUeVcdgv5x+e+joKUcjexTi2LwQorDqPIl0bCwYx4LccUexnWG6I9/pSM85Q6QNP03F3dTfZ+nY q8I48HLVTNxhG5xD9+JTBp8D7rjXe9TJGi+hVikOsYhuY2PrwtvuAWhuicAfJnsIE23LJrp0i1cL 6oyVsfKsx+68L6qOWniySUGZ5yDe5zDF3WoQ1oHIZl8/tfnTJcGPsIRyeo3fpk/6/w5zWnz1pHuZ HvGPaU9zIF3KNoE/3qKTDNhAcVbvP4+ohJfKxw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4784) `protect data_block nXe51dT9MNW1tnj96BZVcWp2ckUbi7OzZWOeu7JA4JZfny71Z/Ro9WFaR0keWyoYgodZ0HXcSq+l A6/ETZ4V96mml7+ETYwNJ2+OyYRXXP2P8XaSeh65Fzo8b3Ft84w4c9rpqXlv/Kxnx4dGTLP5zQiD zbLZfQHY5Q1wrVeiWpIvwOLFQVK2n0TOsBv+MdK2zhqKTcukuKtlyzCU92qQ0BMPZMPBdMJ1f6bt wunfN0uX8sPBwWzgW1IyBtymXpnZ+YJ7txXQtuAKlk66+YE/49Vhp3bw2wgFj62F/yqXoVLqvgiG E/xmWKyktNGAWht5JB88KHar7BY8prfsfZPsqaTkN4XmY/kYzO1NPfmcYexvUPRwTP5Ck7Rk8ZGw tan4D3CNJfI/p5aA+WOLM2lpTpyQlJDzEraJA8+UmUA+aCjgNLdAXEzgF7zs4Jv/BEAPA/UhrNfY 71GiujCxm8gaVpc+6A8yLaclSKnxfRNQAx7e8BR4U+XuxFLAh6+RXBwRIXvzwrbXAgg2I14CQG0H SlM3bjAWHSIm1VUa2m5OXqGk6IaIg57El5FwS9EJ2tlb1dWaCA32LcannUlWf529nWOHIsC7G54q QC/QaKJVR+mgO3aqMolqYEOcBBNl5HBSqj/rWvqyOys1BbwY0/qoufgbV7TV5UsH3CcLobELHEG/ aN5YH+vn9UALNY4UFP+suwi/HHahKg+a4dRcjSB7JI0VRrHlzC1Ba5rvGJuAXiqusTxwEHkGuDCZ 11l2MUhX4HUjThFYEcfaN9HZ4geaxI8yJb1sBVsxKhgT+8tDiQKQk8f2sz5RgOmlRiSZ2j7j8OcO 7OuSUpCrY9QAQ66rL9cz20LMLLx8ainHlX0omQwehM06uT7b97pkp9kJYpiDRh6cuYPBWpyF3KFG PWbA/EDbA5sWU4nBQYC1mynFGtwaOoCjnPGPj6eki2uve8Rq6KHMuCPsgDsl2E4BIoMF0nWPSaAS 0S8uYIP34GUh/4d36uJ5aKTEO0+yWayUDoOU4e8tMQReWzmQ7xmVVJfLaE2s7uuiTse0LFiGZwb9 2aC5mM1tp5JOS1XDtUlOqb0qY5wvm1PpgGCcUmeAfQ9Xawi4Vzbwny5udtMkYy1Pe4e2H7NGoVP7 KnADBiml2oFhENZYCXVBykKauJOqOqgY3iV2a4EVlX1X2Bl4QVDqivh6YJSHlEE9G1g31ow5dFP1 Iy/q6pmzNdlAPOCwl4ZT+nqtwDvxsJDKP5SvsF3kNgIuE40DfWeGlElNe3+hWJte0lv4zyCLZqdR e4iU8jH7qxfeLJLOWUsQpr4Fl01WK3BdZl9hqXu9XTVZUcFOK6fDX7tbqNUjzRI+1MTQvHNL7zd2 7rM0ZmjgE7rb8tUQvo0iLTwgLFR4UqW7wuJ9m38KQwQYby4iF26TegKr5RWQYTZZ4m+h8Dj8NvZe DoyAReXr1CVbPf+Qm2R4k/HM8EHaF6j2KV5Wv4GJERbhpz4h/g1h95YLToOyQMomALpJTOfmvq/g 7GbX5hprbK9h6eIuv4Lb0Z9znsCJJ9vUB8iPsSyRy0+vhS9/7W0xOgr3QtKOnYd8H8dIfJBi9EMv Yo21oCXP8ZEHHfwFGDCCWKoP/9pe5q6vyKSqIE5gl3+tOjXwmTBWqj3X4BFD4rWq61RAdJZaC4pJ EVO+cQ0IaJCvkv4jD6Xn1p3Tv5rWoe1sF36v/w9g7ZaqeT0jxIVoLXpBfgcHJYfUl4W04GM32/5i QHsFnh8s7a563ZlnZIDi5YggZ8NLAqR9JRQw+uza3W/rrYS8gSd0K5y8T2LEAou0ekif08INgm9g YCM9dXXiyecZ5Kbr46FSbMB7NW5rk2Z2QdIrdC+7tXEoQXc45nCX5yZe8ESbuOiXPzD3HwpitEkw XUMcX8TQPUB132a8GuEsiGd1QjHitn9D3YWdwzSCDJ7SsmdDLPp9Hre9jnnryQ/N5gM45ugOT+4S 6iPpdybhZW5kfh3xC1k6ZekZ7peTE4s2t6zn2PaxGgybfnO/dzBxKJjsyBY+wAYcxMjmRZEfYKmQ q/rfs28FVr8KPc0mwanN0RTd3xSUj8neaLAev7nvEvllQ/N7E1Lmung2aIH6H2kXikArP8jn14CV s08PYpgUA6XdXIydplox1BB0pxv54Va5kazxLFWxRoZ17t1raDog5RhJryUEhrzWuiyGN0bVOWgi n2vWsmywcsnq7WJwA6FpjSTvh+r2B/83Z+UQPZZH2StT79DyDhjYuJttmPjFTCvI5uYalwK6BqYD pKWLFn8l1bWuuHR4gQ5xXyNJEs4vFteL0nVOuQlcEWhmDPu/YhwAxjoKg7T6aHdfrmyAChda58Er GZR8pvl1G6N16eA/xyM0SrlFHm1siP587UlLXvDsXYFB1vvu08nRLsRZPCfZqHozFzSKySGT244H cPEymALbxnF4hk0D9n6haZaAhtWDDMCYFDgZKI7bNkfiFBv4xjVuLnn4hRducfGPRjGKYICgGp0v J5fEt3xBUyLU5xmIsOGBjTKQ4Z3kX/tQDtBME9yAfY+W+wqEEpfCNcPoO/l2leKgDBU31stLwJmP OZY6LFlOrqQ6IjjEVGCgYVEss6Q0TBLP3r8Cj6PVXAcGVQtEaKUAeLLOMoSOUCXwnbWZe7WfT1RT Ip7FH4b6l/XoKzrweiXCqP3v3eijyNmu/ec6fOxpoZV5czP4LPLga5O8SiSEdghvDIM80TQcvxKN SutyDv4K75em7Sdm8gDr5BLwyOHVuKEw92E6hjoMbuSZHqfcM5GPAHi7oD1fHzwo3Ya9YGsbV6Y4 sNL6GNzUMBs0NQIRtrWLBJjbozM4D8HoKbZcqEPz9x7qr/sm03nLw9YWSkHHiPWHDAQbUO+lSu6M AJsRZnH1Qof49hosV4isjrn8su/Q7IqtUV1H3M2VNLN6niMVe1OITFw0otPlR9vqFyoC2/KngtjJ lMnOOzAJqfpjm2M1mk0ajpJ+eQLjyNhYXbzoMxyaXAJztqqYjqmQCqF7grJW+1G7Ic3vplqMdHbo cx5ZHfPYJKgQNz2GyPsANGbETcBbIPTrTA0JIrRehCvuOW+5m7H0cJRp+PCmqo8TrOomeUXg8iSH uGSlvLAMzP4GHcbcuRJeiGtTqrVFk8CsQod/ufqSZWrfaLBHicwCb+F/0UAHB4/ly5aG6BWcDhne dGw5IaR7/b34PfR1x7FMnnehwT+qLSBrqW1JI21u7EakisS3cRgdWAqJsu6LWJer/Spiv7lmh63L 74L3MfuCIQ8vcHneHgPtYMoyy9Shuy/ogOkKGiXRWlYmFY6DDAZWVk3F9jQpKyMs04ii/iHKfw14 TfCEDpcaQd6ThAtm27DK0eSZMqtseN20TY6+S9BQy4npQSYer85G7M1WdklXwQ0gaKCtMduxCoMP mEeexdUzy/mYJI1M2bd273I/3lT2lvNVXvHj/6mA84K9jgFH9RMiZJZ4Z/zzxsRNbohzu3/LWKfA f9O1/oDde/QdxpY5aBWyREv41NiHNA2PE2Jaqy16Dxs1k1gexcJIno0CJ6b40NIL0IklK7XT8Kp4 wNq+K5fXp3ZtinXh5h3xJyVyl1VwI+WWfxLBOGwiC5rHCWWbmcsHt1h7IWT2Tznlveo+5SJu4/uh mREBftrKQKq864vAZOc/L6AbvL7LwdkJfh+h8GJ85hyTBfOdscSMv3cUu5Z6OkpY4jYUp7D7k+56 GTZ8aDPG85q43U9WgHuCRxR0xF9MLgKZ6qsP4y39FW+9wCBcMwX3NXlZhddZDeWxNw5nIxkMRRJn YbCiXZZKXWNOu84kh+Q7+ex+7NO0xGyUcPM8Yj1/9WCogbfWf1Zx2RC/ntczZxFdmwWURIaNEYwu evG+LYj4sXw3+HR3XdHyyWF8Af6dNxiMNb0h6QrTMYyTJ2Q+ArLK3leJpoKPEaIDXTUzIt0vp8XK FIaWrTWdUXkHzI8maeRcOSaMVzO6niuJoJZTgYTwYXJKMyXvuX1CR79pxIaDMKdlnS5Wi/npI1M/ uaSzU6wlAvv2APxO0dodo9SlKAPWA44iiXafeHMBtHMvC4MPFD3rYwV0pWHV12KuLGlfnmqo4Meu KP+nbIaOtin8kYgCOAvG9aMVAh6tH6XO6sPaNLnWqQwJSsmTAsvYXYxRRWvBIcnKAWGB64t0BGkY B7fHku/jth8a4U7vAzA32yMFQqWaraIOcgdC1DRTPFIFajbu5tiwtKayEO613tzd6+dzZl9pDKE4 lXTdo9ivBEjvDauX35jNnmWJcj4Jf1vZJWFoiEn9P4L41s8Dw9egXpj9vXQbIWzN/UFsclbwi6eW ISYW5K8EbeOqoVgMmcdGeXTiWFoa2nb/xkrULWYzYVpuUBneKFPrfaqnT7I85DzliIvPwjBXHGQZ ZoBPbwnj0iP1/g2qokTMT0cuoIBB7BHAXla+JzNpGhsd5cn/7afGPjy42f0lo7b63dHnzHQlftY6 dCL8ypn+BfhR58JnuDeRnBO6PJnO/APFCJb8v7x6iLIhPAMKdle5kiLIHMo5fRAPi3qIjLn4ssh7 hi4ZVnWjKRr1To63ZSZ9wym00pq79R3kXG0TIgJ3yqL6BzOXgvtVdESGFlXA9YJXcvdzc9iWxPV3 TizRbIuoKKrji7q7S9WcpYdSYz8w9KeY4TuozGXZDUMik5U91QlOXjhYF8dAN7nl/Fv/QeOPtzAE xylzJ1XtPd2HB6Qucm97+NsAhwNbQIIX1gXbplvSwsh33hPVvTYNXYKm07vzIxHXj5bD0/Bq1SjM 8NN8zhPgPGkhUwY/CT3SeI5QnKN6VGSqdjHw9TOvLYu7SCu43N48CLLfSBb4yr0NXIbdur3N1FBX f1DZ5JpQjgMtxMje/ySp5yQA2cBZcaGYROgqWCO48dKUj8/uClLQjDU2iSee8hNmn4WTBAqxcf+4 lWSc5wjYbNES/7Y9tvo2W1wIm/lrpPaic7HlrkqqVvzruik4Dd0xFgq6tlMvtOYRn2nmhmF+M6cJ 6/sP85fb9io1YZOzXRt9fNxVfR9u/Xctokhh5mWJL2mMFAG9EkiWovvAM3V/QEOpJOmMHYV6Vqr7 4kwD1ZL6y3wlHuQsecwAC6KSdlPGpbZyqK3IlJF65Whv6y2ngCqVlXUGTHprMGyCvbC1L5h7yIP6 k+mvmbPK+UtQb4pK7OxFz/umxSLQWTpdsCtnFa+uQGnVRmGTeRLEpp0lI7w1lD8jsBlZF1WVRC5l PXj+3sDvnrX7CC0yrlrvOSRGa1xmvdvmWXs9hCfl22dIDGE5AUrxMQnei54fHxfRWW151Yv3b2ue ikftF04MWjU7PrwiI3zmqjnrSD71T2IhRwG96MXniuNpGBv8PKG7OVwHcO9DcfRWpvSnWRA20HrL 5KjZKrsLSzQRnN8d+LtJj8Wj70yP8yl7tXyyc3Z+a6tUrLxZkzczyd2cNHG3N3Eibba7gKFfCHUa QN46Z8b/3+pgEWlBJqDetyqNFNrnfayPQC8qRxuoUUWYJPr2EXHIxi0OdkCdF5BhjgW286Y5Q+Vg WAQPFr5N9NrRWWtGfWi231ART/tyV9ZBgsvBGUelmiUD5wlGQAEivdD0nAKhBefZK5xDrrBUVxzM umzD/yliG2XMGCKpUGWW5C7RKUHxQ2GwuGcqg3ol/tZPZxWRHhrmelm+cB416N7A3eRDJXW55AKU soT2o/rPToH3URsUs1lfLo2sfuqJuTT6fw5IgDysyLKBmxmRzU5d1mjyRErzP8eN6ot1cFN7MLAS dei0UwpfnfTyKo+J+SPPo2yaL0vNzfC/5DewHHIxCsjPEhVGEnYH0I++FnfVRYuQADTKq86tULQy YAyhpfzbYNkA3c3sHx7v6+3Zlq+oH+DLTAjjiQoJWjGM31gVWDhjoWQ+pfvhzPc9Cnr1bqdZzOZD 1614W7+f6ghUX7FklzZo/wrFAmoChJrjvjNrZF2zFlYyBpemv1XProjV+xOdXwrVp/hWMv/Umb2b DMgGxww52tJSaodfpva9p08ZXa4uCjeN9sf2r7Gie+odVsgm4gLD8y9SYFA+AbUtUV/JTQJqpXfe u/y2M9Hu1CZWcKvzGAsSgk15mouvHsbJCFF5qRTMUr464Xr7UpdNkPIUHI20Xole5xh+G5fX/YkY Wl/vvnn6EeyPuWYvWeUwukBLYfw0NE0MwGnZ7Tfn6+6e5oxgvA6AI3ql1+WFcc0UKjNeDzUyz5CS 37RyaNW1aW028X7RuKA+yR+LHoX6q7IFssXAMdWxg2/QFwU7rNsSsytCeaO+k9e798PCQOJt1Cw9 yLtwGuHoj7ut0owXErtQynVt6H086sHLQ3SuE5bX0kui3IY7ZSuiOtS3i+xuqS+vaqNFCbE= `protect end_protected
mit
ae54e85d8fd66773e4ab66f7ea3eb7b8
0.919058
1.931748
false
false
false
false
alpenwasser/pitaya
firmware/fpga/p_FIR_sim/FIR_sim/FIR_sim.srcs/sources_1/bd/design_1/ipshared/xilinx.com/cic_compiler_v4_0/hdl/cic_compiler_v4_0.vhd
4
15,391
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block mgBHxdGDKdFcNwl/Xx2WnyYZ7ba1BCdJcMSppx8JqLmJP1U5rviV9jaAKYu+vvTpty8wG5ySKIoS ICgIgO6V5w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block igue1BkOrge2VpVQVRwbQ8pn3AwvEDZEEPivjx8QGiAj++94vrpWbiOuxNNKjjH1ls8/BaEytlI0 k+G45Qzlo4hEXwfSuLSzM8KYt7g93jXYIYktHgYGGNu3aOJWIi2cXvloY+pGimCQfcOaVGolYyH9 IhhuNsGI+eWWVc+rY1A= `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block gJvVWM2JGbFdLbLvMMWLTJj+1rqH54UUcXYKG5qFjk61PpU04kVJXA5qgHfKSfV47FFpOkWyN22u u0uLrOs9ox304vJgx35pHrBVznc5vkixPUO5OgE9z6hg6DGVrR1ICyExjIUlE4PCmmXZSgHX425i IFwgn4PSSI/h8v98oFI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block t4ibrC3fv3Lns4KeFtgfU0Z9gO48OpMqyF8QTHX9pG9GXU6ON1Mwz9CGaY4RsDGBSh9SjdAjzOC7 DWGPrFvZZ60z4PHWFb/ltHG7ZyROVyTH8Viqlhp5P+JsNdL/PrlcBpWHVcuz+rDL86fTqg3qN4tx v4r87ULAdYZgOZG+e9iHARRDB6b25+mXnOkUNhUVJS6cxT0RCQqXSCPbsLnYNHJjZavqWKw0KTal tP9yKHaYnkC7UVygGE9noBkxODvffFE19n77vp9PheiDeUSy8Z47PUo2+siqo8e0gysEQHnxLlP/ fH8A43Gz3W+EXUPxtIzzfvSgQsbha1hgau3BGA== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block PAyVdC2D1HyXQ7ft0zBM7tk6aL5JONGo7BfiBFDG10oJ83HwdH56LT+Trixib8Kndb3P0tw1q4K8 EJpmbkhE3fG14gch0JwE/KtiyuIO9lgG2qguhfV4iePQPuZVaJ7xyqUie4W+bbBSVvas5rv6vVX8 z7W2qVRHYdfXNCetjqoY2ts6GYdW4uxn6oqwhrmhGAO4YoqS4fAycbuHV8FOP/q3flmo4ToG/B7T bOSzfUIlSb0ea76VkzzwVtr6QJrrOqHBg6DD0qfmrUabDnqTnXyiGucIKAJwDo/cvtbYCZaEzNGR 0IBvCzC3/BXNiP2lgewJeUpK3r7ywO0EsZL61g== `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block eerX1NtxairFs8LyX2Rot1/JrHi6nVsYzT7q6CXGQY9k756B2QT2DwzPTG0l3px0LzPKCIrJleOv BChpaRPWErPQGpaeonA8qUW64CRaVg1a7oKeczCYx3KJLZJr4vazqJ6KmIQJaJlb/nMqRDfBAMzZ Hy9mUn6Zc3hOYohG+Ni3vbI2Ay3ZDCW5kiSquc4jbfTDLmWzKaAZLHg1r0vcGwUCEY9ZA1VG+ifo iL2HO0b7txc9Cx4idh2fyH9tk2Ei2/s7hsfT9jfH62NP5jbwp1vrB6URWjZ8p39Bf7iMK8yzZBCH MPph8zrM+5nJCLcrUf5zi2zCdvTE8t/M2h/tFw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9264) `protect data_block GV5zZB1TdIcG4F0QnFDZKrYG9NrkXhM3Fhp77sp1xyRUG7+3PEdO5o3XiQrdP1rzXex1Nh3u1Po6 QfdUB2+Zmzb+Hcn35mvrq8foa8G8wR2w/m5fAz+5Lmb1GL2Rj67k2sTthlPR3zWPGTyQpB4dbao7 PjAeED0wdX2nKUmGK22qBRH8Tb0uq5443Ze8Kp9tYz/47EmgmnDoLZ3SDESbQpm17IAOtyM9kw2t ctjTV4dBItpVDmFZ/4wLu8JxRG76/QXewa1g9ddPQi3AEcngSboWr+Ds2o4Ndgf1rKikFTOgLxUi /bwj7ah9fYIqodw6UzN2kWLBB2GOc/uaAFMXmqhajzcxzLT0wOKluVaO0LeIp7+ydgbkWU+Dk/I9 rlIHolS1XjMiQ/J7eAOKxAR6Ydi7TKJ2m8IKXK9s8ogQISpM887KAKTHJIdiJ0vYSsYr80hu60n5 IJN0HFc7VXeZOazbUhf2DHTOtdtPI4mnUbnIkvvYuENJRe2vcrHVJ21p11WwceyINtoV+EkAAOKu VmTLO9lVJ/XxahIkCsr8MhwrYSK8fsuEi7gZh8Pp11oUxCw0x4TcZ3v11ttM26Ojvn1zI3tZpVnV PfTtU8ECpgeiYyJ0RAxknBYwmsbndw2JYuIYxoJsgQYsO+dEnXR0IZ+1ON7/EUVDhCfc1XcOdGo0 s4hoz0KzEMamfDoyUFnL+0g7Gk9O0DLaTueAu7/pkgnGA8lCgeFxELfzY3uZrzVOVDYEkFQQQQ8t nBsQDjffrBP8qmq4myTt3qEmZI3X8ZJ89b3yh8hL+rwIQc2HyEpCocS+uiebg4Yt6oI0A1tPA5ih FWQJghFgAk4MwDzq3/AlSXaADDl/fOclyJRxjA9/v1UFefTNjGjRNjytpoyxmwCEhN/JIOI3c+uS ljqxYdEEOy8Eiz/4ViIJjBqB2yv3wkM1Nfu4P1Up7KCqB1MpO9IyPs/ptkOV7mRWK9tGRmcFIR5p 41nVEXI1zccRMM3Go+Rb1m3vWJvboYGcFBkzRPKLQKvEwkelZboEtwz2/7VNPMXiHfP20VnhlsOt HhkCxg0bIwYcIAOuFL0FMmoNYyHK28jSBr7ml9sJaYhSFkOJQOOE5Lu3pzbPMWddf4lpdUQJ57kL 952lxpVmU90/zs07lHWu4F3njEqDoJaa++ZWpG1HLFrNGFWXuRwceyXZXmWpafU8mVekieN2zt4r afg87MpbZW1EyzZjiSjrqEjGOjxWJib0OciMJZmkJaO459niESkCmPSSNmfj0B2xGEZexhRYKFhb rclqS9kVeyeED5ESZSPVQ5abXgONUzBcmt6V2bTjOA2pMJjUId8B22yXhSYgmknANGasvn4/zKiX X1iCG2gg1/ahUGBcykm9KeuMGKe4ZFdbjLwx5zHlyMxBUM1oXvIEPOL4cZ8OeZ0mAnyYPESeOJwI FygiFucy88E7qS/lFWjq1CAbZZxVqYyyd6HjXI6MRVP+dhl0Kl/K9pGYCeoaFkxFgeazHoTrs6ti c7/gdTQzr0moVWPAVXMH96I2J2EqhRC6MFpfSTwjv3c/b7KWCeXImB7HkyX3X5qik2yjkSFvKBL3 4HV/wT6hegWjLG0ZqUNNC9RyatA95OVIC+RxpQga6aDwAJ9nF2D1kiSwtgmRKSmCA33MGcCb8s0b 5Cr2f21gL+4lvb+VYJzaSsrraeCuuREimfUgllbzJa3d5C11mHe9UqdBHEi+N3TAuPOP5eJEdXab vj0RvXhV+yddKB/w8bdqhNoFj9Cq9DVzfnBA4xWQlWmtNYmjBXaLLUTjceVVAhlns7HGJmuRu2nn c6PVRdjBE0yqSytMi3U1UYObQ/tRTDB8JPnycs2WCb+ERMZsFwSEteDjv/PWh98YEoGTy5km4G+e Oaos1X/9slYG2XDNs/V8AuDNRK02UJ9K4f21RaToqSGeSeIHHvv6kPuCY7qVdTlkNx+WF3QpSORF ys8N3XfigtUubg+pQCQupc3bj6tNUngGl/oRMy6oDPQ9839CVPaagM0gpZN76Tx4YLXZVxm6mAgM z8Ciakcug2l0ziofZTWyxE105s/yI2ZSe14vWwmNiv5+I8yTp2TzjC59rS7/9u53B5GsNv7uVMLO gealmuoVSt3cpxfMo1rZMQgw8wm0BCr4YN49t0PshKzun2abweohR4iBSTu0MP4CMN4hBnQFqgao Z6lNCFu3bHKXuwkd55EvJ1wv6wrJzvcozMkj8dvOoviNtFdYK5OpZtn9dpJWDmlAeyJ4Dw48bDwL 450opnRn70d10LsMaOZr7u+ilAdkDgLUMU+ufBml8R04HlIht6EReyFEddwU3STAIMEB6RzYZrY9 +/tNuSno8t0Lzuz5UHAECoyh6Me9cVTGvt5uYIKdmshtiNOQ3j+CooXEPI4WcySllp8FmOtTAhOp MZF2FTmfmQHmVAGmzYT2RhbZJeLbBKIYg62oxh7SDgjZKMnphUralnSl5Xd1fSfiDQ5QKWjQyhu3 SqRCMSVmq1617oQ1zUUF44BV+a0qoJG/hNnEKFUz8yvD/0zWUo6zMeNAZJJX3btVYkk8Nljaifk/ LeNLlhHAY+5UZfXPbCOaACNeRdW6yIjyO+d5DSfya8wPLBuMLrInhJhal5Z8QIYIASrG2gaMgIGC oFbve1w9YsNbcUhHe8zoiu+upWrzqA67cPuA/8Vmcd+VniMhN1IMx/+oZCxSFS1GKyFy3AW3osx+ B5wnOQlAO3HFaQJBJqEQImNBDQLJ6wyd8K455P4rF189I01OE5x7q/lKRJ01HBu3MHTnhW8g3TIb bTYMHhGS77PUtEH88UqDgjWmrEknIHLHd2jKSxKIt3bVzIm//RjxCNL6c2vuu8F8l3LGKKNsBlIl rrffwuPDDRaPai/YUvnpYfiFvEo+/AYLANDJgkSCiAWRt9PZUc6ia0uU4nczUimZu4FQEFUhIGD9 Z24cMv1puQ28APQzjxM5kigcl8BbX9+WnP2klhYJArcJhCKSLAx3WReQoXKvESlzP9PsEk+xwQHw xoc6K5jcNxFqQbWM2fn+/1/8SEycAUHWmmUKe5Sh3JWdX8sHcJewpmS/dlcswV5wNwAjjQruA4PM eQmGl1N8FrfxSRkF3voHAG+Y76cBroSJcy4NQwsVFeLJ+66NJpHhxFVAqhb9ScHwTKQ+GmxPG1VQ ujvROp48ptOM6Bn2gqUY3mW9LWn6qL1it+iIiFlQ54ixTl4oJEZNWvIzou9Yrl8/FfFhzUaYcAks m5OZ4+L/GLf7N5c+wgPX95uP7yvF8rt2os+4aKojLFGD0AcRpaw0REAVAxo92x7wE7IqOtZxuY2B kg9zc3n4hxbsGqWtGc8Z9DQKrrsHYViZCMJt/5yp6H43ifw6ajmIBasbSykNn/Yers/6KnkyMMil Uq+R+PENLj5D0uaeZy/V0sBOczzmlmAT5yPR563MpMPW46A5PUdmLDpCdvV8CWan3PSGD98Eia34 ts1drZH9J+FzEAmZce9U3xp47NcNHW3MQUiS0a5KbWGAsz/sLb8ZFjmtrHMUve/blWCOpPeV7EWD sD5AFq017Y+xc5FkEA315jVjb4qgC3TKioqZEeaa/geXsshxi6r+m6hVAD3ztM1T+h82G2cdF1YK bXz4ikGARwmn6sM85vz8rLLrYaOXahNRgQmtJbtntP9s7PcuTE6wZSYlzgNO9yx8t8xVyH72wZjv GesKEGzlpgtytV0UQFVxB2XgfM1Pjbbi0BipGMIlzYkw7q8xk7TRqXse5HMJ7kuUECVn7alPs6Bj un6bd3G7zRADIug8+Um7xXKqHEUk0SL/nyN1jFf5zPuL2CBJG/FylaZbUTSZoKiQJdWmqvhiQsC4 VvJlX52+61OOERWvssXUdXw9HnUZEEnjH6ALkNit+JKLYjPqCk7Fr+P6CI7S115EJDxVUBd6QtKg dq7PJS+6Ww80EoUgEZdALAdzc+5Z3NwjroggJaoDG0Fc7Rx2nnTeV1dhdk/NCT6Y6GNiFdakUbhV lHTcqGhztD4vvNKAtm20qvoqPAXEMylGhTpk7NefoT/te9+FkVjOkuxReR4LlRJrnwnNPmZ8q3Da B/QoClAW0N8yKmshKBb4ouMz++evE9F0DpYhdzmR1jb0WTigw0bNTnQi8CxUkYDs3dfbTQeg0MD3 Ye+Exfb1T0/Ml1TI49C5Cz7pUfylf4uCgI4pxY+/12asfwjlS0zP5Y0TTtTPikL6rSK64vuZyhz1 KMY5OKuz73Qv1whyUPJevTyIRBpfokre/LLzaa02+KnwFfdrX4yRVIdLyUImcsxsDTWThAGNz4C7 oc6+V/7X2kVTEwNYr6tgDzqQZw7z+7kWj/wFZDl+h0u/yEN+vO0dARm6gLXkB+EbfFvR5CnBfIoJ TlDDuOEVQfNW4zFGVldohklyYHeBRrkoUZcrdWAxklGIcFQt/0bnenuoJiJduIub2y39utHbi0mO 8tm+4W1NjoENtGq1jF1uWT84eh3NthEXWniC3QVDdqsLB8gv0wjghgXQBU5pyDmARudcQ7dIS7eu fyb3yaeG8WkS2Dxgz8IhrAtDHnh6T4H8N/yTvyb24VTA2Iptbgl3HPN7sZpyneuZwUehRDeUYJb1 J1qPVjCLXtoP1Q2x11gpxHvidUChIAFZjkrEyhreBZk0p2Nf99FRtVMC9jC3NVWSMK+lRFD//eKA 0AViJ1qnFAYVkjDeCIGYYxITJCyQSZXSiRjgo6aMs8+H+AVA4RSflVy9CNdEIHkIu/PYp9bjJaXT EqwERp9FEioPhJvibMJbYbDiTM1+Db95NiJ/NDEojFvR5hXbTUTRIsu0uYzgTI+mcvKN/qLf3GW+ 63kYPrew5CehKcAJtBvRArGpdKk3aui1bPD2u7Pellb8PCTfzmtYDo6bNmsiJYybxxpgf8rfvn9+ J5Q2SC7QBMOwxNhu0LAeiccjrwcDofUSe4bkU7Kr3IBwKBAACvruaXZUkfnNcuS19waiZPshSyfB YtZwjvfIysHOPpr2qnwceHOFMU/7xHJ7vKB4EBadch/F1K9ZE+bJsjPIB618LcCTdTCxe+KOcbsz CR7GPoFICG8f1YGBPk4v/22NaUdn64JO/bkHskthqPtAsPODQiv3R/PvimxqCu7VDrsX7wxl2JTG hreRoEZvGm20GjWkFuTkQp6RIMFJOv1rX23mF/s1K/iTPF2Fl2bf7yrxT8urxV6w+esRfEIuuPKG NG8kNKCgUgEDmUwbbothBf5S4C+qR8qJhvY01JDKlwb0Wm8uDHPHJUUKUl/zQf04U2cCkyXzPS8U qLodOAII55xBJh2rj8X3BRm3ULlPjCpYaAmlXo/voGZop7X6zriDc1FuJD7xHRpBZP0VC50lDeLR AUTzGWgBBBgiatBRuah05Y+ERy8xqGVZiNPt6/gsH0K8O9p0OKGLIiaVUNWwhJ/F1yT/rg7vu2wW TggcCEKjZavCY3d6+WANKbP6Mqm5/l7NqlBMEo7r7Ko5TOrIBHsEsxmHFEYomHZ7JMTXzlbtbaot S0GXyBUFSsUrwXACCwJpuTp7ZvwmbNQxq4+p6rlsUDyhOErYh4AeEBUc3ceXL5UhWqFRkajkdWn/ HEGQ6KoeXefCxS7gbUkEx5qWT5y5zr+kSJkQ97XMg98EZZ9GWKbbiaJr+bLBKuI22zT5yyUOmth+ qhq1XIvtNlPn7lHsSDx2xJNWFcfPzRLCxasEXMbc3LyKGhiMVlpnasqfZ9yZyvrOhJcXp9ITJKld nbwaSmPxTTH+xUXTH3wCpGx0p3Ly0LqK84Y2dvtI7PEhRkIS4LGgY8/Lo9x7hM9QIfgZ051CHX69 YI0OmXZvw9caBFle2SpLJ+fE9uJdB6OYmldfOwVjT/d4uXqiYkw3HcI4tecf00wYv8r92cSqCVlu +/IUfwXg/ZBXQnXaaCd+B6i6Aw3NJaa2KzCBZmzmVhxsiStk0lKJBzi2/dfaUIZe85vlBSC84zHl 1fprpd88UJVT9x9xDwi2yLULwGdGPO6O+JB14uoR1BkmwNSRak/eMyvEbTBU1KFYBOWFHlt+PSvV gGx9Xy9p5sdO0u27mllOOXeEnd3s5uG5o2PEgMSzz6ohjNaG9mDUrfd7XWGLaP9/nPKZgl5Xcl8P DhSXcdxv8+spIVDSZH5OVfog7kbfv1nnbPTkmPns/PEQODvXeuIBArJg0y+iuRgJjdBXbu71cG6I Z/IaxNC2Rk8KuizT1OpWYQ6VV+9BxesRKmMGJYasjcy7m5O5F6Ae4ws9VlOQos4sAaykQCKWrStX 6XB9rgzRpA4iLlJ07aEQ02no+ohG83mQxt8brdX6N76vqhAjHhZuzcrt04bgNwHND1aGo+1ZOJiR X+za/pUxGawwy8yilGjZRmQx2u3r0roRhEyOYvcbdGRFiZ4ewYgZDV0b9GN5cXOA5t6GGkWHPeAY 9HRheKqev1vXTc4hv0/NtgaiI2egQ8SM2060ZeNq57aL0194ICdI5Tm0tF6QVUspTHbyNF4ByJOt XV8jtP8+5czIwBBbeKRbvGuqzbvdI11iJqOymJ1kYVbhnPyci2IbMZ5SifBBX8lHXEDOw/4AwYHV /buPmMFBLcnzsIBmj29FBxn9R6/qlux61x9/LXPOZySvmRWWSbFFK9KMXtl8WIHnpNZU3HT5WnY9 Sab3YHLWNiPzNo30KXo9awR+bwb4s/Ol6Y1qUoHXKWMJgUL9tgXchdFK+ochR8usNf1n13SarQER 0V9bB1LXcbCq8meAW7khgK2TQy1OHunaagblaJX1AvMgM1T1g5HPcx7Gd/yqlXr3ug2WyQCuj6ic XL+7wxVbZKsSzUy2eAyi2q3+eC6F0aRjRhQD4QjgAuxBOTyZkvdFGfnU3NLmE59EEWUOYLGaHT8o vWLL2mPs5GV5uYJBoy5+v/Bk3A6CJWLQWZqqaCwG+MCl7zIenYeof6R7CUfuQxl/JFtFwtrki2v3 7UKCf6+xlYkhfxMuCnfMb8DFeoL5UfXNCLqTPiOHg1b1S62l6Ajg78nNsjNUggQRxGuMVOUrIfaK wMz5V/Ffs1lJ72/asNsOWwZWfLezeT4E0LrM9bWZjqv6kbdj5ODYNR8uon+sVeTc95nNiBM6+/uX ut4tDEg2gegc1/BOBIRmEwrdwvS/GG0AhqSNPf2r4rAQseXAC7Mvwmbc5wcZqSbN3v0aby9R6PBi nM82aPqI/5NFQ9SdwQ9GWo5JNIxLEa24SH6ILg17XpWF5l9Yom+d+NHnQzPCXxgoPdB/vPss0GjY 74UASU6WS3RMHi+OxPIef//3xcYAzArsKRujKZ2QmCa4MbxcqFg+1rN6LvuhQ/COlsZ0ZSv3wYCb FNIdAsuTfHQhTZ/Ote2bNbds4L923nEQsO09zCMDE22VMKNyAEalU4fDpIWzJG5mQBy0+f2fMs26 zReNsIEl6rUQF7JZ4y8gfrkOEUfaPwVL0fA3OrsyZN2OyU9ewaH+MJEtM1Q7bXArYphbHoqLbUJL 5LHHDrKNuEQAjrkYe/N2eGzdzCEkRPwCeApGa6Em4ObBDR6hmjVpZPTqcson9qKZlZ7gqNHd3Twd CsEWouY44HKYVhfB/dmA7wj02jXYmkemiBAazfLy4M0S4JdNc+8fBWg2Rfwm5ZotgALyQKv+M/RA L2AVZqEPQ1zbYbftJn7Ug36zOCyzYFmT4GA3TOj8CyC6ocuVtrJAcXx5FElvoAA1aNnzGi6CYB+P OYnGT8mpQVo4KclKqNTmHnwcyT4qcVwrZzThuNwRukwH2KaIJ/lh4vXHcpDk9mJDnTegPdFOlpRX iO6OiO4sEzJaXYQ7MwA8JFAl/B/v2DpUuRQnHipPDt9S6JyhDr71zm6yvrpGgO5bimWRzoH0DUGK 9zzdU0TTQuo4zrEaOIGto9uVIm4Qzntx69vbwIoLNziedibWemofSTV9L+GDim/HLhWFbhN61yeA TI2+oKkuKmt7f28R1KQpnQA4p2wnApUbzUEHvIgBCIr8jVHZtjkP95oIZ0rcfJRE0Y2TxDRdisJq YCrhJsghgLOWVkE9bjhdY+FV9kIV98FsVu7wf8zZMAo9vfafBT9vIeDWzWNUGMI15bKpjw80DeVf vqW3WeWrF/6xQ7yrBdz7e8lNkDaem8srUP2hpexe6Ju4BX7/uNDXD65jmTEP8vq3YQl9iQawy5JH GudSHiqlKXjWX7tjncvPR+eJLycBBfZN/i90q/1V5jZKQkuegojnTQP8/nU4qh9swuJ/gFy8oO7O EoiKKD+XYI7pO8fFK0TVlrzurBNPaJaTVnJp8Vozg2WJ0avMKTPYKBw9p7owLxPIFCTeaMroS7ai 9QVZlFi4r7j2s8pa05/PmiIlOuxPlIYhbBUNqpn22du31GQZ2DGoWjOYv1JZa+S6gMDK4x3Jwm9Q MlWvaMOhYBs8Pwmn/dRHQe0zkHH8uiUjivkur4H7TciDMksesaSyKz8pg8ZmTcUkqIM2N1QziTPu KwMysHPtODECe/m4TKziNoRVe1p1DFTkzdvXSQfQ2ZWhuVwI3UuVtdEtrhQyCQgHf2oZEkIyuAIt anC12tHPpYjMXpvVw+feEpEmIjSxPoNRBEkawYdX3CoYGXNcncVCR11P23Rr0E/RAzwstyTEXd6w MSRH+oQc3IOQRDPkrxhizUubFH9ZYwtVvHE98onCIt5TDwFtgn6gpsgtqPHbacnz/HMLtVGw+9qW 5CA0IlDBanH6JzTNArW8ew25VqNHch/NOSuitIfBe2DY4a5yNF2UiDZvbHJWgVBY6vpvfkHexp6Y uQqSjdt2X8LMeRAEdWclhCUbvH3QcleAHrdEMpl1z/ETqF6rImJAsLdsapkirw8h/eC4HmWOvY28 XbNFXZLPxmKNzCd34rsDzMhHsSbuOej1QC1GjiGmrsSRDnr+Clt2kyK1P2DG1x1qb2OrHl2BPEaq 0LgsMde6KDh472wQfdpTr383veprbcEKEwaYYmkv/hSRN17E24t4ER4NmCtQxwknv11pdztZZCRz buKYm+Wbgbtl3h8YCNOdZDVbop88adWA8e3h8QJzK1ehefFNQ+MrCBVDnIIlmi7UINlwlQToi891 Ti5nhclhRTU7FySBxsR7CXjuQb9BUvV/SfJLRq0EkGzL9KHXxEqLQcX2UBve56RM5H+Y+PCtVQ9e z+L2P35vLd+iYMyGMmqIMjnws74nwd9GFv4YRWXaI850ZVPGuMhSm+YqakQCz310usaZ5RZuisyJ JIqx1c0jv/3XhpIwVyE7SgLjngr9IE8nRxPL1rj3ZM/HwiPFGjmkkfAbPyNGbbGWPD3x/3kzKAeI YGVK/Hj3A8Tf4iHjwCbVhzYhxW9WNxvQzMjZcl0Mhc9ZgrFyJLNjo1W0rz3FrA9wbLM2FUzwZa/p fSMdm9mh3qVzJmrfTC+17IiXUx+Hf37UjJtX9R8sAiojUjt0S6b1WDhkvyOe5bNdulCoqjTYu8ZR B8CYZDnHU/aa62Fia0OrhWRmRlBzSG6aktFG1WdQzHL2RzlGFj4Gaylr2+zomcS9ZygCSO6sa5Ed QiR7SygSvqF0fT7E+YxHC3kNzz9qH7AdwHgYQVsZ/V7IEzUQBEfElNYAVQwJkjYwI3yjBh0qXjm9 vdPmZ5PtwHmlR/zYM7BsvFU/fMTb36ks7cNd9/hkvMReojgBuNrRuClj71dWc/2O6eqWHPcE06WU tg7VvVwwCxzuOe0KqOx0f/t1D5DEgnf86dQz3eHTDpXZx0AACHqCK8MYxM8jcNF8vg8SjlNbW/ng vyVr+bja8jTYkXXt2wrLzDnI1+21QWkOpTLDBOKrVzDkIBMi6DpRe/klPvqp7Zil6OdJpZ3KSe/K TXvSGFdOfiqDY0ZreXP0kWiPu1Vat4R5Ll5+og1zNkbVswesIIJHGXXAR1awjUi5Y8XigWU4OlAY 9RUN5d1jk2Gbwx0YpPW5qT/8c7WVrwAiwTbuRIftq1/xgpHMKTbRaddgUKvOYqmYlNTbXSvSlRsp XrIR1FNNHaVSn/Hv0ASXoAyogpBih4Aqpn878aWeIH6smVUFRkiqxLN3Eki0dJPXENfuAtrV0QkA 72xpnlCEx6toZQtpxVEFkOBcXnP9/h7hNRMzW56bFNTHE6PHFG1jfqPmBwHieZCTICu7c3tFuhkh LDOkIHT+duyBxUzOBdjYJbpcSmr9taMUklrMrbcHa45S7Ibbxetr3eqSsUYU9ZqukK0wvWNdQbQY vuP04JR2jyplUKEM0cPvE8SaAD4hOZ+c50xdfGHPNLKEKbZy/nOn9ksQfGiy3OS24WWro1Apf1Ie 1jvlCzfRxh4p6HuhSeOZC+04qO9CM9n6Moo4j+s5syQsH/RcBRzAk9knQAV5rKLxZCaPUJjRoKV3 E7yT+XqAqoYrCE/nyitb50KHnY/4dHcJnwYTXvCqw83USAvAynY/s4rqSPHDr97anHschqBis5uZ gU7A6yDiERBSd//dcbqCwfvzvfUQ7lO9uQaP+9BRgEsDeh2TuukF/Eu6iAy3utlL2Nhg87ov7R6V 6okP2MUFj3NuE6xd+NBilFvrVKuMlen+pdmfu2GMbsc61E4WCHk2YnTcp6DchFw8y5zCHrXXeP3t 27Rc2x0PzJt7xFNI85IlfFeeEe/KEh2mJOa0WYptB8bi8N9nHJ93anMW9lKbPEb54x2w8OMIM+lB IUx7UIypS63nFjd3/61IP1bl19sdGhiCnZf/TlOkJ8i06MolW2ss/qiF2WF5/NzLqZYVQjQC003m 62L6Yqbyok3ZpVZsoBd+T1sXD7tRwqrpsNxvqm9YP0kaviSjXfKm4kw8g6Ek7+xrXFKtPDYKmpRs ghBSmBrq5ZWz9biJvnbdWw0LsZPOE8LSYbxi0ZZtsbDeB60iAnKcngnTbuGiPGecQXonlqFZxhVz oZQ6FQlhPsDELypomhGc309HJynA2uAQTFO9qtSqkr/ZQ9RTacysaw8hqZjpzc5HvXXure/7H3kR PyM4LeUPP+T/z6A3xomM/jVM76qxY7SEhsRQ0Z4DKXz07xUhVqRj1xK4LKy7cT8lTZ1B+vBiQjAn 2ucb1/I58c2JdlGRg5GtdF1VIYSZAX41ARScJsGMdbkhocj+sg38xEvpPaRUpGkuHb64it7PSdmE 8ep40dZtZVRtH+xJaTdRX7xcrS9cBG7ckueI00ddJZhMlE8YoPRpuYkeZA3ccduel72srJL6RFYh KeYvxMNR4PkwMehPYaFxKdr3zun11PqaS+j6BFE71mOPDlbAOjNrrL5ZGCpIFzmXbXqmbZIY2iIx sDVmxETVKjk2HD3wGaQ0mu2amo6TyiF8zpJEIXJYrqo35KJHfgOZ6gvhLLOSfMqcjbrzyivhSWAq +XYeyli95G3wbBvtiFvaRGF2FE0B4fH/p8Gchd99u9qHIqGx6wOJPp1FYNXnV2oA249esFlVgoeP zg0d0KZOX2FQQQN36tSlY4fDcuNERK/jjp15E6v5QS8NTKbcmzW591LF9dvsRYw8CR5v26mZnIuC VBUSsuG6HBipk3e8ennfiVGK2R5NDNTmsOT1B7GKqEietIGCsiYm+MmCd4zF6TK4p+yn18oRX8rO z4CX74zcbeYbbwfPI31DE0DP4bfaaBgYRjY20GmrStb8DM/kmtrWnqAGkB5RShAtE9BAteKwATrf JC2Lm/wMlv68EenU2vG17OAbM95dXzPPTNUtbBXdxcs5ekILCuz/V89kxWDXI/Z5fD0CzR1jY19F Rzawuztp4745HkjpuEzXki25Lw7evm4rzxXY85HgrqfclbBz5kxG+Bv82i16TDlCkdW6hqqM7R6y LW+7oajCd20aq2g/5pGpZdPVEwA1m0St1FpJqogPyVbfB0woE4rA9eIamOWUYmhHODIUktgEy35g j9BqGA+8KDUgI9aliVxeA15TKKJuw8gtm9ACOM21OamCjRpexN4OVedmVrfQ9D4BOBEcLfBiSu07 NSGkOgQOj8LS7DpgWqs36NesM/qQIAIcibfB/mAbxxGjzBY+X544JHk10Ta1+D24P2WAV03nCxAT Qw4Usqury5vhqvZb5n74xKoWFXNbpCxwFiJ3nFVv5QzO890+LIcUVLRwberC6VQF3k+K5kh+3KSA LBoVwzDInm/JDUiy1f/Lz6TyAiGUxbKxx4t2zDik286kJmr8TARl18suNWilFKpRdBRpfxGaj0bX 9tuI2OpS8RDC/skcdtWo5GlLxlJyBdKfiu601BAiasVgYCdZwF+LG7sH1ANwmSWxflLgir6j+IkR 8AYREGExmbTOfRulP+i9eqtCGq/w5ol4Vk4kgTyR `protect end_protected
mit
b5425fa1bcc0f41d7b99f5e95d6d7aa7
0.934247
1.902707
false
false
false
false
qynvi/rtl-adders
sadder.vhd
1
571
-- William Fan -- 2/19/2011 -- Generic Signed Adder RTL library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity Adder is port (a,b: in std_logic_vector(7 downto 0); sum: out std_logic_vector(7 downto 0); co: out std_logic); end Adder; architecture sAdder of Adder is signal a_sig,b_sig,sum_sig: signed(8 downto 0); signal carry_out: unsigned(0 downto 0); begin a_sig <= signed("0" & a); b_sig <= signed("0" & b); sum_sig <= a_sig + b_sig; sum <= std_logic_vector(sum_sig(7 downto 0)); co <= std_logic(sum_sig(8)); end sAdder;
mit
b8762e814bca47f86eef53598f2a6707
0.654991
2.643519
false
false
false
false
marcoep/MusicBoxNano
ip/KeyToFreqROM.vhd
1
5,848
-- megafunction wizard: %ROM: 1-PORT% -- GENERATION: STANDARD -- VERSION: WM1.0 -- MODULE: altsyncram -- ============================================================ -- File Name: KeyToFreqROM.vhd -- Megafunction Name(s): -- altsyncram -- -- Simulation Library Files(s): -- altera_mf -- ============================================================ -- ************************************************************ -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -- -- 16.0.0 Build 211 04/27/2016 SJ Lite Edition -- ************************************************************ --Copyright (C) 1991-2016 Altera Corporation. All rights reserved. --Your use of Altera Corporation's design tools, logic functions --and other software and tools, and its AMPP partner logic --functions, and any output files from any of the foregoing --(including device programming or simulation files), and any --associated documentation or information are expressly subject --to the terms and conditions of the Altera Program License --Subscription Agreement, the Altera Quartus Prime License Agreement, --the Altera MegaCore Function License Agreement, or other --applicable license agreement, including, without limitation, --that your use is for the sole purpose of programming logic --devices manufactured by Altera and sold by Altera or its --authorized distributors. Please refer to the applicable --agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY altera_mf; USE altera_mf.altera_mf_components.all; ENTITY KeyToFreqROM IS PORT ( address : IN STD_LOGIC_VECTOR (6 DOWNTO 0); clock : IN STD_LOGIC := '1'; q : OUT STD_LOGIC_VECTOR (28 DOWNTO 0) ); END KeyToFreqROM; ARCHITECTURE SYN OF keytofreqrom IS SIGNAL sub_wire0 : STD_LOGIC_VECTOR (28 DOWNTO 0); BEGIN q <= sub_wire0(28 DOWNTO 0); altsyncram_component : altsyncram GENERIC MAP ( address_aclr_a => "NONE", clock_enable_input_a => "BYPASS", clock_enable_output_a => "BYPASS", init_file => "../../GITROOT/MusicBoxNano/matlab/KeyToFreqMidi.mif", intended_device_family => "Cyclone IV E", lpm_hint => "ENABLE_RUNTIME_MOD=NO", lpm_type => "altsyncram", numwords_a => 128, operation_mode => "ROM", outdata_aclr_a => "NONE", outdata_reg_a => "CLOCK0", widthad_a => 7, width_a => 29, width_byteena_a => 1 ) PORT MAP ( address_a => address, clock0 => clock, q_a => sub_wire0 ); END SYN; -- ============================================================ -- CNX file retrieval info -- ============================================================ -- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0" -- Retrieval info: PRIVATE: AclrAddr NUMERIC "0" -- Retrieval info: PRIVATE: AclrByte NUMERIC "0" -- Retrieval info: PRIVATE: AclrOutput NUMERIC "0" -- Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "0" -- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8" -- Retrieval info: PRIVATE: BlankMemory NUMERIC "0" -- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0" -- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0" -- Retrieval info: PRIVATE: Clken NUMERIC "0" -- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0" -- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A" -- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0" -- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" -- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0" -- Retrieval info: PRIVATE: JTAG_ID STRING "NONE" -- Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0" -- Retrieval info: PRIVATE: MIFfilename STRING "../../GITROOT/MusicBoxNano/matlab/KeyToFreqMidi.mif" -- Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "128" -- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0" -- Retrieval info: PRIVATE: RegAddr NUMERIC "1" -- Retrieval info: PRIVATE: RegOutput NUMERIC "1" -- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" -- Retrieval info: PRIVATE: SingleClock NUMERIC "1" -- Retrieval info: PRIVATE: UseDQRAM NUMERIC "0" -- Retrieval info: PRIVATE: WidthAddr NUMERIC "7" -- Retrieval info: PRIVATE: WidthData NUMERIC "29" -- Retrieval info: PRIVATE: rden NUMERIC "0" -- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all -- Retrieval info: CONSTANT: ADDRESS_ACLR_A STRING "NONE" -- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS" -- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS" -- Retrieval info: CONSTANT: INIT_FILE STRING "../../GITROOT/MusicBoxNano/matlab/KeyToFreqMidi.mif" -- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" -- Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO" -- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram" -- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "128" -- Retrieval info: CONSTANT: OPERATION_MODE STRING "ROM" -- Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE" -- Retrieval info: CONSTANT: OUTDATA_REG_A STRING "CLOCK0" -- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "7" -- Retrieval info: CONSTANT: WIDTH_A NUMERIC "29" -- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1" -- Retrieval info: USED_PORT: address 0 0 7 0 INPUT NODEFVAL "address[6..0]" -- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock" -- Retrieval info: USED_PORT: q 0 0 29 0 OUTPUT NODEFVAL "q[28..0]" -- Retrieval info: CONNECT: @address_a 0 0 7 0 address 0 0 7 0 -- Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0 -- Retrieval info: CONNECT: q 0 0 29 0 @q_a 0 0 29 0 -- Retrieval info: GEN_FILE: TYPE_NORMAL KeyToFreqROM.vhd TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL KeyToFreqROM.inc FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL KeyToFreqROM.cmp FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL KeyToFreqROM.bsf FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL KeyToFreqROM_inst.vhd FALSE -- Retrieval info: LIB_FILE: altera_mf
gpl-3.0
eea368efb9960a2ad97915832ed6eaf9
0.678694
3.785113
false
false
false
false
MiddleMan5/233
Experiments/Experiment8-GeterDone/IPI-BD/RAT/ip/RAT_ControlUnit_0_0/RAT_ControlUnit_0_0_sim_netlist.vhdl
1
27,758
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1756540 Mon Jan 23 19:11:23 MST 2017 -- Date : Fri Oct 27 14:51:10 2017 -- Host : Juice-Laptop running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- C:/RATCPU/Experiments/Experiment8-GeterDone/IPI-BD/RAT/ip/RAT_ControlUnit_0_0/RAT_ControlUnit_0_0_sim_netlist.vhdl -- Design : RAT_ControlUnit_0_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7a35tcpg236-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity RAT_ControlUnit_0_0_ControlUnit is port ( I_FLAG_CLR : out STD_LOGIC; PC_MUX_SEL : out STD_LOGIC_VECTOR ( 1 downto 0 ); ALU_SEL : out STD_LOGIC_VECTOR ( 3 downto 0 ); SCR_ADDR_SEL : out STD_LOGIC_VECTOR ( 1 downto 0 ); SP_LD : out STD_LOGIC; PC_LD : out STD_LOGIC; I_FLAG_SET : out STD_LOGIC; C_FLAG_CLR : out STD_LOGIC; PC_INC : out STD_LOGIC; SCR_WR : out STD_LOGIC; C_FLAG_SET : out STD_LOGIC; RF_WR : out STD_LOGIC; IO_OE : out STD_LOGIC; ALU_OPY_SEL : out STD_LOGIC; C_FLAG_LD : out STD_LOGIC; RF_WR_SEL : out STD_LOGIC_VECTOR ( 1 downto 0 ); Z_FLAG_LD : out STD_LOGIC; SP_RESET : out STD_LOGIC; \OPCODE_HI_5_4__s_port_]\ : in STD_LOGIC; OPCODE_HI_5 : in STD_LOGIC_VECTOR ( 4 downto 0 ); \OPCODE_HI_5_0__s_port_\ : in STD_LOGIC; \OPCODE_HI_5_2__s_port_\ : in STD_LOGIC; \OPCODE_LO_2[1]\ : in STD_LOGIC; \OPCODE_HI_5[4]_0\ : in STD_LOGIC; \OPCODE_HI_5[4]_1\ : in STD_LOGIC; \OPCODE_HI_5[4]_2\ : in STD_LOGIC; \OPCODE_HI_5[4]_3\ : in STD_LOGIC; \OPCODE_LO_2[1]_0\ : in STD_LOGIC; \OPCODE_HI_5[4]_4\ : in STD_LOGIC; \OPCODE_HI_5[4]_5\ : in STD_LOGIC; INT : in STD_LOGIC; \OPCODE_HI_5[0]_0\ : in STD_LOGIC; \OPCODE_HI_5[4]_6\ : in STD_LOGIC; \OPCODE_HI_5[4]_7\ : in STD_LOGIC; OPCODE_LO_2 : in STD_LOGIC_VECTOR ( 0 to 0 ); \OPCODE_LO_2[1]_1\ : in STD_LOGIC; \OPCODE_HI_5[0]_1\ : in STD_LOGIC; \OPCODE_HI_5[2]_0\ : in STD_LOGIC; \OPCODE_HI_5[0]_2\ : in STD_LOGIC; \OPCODE_HI_5[2]_1\ : in STD_LOGIC; \OPCODE_HI_5[2]_2\ : in STD_LOGIC; \OPCODE_HI_5[2]_3\ : in STD_LOGIC; \OPCODE_HI_5[2]_4\ : in STD_LOGIC; \OPCODE_LO_2_0__s_port_\ : in STD_LOGIC; \OPCODE_HI_5[0]_3\ : in STD_LOGIC; \OPCODE_HI_5[4]_8\ : in STD_LOGIC; \OPCODE_HI_5[4]_9\ : in STD_LOGIC; \OPCODE_HI_5[2]_5\ : in STD_LOGIC; CLK : in STD_LOGIC; RST : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of RAT_ControlUnit_0_0_ControlUnit : entity is "ControlUnit"; end RAT_ControlUnit_0_0_ControlUnit; architecture STRUCTURE of RAT_ControlUnit_0_0_ControlUnit is signal \ALU_SEL[0]_INST_0_i_2_n_0\ : STD_LOGIC; signal NS : STD_LOGIC_VECTOR ( 1 to 1 ); signal \OPCODE_HI_5_0__s_net_1\ : STD_LOGIC; signal \OPCODE_HI_5_2__s_net_1\ : STD_LOGIC; signal \OPCODE_HI_5_4__s_net_1\ : STD_LOGIC; signal \OPCODE_LO_2_0__s_net_1\ : STD_LOGIC; signal PS : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \PS[0]_i_1_n_0\ : STD_LOGIC; signal RF_WR_INST_0_i_1_n_0 : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \ALU_SEL[0]_INST_0\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \ALU_SEL[1]_INST_0\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of C_FLAG_CLR_INST_0 : label is "soft_lutpair6"; attribute SOFT_HLUTNM of C_FLAG_SET_INST_0 : label is "soft_lutpair0"; attribute SOFT_HLUTNM of I_FLAG_CLR_INST_0 : label is "soft_lutpair5"; attribute SOFT_HLUTNM of I_FLAG_SET_INST_0 : label is "soft_lutpair6"; attribute SOFT_HLUTNM of PC_INC_INST_0 : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \PC_MUX_SEL[0]_INST_0\ : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \PS[0]_i_1\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \SCR_ADDR_SEL[0]_INST_0\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \SCR_ADDR_SEL[1]_INST_0\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of SP_INCR_INST_0 : label is "soft_lutpair1"; attribute SOFT_HLUTNM of SP_LD_INST_0 : label is "soft_lutpair3"; attribute SOFT_HLUTNM of SP_RESET_INST_0 : label is "soft_lutpair4"; begin \OPCODE_HI_5_0__s_net_1\ <= \OPCODE_HI_5_0__s_port_\; \OPCODE_HI_5_2__s_net_1\ <= \OPCODE_HI_5_2__s_port_\; \OPCODE_HI_5_4__s_net_1\ <= \OPCODE_HI_5_4__s_port_]\; \OPCODE_LO_2_0__s_net_1\ <= \OPCODE_LO_2_0__s_port_\; ALU_OPY_SEL_INST_0: unisim.vcomponents.LUT5 generic map( INIT => X"0B000000" ) port map ( I0 => \OPCODE_HI_5[2]_3\, I1 => OPCODE_HI_5(3), I2 => PS(0), I3 => OPCODE_HI_5(4), I4 => PS(1), O => ALU_OPY_SEL ); \ALU_SEL[0]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"4F400000" ) port map ( I0 => PS(0), I1 => \OPCODE_HI_5[4]_7\, I2 => OPCODE_HI_5(3), I3 => \ALU_SEL[0]_INST_0_i_2_n_0\, I4 => PS(1), O => ALU_SEL(0) ); \ALU_SEL[0]_INST_0_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000F00F0201" ) port map ( I0 => OPCODE_LO_2(0), I1 => OPCODE_HI_5(1), I2 => OPCODE_HI_5(2), I3 => OPCODE_HI_5(0), I4 => OPCODE_HI_5(4), I5 => PS(0), O => \ALU_SEL[0]_INST_0_i_2_n_0\ ); \ALU_SEL[1]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"0B080000" ) port map ( I0 => \OPCODE_HI_5_0__s_net_1\, I1 => OPCODE_HI_5(3), I2 => PS(0), I3 => \OPCODE_HI_5_2__s_net_1\, I4 => PS(1), O => ALU_SEL(1) ); \ALU_SEL[2]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"0B080000" ) port map ( I0 => \OPCODE_LO_2[1]_1\, I1 => OPCODE_HI_5(3), I2 => PS(0), I3 => \OPCODE_HI_5[0]_1\, I4 => PS(1), O => ALU_SEL(2) ); \ALU_SEL[3]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"0B080000" ) port map ( I0 => \OPCODE_HI_5[2]_0\, I1 => OPCODE_HI_5(3), I2 => PS(0), I3 => \OPCODE_HI_5[0]_2\, I4 => PS(1), O => ALU_SEL(3) ); C_FLAG_CLR_INST_0: unisim.vcomponents.LUT4 generic map( INIT => X"0800" ) port map ( I0 => PS(1), I1 => \OPCODE_HI_5[4]_5\, I2 => PS(0), I3 => OPCODE_HI_5(3), O => C_FLAG_CLR ); C_FLAG_LD_INST_0: unisim.vcomponents.LUT5 generic map( INIT => X"0B080000" ) port map ( I0 => \OPCODE_HI_5[2]_4\, I1 => OPCODE_HI_5(3), I2 => PS(0), I3 => \OPCODE_LO_2_0__s_net_1\, I4 => PS(1), O => C_FLAG_LD ); C_FLAG_SET_INST_0: unisim.vcomponents.LUT4 generic map( INIT => X"0800" ) port map ( I0 => PS(1), I1 => \OPCODE_HI_5[4]_0\, I2 => PS(0), I3 => OPCODE_HI_5(3), O => C_FLAG_SET ); IO_OE_INST_0: unisim.vcomponents.LUT6 generic map( INIT => X"0000008000000000" ) port map ( I0 => PS(1), I1 => OPCODE_HI_5(4), I2 => \OPCODE_HI_5[2]_2\, I3 => OPCODE_HI_5(0), I4 => PS(0), I5 => OPCODE_HI_5(3), O => IO_OE ); I_FLAG_CLR_INST_0: unisim.vcomponents.LUT4 generic map( INIT => X"F800" ) port map ( I0 => \OPCODE_HI_5_4__s_net_1\, I1 => OPCODE_HI_5(3), I2 => PS(0), I3 => PS(1), O => I_FLAG_CLR ); I_FLAG_SET_INST_0: unisim.vcomponents.LUT4 generic map( INIT => X"0800" ) port map ( I0 => PS(1), I1 => \OPCODE_HI_5[4]_4\, I2 => PS(0), I3 => OPCODE_HI_5(3), O => I_FLAG_SET ); PC_INC_INST_0: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => PS(0), I1 => PS(1), O => PC_INC ); PC_LD_INST_0: unisim.vcomponents.LUT6 generic map( INIT => X"F8F8FBF800000000" ) port map ( I0 => \OPCODE_HI_5[4]_3\, I1 => OPCODE_HI_5(3), I2 => PS(0), I3 => \OPCODE_LO_2[1]_0\, I4 => OPCODE_HI_5(4), I5 => PS(1), O => PC_LD ); \PC_MUX_SEL[0]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"0800" ) port map ( I0 => PS(1), I1 => \OPCODE_HI_5[4]_3\, I2 => PS(0), I3 => OPCODE_HI_5(3), O => PC_MUX_SEL(0) ); \PS[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( I0 => PS(1), I1 => PS(0), O => \PS[0]_i_1_n_0\ ); \PS[1]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"2C" ) port map ( I0 => INT, I1 => PS(0), I2 => PS(1), O => NS(1) ); \PS_reg[0]\: unisim.vcomponents.FDCE port map ( C => CLK, CE => '1', CLR => RST, D => \PS[0]_i_1_n_0\, Q => PS(0) ); \PS_reg[1]\: unisim.vcomponents.FDCE port map ( C => CLK, CE => '1', CLR => RST, D => NS(1), Q => PS(1) ); RF_WR_INST_0: unisim.vcomponents.LUT5 generic map( INIT => X"8B880000" ) port map ( I0 => RF_WR_INST_0_i_1_n_0, I1 => OPCODE_HI_5(3), I2 => PS(0), I3 => \OPCODE_HI_5[2]_1\, I4 => PS(1), O => RF_WR ); RF_WR_INST_0_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"0000000052520111" ) port map ( I0 => OPCODE_HI_5(2), I1 => OPCODE_HI_5(1), I2 => OPCODE_HI_5(0), I3 => OPCODE_LO_2(0), I4 => OPCODE_HI_5(4), I5 => PS(0), O => RF_WR_INST_0_i_1_n_0 ); \RF_WR_SEL[0]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"0B080000" ) port map ( I0 => \OPCODE_HI_5[0]_3\, I1 => OPCODE_HI_5(3), I2 => PS(0), I3 => \OPCODE_HI_5[4]_8\, I4 => PS(1), O => RF_WR_SEL(0) ); \RF_WR_SEL[1]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"0000800000000000" ) port map ( I0 => PS(1), I1 => OPCODE_HI_5(4), I2 => \OPCODE_HI_5[2]_5\, I3 => OPCODE_HI_5(0), I4 => PS(0), I5 => OPCODE_HI_5(3), O => RF_WR_SEL(1) ); \SCR_ADDR_SEL[0]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"FBF80000" ) port map ( I0 => \OPCODE_LO_2[1]\, I1 => OPCODE_HI_5(3), I2 => PS(0), I3 => \OPCODE_HI_5[4]_0\, I4 => PS(1), O => SCR_ADDR_SEL(0) ); \SCR_ADDR_SEL[1]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"FBF80000" ) port map ( I0 => \OPCODE_HI_5[4]_1\, I1 => OPCODE_HI_5(3), I2 => PS(0), I3 => \OPCODE_HI_5[4]_0\, I4 => PS(1), O => SCR_ADDR_SEL(1) ); SCR_WR_INST_0: unisim.vcomponents.LUT5 generic map( INIT => X"0B080000" ) port map ( I0 => \OPCODE_HI_5[0]_0\, I1 => OPCODE_HI_5(3), I2 => PS(0), I3 => \OPCODE_HI_5[4]_6\, I4 => PS(1), O => SCR_WR ); SP_INCR_INST_0: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => PS(0), I1 => PS(1), O => PC_MUX_SEL(1) ); SP_LD_INST_0: unisim.vcomponents.LUT5 generic map( INIT => X"FBF80000" ) port map ( I0 => \OPCODE_HI_5[4]_2\, I1 => OPCODE_HI_5(3), I2 => PS(0), I3 => \OPCODE_HI_5[4]_0\, I4 => PS(1), O => SP_LD ); SP_RESET_INST_0: unisim.vcomponents.LUT2 generic map( INIT => X"1" ) port map ( I0 => PS(0), I1 => PS(1), O => SP_RESET ); Z_FLAG_LD_INST_0: unisim.vcomponents.LUT5 generic map( INIT => X"0B080000" ) port map ( I0 => \OPCODE_HI_5[2]_4\, I1 => OPCODE_HI_5(3), I2 => PS(0), I3 => \OPCODE_HI_5[4]_9\, I4 => PS(1), O => Z_FLAG_LD ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity RAT_ControlUnit_0_0 is port ( CLK : in STD_LOGIC; C : in STD_LOGIC; Z : in STD_LOGIC; INT : in STD_LOGIC; RST : in STD_LOGIC; OPCODE_HI_5 : in STD_LOGIC_VECTOR ( 4 downto 0 ); OPCODE_LO_2 : in STD_LOGIC_VECTOR ( 1 downto 0 ); PC_LD : out STD_LOGIC; PC_INC : out STD_LOGIC; PC_RESET : out STD_LOGIC; PC_MUX_SEL : out STD_LOGIC_VECTOR ( 1 downto 0 ); SP_LD : out STD_LOGIC; SP_RESET : out STD_LOGIC; SP_INCR : out STD_LOGIC; SP_DECR : out STD_LOGIC; RF_WR : out STD_LOGIC; RF_WR_SEL : out STD_LOGIC_VECTOR ( 1 downto 0 ); ALU_SEL : out STD_LOGIC_VECTOR ( 3 downto 0 ); ALU_OPY_SEL : out STD_LOGIC; SCR_WR : out STD_LOGIC; SCR_ADDR_SEL : out STD_LOGIC_VECTOR ( 1 downto 0 ); SCR_DATA_SEL : out STD_LOGIC; C_FLAG_SEL : out STD_LOGIC; C_FLAG_LD : out STD_LOGIC; C_FLAG_SET : out STD_LOGIC; C_FLAG_CLR : out STD_LOGIC; SHAD_C_LD : out STD_LOGIC; Z_FLAG_SEL : out STD_LOGIC; Z_FLAG_LD : out STD_LOGIC; Z_FLAG_SET : out STD_LOGIC; Z_FLAG_CLR : out STD_LOGIC; SHAD_Z_LD : out STD_LOGIC; I_FLAG_SET : out STD_LOGIC; I_FLAG_CLR : out STD_LOGIC; IO_OE : out STD_LOGIC ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of RAT_ControlUnit_0_0 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of RAT_ControlUnit_0_0 : entity is "RAT_ControlUnit_0_0,ControlUnit,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of RAT_ControlUnit_0_0 : entity is "yes"; attribute x_core_info : string; attribute x_core_info of RAT_ControlUnit_0_0 : entity is "ControlUnit,Vivado 2016.4"; end RAT_ControlUnit_0_0; architecture STRUCTURE of RAT_ControlUnit_0_0 is signal \<const0>\ : STD_LOGIC; signal ALU_OPY_SEL_INST_0_i_1_n_0 : STD_LOGIC; signal \ALU_SEL[0]_INST_0_i_1_n_0\ : STD_LOGIC; signal \ALU_SEL[1]_INST_0_i_1_n_0\ : STD_LOGIC; signal \ALU_SEL[1]_INST_0_i_2_n_0\ : STD_LOGIC; signal \ALU_SEL[2]_INST_0_i_1_n_0\ : STD_LOGIC; signal \ALU_SEL[2]_INST_0_i_2_n_0\ : STD_LOGIC; signal \ALU_SEL[3]_INST_0_i_1_n_0\ : STD_LOGIC; signal \ALU_SEL[3]_INST_0_i_2_n_0\ : STD_LOGIC; signal C_FLAG_CLR_INST_0_i_1_n_0 : STD_LOGIC; signal C_FLAG_LD_INST_0_i_1_n_0 : STD_LOGIC; signal C_FLAG_LD_INST_0_i_2_n_0 : STD_LOGIC; signal IO_OE_INST_0_i_1_n_0 : STD_LOGIC; signal I_FLAG_CLR_INST_0_i_1_n_0 : STD_LOGIC; signal I_FLAG_SET_INST_0_i_1_n_0 : STD_LOGIC; signal PC_LD_INST_0_i_1_n_0 : STD_LOGIC; signal PC_LD_INST_0_i_2_n_0 : STD_LOGIC; signal PC_LD_INST_0_i_3_n_0 : STD_LOGIC; signal PC_LD_INST_0_i_4_n_0 : STD_LOGIC; signal \^pc_mux_sel\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal RF_WR_INST_0_i_2_n_0 : STD_LOGIC; signal \RF_WR_SEL[0]_INST_0_i_1_n_0\ : STD_LOGIC; signal \RF_WR_SEL[0]_INST_0_i_2_n_0\ : STD_LOGIC; signal \RF_WR_SEL[1]_INST_0_i_1_n_0\ : STD_LOGIC; signal \SCR_ADDR_SEL[0]_INST_0_i_1_n_0\ : STD_LOGIC; signal \SCR_ADDR_SEL[1]_INST_0_i_1_n_0\ : STD_LOGIC; signal SCR_WR_INST_0_i_1_n_0 : STD_LOGIC; signal SCR_WR_INST_0_i_2_n_0 : STD_LOGIC; signal SP_LD_INST_0_i_1_n_0 : STD_LOGIC; signal SP_LD_INST_0_i_2_n_0 : STD_LOGIC; signal \^sp_reset\ : STD_LOGIC; signal Z_FLAG_LD_INST_0_i_1_n_0 : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of ALU_OPY_SEL_INST_0_i_1 : label is "soft_lutpair7"; attribute SOFT_HLUTNM of IO_OE_INST_0_i_1 : label is "soft_lutpair7"; begin C_FLAG_SEL <= \<const0>\; PC_MUX_SEL(1 downto 0) <= \^pc_mux_sel\(1 downto 0); PC_RESET <= \^sp_reset\; SCR_DATA_SEL <= \<const0>\; SHAD_C_LD <= \<const0>\; SHAD_Z_LD <= \<const0>\; SP_DECR <= \<const0>\; SP_INCR <= \^pc_mux_sel\(1); SP_RESET <= \^sp_reset\; Z_FLAG_CLR <= \<const0>\; Z_FLAG_SEL <= \<const0>\; Z_FLAG_SET <= \<const0>\; ALU_OPY_SEL_INST_0_i_1: unisim.vcomponents.LUT3 generic map( INIT => X"09" ) port map ( I0 => OPCODE_HI_5(0), I1 => OPCODE_HI_5(1), I2 => OPCODE_HI_5(2), O => ALU_OPY_SEL_INST_0_i_1_n_0 ); \ALU_SEL[0]_INST_0_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000010101" ) port map ( I0 => OPCODE_LO_2(0), I1 => OPCODE_HI_5(1), I2 => OPCODE_HI_5(2), I3 => OPCODE_HI_5(0), I4 => OPCODE_LO_2(1), I5 => OPCODE_HI_5(4), O => \ALU_SEL[0]_INST_0_i_1_n_0\ ); \ALU_SEL[1]_INST_0_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00A000A000010004" ) port map ( I0 => OPCODE_HI_5(4), I1 => OPCODE_LO_2(0), I2 => OPCODE_HI_5(1), I3 => OPCODE_HI_5(2), I4 => OPCODE_LO_2(1), I5 => OPCODE_HI_5(0), O => \ALU_SEL[1]_INST_0_i_1_n_0\ ); \ALU_SEL[1]_INST_0_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"A0A0A0A02C392D28" ) port map ( I0 => OPCODE_HI_5(4), I1 => OPCODE_HI_5(0), I2 => OPCODE_HI_5(1), I3 => OPCODE_LO_2(1), I4 => OPCODE_LO_2(0), I5 => OPCODE_HI_5(2), O => \ALU_SEL[1]_INST_0_i_2_n_0\ ); \ALU_SEL[2]_INST_0_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000883200008826" ) port map ( I0 => OPCODE_HI_5(4), I1 => OPCODE_HI_5(0), I2 => OPCODE_LO_2(0), I3 => OPCODE_HI_5(1), I4 => OPCODE_HI_5(2), I5 => OPCODE_LO_2(1), O => \ALU_SEL[2]_INST_0_i_1_n_0\ ); \ALU_SEL[2]_INST_0_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"0000222223232333" ) port map ( I0 => OPCODE_HI_5(4), I1 => OPCODE_HI_5(2), I2 => OPCODE_LO_2(1), I3 => OPCODE_LO_2(0), I4 => OPCODE_HI_5(1), I5 => OPCODE_HI_5(0), O => \ALU_SEL[2]_INST_0_i_2_n_0\ ); \ALU_SEL[3]_INST_0_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000AA000155" ) port map ( I0 => OPCODE_HI_5(4), I1 => OPCODE_LO_2(0), I2 => OPCODE_LO_2(1), I3 => OPCODE_HI_5(0), I4 => OPCODE_HI_5(1), I5 => OPCODE_HI_5(2), O => \ALU_SEL[3]_INST_0_i_1_n_0\ ); \ALU_SEL[3]_INST_0_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"00A000A000040040" ) port map ( I0 => OPCODE_HI_5(4), I1 => OPCODE_LO_2(0), I2 => OPCODE_HI_5(1), I3 => OPCODE_HI_5(2), I4 => OPCODE_LO_2(1), I5 => OPCODE_HI_5(0), O => \ALU_SEL[3]_INST_0_i_2_n_0\ ); C_FLAG_CLR_INST_0_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000010" ) port map ( I0 => OPCODE_HI_5(0), I1 => OPCODE_LO_2(0), I2 => OPCODE_HI_5(2), I3 => OPCODE_HI_5(1), I4 => OPCODE_LO_2(1), I5 => OPCODE_HI_5(4), O => C_FLAG_CLR_INST_0_i_1_n_0 ); C_FLAG_LD_INST_0_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"00000000000001FF" ) port map ( I0 => OPCODE_HI_5(4), I1 => OPCODE_LO_2(0), I2 => OPCODE_LO_2(1), I3 => OPCODE_HI_5(0), I4 => OPCODE_HI_5(1), I5 => OPCODE_HI_5(2), O => C_FLAG_LD_INST_0_i_1_n_0 ); C_FLAG_LD_INST_0_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"AA22AA44AA23AA54" ) port map ( I0 => OPCODE_HI_5(4), I1 => OPCODE_HI_5(0), I2 => OPCODE_LO_2(1), I3 => OPCODE_HI_5(2), I4 => OPCODE_HI_5(1), I5 => OPCODE_LO_2(0), O => C_FLAG_LD_INST_0_i_2_n_0 ); GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); IO_OE_INST_0_i_1: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => OPCODE_HI_5(1), I1 => OPCODE_HI_5(2), O => IO_OE_INST_0_i_1_n_0 ); I_FLAG_CLR_INST_0_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"0000000002000800" ) port map ( I0 => OPCODE_HI_5(0), I1 => OPCODE_LO_2(1), I2 => OPCODE_HI_5(1), I3 => OPCODE_HI_5(2), I4 => OPCODE_LO_2(0), I5 => OPCODE_HI_5(4), O => I_FLAG_CLR_INST_0_i_1_n_0 ); I_FLAG_SET_INST_0_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"0000000008000200" ) port map ( I0 => OPCODE_HI_5(0), I1 => OPCODE_LO_2(1), I2 => OPCODE_HI_5(1), I3 => OPCODE_HI_5(2), I4 => OPCODE_LO_2(0), I5 => OPCODE_HI_5(4), O => I_FLAG_SET_INST_0_i_1_n_0 ); PC_LD_INST_0_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"0000000020200020" ) port map ( I0 => OPCODE_LO_2(1), I1 => OPCODE_HI_5(1), I2 => OPCODE_HI_5(2), I3 => OPCODE_LO_2(0), I4 => OPCODE_HI_5(0), I5 => OPCODE_HI_5(4), O => PC_LD_INST_0_i_1_n_0 ); PC_LD_INST_0_i_2: unisim.vcomponents.MUXF7 port map ( I0 => PC_LD_INST_0_i_3_n_0, I1 => PC_LD_INST_0_i_4_n_0, O => PC_LD_INST_0_i_2_n_0, S => OPCODE_HI_5(0) ); PC_LD_INST_0_i_3: unisim.vcomponents.LUT5 generic map( INIT => X"00006F00" ) port map ( I0 => Z, I1 => OPCODE_LO_2(0), I2 => OPCODE_LO_2(1), I3 => OPCODE_HI_5(2), I4 => OPCODE_HI_5(1), O => PC_LD_INST_0_i_3_n_0 ); PC_LD_INST_0_i_4: unisim.vcomponents.LUT5 generic map( INIT => X"00000408" ) port map ( I0 => OPCODE_LO_2(0), I1 => OPCODE_HI_5(2), I2 => OPCODE_HI_5(1), I3 => C, I4 => OPCODE_LO_2(1), O => PC_LD_INST_0_i_4_n_0 ); RF_WR_INST_0_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAAAAA2332CDDD" ) port map ( I0 => OPCODE_HI_5(4), I1 => OPCODE_HI_5(0), I2 => OPCODE_LO_2(1), I3 => OPCODE_LO_2(0), I4 => OPCODE_HI_5(1), I5 => OPCODE_HI_5(2), O => RF_WR_INST_0_i_2_n_0 ); \RF_WR_SEL[0]_INST_0_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"000A000E00A000A0" ) port map ( I0 => OPCODE_HI_5(4), I1 => OPCODE_LO_2(1), I2 => OPCODE_HI_5(2), I3 => OPCODE_HI_5(1), I4 => OPCODE_LO_2(0), I5 => OPCODE_HI_5(0), O => \RF_WR_SEL[0]_INST_0_i_1_n_0\ ); \RF_WR_SEL[0]_INST_0_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000100000" ) port map ( I0 => OPCODE_HI_5(0), I1 => OPCODE_LO_2(0), I2 => OPCODE_HI_5(1), I3 => OPCODE_HI_5(2), I4 => OPCODE_LO_2(1), I5 => OPCODE_HI_5(4), O => \RF_WR_SEL[0]_INST_0_i_2_n_0\ ); \RF_WR_SEL[1]_INST_0_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"1" ) port map ( I0 => OPCODE_HI_5(1), I1 => OPCODE_HI_5(2), O => \RF_WR_SEL[1]_INST_0_i_1_n_0\ ); \SCR_ADDR_SEL[0]_INST_0_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000EF040000AA00" ) port map ( I0 => OPCODE_HI_5(4), I1 => OPCODE_HI_5(0), I2 => OPCODE_LO_2(0), I3 => OPCODE_HI_5(2), I4 => OPCODE_HI_5(1), I5 => OPCODE_LO_2(1), O => \SCR_ADDR_SEL[0]_INST_0_i_1_n_0\ ); \SCR_ADDR_SEL[1]_INST_0_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000021220020" ) port map ( I0 => OPCODE_LO_2(1), I1 => OPCODE_HI_5(1), I2 => OPCODE_HI_5(2), I3 => OPCODE_LO_2(0), I4 => OPCODE_HI_5(0), I5 => OPCODE_HI_5(4), O => \SCR_ADDR_SEL[1]_INST_0_i_1_n_0\ ); SCR_WR_INST_0_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"00A100A000000000" ) port map ( I0 => OPCODE_HI_5(4), I1 => OPCODE_LO_2(1), I2 => OPCODE_HI_5(2), I3 => OPCODE_HI_5(1), I4 => OPCODE_LO_2(0), I5 => OPCODE_HI_5(0), O => SCR_WR_INST_0_i_1_n_0 ); SCR_WR_INST_0_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"0000000001400000" ) port map ( I0 => OPCODE_HI_5(0), I1 => OPCODE_LO_2(1), I2 => OPCODE_HI_5(1), I3 => OPCODE_HI_5(2), I4 => OPCODE_LO_2(0), I5 => OPCODE_HI_5(4), O => SCR_WR_INST_0_i_2_n_0 ); SP_LD_INST_0_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"0000000031021004" ) port map ( I0 => OPCODE_LO_2(0), I1 => OPCODE_HI_5(1), I2 => OPCODE_HI_5(2), I3 => OPCODE_LO_2(1), I4 => OPCODE_HI_5(0), I5 => OPCODE_HI_5(4), O => SP_LD_INST_0_i_1_n_0 ); SP_LD_INST_0_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000040" ) port map ( I0 => OPCODE_HI_5(0), I1 => OPCODE_LO_2(0), I2 => OPCODE_HI_5(2), I3 => OPCODE_HI_5(1), I4 => OPCODE_LO_2(1), I5 => OPCODE_HI_5(4), O => SP_LD_INST_0_i_2_n_0 ); U0: entity work.RAT_ControlUnit_0_0_ControlUnit port map ( ALU_OPY_SEL => ALU_OPY_SEL, ALU_SEL(3 downto 0) => ALU_SEL(3 downto 0), CLK => CLK, C_FLAG_CLR => C_FLAG_CLR, C_FLAG_LD => C_FLAG_LD, C_FLAG_SET => C_FLAG_SET, INT => INT, IO_OE => IO_OE, I_FLAG_CLR => I_FLAG_CLR, I_FLAG_SET => I_FLAG_SET, OPCODE_HI_5(4 downto 0) => OPCODE_HI_5(4 downto 0), \OPCODE_HI_5[0]_0\ => SCR_WR_INST_0_i_1_n_0, \OPCODE_HI_5[0]_1\ => \ALU_SEL[2]_INST_0_i_2_n_0\, \OPCODE_HI_5[0]_2\ => \ALU_SEL[3]_INST_0_i_2_n_0\, \OPCODE_HI_5[0]_3\ => \RF_WR_SEL[0]_INST_0_i_1_n_0\, \OPCODE_HI_5[2]_0\ => \ALU_SEL[3]_INST_0_i_1_n_0\, \OPCODE_HI_5[2]_1\ => RF_WR_INST_0_i_2_n_0, \OPCODE_HI_5[2]_2\ => IO_OE_INST_0_i_1_n_0, \OPCODE_HI_5[2]_3\ => ALU_OPY_SEL_INST_0_i_1_n_0, \OPCODE_HI_5[2]_4\ => C_FLAG_LD_INST_0_i_1_n_0, \OPCODE_HI_5[2]_5\ => \RF_WR_SEL[1]_INST_0_i_1_n_0\, \OPCODE_HI_5[4]_0\ => SP_LD_INST_0_i_2_n_0, \OPCODE_HI_5[4]_1\ => \SCR_ADDR_SEL[1]_INST_0_i_1_n_0\, \OPCODE_HI_5[4]_2\ => SP_LD_INST_0_i_1_n_0, \OPCODE_HI_5[4]_3\ => PC_LD_INST_0_i_1_n_0, \OPCODE_HI_5[4]_4\ => I_FLAG_SET_INST_0_i_1_n_0, \OPCODE_HI_5[4]_5\ => C_FLAG_CLR_INST_0_i_1_n_0, \OPCODE_HI_5[4]_6\ => SCR_WR_INST_0_i_2_n_0, \OPCODE_HI_5[4]_7\ => \ALU_SEL[0]_INST_0_i_1_n_0\, \OPCODE_HI_5[4]_8\ => \RF_WR_SEL[0]_INST_0_i_2_n_0\, \OPCODE_HI_5[4]_9\ => Z_FLAG_LD_INST_0_i_1_n_0, \OPCODE_HI_5_0__s_port_\ => \ALU_SEL[1]_INST_0_i_1_n_0\, \OPCODE_HI_5_2__s_port_\ => \ALU_SEL[1]_INST_0_i_2_n_0\, \OPCODE_HI_5_4__s_port_]\ => I_FLAG_CLR_INST_0_i_1_n_0, OPCODE_LO_2(0) => OPCODE_LO_2(0), \OPCODE_LO_2[1]\ => \SCR_ADDR_SEL[0]_INST_0_i_1_n_0\, \OPCODE_LO_2[1]_0\ => PC_LD_INST_0_i_2_n_0, \OPCODE_LO_2[1]_1\ => \ALU_SEL[2]_INST_0_i_1_n_0\, \OPCODE_LO_2_0__s_port_\ => C_FLAG_LD_INST_0_i_2_n_0, PC_INC => PC_INC, PC_LD => PC_LD, PC_MUX_SEL(1 downto 0) => \^pc_mux_sel\(1 downto 0), RF_WR => RF_WR, RF_WR_SEL(1 downto 0) => RF_WR_SEL(1 downto 0), RST => RST, SCR_ADDR_SEL(1 downto 0) => SCR_ADDR_SEL(1 downto 0), SCR_WR => SCR_WR, SP_LD => SP_LD, SP_RESET => \^sp_reset\, Z_FLAG_LD => Z_FLAG_LD ); Z_FLAG_LD_INST_0_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF05050515" ) port map ( I0 => OPCODE_HI_5(2), I1 => OPCODE_LO_2(0), I2 => OPCODE_HI_5(1), I3 => OPCODE_LO_2(1), I4 => OPCODE_HI_5(0), I5 => OPCODE_HI_5(4), O => Z_FLAG_LD_INST_0_i_1_n_0 ); end STRUCTURE;
mit
93e79ac3cd62c104e4a652e0a6eb23e1
0.516608
2.511809
false
false
false
false
stefanct/aua
hw/src/aua_pll.vhd
1
15,291
-- megafunction wizard: %ALTPLL% -- GENERATION: STANDARD -- VERSION: WM1.0 -- MODULE: altpll -- ============================================================ -- File Name: aua_pll.vhd -- Megafunction Name(s): -- altpll -- -- Simulation Library Files(s): -- altera_mf -- ============================================================ -- ************************************************************ -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -- -- 8.0 Build 231 07/10/2008 SP 1 SJ Web Edition -- ************************************************************ --Copyright (C) 1991-2008 Altera Corporation --Your use of Altera Corporation's design tools, logic functions --and other software and tools, and its AMPP partner logic --functions, and any output files from any of the foregoing --(including device programming or simulation files), and any --associated documentation or information are expressly subject --to the terms and conditions of the Altera Program License --Subscription Agreement, Altera MegaCore Function License --Agreement, or other applicable license agreement, including, --without limitation, that your use is for the sole purpose of --programming logic devices manufactured by Altera and sold by --Altera or its authorized distributors. Please refer to the --applicable agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY altera_mf; USE altera_mf.all; ENTITY aua_pll IS PORT ( areset : IN STD_LOGIC := '0'; inclk0 : IN STD_LOGIC := '0'; c0 : OUT STD_LOGIC ); END aua_pll; ARCHITECTURE SYN OF aua_pll IS SIGNAL sub_wire0 : STD_LOGIC_VECTOR (5 DOWNTO 0); SIGNAL sub_wire1 : STD_LOGIC ; SIGNAL sub_wire2 : STD_LOGIC ; SIGNAL sub_wire3 : STD_LOGIC_VECTOR (1 DOWNTO 0); SIGNAL sub_wire4_bv : BIT_VECTOR (0 DOWNTO 0); SIGNAL sub_wire4 : STD_LOGIC_VECTOR (0 DOWNTO 0); COMPONENT altpll GENERIC ( clk0_divide_by : NATURAL; clk0_duty_cycle : NATURAL; clk0_multiply_by : NATURAL; clk0_phase_shift : STRING; compensate_clock : STRING; inclk0_input_frequency : NATURAL; intended_device_family : STRING; lpm_hint : STRING; lpm_type : STRING; operation_mode : STRING; port_activeclock : STRING; port_areset : STRING; port_clkbad0 : STRING; port_clkbad1 : STRING; port_clkloss : STRING; port_clkswitch : STRING; port_configupdate : STRING; port_fbin : STRING; port_inclk0 : STRING; port_inclk1 : STRING; port_locked : STRING; port_pfdena : STRING; port_phasecounterselect : STRING; port_phasedone : STRING; port_phasestep : STRING; port_phaseupdown : STRING; port_pllena : STRING; port_scanaclr : STRING; port_scanclk : STRING; port_scanclkena : STRING; port_scandata : STRING; port_scandataout : STRING; port_scandone : STRING; port_scanread : STRING; port_scanwrite : STRING; port_clk0 : STRING; port_clk1 : STRING; port_clk2 : STRING; port_clk3 : STRING; port_clk4 : STRING; port_clk5 : STRING; port_clkena0 : STRING; port_clkena1 : STRING; port_clkena2 : STRING; port_clkena3 : STRING; port_clkena4 : STRING; port_clkena5 : STRING; port_extclk0 : STRING; port_extclk1 : STRING; port_extclk2 : STRING; port_extclk3 : STRING ); PORT ( inclk : IN STD_LOGIC_VECTOR (1 DOWNTO 0); areset : IN STD_LOGIC ; clk : OUT STD_LOGIC_VECTOR (5 DOWNTO 0) ); END COMPONENT; BEGIN sub_wire4_bv(0 DOWNTO 0) <= "0"; sub_wire4 <= To_stdlogicvector(sub_wire4_bv); sub_wire1 <= sub_wire0(0); c0 <= sub_wire1; sub_wire2 <= inclk0; sub_wire3 <= sub_wire4(0 DOWNTO 0) & sub_wire2; altpll_component : altpll GENERIC MAP ( clk0_divide_by => 5, clk0_duty_cycle => 50, clk0_multiply_by => 7, clk0_phase_shift => "0", compensate_clock => "CLK0", inclk0_input_frequency => 20000, intended_device_family => "Cyclone II", lpm_hint => "CBX_MODULE_PREFIX=aua_pll", lpm_type => "altpll", operation_mode => "NORMAL", port_activeclock => "PORT_UNUSED", port_areset => "PORT_USED", port_clkbad0 => "PORT_UNUSED", port_clkbad1 => "PORT_UNUSED", port_clkloss => "PORT_UNUSED", port_clkswitch => "PORT_UNUSED", port_configupdate => "PORT_UNUSED", port_fbin => "PORT_UNUSED", port_inclk0 => "PORT_USED", port_inclk1 => "PORT_UNUSED", port_locked => "PORT_UNUSED", port_pfdena => "PORT_UNUSED", port_phasecounterselect => "PORT_UNUSED", port_phasedone => "PORT_UNUSED", port_phasestep => "PORT_UNUSED", port_phaseupdown => "PORT_UNUSED", port_pllena => "PORT_UNUSED", port_scanaclr => "PORT_UNUSED", port_scanclk => "PORT_UNUSED", port_scanclkena => "PORT_UNUSED", port_scandata => "PORT_UNUSED", port_scandataout => "PORT_UNUSED", port_scandone => "PORT_UNUSED", port_scanread => "PORT_UNUSED", port_scanwrite => "PORT_UNUSED", port_clk0 => "PORT_USED", port_clk1 => "PORT_UNUSED", port_clk2 => "PORT_UNUSED", port_clk3 => "PORT_UNUSED", port_clk4 => "PORT_UNUSED", port_clk5 => "PORT_UNUSED", port_clkena0 => "PORT_UNUSED", port_clkena1 => "PORT_UNUSED", port_clkena2 => "PORT_UNUSED", port_clkena3 => "PORT_UNUSED", port_clkena4 => "PORT_UNUSED", port_clkena5 => "PORT_UNUSED", port_extclk0 => "PORT_UNUSED", port_extclk1 => "PORT_UNUSED", port_extclk2 => "PORT_UNUSED", port_extclk3 => "PORT_UNUSED" ) PORT MAP ( inclk => sub_wire3, areset => areset, clk => sub_wire0 ); END SYN; -- ============================================================ -- CNX file retrieval info -- ============================================================ -- Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0" -- Retrieval info: PRIVATE: BANDWIDTH STRING "1.000" -- Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "0" -- Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz" -- Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low" -- Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1" -- Retrieval info: PRIVATE: BANDWIDTH_USE_CUSTOM STRING "0" -- Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0" -- Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0" -- Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0" -- Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "1" -- Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0" -- Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0" -- Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0" -- Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0" -- Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "e0" -- Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "6" -- Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1" -- Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000" -- Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0" -- Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0" -- Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1" -- Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "1" -- Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0" -- Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575" -- Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1" -- Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "50.000" -- Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz" -- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000" -- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1" -- Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1" -- Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz" -- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II" -- Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1" -- Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "0" -- Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1" -- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available" -- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0" -- Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg" -- Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any" -- Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0" -- Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "2" -- Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1" -- Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "70.00000000" -- Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "1" -- Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz" -- Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "0" -- Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0" -- Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000" -- Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0" -- Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg" -- Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0" -- Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "1" -- Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1" -- Retrieval info: PRIVATE: PLL_ENA_CHECK STRING "0" -- Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0" -- Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0" -- Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0" -- Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0" -- Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0" -- Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0" -- Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0" -- Retrieval info: PRIVATE: RECONFIG_FILE STRING "aua_pll.mif" -- Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0" -- Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "0" -- Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "0" -- Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0" -- Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0" -- Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000" -- Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz" -- Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500" -- Retrieval info: PRIVATE: SPREAD_USE STRING "0" -- Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0" -- Retrieval info: PRIVATE: STICKY_CLK0 STRING "1" -- Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1" -- Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1" -- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" -- Retrieval info: PRIVATE: USE_CLK0 STRING "1" -- Retrieval info: PRIVATE: USE_CLKENA0 STRING "0" -- Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0" -- Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0" -- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all -- Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "5" -- Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50" -- Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "7" -- Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0" -- Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0" -- Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "20000" -- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone II" -- Retrieval info: CONSTANT: LPM_TYPE STRING "altpll" -- Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL" -- Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_USED" -- Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED" -- Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED" -- Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED" -- Retrieval info: USED_PORT: @clk 0 0 6 0 OUTPUT_CLK_EXT VCC "@clk[5..0]" -- Retrieval info: USED_PORT: @extclk 0 0 4 0 OUTPUT_CLK_EXT VCC "@extclk[3..0]" -- Retrieval info: USED_PORT: @inclk 0 0 2 0 INPUT_CLK_EXT VCC "@inclk[1..0]" -- Retrieval info: USED_PORT: areset 0 0 0 0 INPUT GND "areset" -- Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0" -- Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0" -- Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0 -- Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0 -- Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0 -- Retrieval info: CONNECT: @areset 0 0 0 0 areset 0 0 0 0 -- Retrieval info: GEN_FILE: TYPE_NORMAL aua_pll.vhd TRUE FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL aua_pll.ppf TRUE FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL aua_pll.inc FALSE FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL aua_pll.cmp TRUE FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL aua_pll.bsf FALSE FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL aua_pll_inst.vhd TRUE FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL aua_pll_waveforms.html TRUE FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL aua_pll_wave*.jpg FALSE FALSE -- Retrieval info: LIB_FILE: altera_mf -- Retrieval info: CBX_MODULE_PREFIX: ON
gpl-3.0
864d66e8072d83ee6d0238ddd5f04654
0.683866
3.315481
false
false
false
false
MiddleMan5/233
Experiments/Experiment8-GeterDone/IPI-BD/RAT/ip/RAT_Decrementer_0_0/synth/RAT_Decrementer_0_0.vhd
1
3,627
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:module_ref:Decrementer:1.0 -- IP Revision: 1 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY RAT_Decrementer_0_0 IS PORT ( I : IN STD_LOGIC_VECTOR(7 DOWNTO 0); O : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END RAT_Decrementer_0_0; ARCHITECTURE RAT_Decrementer_0_0_arch OF RAT_Decrementer_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF RAT_Decrementer_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT Decrementer IS PORT ( I : IN STD_LOGIC_VECTOR(7 DOWNTO 0); O : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END COMPONENT Decrementer; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF RAT_Decrementer_0_0_arch: ARCHITECTURE IS "Decrementer,Vivado 2016.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF RAT_Decrementer_0_0_arch : ARCHITECTURE IS "RAT_Decrementer_0_0,Decrementer,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF RAT_Decrementer_0_0_arch: ARCHITECTURE IS "RAT_Decrementer_0_0,Decrementer,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=module_ref,x_ipName=Decrementer,x_ipVersion=1.0,x_ipCoreRevision=1,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED}"; BEGIN U0 : Decrementer PORT MAP ( I => I, O => O ); END RAT_Decrementer_0_0_arch;
mit
c2872e9f664d76c06edac1684dbda57b
0.748553
4.043478
false
false
false
false
VLSI-EDA/PoC-Examples
src/fifo/fifo_ic_assembly_hwtb.vhdl
1
4,088
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================= -- Authors: Thomas B. Preusser -- -- Testbench: Testbench FIFO stream assembly: module fifo_ic_assembly. -- -- License: -- ============================================================================= -- Copyright 2007-2016 Technische Universitaet Dresden - Germany -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================= library IEEE; use IEEE.std_logic_1164.all; entity fifo_ic_assembly_hwtb is generic ( D_BITS : positive := 9; -- Data Width A_BITS : positive := 9; -- Address Bits G_BITS : positive := 1 -- Generation Guard Bits ); port ( clk : in std_logic; rst : in std_logic; leds : out std_logic_vector(7 downto 0) ); end entity fifo_ic_assembly_hwtb; library IEEE; use IEEE.numeric_std.all; library PoC; use PoC.utils.all; use PoC.fifo.all; architecture rtl of fifo_ic_assembly_hwtb is constant SEQ : t_intvec := (1, 0, 2, 3, 5, 4, 7, 6, 8, 10, 9, 12, 11, 13, 15, 14); -- DUT Connectivity signal base : std_logic_vector(A_BITS-1 downto 0); signal failed : std_logic; signal addr : std_logic_vector(A_BITS-1 downto 0); signal din : std_logic_vector(D_BITS-1 downto 0); signal put : std_logic; signal dout : std_logic_vector(D_BITS-1 downto 0); signal vld : std_logic; signal got : std_logic; -- Writer State signal Ptr : unsigned(A_BITS-1 downto 0) := (others => '0'); alias Seg : unsigned(A_BITS-1 downto A_BITS-4) is Ptr(A_BITS-1 downto A_BITS-4); alias Ofs : unsigned(A_BITS-5 downto 0) is Ptr(A_BITS-5 downto 0); signal tmp : unsigned(A_BITS-1 downto 0); -- Reader State signal Count : unsigned(A_BITS-1 downto 0) := (others => '0'); signal Failure : std_logic_vector(1 downto 0) := "00"; begin DUT: fifo_ic_assembly generic map ( D_BITS => D_BITS, A_BITS => A_BITS, G_BITS => G_BITS ) port map ( clk_wr => clk, rst_wr => rst, base => base, failed => failed, addr => addr, din => din, put => put, clk_rd => clk, rst_rd => rst, dout => dout, vld => vld, got => got ); -- Writer process(clk) begin if rising_edge(clk) then if rst = '1' then Ptr <= (others => '0'); elsif put = '1' then Ptr <= Ptr + 1; end if; end if; end process; addr <= std_logic_vector(to_unsigned(SEQ(to_integer(Seg)), Seg'length) & Ofs); din <= not addr(D_BITS-1 downto 0); tmp <= unsigned(addr) - unsigned(base); put <= '1' when tmp(A_BITS-1 downto A_BITS-G_BITS) = 0 else '0'; -- Reading Checker got <= '1'; process(clk) begin if rising_edge(clk) then if rst = '1' then Count <= (others => '0'); Failure <= "00"; elsif vld = '1' then if Count /= unsigned(not dout) then Failure(0) <= '1'; end if; if failed = '1' then Failure(1) <= '1'; end if; Count <= Count + 1; end if; end if; end process; -- Outputs leds <= Failure & std_logic_vector(base(base'left downto base'left-5)); end rtl;
apache-2.0
49c7b690f149b02be7546341641bf280
0.550636
3.438183
false
false
false
false
marcoep/MusicBoxNano
ip/WaveformROM.vhd
1
5,897
-- megafunction wizard: %ROM: 1-PORT% -- GENERATION: STANDARD -- VERSION: WM1.0 -- MODULE: altsyncram -- ============================================================ -- File Name: WaveformROM.vhd -- Megafunction Name(s): -- altsyncram -- -- Simulation Library Files(s): -- altera_mf -- ============================================================ -- ************************************************************ -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -- -- 16.0.0 Build 211 04/27/2016 SJ Lite Edition -- ************************************************************ --Copyright (C) 1991-2016 Altera Corporation. All rights reserved. --Your use of Altera Corporation's design tools, logic functions --and other software and tools, and its AMPP partner logic --functions, and any output files from any of the foregoing --(including device programming or simulation files), and any --associated documentation or information are expressly subject --to the terms and conditions of the Altera Program License --Subscription Agreement, the Altera Quartus Prime License Agreement, --the Altera MegaCore Function License Agreement, or other --applicable license agreement, including, without limitation, --that your use is for the sole purpose of programming logic --devices manufactured by Altera and sold by Altera or its --authorized distributors. Please refer to the applicable --agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY altera_mf; USE altera_mf.altera_mf_components.all; ENTITY WaveformROM IS PORT ( address : IN STD_LOGIC_VECTOR (11 DOWNTO 0); clock : IN STD_LOGIC := '1'; q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0) ); END WaveformROM; ARCHITECTURE SYN OF waveformrom IS SIGNAL sub_wire0 : STD_LOGIC_VECTOR (7 DOWNTO 0); BEGIN q <= sub_wire0(7 DOWNTO 0); altsyncram_component : altsyncram GENERIC MAP ( address_aclr_a => "NONE", clock_enable_input_a => "BYPASS", clock_enable_output_a => "BYPASS", init_file => "../../GITROOT/MusicBoxNano/matlab/dds_mif_generator/WaveTable_4096.mif", intended_device_family => "Cyclone IV E", lpm_hint => "ENABLE_RUNTIME_MOD=NO", lpm_type => "altsyncram", numwords_a => 4096, operation_mode => "ROM", outdata_aclr_a => "NONE", outdata_reg_a => "CLOCK0", widthad_a => 12, width_a => 8, width_byteena_a => 1 ) PORT MAP ( address_a => address, clock0 => clock, q_a => sub_wire0 ); END SYN; -- ============================================================ -- CNX file retrieval info -- ============================================================ -- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0" -- Retrieval info: PRIVATE: AclrAddr NUMERIC "0" -- Retrieval info: PRIVATE: AclrByte NUMERIC "0" -- Retrieval info: PRIVATE: AclrOutput NUMERIC "0" -- Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "0" -- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8" -- Retrieval info: PRIVATE: BlankMemory NUMERIC "0" -- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0" -- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0" -- Retrieval info: PRIVATE: Clken NUMERIC "0" -- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0" -- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A" -- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0" -- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" -- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0" -- Retrieval info: PRIVATE: JTAG_ID STRING "NONE" -- Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0" -- Retrieval info: PRIVATE: MIFfilename STRING "../../GITROOT/MusicBoxNano/matlab/dds_mif_generator/WaveTable_4096.mif" -- Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "4096" -- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0" -- Retrieval info: PRIVATE: RegAddr NUMERIC "1" -- Retrieval info: PRIVATE: RegOutput NUMERIC "1" -- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" -- Retrieval info: PRIVATE: SingleClock NUMERIC "1" -- Retrieval info: PRIVATE: UseDQRAM NUMERIC "0" -- Retrieval info: PRIVATE: WidthAddr NUMERIC "12" -- Retrieval info: PRIVATE: WidthData NUMERIC "8" -- Retrieval info: PRIVATE: rden NUMERIC "0" -- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all -- Retrieval info: CONSTANT: ADDRESS_ACLR_A STRING "NONE" -- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS" -- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS" -- Retrieval info: CONSTANT: INIT_FILE STRING "../../GITROOT/MusicBoxNano/matlab/dds_mif_generator/WaveTable_4096.mif" -- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" -- Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO" -- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram" -- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "4096" -- Retrieval info: CONSTANT: OPERATION_MODE STRING "ROM" -- Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE" -- Retrieval info: CONSTANT: OUTDATA_REG_A STRING "CLOCK0" -- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "12" -- Retrieval info: CONSTANT: WIDTH_A NUMERIC "8" -- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1" -- Retrieval info: USED_PORT: address 0 0 12 0 INPUT NODEFVAL "address[11..0]" -- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock" -- Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL "q[7..0]" -- Retrieval info: CONNECT: @address_a 0 0 12 0 address 0 0 12 0 -- Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0 -- Retrieval info: CONNECT: q 0 0 8 0 @q_a 0 0 8 0 -- Retrieval info: GEN_FILE: TYPE_NORMAL WaveformROM.vhd TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL WaveformROM.inc FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL WaveformROM.cmp FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL WaveformROM.bsf FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL WaveformROM_inst.vhd FALSE -- Retrieval info: LIB_FILE: altera_mf
gpl-3.0
2f15573ea0e679d67b66d9637d4d7e7c
0.679328
3.777707
false
false
false
false
alpenwasser/pitaya
firmware/fpga/p_FIR_sim/FIR_sim.srcs/sources_1/bd/design_1/ipshared/raphael-frey/axis_multiplexer_v1_0/src/axis_multiplexer.vhd
3
2,442
---------------------------------------------------------------------------------- -- -- axis_multiplexer.vhd -- -- (c) 2017 -- N. Huesser -- R. Frey -- ---------------------------------------------------------------------------------- -- -- A multiplexer for multiple AXI Streams. -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; entity multiplexer is generic ( C_AXIS_TDATA_WIDTH: integer := 32; C_AXIS_NUM_SI_SLOTS: integer := 2 ); port ( ClkxCI: in std_logic; RstxRBI: in std_logic; SelectxDI: in std_logic_vector (1 downto 0) := (others => '0'); Data0xDI: in std_logic_vector(C_AXIS_TDATA_WIDTH - 1 downto 0) := (others => '0'); Data1xDI: in std_logic_vector(C_AXIS_TDATA_WIDTH - 1 downto 0) := (others => '0'); Data2xDI: in std_logic_vector(C_AXIS_TDATA_WIDTH - 1 downto 0) := (others => '0'); Data3xDI: in std_logic_vector(C_AXIS_TDATA_WIDTH - 1 downto 0) := (others => '0'); Valid0xSI: in std_logic := '0'; Valid1xSI: in std_logic := '0'; Valid2xSI: in std_logic := '0'; Valid3xSI: in std_logic := '0'; Ready0xSO: out std_logic := '0'; Ready1xSO: out std_logic := '0'; Ready2xSO: out std_logic := '0'; Ready3xSO: out std_logic := '0'; DataxDO: out std_logic_vector(C_AXIS_TDATA_WIDTH - 1 downto 0) := (others => '0'); ValidxSO: out std_logic := '0'; ReadyxSI: in std_logic := '0' ); end multiplexer; architecture V1 of multiplexer is begin p_converter: process(Data0xDI, Data1xDI, Data2xDI, Data3xDI, Valid0xSI, Valid1xSI, Valid2xSI, Valid3xSI, ReadyxSI ,SelectxDI) begin case SelectxDI is when "00" => DataxDO <= Data0xDI; ValidxSO <= Valid0xSI; Ready0xSO <= ReadyxSI; when "01" => DataxDO <= Data1xDI; ValidxSO <= Valid1xSI; Ready1xSO <= ReadyxSI; when "10" => DataxDO <= Data2xDI; ValidxSO <= Valid2xSI; Ready2xSO <= ReadyxSI; when "11" => DataxDO <= Data3xDI; ValidxSO <= Valid3xSI; Ready3xSO <= ReadyxSI; when others => DataxDO <= Data0xDI; ValidxSO <= Valid0xSI; Ready0xSO <= ReadyxSI; end case; end process; end V1;
mit
1e9ca23ab1b5ce3a9ed47f9f0303787f
0.497952
3.768519
false
false
false
false
David-Estevez/spaceinvaders
src/edgeDetectorDebounce_tb.vhd
1
2,506
---------------------------------------------------------------------------------- -- -- Lab session #2: edge detector debounce testbench -- -- Detects raising edges and ouputs a one-period pulse. -- -- Authors: -- David Estévez Fernández -- Sergio Vilches Expósito -- ---------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY edgeDetectorDebounce_tb IS END edgeDetectorDebounce_tb; ARCHITECTURE behavior OF edgeDetectorDebounce_tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT edgeDetectorDebounce PORT( clk : IN std_logic; reset : IN std_logic; enable : IN std_logic; input : IN std_logic; detected : OUT std_logic ); END COMPONENT; --Inputs signal clk : std_logic := '0'; signal reset : std_logic := '0'; signal enable : std_logic := '0'; signal input : std_logic := '0'; --Outputs signal detected : std_logic; -- Clock period definitions constant clk_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: edgeDetectorDebounce PORT MAP ( clk => clk, reset => reset, enable => enable, input => input, detected => detected ); -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; -- Reset reset <= '0', '1' after 100ns; enable <= '0', '1' after 200ns; -- Other stimulus stim_process : process begin wait for 15*clk_period; input <= '1'; wait for 3*clk_period; input <= '0'; wait for 5*clk_period; input <= '1'; wait for clk_period; input <= '0'; wait for clk_period; input <= '1'; wait for clk_period; input <= '0'; wait for clk_period; input <= '1'; wait for clk_period; input <= '0'; wait for clk_period; input <= '1'; wait for clk_period; input <= '1'; wait for 1ms; input <= '0'; wait for 5*clk_period; input <= '1'; wait for clk_period; input <= '0'; wait for clk_period; input <= '1'; wait for clk_period; input <= '0'; wait for clk_period; input <= '1'; wait for clk_period; input <= '0'; wait for clk_period; input <= '1'; wait; end process; END;
gpl-3.0
a4c9e9a73c4788f8a48b16a422bf13ed
0.517779
3.686303
false
false
false
false
BBN-Q/VHDL-Components
src/SinCosLUT.vhd
1
4,139
-- Simple sin/cos LUT -- Register input/output to allow usual quarter-wave symmetry -- -- Original author Colm Ryan -- Copyright 2015, Raytheon BBN Technologies library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; entity SinCosLUT is generic ( PHASE_WIDTH : natural := 14; OUTPUT_WIDTH : natural := 14 ); port ( clk : in std_logic; rst : in std_logic; phase_tdata : in std_logic_vector(PHASE_WIDTH-1 downto 0); phase_tvalid : in std_logic; sin_tdata : out std_logic_vector(OUTPUT_WIDTH-1 downto 0); cos_tdata : out std_logic_vector(OUTPUT_WIDTH-1 downto 0); sincos_tvalid : out std_logic ); end entity; architecture arch of SinCosLUT is --0 to pi/2 sin look up table constant LUT_SIZE : natural := 2**(PHASE_WIDTH-2); type lut_array is array(LUT_SIZE-1 downto 0) of signed(OUTPUT_WIDTH-1 downto 0); function fill_lut return lut_array is variable lut : lut_array; variable tmp : integer; constant SCALE : real := real(2**(OUTPUT_WIDTH-1)) - 1.0; begin for ct in 0 to LUT_SIZE-1 loop tmp := integer( SCALE * sin((MATH_PI/2.0)*real(ct)/real(LUT_SIZE)) ); lut(ct) := to_signed(tmp, OUTPUT_WIDTH); end loop; return lut; end function; --seems this should be constant but then rom_style requires signal signal lut : lut_array := fill_lut; attribute rom_style : string; attribute rom_style of lut : signal is "block"; signal sin_addr, cos_addr : natural range 0 to 2**(PHASE_WIDTH-2)-1; subtype ADDR_SLICE is natural range PHASE_WIDTH-3 downto 0; signal sin_tdata_reg, cos_tdata_reg : signed(OUTPUT_WIDTH-1 downto 0); signal sign_bit : std_logic; signal ones_complement_addr_bit : std_logic; signal sin_sign_bit_d : std_logic := '0'; signal cos_sign_bit, cos_sign_bit_d : std_logic := '0'; begin sign_bit <= phase_tdata(phase_tdata'high); ones_complement_addr_bit <= phase_tdata(phase_tdata'high - 1); sin_port : process(clk) variable lut_data : signed(OUTPUT_WIDTH-1 downto 0); begin if rising_edge(clk) then --register addr with possible ones complement if ones_complement_addr_bit = '0' then sin_addr <= to_integer(unsigned(phase_tdata(ADDR_SLICE))); else sin_addr <= to_integer(unsigned(not phase_tdata(ADDR_SLICE))); end if; --Register output data from BRAM sin_tdata_reg <= lut_data; lut_data := lut(sin_addr); end if; end process; sin_sign_bit_delay : entity work.DelayLine generic map ( DELAY_TAPS => 3) port map( clk => clk, rst => rst, data_in(0) => sign_bit, data_out(0) => sin_sign_bit_d); -- should be sin_tdata <= std_logic_vector(sin_tdata_reg) when sin_sign_bit_d = '0' else std_logic_vector(-sin_tdata_reg); -- instead sign inversion as one's complement -- could be off by 1 bit but just make OUTPUT_WIDTH wider to compensate -- TODO: investigate skewing phase and LUT by 1/2 LSB see sin_tdata <= std_logic_vector(sin_tdata_reg) when sin_sign_bit_d = '0' else not std_logic_vector(sin_tdata_reg); -- cos(\theta) = sin(\pi/2 - \theta) = sin(\pi/2 + \theta) -- pi/2 shift just adds 01 to sign/address inversion bits 00 -> 01; 01 -> 10; 10 -> 11; 11 -> 11 -- cos address inversion = not sin address inversion and cos sign inversion = sin sign inversion xor sin address inversion cos_port : process(clk) variable lut_data : signed(OUTPUT_WIDTH-1 downto 0); begin if rising_edge(clk) then --register addr with possible ones complement if ones_complement_addr_bit = '1' then cos_addr <= to_integer(unsigned(phase_tdata(ADDR_SLICE))); else cos_addr <= to_integer(unsigned(not phase_tdata(ADDR_SLICE))); end if; --Register output data from BRAM cos_tdata_reg <= lut_data; lut_data := lut(cos_addr); end if; end process; --sign inversion as ones complement cos_sign_bit <= sign_bit xor ones_complement_addr_bit; cos_sign_bit_delay : entity work.DelayLine generic map ( DELAY_TAPS => 3) port map( clk => clk, rst => rst, data_in(0) => cos_sign_bit, data_out(0) => cos_sign_bit_d); cos_tdata <= std_logic_vector(cos_tdata_reg) when cos_sign_bit_d = '0' else not std_logic_vector(cos_tdata_reg); end architecture;
mpl-2.0
d3ce921855c40df51d4af65f92dfcc8a
0.686398
3.007994
false
false
false
false
viniciussmello/SistemasDigitais
Trabalho 1/OR.vhd
1
718
---------------------------------------------------------------------------------- -- Create Date: 21:40:57 04/10/2017 -- Module Name: OR_BitABit - Behavioral ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity OR_BitABit is Port ( A : in STD_LOGIC_VECTOR (3 downto 0); B : in STD_LOGIC_VECTOR (3 downto 0); Z : out STD_LOGIC_VECTOR (3 downto 0)); -- Saida end OR_BitABit; architecture Behavioral of OR_BitABit is signal Zout : STD_LOGIC_VECTOR(3 downto 0); begin Zout(0) <= A(0) OR B(0); Zout(1) <= A(1) OR B(1); Zout(2) <= A(2) OR B(2); Zout(3) <= A(3) OR B(3); Z <= Zout; end Behavioral;
gpl-3.0
0e34d71ea2eb49d3306df0e905944555
0.465181
3.402844
false
false
false
false
viniciussmello/SistemasDigitais
Trabalho 2/Principal/Main.vhd
1
6,290
LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.NUMERIC_STD.ALL; ENTITY teste IS PORT ( CLK_50M : IN std_logic; --Clock dado pela Spartan3 em Hz PS2_CLK1 : IN std_logic; --Sinal de clock interno do teclado PS2_DATA1 : IN std_logic; --Sinal de dados interno do teclado seletorSaida: in std_logic; -- Switch utilizado para selecionar operacao a exibir rw, rs, e : OUT STD_LOGIC; --read/write, setup/data, and enable for lcd lcd_data : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END teste; ARCHITECTURE Arcteste OF teste IS TYPE enviaAscii IS (resultSoma, DoisPontosS, Soma5, Soma4, Soma3, Soma2, Soma1, resultProd, Prod10, Prod9, Prod8, Prod7, Prod6, Prod5, Prod4, Prod3, Prod2, Prod1, fim); SIGNAL finishMaquina : std_logic; SIGNAL entradaA : std_logic_vector(19 DOWNTO 0); SIGNAL entradaB : std_logic_vector(19 DOWNTO 0); SIGNAL saidaSomador : std_logic_vector(19 DOWNTO 0); SIGNAL saidaMultiplicador : std_logic_vector(39 DOWNTO 0); SIGNAL carryOut : std_logic; SIGNAL MDDEnable, MDDfinish, MDDreset : std_logic; SIGNAL reset_lcd, ativa_lcd, lcd_ocupado : std_logic; SIGNAL receives_input : std_logic; SIGNAL codigo_lcd : std_logic_vector(9 DOWNTO 0); SIGNAL entradaA_S : unsigned(19 DOWNTO 0) := unsigned(entradaA); SIGNAL entradaB_S : unsigned(19 DOWNTO 0) := unsigned(entradaB); SIGNAL saidaSomador_S : unsigned(19 DOWNTO 0) := (others => '0'); SIGNAL carryOut_S : unsigned(3 DOWNTO 0) := (others => '0'); SIGNAL saidaMultiplicador_S : unsigned(39 DOWNTO 0) := (others => '0'); SIGNAL enviaLCD : enviaAscii := resultSoma; BEGIN Controlador_LCD : ENTITY work.lcd_controller PORT MAP(CLK_50M, reset_lcd, ativa_lcd, codigo_lcd, lcd_ocupado, rw, rs, e, lcd_data); MaquinaDeEstadosPrincipal : ENTITY work.MaquinaDeEstadosPrincipal PORT MAP(CLK_50M, PS2_CLK1, PS2_DATA1, entradaA, entradaB, finishMaquina, MDDreset); Multiplicador : ENTITY work.MultBcd_5x5Dig PORT MAP( EntradaA => entradaA_S, EntradaB => entradaB_S, saidaZ => saidaMultiplicador_S ); Somador : ENTITY work.bcd_5_digit_adder PORT MAP( Entrada1 => entradaA_S, Entrada2 => entradaB_S, sum => saidaSomador_S, carry => carryOut_S ); PROCESS (CLK_50M, finishMaquina, MDDfinish, MDDreset) BEGIN IF (CLK_50M'EVENT AND CLK_50M = '1') THEN IF (finishMaquina = '1') THEN MDDenable <= '1'; ELSE MDDenable <= '0'; END IF; IF (MDDfinish = '1') THEN receives_input <= '1'; ELSE receives_input <= '0'; END IF; IF (MDDreset = '1') THEN reset_lcd <= '0'; enviaLCD <= resultSoma; ELSE reset_lcd <= '1'; END IF; IF (lcd_ocupado = '0' AND ativa_lcd = '0') THEN IF (seletorSaida = '0') THEN --Exibe soma CASE (enviaLCD) IS WHEN resultSoma => -- Exibe S ativa_lcd <= '1'; codigo_lcd <= "10" & "0101" & "0011"; enviaLCD <= DoisPontosS; WHEN DoisPontosS => -- Exibe : ativa_lcd <= '1'; codigo_lcd <= "10" & "0011" & "1010"; enviaLCD <= Soma5; WHEN Soma5 => ativa_lcd <= '1'; codigo_lcd <= "10" & "0011" & saidaSomador(19 downto 16); enviaLCD <= Soma4; WHEN Soma4 => ativa_lcd <= '1'; codigo_lcd <= "10" & "0011" & saidaSomador(15 downto 12); enviaLCD <= Soma3; WHEN Soma3 => ativa_lcd <= '1'; codigo_lcd <= "10" & "0011" & saidaSomador(11 downto 8); enviaLCD <= Soma2; WHEN Soma2 => ativa_lcd <= '1'; codigo_lcd <= "10" & "0011" & saidaSomador(7 downto 4); enviaLCD <= Soma1; WHEN Soma1 => ativa_lcd <= '1'; codigo_lcd <= "10" & "0011" & saidaSomador(3 downto 0); enviaLCD <= fim; WHEN fim => ativa_lcd <= '0'; WHEN others => ativa_lcd <= '0'; END CASE; ELSE -- Exibe Produto CASE enviaLCD IS WHEN resultProd => -- Exibe P ativa_lcd <= '1'; codigo_lcd <= "10" & "0101" & "0000"; enviaLCD <= DoisPontosS; WHEN DoisPontosS => -- Exibe : ativa_lcd <= '1'; codigo_lcd <= "10" & "0011" & "1010"; enviaLCD <= Prod10; WHEN Prod10 => ativa_lcd <= '1'; codigo_lcd <= "10" & "0011" & saidaMultiplicador(39 downto 36); enviaLCD <= Prod9; WHEN Prod9 => ativa_lcd <= '1'; codigo_lcd <= "10" & "0011" & saidaMultiplicador(35 downto 32); enviaLCD <= Prod8; WHEN Prod8 => ativa_lcd <= '1'; codigo_lcd <= "10" & "0011" & saidaMultiplicador(31 downto 28); enviaLCD <= Prod7; WHEN Prod7 => ativa_lcd <= '1'; codigo_lcd <= "10" & "0011" & saidaMultiplicador(27 downto 24); enviaLCD <= Prod6; WHEN Prod6 => ativa_lcd <= '1'; codigo_lcd <= "10" & "0011" & saidaMultiplicador(23 downto 20); enviaLCD <= Prod5; WHEN Prod5 => ativa_lcd <= '1'; codigo_lcd <= "10" & "0011" & saidaMultiplicador(19 downto 16); enviaLCD <= Prod4; WHEN Prod4 => ativa_lcd <= '1'; codigo_lcd <= "10" & "0011" & saidaMultiplicador(15 downto 12); enviaLCD <= Prod3; WHEN Prod3 => ativa_lcd <= '1'; codigo_lcd <= "10" & "0011" & saidaMultiplicador(11 downto 8); enviaLCD <= Prod2; WHEN Prod2 => ativa_lcd <= '1'; codigo_lcd <= "10" & "0011" & saidaMultiplicador(7 downto 4); enviaLCD <= Prod1; WHEN Prod1 => ativa_lcd <= '1'; codigo_lcd <= "10" & "0011" & saidaMultiplicador(3 downto 0); enviaLCD <= fim; WHEN fim => ativa_lcd <= '0'; WHEN others => ativa_lcd <= '0'; END CASE; END IF; -- Fim: if(seletorSaida = '0') ELSE ativa_lcd <= '0'; END IF; -- Fim: if(lcd_ocupado = '0' AND ativa_lcd = '0') END IF; -- Fim: if(CLK_50M'event and CLK_50M='1') END PROCESS; END Arcteste;
gpl-3.0
c005e94886d031bd675ebf39e1e967cf
0.544515
3.237262
false
false
false
false
alpenwasser/pitaya
firmware/fpga/p_FIR_sim/FIR_sim/FIR_sim.srcs/sources_1/bd/design_1/ip/design_1_axis_to_data_lanes_0_0/sim/design_1_axis_to_data_lanes_0_0.vhd
4
4,426
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: noah-huesser:user:axis_to_data_lanes:1.0 -- IP Revision: 1 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY design_1_axis_to_data_lanes_0_0 IS PORT ( ClkxCI : IN STD_LOGIC; RstxRBI : IN STD_LOGIC; AxiTDataxDI : IN STD_LOGIC_VECTOR(31 DOWNTO 0); AxiTValid : IN STD_LOGIC; AxiTReady : OUT STD_LOGIC; Data0xDO : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); Data1xDO : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); DataStrobexDO : OUT STD_LOGIC ); END design_1_axis_to_data_lanes_0_0; ARCHITECTURE design_1_axis_to_data_lanes_0_0_arch OF design_1_axis_to_data_lanes_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF design_1_axis_to_data_lanes_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT axis_to_data_lanes IS GENERIC ( Decimation : INTEGER; Offset : INTEGER ); PORT ( ClkxCI : IN STD_LOGIC; RstxRBI : IN STD_LOGIC; AxiTDataxDI : IN STD_LOGIC_VECTOR(31 DOWNTO 0); AxiTValid : IN STD_LOGIC; AxiTReady : OUT STD_LOGIC; Data0xDO : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); Data1xDO : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); DataStrobexDO : OUT STD_LOGIC ); END COMPONENT axis_to_data_lanes; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF ClkxCI: SIGNAL IS "xilinx.com:signal:clock:1.0 SI_clk CLK"; ATTRIBUTE X_INTERFACE_INFO OF RstxRBI: SIGNAL IS "xilinx.com:signal:reset:1.0 SI_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF AxiTDataxDI: SIGNAL IS "xilinx.com:interface:axis:1.0 SI TDATA"; ATTRIBUTE X_INTERFACE_INFO OF AxiTValid: SIGNAL IS "xilinx.com:interface:axis:1.0 SI TVALID"; ATTRIBUTE X_INTERFACE_INFO OF AxiTReady: SIGNAL IS "xilinx.com:interface:axis:1.0 SI TREADY"; BEGIN U0 : axis_to_data_lanes GENERIC MAP ( Decimation => 1, Offset => 32768 ) PORT MAP ( ClkxCI => ClkxCI, RstxRBI => RstxRBI, AxiTDataxDI => AxiTDataxDI, AxiTValid => AxiTValid, AxiTReady => AxiTReady, Data0xDO => Data0xDO, Data1xDO => Data1xDO, DataStrobexDO => DataStrobexDO ); END design_1_axis_to_data_lanes_0_0_arch;
mit
b140b64a5bde356414b8b7028beb7d1b
0.721193
3.896127
false
false
false
false
MiddleMan5/233
Experiments/RTL_Components/CPE233-master/scratchPad.vhd
1
1,526
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 01/20/2016 11:29:49 AM -- Design Name: -- Module Name: scratchPad - Behavioral -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; entity scratchPad is Port ( Scr_Addr : in STD_LOGIC_VECTOR (7 downto 0); Scr_Oe : in STD_LOGIC; SCR_WE : in STD_LOGIC; CLK : in STD_LOGIC; SCR_DATA : inout STD_LOGIC_VECTOR (9 downto 0)); end scratchPad; architecture Behavioral of scratchPad is TYPE memory is array (0 to 255) of std_logic_vector(9 downto 0); SIGNAL REG: memory := (others=>(others=>'0')); begin process(clk) begin if (rising_edge(clk)) then if (SCR_WE = '1') then REG(conv_integer(Scr_Addr)) <= SCR_DATA; end if; end if; end process; SCR_DATA <= REG(conv_integer(Scr_Addr)) when Scr_Oe='1' else (others=>'Z'); end Behavioral;
mit
1a76f2bc0b440c4e8025630d322b6611
0.583879
3.703883
false
false
false
false
odeke-em/hdl-class
adders/fullAdderStructural.vhd
1
1,268
-- This example was provided by: -- Bruce Cockburn and Jie Han -- However it was a collaboration between Qiushi Jiang and myself -- to modify it and fix up changes library IEEE; using IEEE.STD_LOGIC_1164.all; entity NBitAdder is generic(width: integer := 16); Port ( A: in STD_LOGIC_VECTOR(width - 1 downto 0); B: in STD_LOGIC_VECTOR(width - 1 downto 0); Sum: out STD_LOGIC_VECTOR(width downto 0); ); end NBitAdder; architecture Behavioral of NBitAdder is component fullAdder is Port( A: in STD_LOGIC; B: in STD_LOGIC; Sum: out STD_LOGIC; Cout: out STD_LOGIC; ); end component fullAdder; signal carries: STD_LOGIC_VECTOR(width downto 0); begin: FAs: for i in 0 to width - 1 generate aFA: fullAdder port map( A => A(i), B => B(i), Cin => carries(i), Sum => Sum(i); Cout => carries(i+1) ); end generate; carries(0) <= '0'; sum(width) <= carries(width); end Behavioral;
mit
04138f42b09faa5024180c140722e73e
0.485016
4.184818
false
false
false
false
MiddleMan5/233
Experiments/IP_Repo/Program Counter/sim/Program_Counter_Counter10bit_0_1.vhd
2
3,592
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: CPE233:F17:Counter10bit:1.0 -- IP Revision: 2 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY Program_Counter_Counter10bit_0_1 IS PORT ( Din : IN STD_LOGIC_VECTOR(0 TO 9); LOAD : IN STD_LOGIC; INC : IN STD_LOGIC; RESET : IN STD_LOGIC; CLK : IN STD_LOGIC; COUNT : OUT STD_LOGIC_VECTOR(0 TO 9) ); END Program_Counter_Counter10bit_0_1; ARCHITECTURE Program_Counter_Counter10bit_0_1_arch OF Program_Counter_Counter10bit_0_1 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF Program_Counter_Counter10bit_0_1_arch: ARCHITECTURE IS "yes"; COMPONENT Counter10bit IS PORT ( Din : IN STD_LOGIC_VECTOR(0 TO 9); LOAD : IN STD_LOGIC; INC : IN STD_LOGIC; RESET : IN STD_LOGIC; CLK : IN STD_LOGIC; COUNT : OUT STD_LOGIC_VECTOR(0 TO 9) ); END COMPONENT Counter10bit; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF RESET: SIGNAL IS "xilinx.com:signal:reset:1.0 RESET RST"; ATTRIBUTE X_INTERFACE_INFO OF CLK: SIGNAL IS "xilinx.com:signal:clock:1.0 CLK CLK"; BEGIN U0 : Counter10bit PORT MAP ( Din => Din, LOAD => LOAD, INC => INC, RESET => RESET, CLK => CLK, COUNT => COUNT ); END Program_Counter_Counter10bit_0_1_arch;
mit
e2fd228b4046f626b7eef71e5bb5886e
0.726893
4
false
false
false
false